Sample records for nitride dielectric thin

  1. Infrared Dielectric Properties of Low-stress Silicon Nitride

    NASA Technical Reports Server (NTRS)

    Cataldo, Giuseppe; Beall, James A.; Cho, Hsiao-Mei; McAndrew, Brendan; Niemack, Michael D.; Wollack, Edward J.

    2012-01-01

    Silicon nitride thin films play an important role in the realization of sensors, filters, and high-performance circuits. Estimates of the dielectric function in the far- and mid-IR regime are derived from the observed transmittance spectra for a commonly employed low-stress silicon nitride formulation. The experimental, modeling, and numerical methods used to extract the dielectric parameters with an accuracy of approximately 4% are presented.

  2. High Dielectric Performance of Solution-Processed Aluminum Oxide-Boron Nitride Composite Films

    NASA Astrophysics Data System (ADS)

    Yu, Byoung-Soo; Ha, Tae-Jun

    2018-04-01

    The material compositions of oxide films have been extensively investigated in an effort to improve the electrical characteristics of dielectrics which have been utilized in various electronic devices such as field-effect transistors, and storage capacitors. Significantly, solution-based compositions have attracted considerable attention as a highly effective and practical technique to replace vacuum-based process in large-area. Here, we demonstrate solution-processed composite films consisting of aluminum oxide (Al2O3) and boron nitride (BN), which exhibit remarkable dielectric properties through the optimization process. The leakage current of the optimized Al2O3-BN thin films was decreased by a factor of 100 at 3V, compared to pristine Al2O3 thin film without a loss of the dielectric constant or degradation of the morphological roughness. The characterization by X-ray photoelectron spectroscopy measurements revealed that the incorporation of BN with an optimized concentration into the Al2O3 dielectric film reduced the density of oxygen vacancies which act as defect states, thereby improving the dielectric characteristics.

  3. Boron nitride as two dimensional dielectric: Reliability and dielectric breakdown

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ji, Yanfeng; Pan, Chengbin; Hui, Fei

    2016-01-04

    Boron Nitride (BN) is a two dimensional insulator with excellent chemical, thermal, mechanical, and optical properties, which make it especially attractive for logic device applications. Nevertheless, its insulating properties and reliability as a dielectric material have never been analyzed in-depth. Here, we present the first thorough characterization of BN as dielectric film using nanoscale and device level experiments complementing with theoretical study. Our results reveal that BN is extremely stable against voltage stress, and it does not show the reliability problems related to conventional dielectrics like HfO{sub 2}, such as charge trapping and detrapping, stress induced leakage current, and untimelymore » dielectric breakdown. Moreover, we observe a unique layer-by-layer dielectric breakdown, both at the nanoscale and device level. These findings may be of interest for many materials scientists and could open a new pathway towards two dimensional logic device applications.« less

  4. Magnetoresistance measurements of superconducting molybdenum nitride thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baskaran, R., E-mail: baskaran@igcar.gov.in; Arasu, A. V. Thanikai; Amaladass, E. P.

    2016-05-23

    Molybdenum nitride thin films have been deposited on aluminum nitride buffered glass substrates by reactive DC sputtering. GIXRD measurements indicate formation of nano-crystalline molybdenum nitride thin films. The transition temperature of MoN thin film is 7.52 K. The transition width is less than 0.1 K. The upper critical field Bc{sub 2}(0), calculated using GLAG theory is 12.52 T. The transition width for 400 µA current increased initially upto 3 T and then decreased, while that for 100 µA current transition width did not decrease.

  5. Device performance of in situ steam generated gate dielectric nitrided by remote plasma nitridation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Al-Shareef, H. N.; Karamcheti, A.; Luo, T. Y.

    2001-06-11

    In situ steam generated (ISSG) oxides have recently attracted interest for use as gate dielectrics because of their demonstrated reliability improvement over oxides formed by dry oxidation. [G. Minor, G. Xing, H. S. Joo, E. Sanchez, Y. Yokota, C. Chen, D. Lopes, and A. Balakrishna, Electrochem. Soc. Symp. Proc. 99-10, 3 (1999); T. Y. Luo, H. N. Al-Shareef, G. A. Brown, M. Laughery, V. Watt, A. Karamcheti, M. D. Jackson, and H. R. Huff, Proc. SPIE 4181, 220 (2000).] We show in this letter that nitridation of ISSG oxide using a remote plasma decreases the gate leakage current of ISSGmore » oxide by an order of magnitude without significantly degrading transistor performance. In particular, it is shown that the peak normalized transconductance of n-channel devices with an ISSG oxide gate dielectric decreases by only 4% and the normalized drive current by only 3% after remote plasma nitridation (RPN). In addition, it is shown that the reliability of the ISSG oxide exhibits only a small degradation after RPN. These observations suggest that the ISSG/RPN process holds promise for gate dielectric applications. {copyright} 2001 American Institute of Physics.« less

  6. Improvement in interfacial characteristics of low-voltage carbon nanotube thin-film transistors with solution-processed boron nitride thin films

    NASA Astrophysics Data System (ADS)

    Jeon, Jun-Young; Ha, Tae-Jun

    2017-08-01

    In this article, we demonstrate the potential of solution-processed boron nitride (BN) thin films for high performance single-walled carbon nanotube thin-film transistors (SWCNT-TFTs) with low-voltage operation. The use of BN thin films between solution-processed high-k dielectric layers improved the interfacial characteristics of metal-insulator-metal devices, thereby reducing the current density by three orders of magnitude. We also investigated the origin of improved device performance in SWCNT-TFTs by employing solution-processed BN thin films as an encapsulation layer. The BN encapsulation layer improves the electrical characteristics of SWCNT-TFTs, which includes the device key metrics of linear field-effect mobility, sub-threshold swing, and threshold voltage as well as the long-term stability against the aging effect in air. Such improvements can be achieved by reduced interaction of interfacial localized states with charge carriers. We believe that this work can open up a promising route to demonstrate the potential of solution-processed BN thin films on nanoelectronics.

  7. Vertical III-nitride thin-film power diode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wierer, Jr., Jonathan; Fischer, Arthur J.; Allerman, Andrew A.

    2017-03-14

    A vertical III-nitride thin-film power diode can hold off high voltages (kV's) when operated under reverse bias. The III-nitride device layers can be grown on a wider bandgap template layer and growth substrate, which can be removed by laser lift-off of the epitaxial device layers grown thereon.

  8. Low temperature aluminum nitride thin films for sensory applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yarar, E.; Zamponi, C.; Piorra, A.

    2016-07-15

    A low-temperature sputter deposition process for the synthesis of aluminum nitride (AlN) thin films that is attractive for applications with a limited temperature budget is presented. Influence of the reactive gas concentration, plasma treatment of the nucleation surface and film thickness on the microstructural, piezoelectric and dielectric properties of AlN is investigated. An improved crystal quality with respect to the increased film thickness was observed; where full width at half maximum (FWHM) of the AlN films decreased from 2.88 ± 0.16° down to 1.25 ± 0.07° and the effective longitudinal piezoelectric coefficient (d{sub 33,f}) increased from 2.30 ± 0.32 pm/Vmore » up to 5.57 ± 0.34 pm/V for film thicknesses in the range of 30 nm to 2 μm. Dielectric loss angle (tan δ) decreased from 0.626% ± 0.005% to 0.025% ± 0.011% for the same thickness range. The average relative permittivity (ε{sub r}) was calculated as 10.4 ± 0.05. An almost constant transversal piezoelectric coefficient (|e{sub 31,f}|) of 1.39 ± 0.01 C/m{sup 2} was measured for samples in the range of 0.5 μm to 2 μm. Transmission electron microscopy (TEM) investigations performed on thin (100 nm) and thick (1.6 μm) films revealed an (002) oriented AlN nucleation and growth starting directly from the AlN-Pt interface independent of the film thickness and exhibit comparable quality with the state-of-the-art AlN thin films sputtered at much higher substrate temperatures.« less

  9. Direct growth of nanocrystalline hexagonal boron nitride films on dielectric substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tay, Roland Yingjie; Temasek Laboratories@NTU, 50 Nanyang Avenue, Singapore, Singapore 639798; Tsang, Siu Hon

    Atomically thin hexagonal-boron nitride (h-BN) films are primarily synthesized through chemical vapor deposition (CVD) on various catalytic transition metal substrates. In this work, a single-step metal-catalyst-free approach to obtain few- to multi-layer nanocrystalline h-BN (NCBN) directly on amorphous SiO{sub 2}/Si and quartz substrates is demonstrated. The as-grown thin films are continuous and smooth with no observable pinholes or wrinkles across the entire deposited substrate as inspected using optical and atomic force microscopy. The starting layers of NCBN orient itself parallel to the substrate, initiating the growth of the textured thin film. Formation of NCBN is due to the random andmore » uncontrolled nucleation of h-BN on the dielectric substrate surface with no epitaxial relation, unlike on metal surfaces. The crystallite size is ∼25 nm as determined by Raman spectroscopy. Transmission electron microscopy shows that the NCBN formed sheets of multi-stacked layers with controllable thickness from ∼2 to 25 nm. The absence of transfer process in this technique avoids any additional degradation, such as wrinkles, tears or folding and residues on the film which are detrimental to device performance. This work provides a wider perspective of CVD-grown h-BN and presents a viable route towards large-scale manufacturing of h-BN substrates and for coating applications.« less

  10. Dielectric and Raman spectroscopy of TlSe thin films

    NASA Astrophysics Data System (ADS)

    Ozel, Aysen E.; Deger, Deniz; Celik, Sefa; Yakut, Sahin; Karabak, Binnur; Akyüz, Sevim; Ulutas, Kemal

    2017-12-01

    In this report, the results of Dielectric and Raman spectroscopy of TlSe thin films are presented. The films were deposited in different thicknesses ranging from 290 Å to 3200 Å by thermal evaporation method. The relative permittivity (dielectric constant εr‧) and dielectric loss (εr″) of TlSe thin films were calculated by measuring capacitance (C) and dielectric loss factor (tan δ) in the frequencies ranging between 10-2 Hz-107 Hz and in the temperature ranging between 173 K and 433 K. In the given intervals, both the dielectric constant and the dielectric loss of TlSe thin films decrease with increasing frequency, but increase with increasing temperature. This behavior can be explained as multicomponent polarization in the structure. The ac conductivity obeys the ωs law when s (s < 1). The dielectric constant of TlSe thin films is determined from Dielectric and Raman spectroscopy measurements. The results obtained by two different methods are in agreement with each other.

  11. Electrical dependence on the chemical composition of the gate dielectric in indium gallium zinc oxide thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tari, Alireza, E-mail: atari@uwaterloo.ca; Lee, Czang-Ho; Wong, William S.

    Bottom-gate thin-film transistors were fabricated by depositing a 50 nm InGaZnO (IGZO) channel layer at 150 °C on three separate gate dielectric films: (1) thermal SiO{sub 2}, (2) plasma-enhanced chemical-vapor deposition (PECVD) SiN{sub x}, and (3) a PECVD SiO{sub x}/SiN{sub x} dual-dielectric. X-ray photoelectron and photoluminescence spectroscopy showed the V{sub o} concentration was dependent on the hydrogen concentration of the underlying dielectric film. IGZO films on SiN{sub x} (high V{sub o}) and SiO{sub 2} (low V{sub o}) had the highest and lowest conductivity, respectively. A PECVD SiO{sub x}/SiN{sub x} dual-dielectric layer was effective in suppressing hydrogen diffusion from the nitride layer intomore » the IGZO and resulted in higher resistivity films.« less

  12. Random telegraph noise in 2D hexagonal boron nitride dielectric films

    NASA Astrophysics Data System (ADS)

    Ranjan, A.; Puglisi, F. M.; Raghavan, N.; O'Shea, S. J.; Shubhakar, K.; Pavan, P.; Padovani, A.; Larcher, L.; Pey, K. L.

    2018-03-01

    This study reports the observation of low frequency random telegraph noise (RTN) in a 2D layered hexagonal boron nitride dielectric film in the pre- and post-soft breakdown phases using conductive atomic force microscopy as a nanoscale spectroscopy tool. The RTN traces of the virgin and electrically stressed dielectric (after percolation breakdown) were compared, and the signal features were statistically analyzed using the Factorial Hidden Markov Model technique. We observe a combination of both two-level and multi-level RTN signals in h-BN, akin to the trends commonly observed for bulk oxides such as SiO2 and HfO2. Experimental evidence suggests frequent occurrence of unstable and anomalous RTN traces in 2D dielectrics which makes extraction of defect energetics challenging.

  13. Giant perpendicular magnetic anisotropy in Fe/III-V nitride thin films

    PubMed Central

    2018-01-01

    Large perpendicular magnetic anisotropy (PMA) in transition metal thin films provides a pathway for enabling the intriguing physics of nanomagnetism and developing broad spintronics applications. After decades of searches for promising materials, the energy scale of PMA of transition metal thin films, unfortunately, remains only about 1 meV. This limitation has become a major bottleneck in the development of ultradense storage and memory devices. We discovered unprecedented PMA in Fe thin-film growth on the (0001¯) N-terminated surface of III-V nitrides from first-principles calculations. PMA ranges from 24.1 meV/u.c. in Fe/BN to 53.7 meV/u.c. in Fe/InN. Symmetry-protected degeneracy between x2 − y2 and xy orbitals and its lift by the spin-orbit coupling play a dominant role. As a consequence, PMA in Fe/III-V nitride thin films is dominated by first-order perturbation of the spin-orbit coupling, instead of second-order in conventional transition metal/oxide thin films. This game-changing scenario would also open a new field of magnetism on transition metal/nitride interfaces. PMID:29670948

  14. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  15. Thin film integrated capacitors with sputtered-anodized niobium pentoxide dielectric for decoupling applications

    NASA Astrophysics Data System (ADS)

    Jacob, Susan

    Electronics system miniaturization is a major driver for high-k materials. High-k materials in capacitors allow for high capacitance, enabling system miniaturization. Ta2O5 (k˜24) has been the dominant high-k material in the electronic industry for decoupling capacitors, filter capacitors, etc. In order to facilitate further system miniaturization, this project has investigated thin film integrated capacitors with Nb2O5 dielectric. Nb2O 5 has k˜41 and is a potential candidate for replacing Ta2O5. But, the presence of suboxides (NbO2 and NbO) in the dielectric deteriorates the electrical properties (leakage current, thermal instability of capacitance, etc.). Also, the high oxygen solubility of niobium results in oxygen diffusion from the dielectric to niobium metal, if any is present. The major purpose of this project was to check the ability of NbN as a diffusion barrier and fabricate thermally stable niobium capacitors. As a first step to produce niobium capacitors, the material characterizations of reactively sputtered Nb2O5 and NbN were done. Thickness and film composition, and crystal structures of the sputtered films were obtained and the deposition parameters for the desired stoichiometry were found. Also, anodized Nb2O5 was characterized for its stoichiometry and thickness. To study the effect of nitrides on capacitance and thermal stability, Ta2O5 capacitors were initially fabricated with and without TaN. The results showed that the nitride does not affect the capacitance, and that capacitors with TaN are stable up to 150°C. In the next step, niobium capacitors were first fabricated with anodized dielectric and the oxygen diffusion issues associated with capacitor processing were studied. Reactively sputtered Nb2O5 was anodized to form complete Nb2O5 (with few oxygen vacancies) and NbN was used to sandwich the dielectric. The capacitor fabrication was not successful due to the difficulties in anodizing the sputtered dielectric. Another method, anodizing

  16. Electrically Detected Study of Variable Range Hopping in Silicon Nitrides

    NASA Astrophysics Data System (ADS)

    Waskiewicz, Ryan; Mutch, Michael; Lenahan, Patrick; King, Sean

    Electrically detected magnetic resonance (EDMR) offers greatly improved sensitivity over conventional electron paramagnetic resonance (EPR) studies in semiconductor/insulator systems; in EDMR measurements, one observes EPR via changes in device currents which are spin-dependent. In our study, we observe EDMR via spin-dependent trap assisted tunneling (SDTAT) via variable range hopping (VRH) through stoichiometric silicon nitride dielectric films. In these films, leakage current effectively changes at resonance. In our study, we have investigated the EDMR response as a function of dielectric electric field and temperature for films of various thicknesses. We believe that these measurements allow us to identify the defects responsible for transport in such these thin films using EDMR and to some extent measure the distances between the defects. The separation between the defects can, at least in principle, be measured using the recently demonstrated half-field EDMR response and we can also count total number of spins responsible for transport through dielectric films. Although we present results only on silicon nitride thin films, we believe that the approach utilized will be widely applicable to other dielectric films in which electronic transport is of interest. This project is sponsored in part by Intel Corporation and in part by the Department of Defense, Defense Threat Reduction Agency under Grant Number HDTRA1-16-0008.

  17. Doped indium nitride thin film by sol-gel spin coating method

    NASA Astrophysics Data System (ADS)

    Lee, Hui San; Ng, Sha Shiong; Yam, Fong Kwong

    2017-12-01

    In this study, magnesium doped indium nitride (InN:Mg) thin films grown on silicon (100) substrate were prepared via sol-gel spin coating method followed by nitridation process. A custom-made tube furnace was used to perform the nitridation process. Through this method, the low dissociation temperature issue of InN:Mg thin films can be solved. The deposited InN:Mg thin films were investigated using various techniques. The X-rays diffraction results revealed that two intense diffraction peaks correspond to wurtzite structure InN (100), and InN (101) were observed at 29° and 33.1° respectively. Field emission scanning electron microscopy images showed that the surface of the films exhibits densely packed grains. The elemental composition of the deposited thin films was analyzed using energy dispersive X-rays spectroscopy. The detected atomic percentages for In, N, and Mg were 43.22 %, 3.28 %, and 0.61 % respectively. The Raman spectra showed two Raman- and infrared-active modes of E2 (High) and A1 (LO) of the wurtzite InN. The band gap obtained from the Tauc plot showed around 1.74 eV. Lastly, the average surface roughness measured by AFM was around 0.133 µm.

  18. Thin-Ribbon Tapered Couplers For Dielectric Waveguides

    NASA Technical Reports Server (NTRS)

    Otoshi, Tom Y.; Shimabukuro, Fred I.; Yeh, Cavour

    1996-01-01

    Thin-ribbon tapered couplers proposed for launching electro-magnetic waves into dielectric waveguides, which include optical fibers. Intended for use with ribbon dielectric waveguides designed for operation at millimeter or submillimeter wavelengths, made of high-relative-permittivity, low-loss materials and thicknesses comparable to or less than free-space design wavelengths. Coupling efficiencies exceeds those of older tapered couplers.

  19. Logic circuits composed of flexible carbon nanotube thin-film transistor and ultra-thin polymer gate dielectric

    PubMed Central

    Lee, Dongil; Yoon, Jinsu; Lee, Juhee; Lee, Byung-Hyun; Seol, Myeong-Lok; Bae, Hagyoul; Jeon, Seung-Bae; Seong, Hyejeong; Im, Sung Gap; Choi, Sung-Jin; Choi, Yang-Kyu

    2016-01-01

    Printing electronics has become increasingly prominent in the field of electronic engineering because this method is highly efficient at producing flexible, low-cost and large-scale thin-film transistors. However, TFTs are typically constructed with rigid insulating layers consisting of oxides and nitrides that are brittle and require high processing temperatures, which can cause a number of problems when used in printed flexible TFTs. In this study, we address these issues and demonstrate a method of producing inkjet-printed TFTs that include an ultra-thin polymeric dielectric layer produced by initiated chemical vapor deposition (iCVD) at room temperature and highly purified 99.9% semiconducting carbon nanotubes. Our integrated approach enables the production of flexible logic circuits consisting of CNT-TFTs on a polyethersulfone (PES) substrate that have a high mobility (up to 9.76 cm2 V−1 sec−1), a low operating voltage (less than 4 V), a high current on/off ratio (3 × 104), and a total device yield of 90%. Thus, it should be emphasized that this study delineates a guideline for the feasibility of producing flexible CNT-TFT logic circuits with high performance based on a low-cost and simple fabrication process. PMID:27184121

  20. Logic circuits composed of flexible carbon nanotube thin-film transistor and ultra-thin polymer gate dielectric

    NASA Astrophysics Data System (ADS)

    Lee, Dongil; Yoon, Jinsu; Lee, Juhee; Lee, Byung-Hyun; Seol, Myeong-Lok; Bae, Hagyoul; Jeon, Seung-Bae; Seong, Hyejeong; Im, Sung Gap; Choi, Sung-Jin; Choi, Yang-Kyu

    2016-05-01

    Printing electronics has become increasingly prominent in the field of electronic engineering because this method is highly efficient at producing flexible, low-cost and large-scale thin-film transistors. However, TFTs are typically constructed with rigid insulating layers consisting of oxides and nitrides that are brittle and require high processing temperatures, which can cause a number of problems when used in printed flexible TFTs. In this study, we address these issues and demonstrate a method of producing inkjet-printed TFTs that include an ultra-thin polymeric dielectric layer produced by initiated chemical vapor deposition (iCVD) at room temperature and highly purified 99.9% semiconducting carbon nanotubes. Our integrated approach enables the production of flexible logic circuits consisting of CNT-TFTs on a polyethersulfone (PES) substrate that have a high mobility (up to 9.76 cm2 V-1 sec-1), a low operating voltage (less than 4 V), a high current on/off ratio (3 × 104), and a total device yield of 90%. Thus, it should be emphasized that this study delineates a guideline for the feasibility of producing flexible CNT-TFT logic circuits with high performance based on a low-cost and simple fabrication process.

  1. First-principles investigation of band offsets and dielectric properties of Silicon-Silicon Nitride interfaces

    NASA Astrophysics Data System (ADS)

    Pham, Tuan Anh; Li, Tianshu; Gygi, Francois; Galli, Giulia

    2011-03-01

    Silicon Nitride (Si3N4) is a possible candidate material to replace or be alloyed with SiO2 to form high-K dielectric films on Si substrates, so as to help prevent leakage currents in modern CMOS transistors. Building on our previous work on dielectric properties of crystalline and amorphous Si3N4 slabs, we present an analysis of the band offsets and dielectric properties of crystalline-Si/amorphous Si3N4 interfaces based on first principles calculations. We discuss shortcomings of the conventional bulk-plus line up approach in band offset calculations for systems with an amorphous component, and we present the results of band offsets obtained from calculations of local density of states. Finally, we describe the role of bonding configurations in determining band edges and dielectric constants at the interface. We acknowledge financial support from Intel Corporation.

  2. Carbon Coated Boron Nitride Nanosheets for Polymer Nanocomposites with Enhanced Dielectric Performance

    PubMed Central

    Yang, Minhao; Zhao, Hang; He, Delong; Hu, Chaohe; Chen, Haowei; Bai, Jinbo

    2017-01-01

    Carbon coated boron nitride nanosheets (BNNSs@C) hybrids with different carbon contents were synthesized by a chemical vapor deposition (CVD) method. The content of carbon in as-obtained BNNSs@C hybrids could be precisely adjusted from 2.50% to 22.62% by controlling the carbon deposition time during the CVD procedure. Afterward, the BNNSs@C hybrids were subsequently incorporated into the polyvinylidene fluoride (PVDF) matrix to fabricate the BNNSs@C/PVDF nanocomposites through a combination of solution and melting blending methods. The dielectric properties of the as-obtained BNNSs@C/PVDF nanocomposites could be accurately tuned by adjusting the carbon content. The resultant nanocomposites could afford a high dielectric constant about 39 (103 Hz) at BNNSs@C hybrids loading of 30 vol %, which is 4.8 times larger than that of pristine BNNSs-filled ones at the same filler loading, and 3.5 times higher than that of pure PVDF matrix. The largely enhanced dielectric performance could be ascribed to the improved interfacial polarizations of BNNSs/carbon and carbon/PVDF interfaces. The approach reported here offers an effective and alternative method to fabricate high-performance dielectric nanocomposites, which could be potentially applied to the embedded capacitors with high dielectric performance. PMID:28773105

  3. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    NASA Astrophysics Data System (ADS)

    Alam, M. T.; Bresnehan, M. S.; Robinson, J. A.; Haque, M. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m-1 K-1, is lower than the bulk basal plane value (390 W m-1 K-1) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics.

  4. Dielectric Properties of Boron Nitride-Ethylene Glycol (BN-EG) Nanofluids

    NASA Astrophysics Data System (ADS)

    Fal, Jacek; Cholewa, Marian; Gizowska, Magdalena; Witek, Adam; ŻyŁa, GaweŁ

    2017-02-01

    This paper presents the results of experimental investigation of the dielectric properties of ethylene glycol (EG) with various load of boron nitride (BN) nanoparticles. The nanofuids were prepared by using a two-step method on the basis of commercially available BN nanoparticles. The measurements were carried out using the Concept 80 System (NOVOCONTROL Technologies GmbH & Co. KG, Montabaur, Germany) in a frequency range from 10 Hz to 10 MHz and temperatures from 278.15 K to 328.15 K. The frequency-dependent real (ɛ ^' }) and imaginary (ɛ ^' ' }) parts of the complex permittivity (ɛ ^*) and the alternating current (AC) conductivity are presented. Also, the effect of temperature and mass concentrations on the dielectric properties of BN-EG nanofluids are demonstrated. The results show that the most significant increase can be achieved for 20 wt.% of BN nanoparticles at 283.15 K and 288.15 K, that is eleven times larger than in the case of pure EG.

  5. Temporally and Spatially Resolved Plasma Spectroscopy in Pulsed Laser Deposition of Ultra-Thin Boron Nitride Films (Postprint)

    DTIC Science & Technology

    2015-04-24

    AFRL-RX-WP-JA-2016-0196 TEMPORALLY AND SPATIALLY RESOLVED PLASMA SPECTROSCOPY IN PULSED LASER DEPOSITION OF ULTRA-THIN BORON NITRIDE...AND SPATIALLY RESOLVED PLASMA SPECTROSCOPY IN PULSED LASER DEPOSITION OF ULTRA-THIN BORON NITRIDE FILMS (POSTPRINT) 5a. CONTRACT NUMBER FA8650...distributions within a PVD plasma plume ablated from a boron nitride (BN) target by a KrF laser at different pressures of nitrogen gas were investigated

  6. Zinc nitride thin films: basic properties and applications

    NASA Astrophysics Data System (ADS)

    Redondo-Cubero, A.; Gómez-Castaño, M.; García Núñez, C.; Domínguez, M.; Vázquez, L.; Pau, J. L.

    2017-02-01

    Zinc nitride films can be deposited by radio frequency magnetron sputtering using a Zn target at substrate temperatures lower than 250°C. This low deposition temperature makes the material compatible with flexible substrates. The asgrown layers present a black color, polycrystalline structures, large conductivities, and large visible light absorption. Different studies have reported about the severe oxidation of the layers in ambient conditions. Different compositional, structural and optical characterization techniques have shown that the films turn into ZnO polycrystalline layers, showing visible transparency and semi-insulating properties after total transformation. The oxidation rate is fairly constant as a function of time and depends on environmental parameters such as relative humidity or temperature. Taking advantage of those properties, potential applications of zinc nitride films in environmental sensing have been studied in the recent years. This work reviews the state-of-the-art of the zinc nitride technology and the development of several devices such as humidity indicators, thin film (photo)transistors and sweat monitoring sensors.

  7. Titanium nitride thin films for minimizing multipactoring

    DOEpatents

    Welch, Kimo M.

    1979-01-01

    Applying a thin film coating to the surface of a workpiece, in particular, applying a coating of titanium nitride to a klystron window by means of a crossed-field diode sputtering array. The array is comprised of a cohesive group of numerous small hollow electrically conducting cylinders and is mounted so that the open ends of the cylinders on one side of the group are adjacent a titanium cathode plate. The workpiece is mounted so as to face the open ends of the other side of the group. A magnetic field is applied to the array so as to be coaxial with the cylinders and a potential is applied across the cylinders and the cathode plate, the cylinders as an anode being positive with respect to the cathode plate. The cylinders, the cathode plate and the workpiece are situated in an atmosphere of nitrogen which becomes ionized such as by field emission because of the electric field between the cylinders and cathode plate, thereby establishing an anode-cathode discharge that results in sputtering of the titanium plate. The sputtered titanium coats the workpiece and chemically combines with the nitrogen to form a titanium nitride coating on the workpiece. Gas pressure, gas mixtures, cathode material composition, voltages applied to the cathode and anode, the magnetic field, cathode, anode and workpiece spacing, and the aspect ratio (ratio of length to inner diameter) of the anode cylinders, all may be controlled to provide consistent optimum thin film coatings of various compositions and thicknesses. Another facet of the disclosure is the coating of microwave components per se with titanium nitride to reduce multipactoring under operating conditions of the components.

  8. Enhanced dielectric and electrical properties of annealed PVDF thin film

    NASA Astrophysics Data System (ADS)

    Arshad, A. N.; Rozana, M. D.; Wahid, M. H. M.; Mahmood, M. K. A.; Sarip, M. N.; Habibah, Z.; Rusop, M.

    2018-05-01

    Poly (vinylideneflouride) (PVDF) thin films were annealed at various annealing temperatures ranging from 70°C to 170°C. This study demonstrates that PVDF thin films annealed at temperature of 70°C (AN70) showed significant enhancement in their dielectric constant (14) at frequency of 1 kHz in comparison to un-annealed PVDF (UN-PVDF), dielectric constant (10) at the same measured frequency. As the annealing temperature was increased from 90°C (AN90) to 150°C (AN150), the dielectric constant value of PVDF thin films was observed to decrease gradually to 11. AN70 also revealed low tangent loss (tan δ) value at similar frequency. With respect to its resistivity properties, the values were found to increase from 1.98×104 Ω.cm to 3.24×104 Ω.cm for AN70 and UN-PVDF films respectively. The improved in dielectric constant, with low tangent loss and high resistivity value suggests that 70°C is the favorable annealing temperature for PVDF thin films. Hence, AN70 is a promising film to be utilized for application in electronic devices such as low frequency capacitor.

  9. Lanthanum aluminum oxide thin-film dielectrics from aqueous solution.

    PubMed

    Plassmeyer, Paul N; Archila, Kevin; Wager, John F; Page, Catherine J

    2015-01-28

    Amorphous LaAlO3 dielectric thin films were fabricated via solution processing from inorganic nitrate precursors. Precursor solutions contained soluble oligomeric metal-hydroxyl and/or -oxo species as evidenced by dynamic light scattering (DLS) and Raman spectroscopy. Thin-film formation was characterized as a function of annealing temperature using Fourier transform infrared (FTIR), X-ray diffraction (XRD), X-ray reflectivity (XRR), scanning electron microscopy (SEM), and an array of electrical measurements. Annealing temperatures ≥500 °C result in thin films with low leakage-current densities (∼1 × 10(-8) A·cm(-2)) and dielectric constants ranging from 11.0 to 11.5. When incorporated as the gate dielectric layer in a-IGZO thin-film transistors (TFTs), LaAlO3 thin films annealed at 600 °C in air yielded TFTs with relatively low average mobilities (∼4.5 cm(2)·V(-1)·s(-1)) and high turn-on voltages (∼26 V). Interestingly, reannealing the LaAlO3 in 5%H2/95%N2 at 300 °C before deposition of a-IGZO channel layers resulted in TFTs with increased average mobilities (11.1 cm(2)·V(-1)·s(-1)) and lower turn-on voltages (∼6 V).

  10. Nonlinear conductivity in silicon nitride

    NASA Astrophysics Data System (ADS)

    Tuncer, Enis

    2017-08-01

    To better comprehend electrical silicon-package interaction in high voltage applications requires full characterization of the electrical properties of dielectric materials employed in wafer and package level design. Not only the packaging but wafer level dielectrics, i.e. passivation layers, would experience high electric fields generated by the voltage applied pads. In addition the interface between the passivation layer and a mold compound might develop space charge because of the mismatch in electrical properties of the materials. In this contribution electrical properties of a thin silicon nitride (Si3N4) dielectric is reported as a function of temperature and electric field. The measured values later analyzed using different temperature dependent exponential expressions and found that the Mott variable range hopping conduction model was successful to express the data. A full temperature/electric field dependency of conductivity is generated. It was found that the conduction in Si3N4 could be expressed like a field ionization or Fowler-Nordheim mechanism.

  11. Morphology and electronic transport of polycrystalline pentacene thin-film transistors

    NASA Astrophysics Data System (ADS)

    Knipp, D.; Street, R. A.; Völkel, A. R.

    2003-06-01

    Temperature-dependent measurements of thin-film transistors were performed to gain insight in the electronic transport of polycrystalline pentacene. Devices were fabricated with plasma-enhanced chemical vapor deposited silicon nitride gate dielectrics. The influence of the dielectric roughness and the deposition temperature of the thermally evaporated pentacene films were studied. Although films on rougher gate dielectrics and films prepared at low deposition temperatures exhibit similar grain size, the electronic properties are different. Increasing the dielectric roughness reduces the free carrier mobility, while low substrate temperature leads to more and deeper hole traps.

  12. Back scattering involving embedded silicon nitride (SiN) nanoparticles for c-Si solar cells

    NASA Astrophysics Data System (ADS)

    Ghosh, Hemanta; Mitra, Suchismita; Siddiqui, M. S.; Saxena, A. K.; Chaudhuri, Partha; Saha, Hiranmay; Banerjee, Chandan

    2018-04-01

    A novel material, structure and method of synthesis for dielectric light trapping have been presented in this paper. First, the light scattering behaviour of silicon nitride nanoparticles have been theoretically studied in order to find the optimized size for dielectric back scattering by FDTD simulations from Lumerical Inc. The optical results have been used in electrical analysis and thereby, estimate the effect of nanoparticles on efficiency of the solar cells depending on substrate thickness. Experimentally, silicon nitride (SiN) nanoparticles have been formed using hydrogen plasma treatment on SiN layer deposited by Plasma Enhanced Chemical Vapour Deposition (PECVD). The size and area coverage of the nanoparticles were controlled by varying the working pressure, power density and treatment duration. The nanoparticles were integrated with partial rear contact c-Si solar cells as dielectric back reflector structures for the light trapping in thin silicon solar cells. Experimental results revealed the increases of current density by 2.7% in presence of SiN nanoparticles.

  13. Dielectric properties of inorganic fillers filled epoxy thin film

    NASA Astrophysics Data System (ADS)

    Norshamira, A.; Mariatti, M.

    2015-07-01

    The demand on the small size and high performance electronics has driven changes in the electronic packaging requirements from discrete capacitor to embedded capacitor. Embedded capacitor can improve electrical performance compared with discrete capacitor. This study aimed to achieve high dielectric of epoxy thin film composite that were targeted for application as embedded capacitor. In this study, inorganic fillers such as Calcium Copper Titanate (CCTO), Iron(III) Oxide (Fe2O3) and Titanium Dioxide (TiO2) were loaded in epoxy system at 5 and 20vol%. Morphology and dielectric properties were investigated to identify the effect of fillers loading and types of fillers on the properties of epoxy thin film composite. Based on the study, CCTO with 20vol% loading was found to have good dielectric properties compared to other type of fillers.

  14. Dielectric and acoustical high frequency characterisation of PZT thin films

    NASA Astrophysics Data System (ADS)

    Conde, Janine; Muralt, Paul

    2010-02-01

    Pb(Zr, Ti)O3 (PZT) is an interesting material for bulk acoustic wave resonator applications due to its high electromechanical coupling constant, which would enable fabrication of large bandwidth frequency filters. The major challenge of the PZT solid solution system is to overcome mechanical losses generally observed in PZT ceramics. To increase the understanding of these losses in textured thin films, thin film bulk acoustic resonators (TFBAR's) based on PZT thin films with compositions either in the tetragonal region or at the morphotropic phase boundary and (111) or {100} textures were fabricated and studied up to 2 GHz. The dielectric and elastic materials coefficients were extracted from impedance measurements at the resonance frequency. The dispersion of the dielectric constant was obtained from impedance measurements up to 2 GHz. The films with varying compositions, textures and deposition methods (sol-gel or sputtering) were compared in terms of dielectric and acoustical properties.

  15. Thin films of aluminum nitride and aluminum gallium nitride for cold cathode applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sowers, A.T.; Christman, J.A.; Bremser, M.D.

    1997-10-01

    Cold cathode structures have been fabricated using AlN and graded AlGaN structures (deposited on n-type 6H-SiC) as the thin film emitting layer. The cathodes consist of an aluminum grid layer separated from the nitride layer by a SiO{sub 2} layer and etched to form arrays of either 1, 3, or 5 {mu}m holes through which the emitting nitride surface is exposed. After fabrication, a hydrogen plasma exposure was employed to activate the cathodes. Cathode devices with 5 {mu}m holes displayed emission for up to 30 min before failing. Maximum emission currents ranged from 10{endash}100 nA and required grid voltages rangingmore » from 20{endash}110 V. The grid currents were typically 1 to 10{sup 4} times the collector currents. {copyright} {ital 1997 American Institute of Physics.}« less

  16. AlN and Al oxy-nitride gate dielectrics for reliable gate stacks on Ge and InGaAs channels

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guo, Y.; Li, H.; Robertson, J.

    2016-05-28

    AlN and Al oxy-nitride dielectric layers are proposed instead of Al{sub 2}O{sub 3} as a component of the gate dielectric stacks on higher mobility channels in metal oxide field effect transistors to improve their positive bias stress instability reliability. It is calculated that the gap states of nitrogen vacancies in AlN lie further away in energy from the semiconductor band gap than those of oxygen vacancies in Al{sub 2}O{sub 3}, and thus AlN might be less susceptible to charge trapping and have a better reliability performance. The unfavourable defect energy level distribution in amorphous Al{sub 2}O{sub 3} is attributed tomore » its larger coordination disorder compared to the more symmetrically bonded AlN. Al oxy-nitride is also predicted to have less tendency for charge trapping.« less

  17. Low-temperature sol-gel oxide TFT with a fluoropolymer dielectric to enhance the effective mobility at low operation voltage

    NASA Astrophysics Data System (ADS)

    Yu, Shang-Yu; Wang, Kuan-Hsun; Zan, Hsiao-Wen; Soppera, Olivier

    2017-06-01

    In this article, we propose a solution-processed high-performance amorphous indium-zinc oxide (a-IZO) thin-film transistor (TFT) gated with a fluoropolymer dielectric. Compared with a conventional IZO TFT with a silicon nitride dielectric, a fluoropolymer dielectric effectively reduces the operation voltage to less than 3 V and greatly increases the effective mobility 40-fold. We suggest that the dipole layer formed at the dielectric surface facilitates electron accumulation and induces the electric double-layer effect. The dipole-induced hysteresis effect is also investigated.

  18. Dielectric properties of inorganic fillers filled epoxy thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Norshamira, A., E-mail: myra.arshad@gmail.com; Mariatti, M., E-mail: mariatti@usm.my

    2015-07-22

    The demand on the small size and high performance electronics has driven changes in the electronic packaging requirements from discrete capacitor to embedded capacitor. Embedded capacitor can improve electrical performance compared with discrete capacitor. This study aimed to achieve high dielectric of epoxy thin film composite that were targeted for application as embedded capacitor. In this study, inorganic fillers such as Calcium Copper Titanate (CCTO), Iron(III) Oxide (Fe{sub 2}O{sub 3}) and Titanium Dioxide (TiO{sub 2}) were loaded in epoxy system at 5 and 20vol%. Morphology and dielectric properties were investigated to identify the effect of fillers loading and types ofmore » fillers on the properties of epoxy thin film composite. Based on the study, CCTO with 20vol% loading was found to have good dielectric properties compared to other type of fillers.« less

  19. Dielectric loss of strontium titanate thin films

    NASA Astrophysics Data System (ADS)

    Dalberth, Mark Joseph

    1999-12-01

    Interest in strontium titanate (STO) thin films for microwave device applications continues to grow, fueled by the telecommunications industry's interest in phase shifters and tunable filters. The optimization of these devices depends upon increasing the phase or frequency tuning and decreasing the losses in the films. Currently, the dielectric response of thin film STO is poorly understood through lack of data and a theory to describe it. We have studied the growth of STO using pulsed laser deposition and single crystal substrates like lanthanum aluminate and neodymium gallate. We have researched ways to use ring resonators to accurately measure the dielectric response as a function of temperature, electric field, and frequency from low radio frequencies to a few gigahertz. Our films grown on lanthanum aluminate show marked frequency dispersion in the real part of the dielectric constant and hints of thermally activated loss behavior. We also found that films grown with conditions that optimized the dielectric constant showed increased losses. In an attempt to simplify the system, we developed a technique called epitaxial lift off, which has allowed us to study films removed from their growth substrates. These free standing films have low losses and show obvious thermally activated behavior. The "amount of tuning," as measured by a figure of merit, KE, is greater in these films than in the films still attached to their growth substrates. We have developed a theory that describes the real and imaginary parts of the dielectric constant. The theory models the real part using a mean field description of the ionic motion in the crystal and includes the loss by incorporating the motion of charged defects in the films.

  20. Low Temperature Reactive Sputtering of Thin Aluminum Nitride Films on Metallic Nanocomposites

    PubMed Central

    Ramadan, Khaled Sayed Elbadawi; Evoy, Stephane

    2015-01-01

    Piezoelectric aluminum nitride thin films were deposited on aluminum-molybdenum (AlMo) metallic nanocomposites using reactive DC sputtering at room temperature. The effect of sputtering parameters on film properties was assessed. A comparative study between AlN grown on AlMo and pure aluminum showed an equivalent (002) crystallographic texture. The piezoelectric coefficients were measured to be 0.5±0.1 C m-2 and 0.9±0.1 C m-2, for AlN deposited on Al/0.32Mo and pure Al, respectively. Films grown onto Al/0.32Mo however featured improved surface roughness. Roughness values were measured to be 1.3nm and 5.4 nm for AlN films grown on AlMo and on Al, respectively. In turn, the dielectric constant was measured to be 8.9±0.7 for AlN deposited on Al/0.32Mo seed layer, and 8.7±0.7 for AlN deposited on aluminum; thus, equivalent within experimental error. Compatibility of this room temperature process with the lift-off patterning of the deposited AlN is also reported. PMID:26193701

  1. Exciton-dominated dielectric function of atomically thin MoS 2 films

    DOE PAGES

    Yu, Yiling; Yu, Yifei; Cai, Yongqing; ...

    2015-11-24

    We systematically measure the dielectric function of atomically thin MoS 2 films with different layer numbers and demonstrate that excitonic effects play a dominant role in the dielectric function when the films are less than 5–7 layers thick. The dielectric function shows an anomalous dependence on the layer number. It decreases with the layer number increasing when the films are less than 5–7 layers thick but turns to increase with the layer number for thicker films. We show that this is because the excitonic effect is very strong in the thin MoS 2 films and its contribution to the dielectricmore » function may dominate over the contribution of the band structure. We also extract the value of layer-dependent exciton binding energy and Bohr radius in the films by fitting the experimental results with an intuitive model. The dominance of excitonic effects is in stark contrast with what reported at conventional materials whose dielectric functions are usually dictated by band structures. Lastly, the knowledge of the dielectric function may enable capabilities to engineer the light-matter interactions of atomically thin MoS 2 films for the development of novel photonic devices, such as metamaterials, waveguides, light absorbers, and light emitters.« less

  2. Adhesion analysis for chromium nitride thin films deposited by reactive magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Rusu, F. M.; Merie, V. V.; Pintea, I. M.; Molea, A.

    2016-08-01

    The thin film industry is continuously growing due to the wide range of applications that require the fabrication of advanced components such as sensors, biological implants, micro-electromechanical devices, optical coatings and so on. The selection regarding the deposition materials, as well as the deposition technology influences the properties of the material and determines the suitability of devices for certain real-world applications. This paper is focused on the adhesion force for several chromium nitride thin films obtained by reactive magnetron sputtering. All chromium nitride thin films were deposited on a silicon substrate, the discharge current and the argon flow being kept constant. The main purpose of the paper is to determine the influence of deposition parameters on the adhesion force. Therefore some of the deposition parameters were varied in order to study their effect on the adhesion force. Experimentally, the values of the adhesion force were determined in multiple points for each sample using the spectroscopy in point mode of the atomic force microscope. The obtained values were used to estimate the surface energy of the CrN thin films based on two existing mathematical models for the adhesion force when considering the contact between two bodies.

  3. Dielectric Scattering Patterns for Efficient Light Trapping in Thin-Film Solar Cells.

    PubMed

    van Lare, Claire; Lenzmann, Frank; Verschuuren, Marc A; Polman, Albert

    2015-08-12

    We demonstrate an effective light trapping geometry for thin-film solar cells that is composed of dielectric light scattering nanocavities at the interface between the metal back contact and the semiconductor absorber layer. The geometry is based on resonant Mie scattering. It avoids the Ohmic losses found in metallic (plasmonic) nanopatterns, and the dielectric scatterers are well compatible with nearly all types of thin-film solar cells, including cells produced using high temperature processes. The external quantum efficiency of thin-film a-Si:H solar cells grown on top of a nanopatterned Al-doped ZnO, made using soft imprint lithography, is strongly enhanced in the 550-800 nm spectral band by the dielectric nanoscatterers. Numerical simulations are in good agreement with experimental data and show that resonant light scattering from both the AZO nanostructures and the embedded Si nanostructures are important. The results are generic and can be applied on nearly all thin-film solar cells.

  4. Optimization of process parameters for RF sputter deposition of tin-nitride thin-films

    NASA Astrophysics Data System (ADS)

    Jangid, Teena; Rao, G. Mohan

    2018-05-01

    Radio frequency Magnetron sputtering technique was employed to deposit Tin-nitride thin films on Si and glass substrate at different process parameters. Influence of varying parameters like substrate temperature, target-substrate distance and RF power is studied in detail. X-ray diffraction method is used as a key technique for analyzing the changes in the stoichiometric and structural properties of the deposited films. Depending on the combination of deposition parameters, crystalline as well as amorphous films were obtained. Pure tin-nitride thin films were deposited at 15W RF power and 600°C substrate temperature with target-substrate distance fixed at 10cm. Bandgap value of 1.6 eV calculated for the film deposited at optimum process conditions matches well with reported values.

  5. The effect of water absorption on the dielectric properties of polyethylene hexagonal boron nitride nanocomposites

    NASA Astrophysics Data System (ADS)

    Ayoob, Raed; Alhabill, Fuad N.; Andritsch, Thomas; Vaughan, Alun S.

    2018-02-01

    The effect of water absorption on the dielectric response of polyethylene/hexagonal boron nitride nanocomposites has been studied by dielectric spectroscopy. The nanocomposites have been prepared with hBN concentrations ranging from 2 wt% to 30 wt%. Fourier transform infrared spectroscopy and thermogravimetric analysis revealed a very small amount of hydroxyl groups on the surface of hBN. Mass loss measurements showed that the nanocomposites did not absorb any water under ambient and dry conditions while there was some water absorption under wet conditions. The dielectric spectroscopy results showed a broad relaxation peak, indicative of different states of water with water shells of different thickness, which moved to higher frequencies with increasing water content. However, the dielectric losses were significantly lower than the losses reported in the literature of nanocomposites under wet conditions. In addition, all the absorbed water was successfully removed under vacuum conditions which demonstrated that the interactions between the water and the nanocomposites were very weak, due to the hydrophobic nature of the hBN surface. This is a highly useful property, when considering these materials for applications in electrical insulation.

  6. Dielectric relaxation of barium strontium titanate and application to thin films for DRAM capacitors

    NASA Astrophysics Data System (ADS)

    Baniecki, John David

    This thesis examines the issues associated with incorporating the high dielectric constant material Barium Strontium Titanate (BSTO) in to the storage capacitor of a dynamic random access memory (DRAM). The research is focused on two areas: characterizing and understanding the factors that control charge retention in BSTO thin films and modifying the electrical properties using ion implantation. The dielectric relaxation of BSTO thin films deposited by metal-organic chemical vapor deposition (MOCVD) is investigated in the time and frequency domains. It is shown that the frequency dispersion of the complex capacitance of BSTO thin films can be understood in terms of a power-law frequency dependence from 1mHz to 20GHz. From the correspondence between the time and frequency domain measurements, it is concluded that the power-law relaxation currents extend back to the nano second regime of DRAM operation. The temperature, field, and annealing dependence of the dielectric relaxation currents are also investigated and mechanisms for the observed power law relaxation are explored. An equivalent circuit model of a high dielectric constant thin film capacitor is developed based on the electrical measurements and implemented in PSPICE. Excellent agreement is found between the experimental and simulated electrical characteristics showing the utility of the equivalent circuit model in simulating the electrical properties of high dielectric constant thin films. Using the equivalent circuit model, it is shown that the greatest charge loss due to dielectric relaxation occurs during the first read after a refresh time following a write to the opposite logic state for a capacitor that has been written to the same logic state for a long time (opposite state write charge loss). A theoretical closed form expression that is a function of three material parameters is developed which estimates the opposite state write charge loss due to dielectric relaxation. Using the closed form

  7. Grafting titanium nitride surfaces with sodium styrene sulfonate thin films

    PubMed Central

    Zorn, Gilad; Migonney, Véronique; Castner, David G.

    2014-01-01

    The importance of titanium nitride lies in its high hardness and its remarkable resistance to wear and corrosion, which has led to its use as a coating for the heads of hip prostheses, dental implants and dental surgery tools. However, the usefulness of titanium nitride coatings for biomedical applications could be significantly enhanced by modifying their surface with a bioactive polymer film. The main focus of the present work was to graft a bioactive poly(sodium styrene sulfonate) (pNaSS) thin film from titanium nitride surfaces via a two-step procedure: first modifying the surface with 3-methacryloxypropyltrimethoxysilane (MPS) and then grafting the pNaSS film from the MPS modified titanium through free radical polymerization. X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS) were used after each step to characterize success and completeness of each reaction. The surface region of the titanium nitride prior to MPS functionalization and NaSS grafting contained a mixture of titanium nitride, oxy-nitride, oxide species as well as adventitious surface contaminants. After MPS functionalization, Si was detected by XPS, and characteristic MPS fragments were detected by ToF-SIMS. After NaSS grafting, Na and S were detected by XPS and characteristic NaSS fragments were detected by ToF-SIMS. The XPS determined thicknesses of the MPS and NaSS overlayers were ∼1.5 and ∼1.7 nm, respectively. The pNaSS film density was estimated by the toluidine blue colorimetric assay to be 260 ± 70 ng/cm2. PMID:25280842

  8. Lorentz factor determination for local electric fields in semiconductor devices utilizing hyper-thin dielectrics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McPherson, J. W., E-mail: mcpherson.reliability@yahoo.com

    The local electric field (the field that distorts, polarizes, and weakens polar molecular bonds in dielectrics) has been investigated for hyper-thin dielectrics. Hyper-thin dielectrics are currently required for advanced semiconductor devices. In the work presented, it is shown that the common practice of using a Lorentz factor of L = 1/3, to describe the local electric field in a dielectric layer, remains valid for hyper-thin dielectrics. However, at the very edge of device structures, a rise in the macroscopic/Maxwell electric field E{sub diel} occurs and this causes a sharp rise in the effective Lorentz factor L{sub eff}. At capacitor and transistor edges,more » L{sub eff} is found to increase to a value 2/3 < L{sub eff} < 1. The increase in L{sub eff} results in a local electric field, at device edge, that is 50%–100% greater than in the bulk of the dielectric. This increase in local electric field serves to weaken polar bonds thus making them more susceptible to breakage by standard Boltzmann and/or current-driven processes. This has important time-dependent dielectric breakdown (TDDB) implications for all electronic devices utilizing polar materials, including GaN devices that suffer from device-edge TDDB.« less

  9. Cellulose triacetate, thin film dielectric capacitor

    NASA Technical Reports Server (NTRS)

    Yen, Shiao-Ping S. (Inventor); Jow, T. Richard (Inventor)

    1995-01-01

    Very thin films of cellulose triacetate are cast from a solution containing a small amount of high boiling temperature, non-solvent which evaporates last and lifts the film from the casting surface. Stretched, oriented, crystallized films have high electrical breakdown properties. Metallized films less than about 2 microns in thickness form self-healing electrodes for high energy density, pulsed power capacitors. Thicker films can be utilized as a dielectric for a capacitor.

  10. Cellulose triacetate, thin film dielectric capacitor

    NASA Technical Reports Server (NTRS)

    Yen, Shiao-Ping S. (Inventor); Jow, T. Richard (Inventor)

    1993-01-01

    Very thin films of cellulose triacetate are cast from a solution containing a small amount of high boiling temperature, non-solvent which evaporates last and lifts the film from the casting surface. Stretched, oriented, crystallized films have high electrical breakdown properties. Metallized films less than about 2 microns in thickness form self-healing electrodes for high energy density, pulsed power capacitors. Thicker films can be utilized as a dielectric for a capacitor.

  11. Thin film transistors for flexible electronics: contacts, dielectrics and semiconductors.

    PubMed

    Quevedo-Lopez, M A; Wondmagegn, W T; Alshareef, H N; Ramirez-Bon, R; Gnade, B E

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed.

  12. Epitaxial ternary nitride thin films prepared by a chemical solution method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luo, Hongmei; Feldmann, David M; Wang, Haiyan

    2008-01-01

    It is indispensable to use thin films for many technological applications. This is the first report of epitaxial growth of ternary nitride AMN2 films. Epitaxial tetragonal SrTiN2 films have been successfully prepared by a chemical solution approach, polymer-assisted deposition. The structural, electrical, and optical properties of the films are also investigated.

  13. Application of thin dielectric films in low coherence fiber-optic Fabry-Pérot sensing interferometers: comparative study

    NASA Astrophysics Data System (ADS)

    Hirsch, Marzena; Wierzba, Paweł; Jedrzejewska-Szczerska, Małgorzata

    2016-11-01

    We examine the application of selected thin dielectric films, deposited by atomic layer deposition (ALD), in a low coherence fiber-optic Fabry-Pérot interferometer designed for sensing applications. Such films can be deposited on the end-face of a single mode optical fiber (SMF-28) in order to modify the reflectivity of the Fabry-Pérot cavity, to provide protection of the fibers from aggressive environments or to create a multi-cavity interferometric sensor. Spectral reflectance of films made from zinc oxide (ZnO), titanium dioxide (TiO2), aluminum oxide (Al2O3) and boron nitride (BN) was calculated for various thickness of the films and compared. The results show that the most promising materials for use in fiber-optic Fabry-Pérot interferometer are TiO2 and ZnO, although Al2O3 is also suitable for this application.

  14. Synthesis of galium nitride thin films using sol-gel dip coating method

    NASA Astrophysics Data System (ADS)

    Hamid, Maizatul Akmam Ab; Ng, Sha Shiong

    2017-12-01

    In this research, gallium nitride (GaN) thin film were grown on silicon (Si) substrate by a low-cost sol-gel dip coating deposition method. The GaN precursor solution was prepared using gallium (III) nitrate hydrate powder, ethanol and diethanolamine as a starting material, solvent and surfactant respectively. The structural, morphological and optical characteristics of the deposited GaN thin film were investigated. Field-emission scanning electron microscopy observations showed that crack free and dense grains GaN thin films were formed. Energy dispersive X-ray analysis confirmed that the oxygen content in the deposited films was low. X-ray diffraction results revealed that deposited GaN thin films have hexagonal wurtzite structure.

  15. Terahertz dielectric response of ferroelectric Ba(x)Sr(1-x)TiO3 thin films.

    PubMed

    Kang, Seung Beom; Kwak, Min Hwan; Choi, Muhan; Kim, Sungil; Kim, Taeyong; Cha, Eun Jong; Kang, Kwang Yong

    2011-11-01

    Terahertz time-domain spectroscopy has been used to investigate the dielectric and optical properties of ferroelectric Ba(x)Sr(1-x)TiO(3) thin films for nominal x-values of 0.4, 0.6, and 0.8 in the frequency range of 0.3 to 2.5 THz. The ferroelectric thin films were deposited at approximately 700 nm thickness on [001] MgO substrate by pulsed laser deposition. The measured complex dielectric and optical constants were compared with the Cole-Cole relaxation model. The results show that the Cole-Cole relaxation model fits well with the data throughout the frequency range and the dielectric relaxation behavior of ferroelectric Ba(x)Sr(1-x)TiO(3) thin films varies with the films compositions. Among the compositions of Ba(x)Sr(1-x)TiO(3) films with different Ba/Sr ratios, Ba(0.6)Sr(0.4)TiO(3) has the highest dielectric constants and the shortest dielectric relaxation time.

  16. Thin film colossal dielectric constant oxide La2-xSrxNiO4: Synthesis, dielectric relaxation measurements, and electrode effects

    NASA Astrophysics Data System (ADS)

    Podpirka, Adrian; Ramanathan, Shriram

    2011-01-01

    We have successfully synthesized the colossal dielectric constant oxide La2-xSrxNiO4 in thin film form by reactive cosputtering from metallic targets and careful annealing protocols. Composition and phase purity was determined through energy dispersive spectra and x-ray diffraction, respectively. The dielectric constant exceeds values of over 20 000 up to 1 kHz and the activation energy for the frequency-independent conductivity plateau was extracted to be approximately 155 meV from 300 to 473 K, both in agreement with measurements conducted on bulk single crystals. However, unlike in single crystals, we observe early onset of relaxation in thin films indicating the crucial role of grain boundaries in influencing the dielectric response. ac conductivity at varying temperatures is analyzed within the framework of the universal dielectric law leading to an exponent of approximately 0.3, dependent on the electrode material. Impedance spectroscopy with electrodes of different work function (Pt, Pd, and Ag) was further carried out as a function of temperature and applied bias to provide mechanistic insights into the nature of the dielectric response.

  17. Free-Space Time-Domain Method for Measuring Thin Film Dielectric Properties

    DOEpatents

    Li, Ming; Zhang, Xi-Cheng; Cho, Gyu Cheon

    2000-05-02

    A non-contact method for determining the index of refraction or dielectric constant of a thin film on a substrate at a desired frequency in the GHz to THz range having a corresponding wavelength larger than the thickness of the thin film (which may be only a few microns). The method comprises impinging the desired-frequency beam in free space upon the thin film on the substrate and measuring the measured phase change and the measured field reflectance from the reflected beam for a plurality of incident angles over a range of angles that includes the Brewster's angle for the thin film. The index of refraction for the thin film is determined by applying Fresnel equations to iteratively calculate a calculated phase change and a calculated field reflectance at each of the plurality of incident angles, and selecting the index of refraction that provides the best mathematical curve fit with both the dataset of measured phase changes and the dataset of measured field reflectances for each incident angle. The dielectric constant for the thin film can be calculated as the index of refraction squared.

  18. Structural and mechanical properties of CVD deposited titanium aluminium nitride (TiAlN) thin films

    NASA Astrophysics Data System (ADS)

    Das, Soham; Guha, Spandan; Ghadai, Ranjan; Kumar, Dhruva; Swain, Bibhu P.

    2017-06-01

    Titanium aluminium nitride (TiAlN) thin films were deposited by chemical vapour deposition using TiO2 powder, Al powder and N2 gas. The morphology and mechanical properties of the films were characterized by scanning electron microscopy and nanoindentation technique, respectively. The structural properties were characterized by Raman spectroscopy and X-ray diffraction. The XRD result shows TiAlN films are of NaCl-type metal nitride structure. Micro-Raman peaks of the TiAlN thin film were observed within 450 and 642 cm-1 for acoustic and optic range, respectively. A maximum hardness and Young modulus up to 22 and 272.15 GPa, respectively, were observed in the TiAlN film deposited at 1200 °C.

  19. Electrical conduction mechanism and dielectric characterization of MnTPPCl thin films

    NASA Astrophysics Data System (ADS)

    Meikhail, M. S.; Oraby, A. H.; El-Nahass, M. M.; Zeyada, H. M.; Al-Muntaser, A. A.

    2018-06-01

    The AC conductivity and dielectric properties of MnTPPCl sandwich structure as Au/MnTPPCl/Au were studied. The conductivity of the MnTPPCl thin films have been interpreted by the correlated barrier hopping (CBH) model. The dominant conduction process have found to be the single polaron hopping conduction. The values of the hopping distance, Rω, barrier height, W, and the localized-state density, N, are estimated at different frequencies. The behavior of dielectric constant and dielectric loss was discussed as a function of temperature and frequency. The dielectric constant was described in terms of polarization mechanism in materials. The spectral behavior of dielectric loss is interpreted on the basis of the Giuntini et al. model [1]. The value of WM is obtained as 0.32 eV. A non-Debye relaxation phenomenon was observed from the dielectric relaxation mechanism.

  20. Functional Design of Dielectric-Metal-Dielectric-Based Thin-Film Encapsulation with Heat Transfer and Flexibility for Flexible Displays.

    PubMed

    Kwon, Jeong Hyun; Choi, Seungyeop; Jeon, Yongmin; Kim, Hyuncheol; Chang, Ki Soo; Choi, Kyung Cheol

    2017-08-16

    In this study, a new and efficient dielectric-metal-dielectric-based thin-film encapsulation (DMD-TFE) with an inserted Ag thin film is proposed to guarantee the reliability of flexible displays by improving the barrier properties, mechanical flexibility, and heat dissipation, which are considered to be essential requirements for organic light-emitting diode (OLED) encapsulation. The DMD-TFE, which is composed of Al 2 O 3 , Ag, and a silica nanoparticle-embedded sol-gel hybrid nanocomposite, shows a water vapor transmission rate of 8.70 × 10 -6 g/m 2 /day and good mechanical reliability at a bending radius of 30 mm, corresponding to 0.41% strain for 1000 bending cycles. The electrical performance of a thin-film encapsulated phosphorescent organic light-emitting diode (PHOLED) was identical to that of a glass-lid encapsulated PHOLED. The operational lifetimes of the thin-film encapsulated and glass-lid encapsulated PHOLEDs are 832 and 754 h, respectively. After 80 days, the thin-film encapsulated PHOLED did not show performance degradation or dark spots on the cell image in a shelf-lifetime test. Finally, the difference in lifetime of the OLED devices in relation to the presence and thickness of a Ag film was analyzed by applying various TFE structures to fluorescent organic light-emitting diodes (FOLEDs) that could generate high amounts of heat. To demonstrate the difference in heat dissipation effect among the TFE structures, the saturated temperatures of the encapsulated FOLEDs were measured from the back side surface of the glass substrate, and were found to be 67.78, 65.12, 60.44, and 39.67 °C after all encapsulated FOLEDs were operated at an initial luminance of 10 000 cd/m 2 for sufficient heat generation. Furthermore, the operational lifetime tests of the encapsulated FOLED devices showed results that were consistent with the measurements of real-time temperature profiles taken with an infrared camera. A multifunctional hybrid thin-film encapsulation

  1. Effect of crystal structure on strontium titanate thin films and their dielectric properties

    NASA Astrophysics Data System (ADS)

    Kampangkeaw, Satreerat

    Strontium titanate (SrTiO3 or STO) has application in radio and microwave-frequency tunable capacitor devices particularly at low temperatures due to its high dielectric constant, low loss and the electric field tunability of its dielectric constant. The main goal of improving the performance in these devices is to increase the tunability and decrease the dielectric loss at the same time, especially at microwave frequencies. Thin films of STO however, show dramatic differences compared to the bulk. The dielectric constant of bulk STO increases nonlinearly from 300 at room temperature to 30000 at 4 K and the loss range is 10-3--10 -4. On the other hand. STO thin films, while showing a dielectric constant close to 300 at room temperature, typically reach a maximum between 1000 and 10000 in the 30 K to 100 K range before decreasing, and the high-loss range is 10-2--10-3. We have grown strontium titanate thin films using a pulsed laser deposition technique on substrates selected to have a small lattice mismatch between the film and substrate. Neodymium gallate (NdGaO3 or NGO) and lanthanum aluminate (LaAlO3 or LAO) substrates were good candidates due to only 1--2% mismatching. Film capacitor devices were fabricated with 25 micron gap separation. 1.5 mm total gap length and an overall 1 x 2 mm dimension using standard lithography and gold metal evaporative techniques. Their nonlinear dielectric constant and loss tangent were measured at low frequencies and also at 2 GHz, and from room temperature down to 4 K. The resulting films show significant variations of dielectric properties with position on the substrates with respect to the deposition plume axis. In the presence of DC electric fields up to +/-4 V/mum, STO films show improved dielectric tunability and low loss in regions far from the plume axis. We found that the films grown on NCO have lower dielectric loss than those on LAO due to a closer match of the NCO lattice to that of STO. We investigated the possible

  2. All 2D, high mobility, flexible, transparent thin film transistor

    DOEpatents

    Das, Saptarshi; Sumant, Anirudha V.; Roelofs, Andreas

    2017-01-17

    A two-dimensional thin film transistor and a method for manufacturing a two-dimensional thin film transistor includes layering a semiconducting channel material on a substrate, providing a first electrode material on top of the semiconducting channel material, patterning a source metal electrode and a drain metal electrode at opposite ends of the semiconducting channel material from the first electrode material, opening a window between the source metal electrode and the drain metal electrode, removing the first electrode material from the window located above the semiconducting channel material providing a gate dielectric above the semiconducting channel material, and providing a top gate above the gate dielectric, the top gate formed from a second electrode material. The semiconducting channel material is made of tungsten diselenide, the first electrode material and the second electrode material are made of graphene, and the gate dielectric is made of hexagonal boron nitride.

  3. Microstructure and dielectric properties of pyrochlore Bi2Ti2O7 thin films

    NASA Astrophysics Data System (ADS)

    Cagnon, Joël; Boesch, Damien S.; Finstrom, Nicholas H.; Nergiz, Saide Z.; Keane, Sean P.; Stemmer, Susanne

    2007-08-01

    Bi2Ti2O7 thin films were grown by radio-frequency magnetron sputtering on bare and Pt-coated sapphire substrates at low substrate temperatures (˜200 °C). Postdeposition anneals were carried out at different temperatures to crystallize the films. Nearly phase-pure Bi2Ti2O7 thin films with the cubic pyrochlore structure were obtained at annealing temperatures up to 800 °C. Impurity phases, in particular Bi4Ti3O12, formed at higher temperatures. At 1 MHz, the dielectric constants were about 140-150 with a very small tunability and the dielectric loss was about 4×10-3. The dielectric loss increased with frequency. The dielectric properties of Bi2Ti2O7 films are compared to those of pyrochlore bismuth zinc niobate films.

  4. Residual ferroelectricity in barium strontium titanate thin film tunable dielectrics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Garten, L. M., E-mail: lmg309@psu.edu; Trolier-McKinstry, S.; Lam, P.

    2014-07-28

    Loss reduction is critical to develop Ba{sub 1−x}Sr{sub x}TiO{sub 3} thin film tunable microwave dielectric components and dielectric energy storage devices. The presence of ferroelectricity, and hence the domain wall contributions to dielectric loss, will degrade the tunable performance in the microwave region. In this work, residual ferroelectricity—a persistent ferroelectric response above the global phase transition temperature—was characterized in tunable dielectrics using Rayleigh analysis. Chemical solution deposited Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} films, with relative tunabilities of 86% over 250 kV/cm at 100 kHz, demonstrated residual ferroelectricity 65 °C above the ostensible paraelectric transition temperature. Frequency dispersion observed in the dielectric temperature response wasmore » consistent with the presence of nanopolar regions as one source of residual ferroelectricity. The application of AC electric field for the Rayleigh analysis of these samples led to a doubling of the dielectric loss for fields over 10 kV/cm at room temperature.« less

  5. Room-temperature low-voltage electroluminescence in amorphous carbon nitride thin films

    NASA Astrophysics Data System (ADS)

    Reyes, R.; Legnani, C.; Ribeiro Pinto, P. M.; Cremona, M.; de Araújo, P. J. G.; Achete, C. A.

    2003-06-01

    White-blue electroluminescent emission with a voltage bias less than 10 V was achieved in rf sputter-deposited amorphous carbon nitride (a-CN) and amorphous silicon carbon nitride (a-SiCN) thin-film-based devices. The heterojunction structures of these devices consist of: Indium tin oxide (ITO), used as a transparent anode; amorphous carbon film as an emission layer, and aluminum as a cathode. The thickness of the carbon films was about 250 Å. In all of the produced diodes, a stable visible emission peaked around 475 nm is observed at room temperature and the emission intensity increases with the current density. For an applied voltage of 14 V, the luminance was about 3 mCd/m2. The electroluminescent properties of the two devices are discussed and compared.

  6. Dielectric property study of poly(4-vinylphenol)-graphene oxide nanocomposite thin film

    NASA Astrophysics Data System (ADS)

    Roy, Dhrubojyoti

    2018-05-01

    Thin film capacitor device having a sandwich structure of indium tin oxide (ITO)-coated glass/polymer or polymer nanocomposite /silver has been fabricated and their dielectric and leakage current properties has been studied. The dielectric properties of the capacitors were characterized for frequencies ranging from 1 KHz to 1 MHz. 5 wt% Poly(4-vinylphenol)(PVPh)-Graphene (GO) nanocomposite exhibited an increase in dielectric constant to 5.6 and small rise in dielectric loss to around˜0.05 at 10 KHz w.r.t polymer. The DC conductivity measurements reveal rise of leakage current in nanocomposite.

  7. Experimental evidence of trap level modulation in silicon nitride thin films by hydrogen annealing

    NASA Astrophysics Data System (ADS)

    Seki, Harumi; Kamimuta, Yuuichi; Mitani, Yuichiro

    2018-06-01

    The energy level of electron traps in silicon nitride (SiN x ) thin films was investigated by discharging current transient spectroscopy (DCTS). Results indicate that the trap level of the SiN x thin films becomes deeper with decreasing composition (N/Si) and shallower after hydrogen annealing. The dependence of the trap level on the SiN x composition and the modulation of the trap level by hydrogen annealing are possibly related to the change in the number of Si–H bonds in the SiN x thin films.

  8. Nonlinear dielectric thin films for high-power electric storage with energy density comparable with electrochemical supercapacitors.

    PubMed

    Yao, Kui; Chen, Shuting; Rahimabady, Mojtaba; Mirshekarloo, Meysam Sharifzadeh; Yu, Shuhui; Tay, Francis Eng Hock; Sritharan, Thirumany; Lu, Li

    2011-09-01

    Although batteries possess high energy storage density, their output power is limited by the slow movement of charge carriers, and thus capacitors are often required to deliver high power output. Dielectric capacitors have high power density with fast discharge rate, but their energy density is typically much lower than electrochemical supercapacitors. Increasing the energy density of dielectric materials is highly desired to extend their applications in many emerging power system applications. In this paper, we review the mechanisms and major characteristics of electric energy storage with electrochemical supercapacitors and dielectric capacitors. Three types of in-house-produced ferroic nonlinear dielectric thin film materials with high energy density are described, including (Pb(0.97)La(0.02))(Zr(0.90)Sn(0.05)Ti(0.05))O(3) (PLZST) antiferroelectric ceramic thin films, Pb(Zn(1/3)Nb(2/3))O(3-)Pb(Mg(1/3)Nb(2/3))O(3-)PbTiO(3) (PZN-PMN-PT) relaxor ferroelectric ceramic thin films, and poly(vinylidene fluoride) (PVDF)-based polymer blend thin films. The results showed that these thin film materials are promising for electric storage with outstandingly high power density and fairly high energy density, comparable with electrochemical supercapacitors.

  9. Superconducting structure with layers of niobium nitride and aluminum nitride

    DOEpatents

    Murduck, James M.; Lepetre, Yves J.; Schuller, Ivan K.; Ketterson, John B.

    1989-01-01

    A superconducting structure is formed by depositing alternate layers of aluminum nitride and niobium nitride on a substrate. Deposition methods include dc magnetron reactive sputtering, rf magnetron reactive sputtering, thin-film diffusion, chemical vapor deposition, and ion-beam deposition. Structures have been built with layers of niobium nitride and aluminum nitride having thicknesses in a range of 20 to 350 Angstroms. Best results have been achieved with films of niobium nitride deposited to a thickness of approximately 70 Angstroms and aluminum nitride deposited to a thickness of approximately 20 Angstroms. Such films of niobium nitride separated by a single layer of aluminum nitride are useful in forming Josephson junctions. Structures of 30 or more alternating layers of niobium nitride and aluminum nitride are useful when deposited on fixed substrates or flexible strips to form bulk superconductors for carrying electric current. They are also adaptable as voltage-controlled microwave energy sources.

  10. A method to monitor the quality of ultra-thin nitride for trench DRAM with a buried strap structure

    NASA Astrophysics Data System (ADS)

    Wu, Yung-Hsien; Wang, Chun-Yao; Chang, Ian; Kao, Chien-Kang; Kuo, Chia-Ming; Ku, Alex

    2007-02-01

    A new approach to monitor the quality of an ultra-thin nitride film has been proposed. The nitride quality is monitored by observing the oxide thickness for the nitride film after wet oxidation since the resistance to oxidation strongly depends on its quality. To obtain a stable oxide thickness without interference from extrinsic factors for process monitoring, monitor wafers without dilute HF solution clean are suggested because the native-oxide containing surface is less sensitive to oxygen and therefore forms the nitride film with stable quality. In addition, the correlation between variable retention time (VRT) performance of a real dynamic random access memory (DRAM) product and oxide thickness from different nitride process temperatures can be successfully explained and this correlation can also be used to establish the appropriate oxide thickness range for process monitoring.

  11. Method of manufacture of atomically thin boron nitride

    DOEpatents

    Zettl, Alexander K

    2013-08-06

    The present invention provides a method of fabricating at least one single layer hexagonal boron nitride (h-BN). In an exemplary embodiment, the method includes (1) suspending at least one multilayer boron nitride across a gap of a support structure and (2) performing a reactive ion etch upon the multilayer boron nitride to produce the single layer hexagonal boron nitride suspended across the gap of the support structure. The present invention also provides a method of fabricating single layer hexagonal boron nitride. In an exemplary embodiment, the method includes (1) providing multilayer boron nitride suspended across a gap of a support structure and (2) performing a reactive ion etch upon the multilayer boron nitride to produce the single layer hexagonal boron nitride suspended across the gap of the support structure.

  12. Superconducting structure with layers of niobium nitride and aluminum nitride

    DOEpatents

    Murduck, J.M.; Lepetre, Y.J.; Schuller, I.K.; Ketterson, J.B.

    1989-07-04

    A superconducting structure is formed by depositing alternate layers of aluminum nitride and niobium nitride on a substrate. Deposition methods include dc magnetron reactive sputtering, rf magnetron reactive sputtering, thin-film diffusion, chemical vapor deposition, and ion-beam deposition. Structures have been built with layers of niobium nitride and aluminum nitride having thicknesses in a range of 20 to 350 Angstroms. Best results have been achieved with films of niobium nitride deposited to a thickness of approximately 70 Angstroms and aluminum nitride deposited to a thickness of approximately 20 Angstroms. Such films of niobium nitride separated by a single layer of aluminum nitride are useful in forming Josephson junctions. Structures of 30 or more alternating layers of niobium nitride and aluminum nitride are useful when deposited on fixed substrates or flexible strips to form bulk superconductors for carrying electric current. They are also adaptable as voltage-controlled microwave energy sources. 8 figs.

  13. Theory and practical considerations of multilayer dielectric thin-film stacks in Ag-coated hollow waveguides.

    PubMed

    Bledt, Carlos M; Melzer, Jeffrey E; Harrington, James A

    2014-02-01

    This analysis explores the theory and design of dielectric multilayer reflection-enhancing thin film stacks based on high and low refractive index alternating layers of cadmium sulfide (CdS) and lead sulfide (PbS) on silver (Ag)-coated hollow glass waveguides (HGWs) for low loss transmission at midinfrared wavelengths. The fundamentals for determining propagation losses in such multilayer thin-film-coated Ag hollow waveguides is thoroughly discussed, and forms the basis for further theoretical analysis presented in this study. The effects on propagation loss resulting from several key parameters of these multilayer thin film stacks is further explored in order to bridge the gap between results predicted through calculation under ideal conditions and deviations from such ideal models that often arise in practice. In particular, the effects on loss due to the number of dielectric thin film layers deposited, deviation from ideal individual layer thicknesses, and surface roughness related scattering losses are presented and thoroughly investigated. Through such extensive theoretical analysis the level of understanding of the underlying loss mechanisms of multilayer thin-film Ag-coated HGWs is greatly advanced, considerably increasing the potential practical development of next-generation ultralow-loss mid-IR Ag/multilayer dielectric-coated HGWs.

  14. Quantum-dot size and thin-film dielectric constant: precision measurement and disparity with simple models.

    PubMed

    Grinolds, Darcy D W; Brown, Patrick R; Harris, Daniel K; Bulovic, Vladimir; Bawendi, Moungi G

    2015-01-14

    We study the dielectric constant of lead sulfide quantum dot (QD) films as a function of the volume fraction of QDs by varying the QD size and keeping the ligand constant. We create a reliable QD sizing curve using small-angle X-ray scattering (SAXS), thin-film SAXS to extract a pair-distribution function for QD spacing, and a stacked-capacitor geometry to measure the capacitance of the thin film. Our data support a reduced dielectric constant in nanoparticles.

  15. Foreign Object Damage of Two Gas-Turbine Grade Silicon Nitrides in a Thin Disk Configuration

    NASA Technical Reports Server (NTRS)

    Choi, Sung R.; Pereira, J. Michael; Janosik, Lesley A.; Bhatt, Ramakrishna T.

    2003-01-01

    Foreign object damage (FOD) behavior of two commercial gas-turbine grade silicon nitrides, AS800 and SN282, was determined at ambient temperature through post-impact strength testing for thin disks impacted by steel-ball projectiles with a diameter of 1.59 mm in a velocity range from 115 to 440 m/s. AS800 silicon nitride exhibited a greater FOD resistance than SN282, primarily due to its greater value of fracture toughness (K(sub IC)). The critical impact velocity in which the corresponding post-impact strength yielded the lowest value was V(sub c) approx. 440 and 300 m/s for AS800 and SN282, respectively. A unique lower-strength regime was typified for both silicon nitrides depending on impact velocity, attributed to significant radial cracking. The damages generated by projectile impact were typically in the forms of ring, radial, and cone cracks with their severity and combination being dependent on impact velocity. Unlike thick (3 mm) flexure bar specimens used in the previous studies, thin (2 mm) disk target specimens exhibited a unique backside radial cracking occurring on the reverse side just beneath the impact sites at and above impact velocity of 160 and 220 m/s for SN282 and AS800, respectively.

  16. Enhanced Electroluminescence from Silicon Quantum Dots Embedded in Silicon Nitride Thin Films Coupled with Gold Nanoparticles in Light Emitting Devices

    PubMed Central

    Muñoz-Rosas, Ana Luz; Alonso-Huitrón, Juan Carlos

    2018-01-01

    Nowadays, the use of plasmonic metal layers to improve the photonic emission characteristics of several semiconductor quantum dots is a booming tool. In this work, we report the use of silicon quantum dots (SiQDs) embedded in a silicon nitride thin film coupled with an ultra-thin gold film (AuNPs) to fabricate light emitting devices. We used the remote plasma enhanced chemical vapor deposition technique (RPECVD) in order to grow two types of silicon nitride thin films. One with an almost stoichiometric composition, acting as non-radiative spacer; the other one, with a silicon excess in its chemical composition, which causes the formation of silicon quantum dots imbibed in the silicon nitride thin film. The ultra-thin gold film was deposited by the direct current (DC)-sputtering technique, and an aluminum doped zinc oxide thin film (AZO) which was deposited by means of ultrasonic spray pyrolysis, plays the role of the ohmic metal-like electrode. We found that there is a maximum electroluminescence (EL) enhancement when the appropriate AuNPs-spacer-SiQDs configuration is used. This EL is achieved at a moderate turn-on voltage of 11 V, and the EL enhancement is around four times bigger than the photoluminescence (PL) enhancement of the same AuNPs-spacer-SiQDs configuration. From our experimental results, we surmise that EL enhancement may indeed be due to a plasmonic coupling. This kind of silicon-based LEDs has the potential for technology transfer. PMID:29565267

  17. Dielectric and piezoelectric properties of lead-free (Bi,Na)TiO3-based thin films

    NASA Astrophysics Data System (ADS)

    Abazari, M.; Safari, A.; Bharadwaja, S. S. N.; Trolier-McKinstry, S.

    2010-02-01

    Dielectric and piezoelectric properties of morphotropic phase boundary (Bi,Na)TiO3-(Bi,K)TiO3-BaTiO3 epitaxial thin films deposited on SrRuO3 coated SrTiO3 substrates were reported. Thin films of 350 nm thickness exhibited small signal dielectric permittivity and loss tangent values of 750 and 0.15, respectively, at 1 kHz. Ferroelectric hysteresis measurements indicated a remanent polarization value of 30 μC/cm2 with a coercive field of 85-100 kV/cm. The thin film transverse piezoelectric coefficient (e31,f) of these films after poling at 600 kV/cm was found to be -2.2 C/m2. The results indicate that these BNT-based thin films are a potential candidate for lead-free piezoelectric devices.

  18. Effect of Pentacene-dielectric Affinity on Pentacene Thin Film Growth Morphology in Organic Field-effect Transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    S Kim; M Jang; H Yang

    2011-12-31

    Organic field-effect transistors (OFETs) are fabricated by depositing a thin film of semiconductor on the functionalized surface of a SiO{sub 2} dielectric. The chemical and morphological structures of the interface between the semiconductor and the functionalized dielectric are critical for OFET performance. We have characterized the effect of the affinity between semiconductor and functionalized dielectric on the properties of the semiconductor-dielectric interface. The crystalline microstructure/nanostructure of the pentacene semiconductor layers, grown on a dielectric substrate that had been functionalized with either poly(4-vinyl pyridine) or polystyrene (to control hydrophobicity), and grown under a series of substrate temperatures and deposition rates, weremore » characterized by X-ray diffraction, photoemission spectroscopy, and atomic force microscopy. By comparing the morphological features of the semiconductor thin films with the device characteristics (field-effect mobility, threshold voltage, and hysteresis) of the OFET devices, the effect of affinity-driven properties on charge modulation, charge trapping, and charge carrier transport could be described.« less

  19. Low leakage current gate dielectrics prepared by ion beam assisted deposition for organic thin film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Chang Su; Jo, Sung Jin; Kim, Jong Bok; Ryu, Seung Yoon; Noh, Joo Hyon; Baik, Hong Koo; Lee, Se Jong; Kim, Youn Sang

    2007-12-01

    This communication reports on the fabrication of low operating voltage pentacene thin-film transistors with high-k gate dielectrics by ion beam assisted deposition (IBAD). These densely packed dielectric layers by IBAD show a much lower level of leakage current than those created by e-beam evaporation. These results, from the fact that those thin films deposited with low adatom mobility, have an open structure, consisting of spherical grains with pores in between, that acts as a significant path for leakage current. By contrast, our results demonstrate the potential to limit this leakage. The field effect mobility, on/off current ratio, and subthreshold slope obtained from pentacene thin-film transistors (TFTs) were 1.14 cm2/V s, 105, and 0.41 V/dec, respectively. Thus, the high-k gate dielectrics obtained by IBAD show promise in realizing low leakage current, low voltage, and high mobility pentacene TFTs.

  20. Deposition of magnesium nitride thin films on stainless steel-304 substrates by using a plasma focus device

    NASA Astrophysics Data System (ADS)

    Ramezani, Amir Hoshang; Habibi, Maryam; Ghoranneviss, Mahmood

    2014-08-01

    In this research, for the first time, we synthesize magnesium nitride thin films on 304-type stainless steel substrates using a Mather-type (2 kJ) plasma focus (PF) device. The films of magnesium nitride are coated with different number of focus shots (like 15, 25 and 35) at a distance of 8 cm from the anode tip and at 0° angular position with respect to the anode axis. For investigation of the structural properties and surface morphology of magnesium nitride films, we utilized the X-ray diffractometer (XRD), atomic force microscopy (AFM) and scanning electron microscopy (SEM) analysis, respectively. Also, the elemental composition is characterized by energy-dispersive X-ray (EDX) analysis. Furthermore, Vicker's microhardness is used to study the mechanical properties of the deposited films. The results show that the degree of crystallinity of deposited thin films (from XRD), the average size of particles and surface roughness (from AFM), crystalline growth of structures (from SEM) and the hardness values of the films depend on the number of focus shots. The EDX analysis demonstrates the existence of the elemental composition of magnesium in the deposited samples.

  1. Characterization of high-{kappa} LaLuO{sub 3} thin film grown on AlGaN/GaN heterostructure by molecular beam deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang Shu; Huang Sen; Chen Hongwei

    2011-10-31

    We report the study of high-dielectric-constant (high-{kappa}) dielectric LaLuO{sub 3} (LLO) thin film that is grown on AlGaN/GaN heterostructure by molecular beam deposition (MBD). The physical properties of LLO on AlGaN/GaN heterostrucure have been investigated with atomic force microscopy, x-ray photoelectron spectroscopy, and TEM. It is revealed that the MBD-grown 16 nm-thick LLO film is polycrystalline with a thin ({approx}2 nm) amorphous transition layer at the LLO/GaN interface. The bandgap of LLO is derived as 5.3 {+-} 0.04 eV from O1s energy loss spectrum. Capacitance-voltage (C-V) characteristics of a Ni-Au/LLO/III-nitride metal-insulator-semiconductor diode exhibit small frequency dispersion (<2%) and reveal amore » high effective dielectric constant of {approx}28 for the LLO film. The LLO layer is shown to be effective in suppressing the reverse and forward leakage current in the MIS diode. In particular, the MIS diode forward current is reduced by 7 orders of magnitude at a forward bias of 1 V compared to a conventional Ni-Au/III-nitride Schottky diode.« less

  2. Atomic Layer Deposition of Silicon Nitride Thin Films: A Review of Recent Progress, Challenges, and Outlooks

    PubMed Central

    Meng, Xin; Byun, Young-Chul; Kim, Harrison S.; Lee, Joy S.; Lucero, Antonio T.; Cheng, Lanxia; Kim, Jiyoung

    2016-01-01

    With the continued miniaturization of devices in the semiconductor industry, atomic layer deposition (ALD) of silicon nitride thin films (SiNx) has attracted great interest due to the inherent benefits of this process compared to other silicon nitride thin film deposition techniques. These benefits include not only high conformality and atomic-scale thickness control, but also low deposition temperatures. Over the past 20 years, recognition of the remarkable features of SiNx ALD, reinforced by experimental and theoretical investigations of the underlying surface reaction mechanism, has contributed to the development and widespread use of ALD SiNx thin films in both laboratory studies and industrial applications. Such recognition has spurred ever-increasing opportunities for the applications of the SiNx ALD technique in various arenas. Nevertheless, this technique still faces a number of challenges, which should be addressed through a collaborative effort between academia and industry. It is expected that the SiNx ALD will be further perceived as an indispensable technique for scaling next-generation ultra-large-scale integration (ULSI) technology. In this review, the authors examine the current research progress, challenges and future prospects of the SiNx ALD technique. PMID:28774125

  3. Adhesion, friction, and wear of plasma-deposited thin silicon nitride films at temperatures to 700 C

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Pouch, J. J.; Alterovitz, S. A.; Pantic, D. M.; Johnson, G. A.

    1988-01-01

    The adhesion, friction, and wear behavior of silicon nitride films deposited by low- and high-frequency plasmas (30 kHz and 13.56 MHz) at various temperatures to 700 C in vacuum were examined. The results of the investigation indicated that the Si/N ratios were much greater for the films deposited at 13.56 MHz than for those deposited at 30 kHz. Amorphous silicon was present in both low- and high-frequency plasma-deposited silicon nitride films. However, more amorphous silicon occurred in the films deposited at 13.56 MHz than in those deposited at 30 kHz. Temperature significantly influenced adhesion, friction, and wear of the silicon nitride films. Wear occurred in the contact area at high temperature. The wear correlated with the increase in adhesion and friction for the low- and high-frequency plasma-deposited films above 600 and 500 C, respectively. The low- and high-frequency plasma-deposited thin silicon nitride films exhibited a capability for lubrication (low adhesion and friction) in vacuum at temperatures to 500 and 400 C, respectively.

  4. Hall effect of copper nitride thin films

    NASA Astrophysics Data System (ADS)

    Yue, G. H.; Liu, J. Z.; Li, M.; Yuan, X. M.; Yan, P. X.; Liu, J. L.

    2005-08-01

    The Hall effect of copper nitride (Cu3N) thin films was investigated in our work. Cu3N films were deposited on glass substrates by radio-frequency (RF) magnetron sputtering at different temperatures using pure copper as the sputtering target. The Hall coefficients of the films are demonstrated to be dependent on the deposition gas flow rate and the measuring temperature. Both the Hall coefficient and resistance of the Cu3N films increase with the nitrogen gas flow rate at room temperature, while the Hall mobility and the carrier density of the films decrease. As the temperature changed from 100 K to 300 K, the Hall coefficient and the resistivity of the films decreased, while the carrier density increased and Hall mobility shows no great change. The energy band gap of the Cu3N films deduced from the curve of the common logarithm of the Hall coefficient against 1/T is 1.17-1.31 eV.

  5. Piezoelectric Behaviour of Sputtered Aluminium Nitride Thin Film for High Frequency Ultrasonic Sensors

    NASA Astrophysics Data System (ADS)

    Herzog, T.; Walter, S.; Bartzsch, H.; Gittner, M.; Gloess, D.; Heuer, H.

    2011-06-01

    Many new materials and processes require non destructive evaluation in higher resolutions by phased array ultrasonic techniques in a frequency range up to 250 MHz. This paper presents aluminium nitride, a promising material for the use as a piezoelectric sensor material in the considered frequency range, which contains the potential for high frequency phased array application in the future. This work represents the fundamental development of piezoelectric aluminium nitride films with a thickness of up to 10 μm. We have investigated and optimized the deposition process of the aluminium nitride thin film layers regarding their piezoelectric behavior. Therefore a specific test setup and a measuring station were created to determine the piezoelectric charge constant (d33) and the electro acoustic behavior of the sensor. Single element transducers were deposited on silicon substrates with aluminium electrodes for top and bottom, using different parameters for the magnetron sputter process, like pressure and bias voltage. Afterwards acoustical measurements up to 500 MHz in pulse echo mode have been carried out and the electrical and electromechanical properties were qualified. In two different parameter sets for the sputtering process excellent piezoelectric charge constant of about 8.0 pC/N maximum were obtained.

  6. Sol processing of conjugated carbon nitride powders for thin-film fabrication.

    PubMed

    Zhang, Jinshui; Zhang, Mingwen; Lin, Lihua; Wang, Xinchen

    2015-05-18

    The chemical protonation of graphitic carbon nitride (CN) solids with strong oxidizing acids, for example HNO3, is demonstrated as an efficient pathway for the sol processing of a stable CN colloidal suspension, which can be translated into thin films by dip/disperse-coating techniques. The unique features of CN colloids, such as the polymeric matrix and the reversible hydrogen bonding, result in the thin-film electrodes derived from the sol solution exhibiting a high mechanical stability with improved conductivity for charge transport, and thus show a remarkably enhanced photo-electrochemical performance. The polymer system can in principle be broadly tuned by hybridization with desired functionalities, thus paving the way for the application of CN for specific tasks, as exemplified here by coupling with carbon nanotubes. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Ultra-thin alumina and silicon nitride MEMS fabricated membranes for the electron multiplication

    NASA Astrophysics Data System (ADS)

    Prodanović, V.; Chan, H. W.; Graaf, H. V. D.; Sarro, P. M.

    2018-04-01

    In this paper we demonstrate the fabrication of large arrays of ultrathin freestanding membranes (tynodes) for application in a timed photon counter (TiPC), a novel photomultiplier for single electron detection. Low pressure chemical vapour deposited silicon nitride (Si x N y ) and atomic layer deposited alumina (Al2O3) with thicknesses down to only 5 nm are employed for the membrane fabrication. Detailed characterization of structural, mechanical and chemical properties of the utilized films is carried out for different process conditions and thicknesses. Furthermore, the performance of the tynodes is investigated in terms of secondary electron emission, a fundamental attribute that determines their applicability in TiPC. Studied features and presented fabrication methods may be of interest for other MEMS application of alumina and silicon nitride as well, in particular where strong ultra-thin membranes are required.

  8. Nature of Dielectric Properties, Electric Modulus and AC Electrical Conductivity of Nanocrystalline ZnIn2Se4 Thin Films

    NASA Astrophysics Data System (ADS)

    El-Nahass, M. M.; Attia, A. A.; Ali, H. A. M.; Salem, G. F.; Ismail, M. I.

    2018-02-01

    The structural characteristics of thermally deposited ZnIn2Se4 thin films were indexed utilizing x-ray diffraction as well as scanning electron microscopy techniques. Dielectric properties, electric modulus and AC electrical conductivity of ZnIn2Se4 thin films were examined in the frequency range from 42 Hz to 106 Hz. The capacitance, conductance and impedance were measured at different temperatures. The dielectric constant and dielectric loss decrease with an increase in frequency. The maximum barrier height was determined from the analysis of the dielectric loss depending on the Giuntini model. The real part of the electric modulus revealed a constant maximum value at higher frequencies and the imaginary part of the electric modulus was characterized by the appearance of dielectric relaxation peaks. The AC electrical conductivity obeyed the Jonscher universal power law. Correlated barrier hopping model was the appropriate mechanism for AC conduction in ZnIn2Se4 thin films. Estimation of the density of states at the Fermi level and activation energy, for AC conduction, was carried out based on the temperature dependence of AC electrical conductivity.

  9. Largely enhanced dielectric properties of carbon nanotubes/polyvinylidene fluoride binary nanocomposites by loading a few boron nitride nanosheets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Minhao; Zhao, Hang; He, Delong

    2016-08-15

    The ternary nanocomposites of boron nitride nanosheets (BNNSs)/carbon nanotubes (CNTs)/polyvinylidene fluoride (PVDF) are fabricated via a combination of solution casting and extrusion-injection processes. The effects of BNNSs on the electrical conductivity, dielectric behavior, and microstructure changes of CNTs/PVDF binary nanocomposites are systematically investigated. A low percolation value (f{sub c}) for the CNTs/PVDF binary system is obtained due to the integration of solution and melting blending procedures. Two kinds of CNTs/PVDF binary systems with various CNTs contents (f{sub CNTs}) as the matrix are discussed. The results reveal that compared with CNTs/PVDF binary systems at the same f{sub CNTs}, the ternary BNNSs/CNTs/PVDFmore » nanocomposites exhibit largely enhanced dielectric properties due to the improvement of the CNTs dispersion state and the conductive network. The dielectric constant of CNTs/PVDF binary nanocomposite with 6 vol. % CNTs (f{sub CNTs} < f{sub c}) shows a 79.59% enhancement from 49 to 88 after the incorporation of 3 vol. % BNNSs. For the other CNTs/PVDF system with 8 vol. % CNTs (f{sub CNTs} > f{sub c}), it displays a 43.32% improvement from 1325 to 1899 after the addition of 3 vol. % BNNSs. The presence of BNNSs facilitates the formation of the denser conductive network. Meanwhile, the ternary BNNSs/CNTs/PVDF systems exhibit a low dielectric loss. The adjustable dielectric properties could be obtained by employing the ternary systems due to the microstructure changes of nanocomposites.« less

  10. Improved growth of GaN layers on ultra thin silicon nitride/Si (1 1 1) by RF-MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Roul, Basanta; Central Research Laboratory, Bharat Electronics, Bangalore 560013

    High-quality GaN epilayers were grown on Si (1 1 1) substrates by molecular beam epitaxy using a new growth process sequence which involved a substrate nitridation at low temperatures, annealing at high temperatures, followed by nitridation at high temperatures, deposition of a low-temperature buffer layer, and a high-temperature overgrowth. The material quality of the GaN films was also investigated as a function of nitridation time and temperature. Crystallinity and surface roughness of GaN was found to improve when the Si substrate was treated under the new growth process sequence. Micro-Raman and photoluminescence (PL) measurement results indicate that the GaN filmmore » grown by the new process sequence has less tensile stress and optically good. The surface and interface structures of an ultra thin silicon nitride film grown on the Si surface are investigated by core-level photoelectron spectroscopy and it clearly indicates that the quality of silicon nitride notably affects the properties of GaN growth.« less

  11. Spotting 2D atomic layers on aluminum nitride thin films.

    PubMed

    Chandrasekar, Hareesh; Bharadwaj B, Krishna; Vaidyuala, Kranthi Kumar; Suran, Swathi; Bhat, Navakanta; Varma, Manoj; Srinivasan Raghavan

    2015-10-23

    Substrates for 2D materials are important for tailoring their fundamental properties and realizing device applications. Aluminum nitride (AIN) films on silicon are promising large-area substrates for such devices in view of their high surface phonon energies and reasonably large dielectric constants. In this paper epitaxial layers of AlN on 2″ Si wafers have been investigated as a necessary first step to realize devices from exfoliated or transferred atomic layers. Significant thickness dependent contrast enhancements are both predicted and observed for monolayers of graphene and MoS2 on AlN films as compared to the conventional SiO2 films on silicon, with calculated contrast values approaching 100% for graphene on AlN as compared to 8% for SiO2 at normal incidences. Quantitative estimates of experimentally measured contrast using reflectance spectroscopy show very good agreement with calculated values. Transistors of monolayer graphene on AlN films are demonstrated, indicating the feasibility of complete device fabrication on the identified layers.

  12. Alignment of Boron Nitride Nanofibers in Epoxy Composite Films for Thermal Conductivity and Dielectric Breakdown Strength Improvement.

    PubMed

    Wang, Zhengdong; Liu, Jingya; Cheng, Yonghong; Chen, Siyu; Yang, Mengmeng; Huang, Jialiang; Wang, Hongkang; Wu, Guanglei; Wu, Hongjing

    2018-04-15

    Development of polymer-based composites with simultaneously high thermal conductivity and breakdown strength has attracted considerable attention owing to their important applications in both electronic and electric industries. In this work, boron nitride (BN) nanofibers (BNNF) are successfully prepared as fillers, which are used for epoxy composites. In addition, the BNNF in epoxy composites are aligned by using a film casting method. The composites show enhanced thermal conductivity and dielectric breakdown strength. For instance, after doping with BNNF of 2 wt%, the thermal conductivity of composites increased by 36.4% in comparison with that of the epoxy matrix. Meanwhile, the breakdown strength of the composite with 1 wt% BNNF is 122.9 kV/mm, which increased by 6.8% more than that of neat epoxy (115.1 kV/mm). Moreover, the composites have maintained a low dielectric constant and alternating current conductivity among the range of full frequency, and show a higher thermal decomposition temperature and glass-transition temperature. The composites with aligning BNNF have wide application prospects in electronic packaging material and printed circuit boards.

  13. Alignment of Boron Nitride Nanofibers in Epoxy Composite Films for Thermal Conductivity and Dielectric Breakdown Strength Improvement

    PubMed Central

    Liu, Jingya; Cheng, Yonghong; Chen, Siyu; Yang, Mengmeng; Huang, Jialiang

    2018-01-01

    Development of polymer-based composites with simultaneously high thermal conductivity and breakdown strength has attracted considerable attention owing to their important applications in both electronic and electric industries. In this work, boron nitride (BN) nanofibers (BNNF) are successfully prepared as fillers, which are used for epoxy composites. In addition, the BNNF in epoxy composites are aligned by using a film casting method. The composites show enhanced thermal conductivity and dielectric breakdown strength. For instance, after doping with BNNF of 2 wt%, the thermal conductivity of composites increased by 36.4% in comparison with that of the epoxy matrix. Meanwhile, the breakdown strength of the composite with 1 wt% BNNF is 122.9 kV/mm, which increased by 6.8% more than that of neat epoxy (115.1 kV/mm). Moreover, the composites have maintained a low dielectric constant and alternating current conductivity among the range of full frequency, and show a higher thermal decomposition temperature and glass-transition temperature. The composites with aligning BNNF have wide application prospects in electronic packaging material and printed circuit boards. PMID:29662038

  14. Radiative Properties of Thin Films of Common Dielectric Materials in the IR Spectral Range of 1.5-14.2 μm: Application to Infrared Imaging

    NASA Astrophysics Data System (ADS)

    Bañobre, Asahel; Marthi, Sita Rajyalaxmi; Ravindra, N. M.

    2018-05-01

    To measure, map and control temperature, imaging of materials in a thermal furnace routinely utilizes non-contact sensors, such as pyrometers. These pyrometers require a pre-knowledge of the radiative properties of materials in the desired infrared range of wavelengths. In this study, radiative properties of some commonly used thin films of dielectric materials are investigated within the infrared (IR) spectral range of 1.5-14.2 μm. Radiative properties of aluminum oxide (Al2O3), silicon dioxide (SiO2), aluminum nitride (AlN) and silicon nitride (Si3N4) have been simulated and compared, utilizing a matrix method of representing the optical properties. The simulated results of the radiative properties show that Si3N4 is an excellent choice for the infrared radiation absorbing layer that is currently used in infrared uncooled detectors (microbolometers) because of its optical, mechanical and electrical properties. A case study of the radiative properties of an infrared uncooled microbolometer (Honeywell structure) is presented and discussed in the infrared spectral range of 8-14 μm. The results obtained serve as useful information for the design and fabrication of infrared imaging systems and components such as coatings, detectors, filters, lenses and waveguides.

  15. Solution-processable alumina: PVP nanocomposite dielectric layer for high-performance organic thin-film transistors

    NASA Astrophysics Data System (ADS)

    Lin, Hui; Kong, Xiao; Li, Yiran; Kuang, Peng; Tao, Silu

    2018-03-01

    In this article, we have investigated the effect of nanocomposite gate dielectric layer built by alumina (Al2O3) and poly(4-vinyphenol) (PVP) with solution method which could enhance the dielectric capability and decrease the surface polarity. Then, we used modify layer to optimize the surface morphology of dielectric layer to further improve the insulation capability, and finally we fabricated the high-performance and low-voltage organic thin-film transistors by using this nanocomposite dielectric layer. The result shows that the devices with Al2O3:10%PVP dielectric layer with a modified layer exhibited a mobility of 0.49 cm2/Vs, I on/Ioff ratio of 7.8 × 104, threshold voltage of - 1.2 V, sub-threshold swing of 0.3 V/dec, and operating voltage as low as - 4 V. The improvement of devices performance was owing to the good insulation capability, appropriate capacitance of dielectric layer, and preferable interface contact, smaller crystalline size of active layer.

  16. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    NASA Astrophysics Data System (ADS)

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-09-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec.

  17. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    PubMed Central

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-01-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec. PMID:27641430

  18. High-Temperature Dielectric Properties of Aluminum Nitride Ceramic for Wireless Passive Sensing Applications

    PubMed Central

    Liu, Jun; Yuan, Yukun; Ren, Zhong; Tan, Qiulin; Xiong, Jijun

    2015-01-01

    The accurate characterization of the temperature-dependent permittivity of aluminum nitride (AlN) ceramic is quite critical to the application of wireless passive sensors for harsh environments. Since the change of the temperature-dependent permittivity will vary the ceramic-based capacitance, which can be converted into the change of the resonant frequency, an LC resonator, based on AlN ceramic, is prepared by the thick film technology. The dielectric properties of AlN ceramic are measured by the wireless coupling method, and discussed within the temperature range of 12 °C (room temperature) to 600 °C. The results show that the extracted relative permittivity of ceramic at room temperature is 2.3% higher than the nominal value of 9, and increases from 9.21 to 10.79, and the quality factor Q is decreased from 29.77 at room temperature to 3.61 at 600 °C within the temperature range. PMID:26370999

  19. Constant-current corona triode adapted and optimized for the characterization of thin dielectric films

    NASA Astrophysics Data System (ADS)

    Giacometti, José A.

    2018-05-01

    This work describes an enhanced corona triode with constant current adapted to characterize the electrical properties of thin dielectric films used in organic electronic devices. A metallic grid with a high ionic transparency is employed to charge thin films (100 s of nm thick) with a large enough charging current. The determination of the surface potential is based on the grid voltage measurement, but using a more sophisticated procedure than the previous corona triode. Controlling the charging current to zero, which is the open-circuit condition, the potential decay can be measured without using a vibrating grid. In addition, the electric capacitance and the characteristic curves of current versus the stationary surface potential can also be determined. To demonstrate the use of the constant current corona triode, we have characterized poly(methyl methacrylate) thin films with films with thicknesses in the range from 300 to 500 nm, frequently used as gate dielectric in organic field-effect transistors.

  20. High-Performance Polymers Sandwiched with Chemical Vapor Deposited Hexagonal Boron Nitrides as Scalable High-Temperature Dielectric Materials.

    PubMed

    Azizi, Amin; Gadinski, Matthew R; Li, Qi; AlSaud, Mohammed Abu; Wang, Jianjun; Wang, Yi; Wang, Bo; Liu, Feihua; Chen, Long-Qing; Alem, Nasim; Wang, Qing

    2017-09-01

    Polymer dielectrics are the preferred materials of choice for power electronics and pulsed power applications. However, their relatively low operating temperatures significantly limit their uses in harsh-environment energy storage devices, e.g., automobile and aerospace power systems. Herein, hexagonal boron nitride (h-BN) films are prepared from chemical vapor deposition (CVD) and readily transferred onto polyetherimide (PEI) films. Greatly improved performance in terms of discharged energy density and charge-discharge efficiency is achieved in the PEI sandwiched with CVD-grown h-BN films at elevated temperatures when compared to neat PEI films and other high-temperature polymer and nanocomposite dielectrics. Notably, the h-BN-coated PEI films are capable of operating with >90% charge-discharge efficiencies and delivering high energy densities, i.e., 1.2 J cm -3 , even at a temperature close to the glass transition temperature of polymer (i.e., 217 °C) where pristine PEI almost fails. Outstanding cyclability and dielectric stability over a straight 55 000 charge-discharge cycles are demonstrated in the h-BN-coated PEI at high temperatures. The work demonstrates a general and scalable pathway to enable the high-temperature capacitive energy applications of a wide range of engineering polymers and also offers an efficient method for the synthesis and transfer of 2D nanomaterials at the scale demanded for applications. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Solid-State Densification of Spun-Cast Self-Assembled Monolayers for Use in Ultra-Thin Hybrid Dielectrics.

    PubMed

    Hutchins, Daniel O; Acton, Orb; Weidner, Tobias; Cernetic, Nathan; Baio, Joe E; Castner, David G; Ma, Hong; Jen, Alex K-Y

    2012-11-15

    Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlO x (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7×10 -8 A cm -2 and capacitance density of 0.62 µF cm -2 at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to 1.1 cm 2 V -1 s -1 .

  2. Solid-State Densification of Spun-Cast Self-Assembled Monolayers for Use in Ultra-Thin Hybrid Dielectrics

    PubMed Central

    Hutchins, Daniel O.; Acton, Orb; Weidner, Tobias; Cernetic, Nathan; Baio, Joe E.; Castner, David G.; Ma, Hong; Jen, Alex K.-Y.

    2013-01-01

    Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlOx (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7×10−8 A cm−2 and capacitance density of 0.62 µF cm−2 at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to 1.1 cm2 V−1 s−1. PMID:24288423

  3. Dielectric Studies on Thermally Evaporated CEF3 Thin Film

    NASA Astrophysics Data System (ADS)

    Selvasekarapandian, S.; Gowtham, M.; Bhuvaneswari, M. S.

    In recent years rare earth compounds especially their fluorides have drawn particular attention as electrochemical gas sensors. Lanthanum and cerium fluoride based sensors have been investigated for sensing the fluorine, oxygen, and carbon monoxide because of their high chemical stability and high ionic conductivity. The fast response and good sensitivity of these sensors rely on the ion conduction properties of these thin films. In the present work Cerium Fluoride thin film has been prepared by vacuum thermal evaporation method. The electrical characterization is carried out using the Impedance spectroscopy method in the frequency range of 50 Hz to 5 MHz. The temperature dependence of ionic conductivity obeys the Arrhenius behavior and the activation energy Ea is found to be 0.3eV. The modulus and the dielectric spectra analysis reveal the non - Debye nature and the distribution of relaxation time due to the presence of grain and grain boundaries in the film. The relaxation energy Ed has been calculated from the dielectric spectra. The similar value of activation and relaxation energies suggests that the charge carriers that are responsible for bulk conductivity and relaxation process are the same. The optical measurement done in the wavelength range of 400-2500 nm confirms that the CeF3 thin film is highly transparent and the band gap energy is found to be 3.5 eV.

  4. Laser sintered thin layer graphene and cubic boron nitride reinforced nickel matrix nanocomposites

    NASA Astrophysics Data System (ADS)

    Hu, Zengrong; Tong, Guoquan

    2015-10-01

    Laser sintered thin layer graphene (Gr)-cubic boron nitride (CBN)-Ni nanocomposites were fabricated on AISI 4140 plate substrate. The composites fabricating process, composites microstructure and mechanical properties were studied. Scanning electron microscopy (SEM), X-ray diffraction (XRD) and Raman spectroscopy were employed to study the micro structures and composition of the composites. XRD and Raman tests proved that graphene and CBN were dispersed in the nanocomposites. Nanoindentation test results indicate the significant improvements were achieved in the composites mechanical properties.

  5. Solar selective performance of metal nitride/oxynitride based magnetron sputtered thin film coatings: a comprehensive review

    NASA Astrophysics Data System (ADS)

    Ibrahim, Khalil; Taha, Hatem; Mahbubur Rahman, M.; Kabir, Humayun; Jiang, Zhong-Tao

    2018-03-01

    Since solar-thermal collectors are considered to be the most direct way of converting solar energy into usable forms, in the last few years growing attention has been paid to the development of transition metal nitride and metal oxynitride based thin film selective surfaces for solar-thermal collectors, in order to harvest more solar energy. A solar-thermal energy system, generally, shows very high solar absorption of incident solar radiation from the solar-thermal collectors in the visible range (0.3 to 2.5 μm) and extremely low thermal losses through emission (or high reflection) in the infrared region (≥2.5 μm). The efficiency of a solar-thermal energy conversion system can be improved by the use of solar selective surfaces consisting of novel metallic nanoparticles embedded in metal nitride/oxynitride systems. In order to enhance the effectiveness of solar-thermal devices, solar selective surfaces with high thermal stability are a prerequisite. Over the years, substantial efforts have been made in the field of solar selective surfaces to attain higher solar absorptance and lower thermal emittance in high temperature (above 400 °C) applications. In this article, we review the present state-of-the-art transition metal nitride and/or oxynitride based vacuum sputtered nanostructured thin film coatings, with respect to their optical and solar selective surface applications. We have also summarized the solar selectivity data from recently published investigations, including discussion on some potential applications for these materials.

  6. Novel organic semiconductors and dielectric materials for high performance and low-voltage organic thin-film transistors

    NASA Astrophysics Data System (ADS)

    Yoon, Myung-Han

    Two novel classes of organic semiconductors based on perfluoroarene/arene-modified oligothiophenes and perfluoroacyl/acyl-derivatized quaterthiophens are developed. The frontier molecular orbital energies of these compounds are studied by optical spectroscopy and electrochemistry while solid-state/film properties are investigated by thermal analysis, x-ray diffraction, and scanning electron microscopy. Organic thin film transistors (OTFTs) performance parameters are discussed in terms of the interplay between semiconductor molecular energetics and film morphologies/microstructures. For perfluoroarene-thiophene oligomer systems, majority charge carrier type and mobility exhibit a strong correlation with the regiochemistry of perfluoroarene incorporation. In quaterthiophene-based semiconductors, carbonyl-functionalization allows tuning of the majority carrier type from p-type to ambipolar and to n-type. In situ conversion of a p-type semiconducting film to n-type film is also demonstrated. Very thin self-assembled or spin-on organic dielectric films have been integrated into OTFTs to achieve 1 - 2 V operating voltages. These new dielectrics are deposited either by layer-by-layer solution phase deposition of molecular precursors or by spin-coating a mixture of polymer and crosslinker, resulting in smooth and virtually pinhole-free thin films having exceptionally large capacitances (300--700 nF/cm2) and low leakage currents (10 -9 - 10-7 A/cm2). These organic dielectrics are compatible with various vapor- or solution-deposited p- and n-channel organic semiconductors. Furthermore, it is demonstrated that spin-on crosslinked-polymer-blend dielectrics can be employed for large-area/patterned electronics, and complementary inverters. A general approach for probing semiconductor-dielectric interface effects on OTFT performance parameters using bilayer gate dielectrics is presented. Organic semiconductors having p-, n-type, or ambipolar majority charge carriers are grown on

  7. Properties of dielectric dead layers for SrTiO3 thin films on Pt electrodes

    NASA Astrophysics Data System (ADS)

    Finstrom, Nicholas H.; Cagnon, Joel; Stemmer, Susanne

    2007-02-01

    Dielectric measurements as a function of temperature were used to characterize the properties of the dielectric dead layers in parallel-plate capacitors with differently textured SrTiO3 thin films and Pt electrodes. The apparent thickness dependence of the permittivity was described with low-permittivity passive (dead) layers at the interfaces connected in series with the bulk of the SrTiO3 film. Interfacial capacitance densities changed with the film microstructure and were weakly temperature dependent. Estimates of the dielectric dead layer thickness and permittivity were limited by the film surface roughness (˜5nm ). The consequences for the possible origins of dielectric dead layers that have been proposed in the literature are discussed.

  8. Investigation of optical pump on dielectric tunability in PZT/PT thin film by THz spectroscopy.

    PubMed

    Ji, Jie; Luo, Chunya; Rao, Yunkun; Ling, Furi; Yao, Jianquan

    2016-07-11

    The dielectric spectra of single-layer PbTiO3 (PT), single-layer PbZrxTi1-xO3 (PZT) and multilayer PZT/PT thin films under an external optical field were investigated at room temperature by time-domain terahertz (THz) spectroscopy. Results showed that the real part of permittivity increased upon application of an external optical field, which could be interpreted as hardening of the soft mode and increasing of the damping coefficient and oscillator strength. Furthermore, the central mode was observed in the three films. Among the dielectric property of the three thin films studied, the tunability of the PZT/PT superlattice was the largest.

  9. Thermal stability of tungsten sub-nitride thin film prepared by reactive magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Zhang, X. X.; Wu, Y. Z.; Mu, B.; Qiao, L.; Li, W. X.; Li, J. J.; Wang, P.

    2017-03-01

    Tungsten sub-nitride thin films deposited on silicon samples by reactive magnetron sputtering were used as a model system to study the phase stability and microstructural evolution during thermal treatments. XRD, SEM&FIB, XPS, RBS and TDS were applied to investigate the stability of tungsten nitride films after heating up to 1473 K in vacuum. At the given experimental parameters a 920 nm thick crystalline film with a tungsten and nitrogen stoichiometry of 2:1 were achieved. The results showed that no phase and microstructure change occurred due to W2N film annealing in vacuum up to 973 K. Heating up to 1073 K led to a partial decomposition of the W2N phase and the formation of a W enrichment layer at the surface. Increasing the annealing time at the same temperature, the further decomposition of the W2N phase was negligible. The complete decomposition of W2N film happened as the temperature reached up to 1473 K.

  10. Comparative study on nitridation and oxidation plasma interface treatment for AlGaN/GaN MIS-HEMTs with AlN gate dielectric

    NASA Astrophysics Data System (ADS)

    Zhu, Jie-Jie; Ma, Xiao-Hua; Hou, Bin; Chen, Li-Xiang; Zhu, Qing; Hao, Yue

    2017-02-01

    This paper demonstrated the comparative study on interface engineering of AlN/AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MIS-HEMTs) by using plasma interface pre-treatment in various ambient gases. The 15 nm AlN gate dielectric grown by plasma-enhanced atomic layer deposition significantly suppressed the gate leakage current by about two orders of magnitude and increased the peak field-effect mobility by more than 50%. NH3/N2 nitridation plasma treatment (NPT) was used to remove the 3 nm poor-quality interfacial oxide layer and N2O/N2 oxidation plasma treatment (OPT) to improve the quality of interfacial layer, both resulting in improved dielectric/barrier interface quality, positive threshold voltage (V th) shift larger than 0.9 V, and negligible dispersion. In comparison, however, NPT led to further decrease in interface charges by 3.38 × 1012 cm-2 and an extra positive V th shift of 1.3 V. Analysis with fat field-effect transistors showed that NPT resulted in better sub-threshold characteristics and transconductance linearity for MIS-HEMTs compared with OPT. The comparative study suggested that direct removing the poor interfacial oxide layer by nitridation plasma was superior to improving the quality of interfacial layer by oxidation plasma for the interface engineering of GaN-based MIS-HEMTs.

  11. Barium titanate nanocrystals and nanocrystal thin films: Synthesis, ferroelectricity, and dielectric properties

    NASA Astrophysics Data System (ADS)

    Huang, Limin; Chen, Zhuoying; Wilson, James D.; Banerjee, Sarbajit; Robinson, Richard D.; Herman, Irving P.; Laibowitz, Robert; O'Brien, Stephen

    2006-08-01

    Advanced applications for high k dielectric and ferroelectric materials in the electronics industry continues to demand an understanding of the underlying physics in decreasing dimensions into the nanoscale. We report the synthesis, processing, and electrical characterization of thin (<100nm thick) nanostructured thin films of barium titanate (BaTiO3) built from uniform nanoparticles (<20nm in diameter). We introduce a form of processing as a step toward the ability to prepare textured films based on assembly of nanoparticles. Essential to this approach is an understanding of the nanoparticle as a building block, combined with an ability to integrate them into thin films that have uniform and characteristic electrical properties. Our method offers a versatile means of preparing BaTiO3 nanocrystals, which can be used as a basis for micropatterned or continuous BaTiO3 nanocrystal thin films. We observe the BaTiO3 nanocrystals crystallize with evidence of tetragonality. We investigated the preparation of well-isolated BaTiO3 nanocrystals smaller than 10nm with control over aggregation and crystal densities on various substrates such as Si, Si /SiO2, Si3N4/Si, and Pt-coated Si substrates. BaTiO3 nanocrystal thin films were then prepared, resulting in films with a uniform nanocrystalline grain texture. Electric field dependent polarization measurements show spontaneous polarization and hysteresis, indicating ferroelectric behavior for the BaTiO3 nanocrystalline films with grain sizes in the range of 10-30nm. Dielectric measurements of the films show dielectic constants in the range of 85-90 over the 1KHz -100KHz, with low loss. We present nanocrystals as initial building blocks for the preparation of thin films which exhibit highly uniform nanostructured texture and grain sizes.

  12. Processing effects on the microstructure and dielectric properties of hydrothermal barium titanate and (barium,strontium)titanate thin films

    NASA Astrophysics Data System (ADS)

    McCormick, Mark Alan

    The goal of this work was to produce BaTiO3 and BaxSr (1-x)TiO3 (BST) thin films with high dielectric constants, using a low-temperature (<100°C) hydrothermal synthesis route. To accomplish this, titanium metal-organic precursor films were spin-cast onto metal-coated glass substrates and converted to polycrystalline BaTiO3 or BST upon reacting in aqueous solutions of Ba(OH)2 or Ba(OH)2 and Sr(OH)2. The influences of solution molarity, processing temperature, and reaction time on thin film reaction kinetics, microstructure, and dielectric properties were examined for BaTiO3 films. Post-deposition annealing at temperatures as low as 200°C substantially affected the lattice parameter, dielectric constant, and dielectric loss. This behavior is explained in terms of hydroxyl defect incorporation during film formation. Current-voltage (I-V) measurements were performed to determine the dominant conduction mechanism(s) during application of a do field, and to extract the metal/ceramic barrier height. In particular, Schottky barrier-limited conduction and Poole-Frenkel conduction were investigated as potential leakage mechanisms. For BST thin films, film stoichiometry deviated from the initial solution composition, with a preferred incorporation of Sr2+ into the perovskite lattice. The dielectric constant of the BST films was measured as a function of composition (Ba:Sr ratio) and temperature over the range 25--150°C. Finally, capacitance-voltage (C-V) measurements were made for BST films to determine the influence of film composition on dielectric tunability.

  13. Thin-film composite materials as a dielectric layer for flexible metal-insulator-metal capacitors.

    PubMed

    Tiwari, Jitendra N; Meena, Jagan Singh; Wu, Chung-Shu; Tiwari, Rajanish N; Chu, Min-Ching; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-09-24

    A new organic-organic nanoscale composite thin-film (NCTF) dielectric has been synthesized by solution deposition of 1-bromoadamantane and triblock copolymer (Pluronic P123, BASF, EO20-PO70-EO20), in which the precursor solution has been achieved with organic additives. We have used a sol-gel process to make a metal-insulator-metal capacitor (MIM) comprising a nanoscale (10 nm-thick) thin-film on a flexible polyimide (PI) substrate at room temperature. Scanning electron microscope and atomic force microscope revealed that the deposited NCTFs were crack-free, uniform, highly resistant to moisture absorption, and well adhered on the Au-Cr/PI. The electrical properties of 1-bromoadamantane-P123 NCTF were characterized by dielectric constant, capacitance, and leakage current measurements. The 1-bromoadamantane-P123 NCTF on the PI substrate showed a low leakage current density of 5.5 x 10(-11) A cm(-2) and good capacitance of 2.4 fF at 1 MHz. In addition, the calculated dielectric constant of 1-bromoadamantane-P123 NCTF was 1.9, making them suitable candidates for use in future flexible electronic devices as a stable intermetal dielectric. The electrical insulating properties of 1-bromoadamantane-P123 NCTF have been improved due to the optimized dipole moments of the van der Waals interactions.

  14. Impact of annealing temperature on the mechanical and electrical properties of sputtered aluminum nitride thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gillinger, M.; Schneider, M.; Bittner, A.

    2015-02-14

    Aluminium nitride (AlN) is a promising material for challenging sensor applications such as process monitoring in harsh environments (e.g., turbine exhaust), due to its piezoelectric properties, its high temperature stability and good thermal match to silicon. Basically, the operational temperature of piezoelectric materials is limited by the increase of the leakage current as well as by enhanced diffusion effects in the material at elevated temperatures. This work focuses on the characterization of aluminum nitride thin films after post deposition annealings up to temperatures of 1000 °C in harsh environments. For this purpose, thin film samples were temperature loaded for 2 hmore » in pure nitrogen and oxygen gas atmospheres and characterized with respect to the film stress and the leakage current behaviour. The X-ray diffraction results show that AlN thin films are chemically stable in oxygen atmospheres for 2 h at annealing temperatures of up to 900 °C. At 1000 °C, a 100 nm thick AlN layer oxidizes completely. For nitrogen, the layer is stable up to 1000 °C. The activation energy of the samples was determined from leakage current measurements at different sample temperatures, in the range between 25 and 300 °C. Up to an annealing temperature of 700 °C, the leakage current in the thin film is dominated by Poole-Frenkel behavior, while at higher annealing temperatures, a mixture of different leakage current mechanisms is observed.« less

  15. Optical and microwave dielectric properties of pulsed laser deposited Na{sub 0.5}Bi{sub 0.5}TiO{sub 3} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Joseph, Andrews; Goud, J. Pundareekam; Raju, K. C. James

    2016-05-23

    Optical properties of pulsed laser deposited (PLD) sodium bismuth titanate thin films (NBT), are investigated at wavelengths of 190-2500 nm. Microwave dielectric properties were investigated using the Split Post Dielectric Resonator (SPDR) technique. At 10 GHz, the NBT films have a dielectric constant of 205 and loss tangent of 0.0373 at room temperature. The optical spectra analysis reveals that NBT thin films have an optical band gap E{sub g}=3.55 eV and it has a dielectric constant of 3.37 at 1000 nm with dielectric loss of 0.299. Hence, NBT is a promising candidate for photonic device applications.

  16. Heat resistive dielectric multi-layer micro-mirror array in epitaxial lateral overgrowth gallium nitride.

    PubMed

    Huang, Chen-Yang; Ku, Hao-Min; Liao, Wei-Tsai; Chao, Chu-Li; Tsay, Jenq-Dar; Chao, Shiuh

    2009-03-30

    Ta2O5 / SiO2 dielectric multi-layer micro-mirror array (MMA) with 3mm mirror size and 6mm array period was fabricated on c-plane sapphire substrate. The MMA was subjected to 1200 degrees C high temperature annealing and remained intact with high reflectance in contrast to the continuous multi-layer for which the layers have undergone severe damage by 1200 degrees C annealing. Epitaxial lateral overgrowth (ELO) of gallium nitride (GaN) was applied to the MMA that was deposited on both sapphire and sapphire with 2:56 mm GaN template. The MMA was fully embedded in the ELO GaN and remained intact. The result implies that our MMA is compatible to the high temperature growth environment of GaN and the MMA could be incorporated into the structure of the micro-LED array as a one to one micro backlight reflector, or as the patterned structure on the large area LED for controlling the output light.

  17. ZrO2 Layer Thickness Dependent Electrical and Dielectric Properties of BST/ZrO2/BST Multilayer Thin Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sahoo, S. K.; Misra, D.; Agrawal, D. C.

    2011-01-01

    Recently, high K materials play an important role in microelectronic devices such as capacitors, memory devices, and microwave devices. Now a days ferroelectric barium strontium titanate [Ba{sub x}Sr{sub 1-x}TiO{sub 3}, (BST)] thin film is being actively investigated for applications in dynamic random access memories (DRAM), field effect transistor (FET), and tunable devices because of its properties such as high dielectric constant, low leakage current, low dielectric loss, and high dielectric breakdown strength. Several approaches have been used to optimize the dielectric and electrical properties of BST thin films such as doping, graded compositions, and multilayer structures. We have found thatmore » inserting a ZrO{sub 2} layer in between two BST layers results in a significant reduction in dielectric constant, loss tangent, and leakage current in the multilayer thin films. Also it is shown that the properties of multilayer structure are found to depend strongly on the sublayer thicknesses. In this work the effect of ZrO{sub 2} layer thickness on the dielectric, ferroelectric as well as electrical properties of BST/ZrO{sub 2}/BST multilayer structure is studied. The multilayer Ba{sub 0.8}Sr{sub 0.2}TiO{sub 3}/ZrO{sub 2}/Ba{sub 0.8}Sr{sub 0.2}TiO{sub 3} film is deposited by a sol-gel process on the platinized Si substrate. The thickness of the middle ZrO{sub 2} layer is varied while keeping the top and bottom BST layer thickness as fixed. It is observed that the dielectric constant, dielectric loss tangent, and leakage current of the multilayer films reduce with the increase of ZrO{sub 2} layer thickness and hence suitable for memory device applications. The ferroelectric properties of the multilayer film also decrease with the ZrO{sub 2} layer thickness.« less

  18. Tailoring plasmonic properties of metal nanoparticle-embedded dielectric thin films: the sandwich method of preparation

    NASA Astrophysics Data System (ADS)

    Laha, Ranjit; Malar, P.; Osipowicz, Thomas; Kasiviswanathan, S.

    2017-09-01

    Tailoring of plasmonic properties of metal nanoparticle-embedded dielectric thin films are very crucial for many thin film-based applications. We, herein, investigate the various ways of tuning the plasmonic positions of gold nanoparticles (AuNPs)-embedded indium oxide thin films (Au:IO) through a sequence-specific sandwich method. The sandwich method is a four-step process involving deposition of In2O3 film by magnetron sputtering in first and fourth steps, thermal evaporation of Au on to In2O3 film in second and annealing of Au/In2O3 film in the third step. The Au:IO films were characterized by x-ray diffraction, spectrophotometry and transmission electron microscopy. The size and shape of the embedded nanoparticles were found from Rutherford back-scattering spectrometry. Based on dynamic Maxwell Garnett theory, the observed plasmon resonance position was ascribed to the oblate shape of AuNPs formed in sandwich method. Finally, through experimental data, it was shown that the plasmon resonance position of Au:IO thin films can be tuned by 125 nm. The method shown here can be used to tune the plasmon resonance position over the entire range of visible region for the thin films made from other combinations of metal-dielectric pair.

  19. Corrosion, optical and magnetic properties of flexible iron nitride nano thin films deposited on polymer substrate

    NASA Astrophysics Data System (ADS)

    Khan, W. Q.; Wang, Qun; Jin, Xin; Yasin, G.

    2017-11-01

    Iron nitride thin films of different compositions and thicknesses were deposited on flexible polymer substrate in Ar/N2 atmosphere by reactive magnetron sputtering under varying nitrogen flow rates. The nano structured films were characterized by X-ray diffraction, UV-visible spectrophotometer, electrochemical impedance (EIS), atomic force (AFM) and transmission electron microscopies. The dependence of their functional properties on coating and growth conditions was studied in detail. It was found that the thin films show a uniform permeability in the frequency range of 200 MHz to 1 Ghz and can be used in this range without appreciable changes. Decrease of nitrogen flow rate resulted in the smoother surfaces which in turn increase transmittance quality and corrosion resistance. Functional properties are dependent of nature, relative concentration of the iron nitride phases and film thickness. Surface integrity is excellent for180 nm thick sample because the films appear to be very dense and free from open pores. By keeping sputtering power stable at 110 W, nitrogen flow rate of 10 sccm was ideal to develop the ferromagnetic γʹFe4N phase at room temperature.

  20. Synthesis, Properties, and Applications Of Boron Nitride

    NASA Technical Reports Server (NTRS)

    Pouch, John J.; Alterovitz, Samuel A.

    1993-01-01

    Report describes synthesis, properties, and applications of boron nitride. Especially in thin-film form. Boron nitride films useful as masks in x-ray lithography; as layers for passivation of high-speed microelectronic circuits; insulating films; hard, wear-resistant, protective films for optical components; lubricants; and radiation detectors. Present status of single-crystal growth of boron nitride indicates promising candidate for use in high-temperature semiconductor electronics.

  1. Silicon nitride protective coatings for silvered glass mirrors

    DOEpatents

    Tracy, C. Edwin; Benson, David K.

    1988-01-01

    A protective diffusion barrier for metalized mirror structures is provided by a layer or coating of silicon nitride which is a very dense, transparent, dielectric material that is impervious to water, alkali, and other impurities and corrosive substances that typically attack the metal layers of mirrors and cause degradation of the mirrors' reflectivity. The silicon nitride layer can be deposited on the substrate before metal deposition to stabilize the metal/substrate interface, and it can be deposited over the metal to encapsulate it and protect the metal from corrosion or other degradation. Mirrors coated with silicon nitride according to this invention can also be used as front surface mirrors.

  2. Silicon nitride protective coatings for silvered glass mirrors

    DOEpatents

    Tracy, C.E.; Benson, D.K.

    1984-07-20

    A protective diffusion barrier for metalized mirror structures is provided by a layer or coating of silicon nitride which is a very dense, transparent, dielectric material that is impervious to water, alkali, and other impurities and corrosive substances that typically attack the metal layers of mirrors and cause degradation of the mirrors' reflectivity. The silicon nitride layer can be deposited on the substrate prior to metal deposition thereon to stabilize the metal/substrate interface, and it can be deposited over the metal to encapsulate it and protect the metal from corrosion or other degradation. Mirrors coated with silicon nitride according to this invention can also be used as front surface mirrors.

  3. Intrinsic and extrinsic dielectric responses of CaCu3Ti4O12 thin films

    NASA Astrophysics Data System (ADS)

    Rubinger, C. P. L.; Moreira, R. L.; Ribeiro, G. M.; Matinaga, F. M.; Autier Laurent, S.; Mercey, B.; Lobo, R. P. S. M.

    2011-10-01

    CaCu3Ti4O12 thin films were epitaxially grown on (001) LaAlO3 substrates by pulsed laser deposition under optimized growth conditions. The crystal structure and sample morphology were characterized by x-ray diffraction, AFM, TEM, ellipsometry, and Raman spectroscopy. The dielectric responses of the films were investigated in a large temperature range (5 to 375 K) by infrared reflectivity and impedance spectroscopies. The films exhibited a colossal dielectric response, with the dielectric permittivity reaching 104 at 100 Hz. The results obtained in a broad frequency range allowed us to investigate the behavior of intrinsic and extrinsic dielectric responses of this material. The room temperature centrosymmetrical cubic structure remains stable down to 5 K, though softening of the lower frequency infrared phonon modes indicates an incipient ferroelectric character. The radio frequency dielectric response reveals two relaxations of extrinsic origin, a primary higher frequency relaxation responsible for the colossal dielectric behavior and a secondary one of lower frequency. The activation energies of these processes are compatible with the presence of shallow defect levels created by oxygen vacancies.

  4. Anomalous response of supported few-layer hexagonal boron nitride to DC electric fields: a confined water effect?

    NASA Astrophysics Data System (ADS)

    Oliveira, Camilla; Matos, Matheus; Mazzoni, Mário; Chacham, Hélio; Neves, Bernardo

    2013-03-01

    Hexagonal boron nitride (h-BN) is a two-dimensional compound from III-V family, with the atoms of boron and nitrogen arranged in a honeycomb lattice, similar to graphene. Unlike graphene though, h-BN is an insulator material, with a gap larger than 5 eV. Here, we use Electric Force Microscopy (EFM) to study the electrical response of mono and few-layers of h-BN to an electric field applied by the EFM tip. Our results show an anomalous behavior in the dielectric response for h-BN for different bias orientation: for a positive bias applied to the tip, h-BN layers respond with a larger dielectric constant than the dielectric constant of the silicon dioxide substrate; while for a negative bias, the h-BN dielectric constant is smaller than the dielectric constant of the substrate. Based on first-principles calculations, we showed that this anomalous response may be interpreted as a macroscopic consequence of confinement of a thin water layer between h-BN and substrate. These results were confirmed by sample annealing and also also by a comparative analysis with h-BN on a non-polar substrate. All the authors acknowledge financial support from CNPq, Fapemig, Rede Nacional de Pesquisa em Nanotubos de Carbono and INCT-Nano-Carbono.

  5. High quality superconducting titanium nitride thin film growth using infrared pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Torgovkin, A.; Chaudhuri, S.; Ruhtinas, A.; Lahtinen, M.; Sajavaara, T.; Maasilta, I. J.

    2018-05-01

    Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ∼17 μΩ cm and residual resistivity ratio up to 3 were observed in the best films, approaching reported single crystal film values, demonstrating that PLD is a good alternative to reactive sputtering for superconducting TiN film deposition. For less than ideal samples, the suppression of the film properties were correlated mostly with the unintended incorporation of oxygen (5–10 at%) in the film, and for high oxygen content films, vacuum annealing was also shown to increase the T C. On the other hand, superconducting properties were surprisingly insensitive to the nitrogen content, with high quality films achieved even in the highly nitrogen rich, Ti:N = 40/60 limit. Measures to limit oxygen exposure during deposition must be taken to guarantee the best superconducting film properties, a fact that needs to be taken into account with other deposition methods, as well.

  6. Thickness Dependent Structural and Dielectric Properties of Calcium Copper Titanate Thin Films Produced by Spin-Coating Method for Microelectronic Devices

    NASA Astrophysics Data System (ADS)

    Thiruramanathan, P.; Sankar, S.; Marikani, A.; Madhavan, D.; Sharma, Sanjeev K.

    2017-07-01

    Calcium copper titanate (CaCu3Ti4O12, CCTO) thin films have been deposited on platinized silicon [(111)Pt/Ti/SiO2/Si] substrate through a sol-gel spin coating technique and annealed at 600-900°C with a variation of 100°C per sample for 3 h. The activation energy for crystalline growth, as well as optimal annealing temperature (900°C) of the CCTO crystallites was studied by x-ray diffraction analysis (XRD). Thickness dependent structural, morphological, and optical properties of CCTO thin films were observed. The field emission scanning electron microscopy (FE-SEM) verified that the CCTO thin films are uniform, fully covered, densely packed, and the particle size was found to be increased with film thickness. Meanwhile, quantitative analysis of dielectric properties (interfacial capacitance, dead layers, and bulk dielectric constant) of CCTO thin film with metal-insulator-metal (M-I-M) structures has been investigated systematically using a series capacitor model. Room temperature dielectric properties of all the samples exhibit dispersion at low frequencies, which can be explained based on Maxwell-Wagner two-layer models and Koop's theory. It was found that the 483 nm thick CCTO film represents a high dielectric constant ( ɛ r = 3334), low loss (tan δ = 3.54), capacitance ( C = 4951 nF), which might satisfy the requirements of embedded capacitor.

  7. Reduction of Defects on Microstructure Aluminium Nitride Using High Temperature Annealing Heat Treatment

    NASA Astrophysics Data System (ADS)

    Tanasta, Z.; Muhamad, P.; Kuwano, N.; Norfazrina, H. M. Y.; Unuh, M. H.

    2018-03-01

    Aluminium Nitride (AlN) is a ceramic 111-nitride material that is used widely as components in functional devices. Besides good thermal conductivity, it also has a high band gap in emitting light which is 6 eV. AlN thin film is grown on the sapphire substrate (0001). However, lattice mismatch between both materials has caused defects to exist along the microstructure of AlN thin films. The defects have affected the properties of Aluminium Nitride. Annealing heat treatment has been proved by the previous researcher to be the best method to improve the microstructure of Aluminium Nitride thin films. Hence, this method is applied at four different temperatures for two hour. The changes of Aluminium Nitride microstructures before and after annealing is observed using Transmission Electron Microscope. It is observed that inversion domains start to occur at temperature of 1500 °C. Convergent Beam Electron Diffraction pattern simulation has confirmed the defects as inversion domain. Therefore, this paper is about to extract the matters occurred during the process of producing high quality Aluminium Nitride thin films and the ways to overcome this problem.

  8. High frequency scattering from a thin lossless dielectric slab. M.S. Thesis

    NASA Technical Reports Server (NTRS)

    Burgener, K. W.

    1979-01-01

    A solution for scattering from a thin dielectric slab is developed based on geometrical optics and the geometrical theory of diffraction with the intention of developing a model for a windshield of a small private aircraft for incorporation in an aircraft antenna code. Results of the theory are compared with experimental measurements and moment method calculations showing good agreement. Application of the solution is also addressed.

  9. Synthesis of atomically thin hexagonal boron nitride films on nickel foils by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Nakhaie, S.; Wofford, J. M.; Schumann, T.; Jahn, U.; Ramsteiner, M.; Hanke, M.; Lopes, J. M. J.; Riechert, H.

    2015-05-01

    Hexagonal boron nitride (h-BN) is a layered two-dimensional material with properties that make it promising as a dielectric in various applications. We report the growth of h-BN films on Ni foils from elemental B and N using molecular beam epitaxy. The presence of crystalline h-BN over the entire substrate is confirmed by Raman spectroscopy. Atomic force microscopy is used to examine the morphology and continuity of the synthesized films. A scanning electron microscopy study of films obtained using shorter depositions offers insight into the nucleation and growth behavior of h-BN on the Ni substrate. The morphology of h-BN was found to evolve from dendritic, star-shaped islands to larger, smooth triangular ones with increasing growth temperature.

  10. Semiconductor/dielectric interface engineering and characterization

    NASA Astrophysics Data System (ADS)

    Lucero, Antonio T.

    The focus of this dissertation is the application and characterization of several, novel interface passivation techniques for III-V semiconductors, and the development of an in-situ electrical characterization. Two different interface passivation techniques were evaluated. The first is interface nitridation using a nitrogen radical plasma source. The nitrogen radical plasma generator is a unique system which is capable of producing a large flux of N-radicals free of energetic ions. This was applied to Si and the surface was studied using x-ray photoelectron spectroscopy (XPS). Ultra-thin nitride layers could be formed from 200-400° C. Metal-oxide-semiconductor capacitors (MOSCAPs) were fabricated using this passivation technique. Interface nitridation was able to reduce leakage current and improve the equivalent oxide thickness of the devices. The second passivation technique studied is the atomic layer deposition (ALD) diethylzinc (DEZ)/water treatment of sulfur treated InGaAs and GaSb. On InGaAs this passivation technique is able to chemically reduce higher oxidation states on the surface, and the process results in the deposition of a ZnS/ZnO interface passivation layer, as determined by XPS. Capacitance-voltage (C-V) measurements of MOSCAPs made on p-InGaAs reveal a large reduction in accumulation dispersion and a reduction in the density of interfacial traps. The same technique was applied to GaSb and the process was studied in an in-situ half-cycle XPS experiment. DEZ/H2O is able to remove all Sb-S from the surface, forming a stable ZnS passivation layer. This passivation layer is resistant to further reoxidation during dielectric deposition. The final part of this dissertation is the design and construction of an ultra-high vacuum cluster tool for in-situ electrical characterization. The system consists of three deposition chambers coupled to an electrical probe station. With this setup, devices can be processed and subsequently electrically characterized

  11. Effectiveness of “Thin-Layer” and “Effective Medium” Approximations in Numerical Simulation of Dielectric Spectra of Biological Cell Suspensions

    NASA Astrophysics Data System (ADS)

    Asami, Koji

    2010-12-01

    There are a few concerns in dielectric modeling of biological cells by the finite-element method (FEM) to simulate their dielectric spectra. Cells possess thin plasma membranes and membrane-bound intracellular organelles, requiring extra fine meshes and considerable computational tasks in the simulation. To solve the problems, the “thin-layer” approximation (TLA) and the “effective medium” approximation (EMA) were adopted. TLA deals with the membrane as an interface of the specific membrane impedance, and therefore it is not necessary to divide the membrane region. EMA regards the composite cytoplasm as an effective homogeneous phase whose dielectric properties are calculated separately. It was proved that TLA and EMA were both useful for greatly reducing computational tasks while accurately coinciding with analytical solutions.

  12. Bottom electrodes dependence of microstructures and dielectric properties of compositionally graded (Ba{sub 1-x}Sr{sub x})TiO{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang Tianjin; Wang Jinzhao; Zhang Baishun

    2008-03-04

    Compositionally graded (Ba{sub 1-x}Sr{sub x})TiO{sub 3} (BST) thin films, with x decreasing from 0.3 to 0, were deposited on Pt/Ti/SiO{sub 2}/Si and Ru/SiO{sub 2}/Si substrates by radio frequency magnetron sputtering technology. The microstructure and dielectric properties of the graded BST thin films were investigated. It was found that the films on Ru electrode have better crystallization, and that RuO{sub 2} is present between the Ru bottom electrode and the graded BST thin films by X-ray diffraction and SEM analysis. Dielectric measurement reveals that the graded BST thin films deposited on Ru bottom electrode have higher dielectric constant and tunability. Themore » enhanced dielectric behavior is attributed to better crystallization as well as smaller space charge capacitance width and the formation of RuO{sub 2} that is more compatible with the BST films. The graded BST films on Ru electrode show higher leakage current due to lower barrier height and rougher surface of bottom electrode.« less

  13. Low-voltage high-performance organic thin film transistors with a thermally annealed polystyrene/hafnium oxide dielectric

    NASA Astrophysics Data System (ADS)

    Wang, Ying; Acton, Orb; Ting, Guy; Weidner, Tobias; Ma, Hong; Castner, David G.; Jen, Alex K.-Y.

    2009-12-01

    Low-voltage pentacene-based organic thin film transistors (OTFTs) are demonstrated with polystyrene (PS)/hafnium oxide (HfOx) hybrid dielectrics. Thermal annealing of PS films on HfOx at 120 °C (PS-120) induces a flatter orientation of the phenyl groups (tilt angle 65°) at the surface compared to PS films without annealing (PS-RT) (tilt angle 31°). The flatter phenyl group orientation leads to better matching of surface energy between pentacene and PS. Pentacene deposited on PS-120 display higher quality thin films with larger grain sizes and higher crystallinity. Pentacene OTFTs with PS-120/HfOx hybrid dielectrics can operate at low-voltage (<3 V) with high field-effect mobilities (1 cm2/V s), high on/off current ratios (106), and low subthreshold slopes (100 mV/dec).

  14. The structure study of thin semiconductor and dielectric films by diffraction of synchrotron radiation

    NASA Astrophysics Data System (ADS)

    Yurjev, G. S.; Fainer, N. I.; Maximovskiy, E. A.; Kosinova, M. L.; Sheromov, M. A.; Rumyantsev, Yu. M.

    1998-02-01

    The structure of semiconductor and dielectric thin (100-300 nm) films was studied by diffraction of synchrotron radiation. The diffraction experiments were performed at both the station "Anomalous scattering" of the storage ring synchrotron facility VEPP-3 and DRON-4 diffractometer. The structure of CdS thin films grown on fused silica, single Si(100) and InP(100) substrates was investigated. The structure of Cu 2S thin films grown on fused silica, single Si(100) substrates and CdS/Si(100)-heterostructure was studied. The structure study was performed on Si 3N 4 films grown on GaAs(100) substrates. The structure of thin BN layers grown on single Si(100) substrates was studied. It was established that structural parameters of above-mentioned thin films coincide on the parameters of JCPDS International Centre for Diffraction Data.

  15. Electrochemical Solution Growth of Magnetic Nitrides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Monson, Todd C.; Pearce, Charles

    Magnetic nitrides, if manufactured in bulk form, would provide designers of transformers and inductors with a new class of better performing and affordable soft magnetic materials. According to experimental results from thin films and/or theoretical calculations, magnetic nitrides would have magnetic moments well in excess of current state of the art soft magnets. Furthermore, magnetic nitrides would have higher resistivities than current transformer core materials and therefore not require the use of laminates of inactive material to limit eddy current losses. However, almost all of the magnetic nitrides have been elusive except in difficult to reproduce thin films or asmore » inclusions in another material. Now, through its ability to reduce atmospheric nitrogen, the electrochemical solution growth (ESG) technique can bring highly sought after (and previously inaccessible) new magnetic nitrides into existence in bulk form. This method utilizes a molten salt as a solvent to solubilize metal cations and nitrogen ions produced electrochemically and form nitrogen compounds. Unlike other growth methods, the scalable ESG process can sustain high growth rates (~mm/hr) even under reasonable operating conditions (atmospheric pressure and 500 °C). Ultimately, this translates into a high throughput, low cost, manufacturing process. The ESG process has already been used successfully to grow high quality GaN. Below, the experimental results of an exploratory express LDRD project to access the viability of the ESG technique to grow magnetic nitrides will be presented.« less

  16. Investigation on the growth of CaCu 3Ti 4O 12 thin film and the origins of its dielectric relaxations

    NASA Astrophysics Data System (ADS)

    Yuan, Wen-Xiang; Hark, S. K.; Xu, H. Y.; Mei, W. N.

    2012-01-01

    Using the radio frequency magnetron sputtering, CaCu 3Ti 4O 12 (CCTO) thin films were deposited on platinized silicon substrates. The influence of annealing temperature on structures and morphologies of the thin films was investigated. The high annealing temperature increased the crystallinity of the films. Temperature dependence of the dielectric constant revealed an amazing different characteristic of the dielectric relaxation at ˜10 MHz, whose characteristic frequency abnormally increased with the decrease of the measuring temperature unlike the relaxations due to extrinsic origins. Meanwhile, the dielectric constant at high frequencies was close to the value derived from the first principle calculation. All these gave the evidences to ascribe this relaxation to the intrinsic mechanism.

  17. Thin film dielectric composite materials

    DOEpatents

    Jia, Quanxi; Gibbons, Brady J.; Findikoglu, Alp T.; Park, Bae Ho

    2002-01-01

    A dielectric composite material comprising at least two crystal phases of different components with TiO.sub.2 as a first component and a material selected from the group consisting of Ba.sub.1-x Sr.sub.x TiO.sub.3 where x is from 0.3 to 0.7, Pb.sub.1-x Ca.sub.x TiO.sub.3 where x is from 0.4 to 0.7, Sr.sub.1-x Pb.sub.x TiO.sub.3 where x is from 0.2 to 0.4, Ba.sub.1-x Cd.sub.x TiO.sub.3 where x is from 0.02 to 0.1, BaTi.sub.1-x Zr.sub.x O.sub.3 where x is from 0.2 to 0.3, BaTi.sub.1-x Sn.sub.x O.sub.3 where x is from 0.15 to 0.3, BaTi.sub.1-x Hf.sub.x O.sub.3 where x is from 0.24 to 0.3, Pb.sub.1-1.3x La.sub.x TiO.sub.3+0.2x where x is from 0.23 to 0.3, (BaTiO.sub.3).sub.x (PbFeo.sub.0.5 Nb.sub.0.5 O.sub.3).sub.1-x where x is from 0.75 to 0.9, (PbTiO.sub.3).sub.- (PbCo.sub.0.5 W.sub.0.5 O.sub.3).sub.1-x where x is from 0.1 to 0.45, (PbTiO.sub.3).sub.x (PbMg.sub.0.5 W.sub.0.5 O.sub.3).sub.1-x where x is from 0.2 to 0.4, and (PbTiO.sub.3).sub.x (PbFe.sub.0.5 Ta.sub.0.5 O.sub.3).sub.1-x where x is from 0 to 0.2, as the second component is described. The dielectric composite material can be formed as a thin film upon suitable substrates.

  18. Observation of phonon-polaritons in thin flakes of hexagonal boron nitride on gold

    NASA Astrophysics Data System (ADS)

    Ciano, C.; Giliberti, V.; Ortolani, M.; Baldassarre, L.

    2018-04-01

    Hexagonal Boron Nitride (hBN) is a layered van der Waals material able to sustain hyperbolic phonon-polaritons within its mid-infrared reststrahlen bands. We study the effect of a metallic substrate adjacent to hBN flakes on the polariton dispersion and on the standing wave patterns in nanostructures by means of mid-infrared nanospectroscopy and nanoimaging. We exploit the gold-coated tip apex for atomic force microscopy to launch polaritons in thin hBN flakes. The photo-thermal induced mechanical resonance is used to detect the amplitude profile of polariton standing waves with a lateral resolution of 30 nm. We observe the polariton excitation spectra on hBN flakes as thin as 4 nm, thanks to the infrared field enhancement in the nanogap between the gold-coated tip apex and an ultraflat gold substrate. The data indicate no major effect of remote screening of the free electrons in gold on the phonon-polariton excitation that appears robust also against geometrical imperfections.

  19. Resistive switching phenomena of tungsten nitride thin films with excellent CMOS compatibility

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hong, Seok Man; Kim, Hee-Dong; An, Ho-Myoung

    2013-12-15

    Graphical abstract: - Highlights: • The resistive switching characteristics of WN{sub x} thin films. • Excellent CMOS compatibility WN{sub x} films as a resistive switching material. • Resistive switching mechanism revealed trap-controlled space charge limited conduction. • Good endurance and retention properties over 10{sup 5} cycles, and 10{sup 5} s, respectively - Abstract: We report the resistive switching (RS) characteristics of tungsten nitride (WN{sub x}) thin films with excellent complementary metal-oxide-semiconductor (CMOS) compatibility. A Ti/WN{sub x}/Pt memory cell clearly shows bipolar RS behaviors at a low voltage of approximately ±2.2 V. The dominant conduction mechanisms at low and high resistancemore » states were verified by Ohmic behavior and trap-controlled space-charge-limited conduction, respectively. A conducting filament model by a redox reaction explains the RS behavior in WN{sub x} films. We also demonstrate the memory characteristics during pulse operation, including a high endurance over >10{sup 5} cycles and a long retention time of >10{sup 5} s.« less

  20. Designing hybrid gate dielectric for fully printing high-performance carbon nanotube thin film transistors

    NASA Astrophysics Data System (ADS)

    Li, Qian; Li, Shilong; Yang, Dehua; Su, Wei; Wang, Yanchun; Zhou, Weiya; Liu, Huaping; Xie, Sishen

    2017-10-01

    The electrical characteristics of carbon nanotube (CNT) thin-film transistors (TFTs) strongly depend on the properties of the gate dielectric that is in direct contact with the semiconducting CNT channel materials. Here, we systematically investigated the dielectric effects on the electrical characteristics of fully printed semiconducting CNT-TFTs by introducing the organic dielectrics of poly(methyl methacrylate) (PMMA) and octadecyltrichlorosilane (OTS) to modify SiO2 dielectric. The results showed that the organic-modified SiO2 dielectric formed a favorable interface for the efficient charge transport in s-SWCNT-TFTs. Compared to single-layer SiO2 dielectric, the use of organic-inorganic hybrid bilayer dielectrics dramatically improved the performances of SWCNT-TFTs such as mobility, threshold voltage, hysteresis and on/off ratio due to the suppress of charge scattering, gate leakage current and charge trapping. The transport mechanism is related that the dielectric with few charge trapping provided efficient percolation pathways for charge carriers, while reduced the charge scattering. High density of charge traps which could directly act as physical transport barriers and significantly restrict the charge carrier transport and, thus, result in decreased mobile carriers and low device performance. Moreover, the gate leakage phenomenon is caused by conduction through charge traps. So, as a component of TFTs, the gate dielectric is of crucial importance to the manufacture of high quality TFTs from the aspects of affecting the gate leakage current and device operation voltage, as well as the charge carrier transport. Interestingly, the OTS-modified SiO2 allows to directly print horizontally aligned CNT film, and the corresponding devices exhibited a higher mobility than that of the devices with the hybrid PMMA/SiO2 dielectric although the thickness of OTS layer is only ˜2.5 nm. Our present result may provide key guidance for the further development of printed

  1. Low pressure growth of cubic boron nitride films

    NASA Technical Reports Server (NTRS)

    Ong, Tiong P. (Inventor); Shing, Yuh-Han (Inventor)

    1997-01-01

    A method for forming thin films of cubic boron nitride on substrates at low pressures and temperatures. A substrate is first coated with polycrystalline diamond to provide a uniform surface upon which cubic boron nitride can be deposited by chemical vapor deposition. The cubic boron nitride film is useful as a substitute for diamond coatings for a variety of applications in which diamond is not suitable. any tetragonal or hexagonal boron nitride. The cubic boron nitride produced in accordance with the preceding example is particularly well-suited for use as a coating for ultra hard tool bits and abrasives, especially those intended to use in cutting or otherwise fabricating iron.

  2. Effect of substitution group on dielectric properties of 4H-pyrano [3, 2-c] quinoline derivatives thin films

    NASA Astrophysics Data System (ADS)

    H, M. Zeyada; F, M. El-Taweel; M, M. El-Nahass; M, M. El-Shabaan

    2016-07-01

    The AC electrical conductivity and dielectrical properties of 2-amino-6-ethyl-5-oxo-4-(3-phenoxyphenyl)-5,6-dihydro-4H-pyrano[3, 2-c]quinoline-3-carbonitrile (Ph-HPQ) and 2-amino-4-(2-chlorophenyl)-6-ethyl-5-oxo-5,6-dihydro-4H-pyrano [3, 2-c] quinoline-3-carbonitrile (Ch-HPQ) thin films were determined in the frequency range of 0.5 kHz-5 MHz and the temperature range of 290-443 K. The AC electrical conduction of both compounds in thin film form is governed by the correlated barrier hopping (CBH) mechanism. Some parameters such as the barrier height, the maximum barrier height, the density of charges, and the hopping distance were determined as functions of temperature and frequency. The phenoxyphenyl group has a greater influence on those parameters than the chlorophenyl group. The AC activation energies were determined at different frequencies and temperatures. The dielectric behaviors of Ph-HPQ and Ch-HPQ were investigated using the impedance spectroscopy technique. The impedance data are presented in Nyquist diagrams for different temperatures. The Ch-HPQ films have higher impedance than the Ph-HPQ films. The real dielectric constant and dielectric loss show a remarkable dependence on the frequency and temperature. The Ph-HPQ has higher dielectric constants than the Ch-HPQ.

  3. Frequency-Stable Ionic-Type Hybrid Gate Dielectrics for High Mobility Solution-Processed Metal-Oxide Thin-Film Transistors

    PubMed Central

    Heo, Jae Sang; Choi, Seungbeom; Jo, Jeong-Wan; Kang, Jingu; Park, Ho-Hyun; Kim, Yong-Hoon; Park, Sung Kyu

    2017-01-01

    In this paper, we demonstrate high mobility solution-processed metal-oxide thin-film transistors (TFTs) by using a high-frequency-stable ionic-type hybrid gate dielectric (HGD). The HGD gate dielectric, a blend of sol-gel aluminum oxide (AlOx) and poly(4-vinylphenol) (PVP), exhibited high dielectric constant (ε~8.15) and high-frequency-stable characteristics (1 MHz). Using the ionic-type HGD as a gate dielectric layer, an minimal electron-double-layer (EDL) can be formed at the gate dielectric/InOx interface, enhancing the field-effect mobility of the TFTs. Particularly, using the ionic-type HGD gate dielectrics annealed at 350 °C, InOx TFTs having an average field-effect mobility of 16.1 cm2/Vs were achieved (maximum mobility of 24 cm2/Vs). Furthermore, the ionic-type HGD gate dielectrics can be processed at a low temperature of 150 °C, which may enable their applications in low-thermal-budget plastic and elastomeric substrates. In addition, we systematically studied the operational stability of the InOx TFTs using the HGD gate dielectric, and it was observed that the HGD gate dielectric effectively suppressed the negative threshold voltage shift during the negative-illumination-bias stress possibly owing to the recombination of hole carriers injected in the gate dielectric with the negatively charged ionic species in the HGD gate dielectric. PMID:28772972

  4. Effect of La and W dopants on dielectric and ferroelectric properties of PZT thin films prepared by sol-gel process

    NASA Astrophysics Data System (ADS)

    Xiao, Mi; Zhang, Zebin; Zhang, Weikang; Zhang, Ping

    2018-01-01

    La or W-doped lead zirconate titanate thin films (PLZT or PZTW) were prepared on platinized silicon substrates by sol-gel process. The effects of La or W dopant on the phase development, microstructure, dielectric and ferroelectric characteristics of films were studied. For PLZT films, the optimum doping concentration was found to be 2 mol%. While for PZTW films, the dielectric and ferroelectric properties were found to be improved as the doping concentration increased. The fatigue properties of PLZT and PZTW thin films were also investigated, the results showed that A- or B-site donor doping could improve the fatigue properties of PZT thin films. The theory of oxygen vacancy was used to explain the performance improvement caused by donor doping.

  5. Terahertz antireflection coating enabled by a subwavelength metallic mesh capped with a thin dielectric film

    DOE PAGES

    Huang, Li; Chen, Hou -Tong; Zeng, Beibei; ...

    2016-03-30

    Metamaterials/metasurfaces have enabled unprecedented manipulation of electromagnetic waves. Here we present a new design of metasurface structure functioning as antireflection coatings. The structure consists of a subwavelength metallic mesh capped with a thin dielectric layer on top of a substrate. By tailoring the geometric parameters of the metallic mesh and the refractive index and thickness of the capping dielectric film, reflection from the substrate can be completely eliminated at a specific frequency. Compared to traditional methods such as coatings with single- or multi-layer dielectric films, the metasurface antireflection coatings are much thinner and the requirement of index matching is largelymore » lifted. Here, this approach is particularly suitable for antireflection coatings in the technically challenging terahertz frequency range and is also applicable in other frequency regimes.« less

  6. Relaxorlike dielectric behavior in Ba0.7Sr0.3TiO3 thin films

    NASA Astrophysics Data System (ADS)

    Zednik, Ricardo J.; McIntyre, Paul C.; Baniecki, John D.; Ishii, Masatoshi; Shioga, Takeshi; Kurihara, Kazuaki

    2007-03-01

    We present the results of a systematic dielectric study for sputter deposited barium strontium titanate thin film planar capacitors measured over a wide temperature range of 20-575K for frequencies between 1kHz and 1MHz. Our observations of dielectric loss peaks in the temperature and frequency domains cannot be understood in the typical framework of intrinsic phonon losses. We find that the accepted phenomenological Curie-von Schweidler dielectric behavior (universal relaxation law) in our barium strontium titanate films is only applicable over a narrow temperature range. An excellent fit to the Vogel-Fulcher expression suggests relaxorlike behavior in these films. The activation energy of the observed phenomenon suggests that oxygen ion motion play a role in the apparent relaxor behavior, although further experimental work is required to test this hypothesis.

  7. Morphology, Structural and Dielectric Properties of Vacuum Evaporated V2O5 Thin Films

    NASA Astrophysics Data System (ADS)

    Sengodan, R.; Shekar, B. Chandar; Sathish, S.

    Vanadium pentoxide (V2O5) thin films were deposited on well cleaned glass substrate using evaporation technique under the pressure of 10-5 Torr. The thickness of the films was measured by the multiple beam interferometry technique and cross checked by using capacitance method. Metal-Insulator-Metal (MIM) structure was fabricated by using suitable masks to study dielectric properties. The dielectric properties were studied by employing LCR meter in the frequency range 12 Hz to 100 kHz for various temperatures. The temperature co- efficient of permittivity (TCP), temperature co-efficient of capacitance (TCC) and dielectric constant (ɛ) were calculated. The activation energy was calculated and found to be very low. The activation energy was found to be increasing with increase in frequency. The obtained low value of activation energy suggested that the hopping conduction may be due to electrons rather than ions.

  8. Optical constants, dispersion energy parameters and dielectric properties of ultra-smooth nanocrystalline BiVO4 thin films prepared by rf-magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Sarkar, S.; Das, N. S.; Chattopadhyay, K. K.

    2014-07-01

    BiVO4 thin films have been prepared through radio frequency (rf) magnetron sputtering of a pre-fabricated BiVO4 target on ITO coated glass (ITO-glass) substrate and bare glass substrates. BiVO4 target material was prepared through solid-state reaction method by heating Bi2O3 and V2O5 mixture at 800 °C for 8 h. The films were characterized by X-ray diffraction, UV-Vis spectroscopy, LCR meter, field emission scanning electron microscopy, transmission electron microscopy and atomic force microscopy. BiVO4 thin films deposited on the ITO-glass substrate are much smoother compared to the thin films prepared on bare glass substrate. The rms surface roughness calculated from the AFM images comes out to be 0.74 nm and 4.2 nm for the films deposited on the ITO-glass substrate and bare glass substrate for the deposition time 150 min respectively. Optical constants and energy dispersion parameters of these extra-smooth BiVO4 thin films have been investigated in detail. Dielectric properties of the BiVO4 thin films on ITO-glass substrate were also investigated. The frequency dependence of dielectric constant of the BiVO4 thin films has been measured in the frequency range from 20 Hz to 2 MHz. It was found that the dielectric constant increased from 145 to 343 at 20 Hz as the film thickness increased from 90 nm to 145 nm (deposition time increased from 60 min to 150 min). It shows higher dielectric constant compared to the literature value of BiVO4.

  9. Semi-transparent a-IGZO thin-film transistors with polymeric gate dielectric.

    PubMed

    Hyung, Gun Woo; Wang, Jian-Xun; Li, Zhao-Hui; Koo, Ja-Ryong; Kwon, Sang Jik; Cho, Eou-Sik; Kim, Young Kwan

    2013-06-01

    We report the fabrication of semi-transparent a-IGZO-based thin-film transistors (TFTs) with crosslinked poly-4-vinylphenol (PVP) gate dielectric layers on PET substrate and thermally-evaporated Al/Ag/Al source and drain (S&D) electrodes, which showed a transmittance of 64% at a 500-nm wavelength and sheet resistance of 16.8 omega/square. The semi-transparent a-IGZO TFTs with a PVP layer exhibited decent saturation mobilities (maximum approximately 5.8 cm2Ns) and on/off current ratios of approximately 10(6).

  10. Effect of CaRuO3 interlayer on the dielectric properties of Ba(Zr ,Ti)O3 thin films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Tang, X. G.; Tian, H. Y.; Wang, J.; Wong, K. H.; Chan, H. L. W.

    2006-10-01

    Ba(Zr0.2Ti0.8)O3 (BZT) thin films on Pt(111)/Ti /SiO2/Si(100) substrates without and with CaRuO3 (CRO) buffer layer were fabricated at 650°C in situ by pulsed laser deposition. The BZT thin films showed a dense morphology, many clusters are found on the surface images of BZT/Pt films, which are composed by nanosized grains of 25-35nm; the average grain size of BZT/CRO films is about 80nm, which lager than that of BZT/Pt thin film. The dielectric constants and dissipation factors of BZT/Pt and BZT/CRO thin films were 392 and 0.019 and 479 and 0.021 at 1MHz, respectively. The dielectric constant of BZT/Pt and BZT/CRO thin films changes significantly with applied dc bias field and has high tunabilities and figures of merit of ˜70% and 37 and 75% and 36, respectively, under an applied field of 400kV /cm. The possible microstructural background responsible for the high dielectric constant and tunability was discussed.

  11. Stoichiometry and thickness dependence of superconducting properties of niobium nitride thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beebe, Melissa R., E-mail: mrbeebe@email.wm.edu; Beringer, Douglas B.; Burton, Matthew C.

    2016-03-15

    The current technology used in linear particle accelerators is based on superconducting radio frequency (SRF) cavities fabricated from bulk niobium (Nb), which have smaller surface resistance and therefore dissipate less energy than traditional nonsuperconducting copper cavities. Using bulk Nb for the cavities has several advantages, which are discussed elsewhere; however, such SRF cavities have a material-dependent accelerating gradient limit. In order to overcome this fundamental limit, a multilayered coating has been proposed using layers of insulating and superconducting material applied to the interior surface of the cavity. The key to this multilayered model is to use superconducting thin films tomore » exploit the potential field enhancement when these films are thinner than their London penetration depth. Such field enhancement has been demonstrated in MgB{sub 2} thin films; here, the authors consider films of another type-II superconductor, niobium nitride (NbN). The authors present their work correlating stoichiometry and superconducting properties in NbN thin films and discuss the thickness dependence of their superconducting properties, which is important for their potential use in the proposed multilayer structure. While there are some previous studies on the relationship between stoichiometry and critical temperature T{sub C}, the authors are the first to report on the correlation between stoichiometry and the lower critical field H{sub C1}.« less

  12. Organic/Inorganic Nano-hybrids with High Dielectric Constant for Organic Thin Film Transistor Applications

    NASA Astrophysics Data System (ADS)

    Yu, Yang-Yen; Jiang, Ai-Hua; Lee, Wen-Ya

    2016-11-01

    The organic material soluble polyimide (PI) and organic-inorganic hybrid PI-barium titanate (BaTiO3) nanoparticle dielectric materials (IBX, where X is the concentration of BaTiO3 nanoparticles in a PI matrix) were successfully synthesized through a sol-gel process. The effects of various BaTiO3 contents on the hybrid film performance and performance optimization were investigated. Furthermore, pentacene-based organic thin film transistors (OTFTs) with PI-BaTiO3/polymethylmethacrylate or cyclic olefin copolymer (COC)-modified gate dielectrics were fabricated and examined. The hybrid materials showed effective dispersion of BaTiO3 nanoparticles in the PI matrix and favorable thermal properties. X-ray diffraction patterns revealed that the BaTiO3 nanoparticles had a perovskite structure. The hybrid films exhibited high formability and planarity. The IBX hybrid dielectric films exhibited tunable insulating properties such as the dielectric constant value and capacitance in ranges of 4.0-8.6 and 9.2-17.5 nF cm-2, respectively. Adding the modified layer caused the decrease of dielectric constant values and capacitances. The modified dielectric layer without cross-linking displayed a hydrophobic surface. The electrical characteristics of the pentacene-based OTFTs were enhanced after the surface modification. The optimal condition for the dielectric layer was 10 wt% hybrid film with the COC-modified layer; moreover, the device exhibited a threshold voltage of 0.12 V, field-effect mobility of 4.32 × 10-1 cm2 V-1 s-1, and on/off current of 8.4 × 107.

  13. Effect of dual-dielectric hydrogen-diffusion barrier layers on the performance of low-temperature processed transparent InGaZnO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Tari, Alireza; Wong, William S.

    2018-02-01

    Dual-dielectric SiOx/SiNx thin-film layers were used as back-channel and gate-dielectric barrier layers for bottom-gate InGaZnO (IGZO) thin-film transistors (TFTs). The concentration profiles of hydrogen, indium, gallium, and zinc oxide were analyzed using secondary-ion mass spectroscopy characterization. By implementing an effective H-diffusion barrier, the hydrogen concentration and the creation of H-induced oxygen deficiency (H-Vo complex) defects during the processing of passivated flexible IGZO TFTs were minimized. A bilayer back-channel passivation layer, consisting of electron-beam deposited SiOx on plasma-enhanced chemical vapor-deposition (PECVD) SiNx films, effectively protected the TFT active region from plasma damage and minimized changes in the chemical composition of the semiconductor layer. A dual-dielectric PECVD SiOx/PECVD SiNx gate-dielectric, using SiOx as a barrier layer, also effectively prevented out-diffusion of hydrogen atoms from the PECVD SiNx-gate dielectric to the IGZO channel layer during the device fabrication.

  14. Low-cost growth of magnesium doped gallium nitride thin films by sol-gel spin coating method

    NASA Astrophysics Data System (ADS)

    Amin, N. Mohd; Ng, S. S.

    2018-01-01

    Low-cost sol-gel spin coating growth of magnesium (Mg) doped gallium nitride (GaN) thin films with different concentrations of Mg was reported. The effects of the Mg concentration on the structural, surface morphology, elemental compositions, lattice vibrational, and electrical properties of the deposited films were investigated. X-ray diffraction results show that the Mg-doped samples have wurtzite structure with preferred orientation of GaN(002). The crystallite size decreases and the surface of the films with pits/pores were formed, while the crystalline quality of the films degraded as the Mg concentration increases from 2% to 6. %. All the Raman active phonon modes of the wurtzite GaN were observed while a broad peak attributed to the Mg-related lattice vibrational mode was detected at 669 cm-1. Hall effect results show that the resistivity of the thin films decreases while the hole concentration and hall mobility of thin films increases as the concentration of the Mg increases.

  15. Micromachined ultrasonic transducers with piezoelectric aluminum nitride thin films

    NASA Astrophysics Data System (ADS)

    Wang, Qianghua

    In this research, a laboratory prototype of micromachined ultrasonic transducer (MUT) has been designed and fabricated with the application of piezoelectric aluminum nitride (AlN) thin films. The fabrication process of MUT device, especially the deposition of AlN thin film, is compatible with a standard integrated circuits (IC) technology. Preliminary results have demonstrated the feasibility of AlN thin film applied in MUT for medical ultrasonic detection. AlN thin film was grown on aluminum metal layer by plasma source molecular beam epitaxy (PSMBE) system. X-ray diffraction (XRD) shows the films exhibit a high c-axis texture for a thickness of 1.2 mum grown at a temperature of 450°C. For the AlN film of 1.20 mum, residual stress was a compressive stress of 883 Mpa, which reduced with increasing thickness of the film. Based on the fundamentals of vibration and piezoelectricity, MUT device including silicon resonator and AlN sandwich structure has been designed. A prototype of 8 x 8 devices on a 3″ silicon (100) wafer has been fabricated. A series of experiments were conducted to find the process flow and the optimum process parameters. MUT devices were characterized by optical, electrical, and acoustic measurements. The measured resonant frequencies AlN MUT and PVDF MUT devices were larger than the calculated value in order of 5% to 12%. The ratios of the flexural frequencies to the fundamental frequency were much close to the MUT design model within a 3% error for AlN MUT devices. Resonant frequencies of AlN MUT devices were also verified by the reflection coefficient with a network analyzer and the electrical impedance with an impendence analyzer. Effective coupling factors of AlN MUT devices were determined to be 0.18 from the resonant frequency and the antiresonant frequency. Fractional bandwidth of an AlN MUT was 8.30% at the center frequency of 2.65 MHz. Pressure sensitivity was stable between 14 mV/MPa and 18 mV/MPa independent on the pressure intensity

  16. All-optically tunable EIT-like dielectric metasurfaces hybridized with thin phase change material layers

    NASA Astrophysics Data System (ADS)

    Petronijevic, Emilija; Sibilia, Concita

    2017-05-01

    Electromagnetically induced transparency (EIT), a pump-induced narrow transparency window within the absorption region of a probe, had offered new perspectives in slow-light control in atomic physics. For applications in nanophotonics, the implementation on chip-scaled devices has later been obtained by mimicking this effect by metallic metamaterials. High losses in visible and near infrared range of metal-based metamaterialls have recently opened a new field of all-dielectric metamaterials; a proper configuration of high refractive index dielectric nanoresonators can mimick this effect without losses to get high Q, slow-light response. The next step would be the ability to tune their optical response, and in this work we investigate thin layers of phase change materials (PCM) for all-optical control of EIT-like all-dielectric metamaterials. PCM can be nonvolatively and reversibly switched between two stable phases that differ in optical properties by applying a visible laser pulse. The device is based on Si nanoresonators covered by a thin layer of PCM GeTe; optical and transient thermal simulations have been done to find and optimize the fabrication parameters and switching parameters such as the intensity and duration of the pulse. We have found that the EIT-like response can be switched on and off by applying the 532nm laser pulse to change the phase of the upper GeTe layer. We strongly believe that such approach could open new perspectives in all-optically controlled slow-light metamaterials.

  17. Zirconium doped TiO{sub 2} thin films: A promising dielectric layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Arvind; Mondal, Sandip, E-mail: sandipmondal@physics.iisc.ernet.in; Rao, K. S. R. Koteswara

    2016-05-06

    In the present work, we have fabricated the zirconium doped TiO{sub 2} thin (ZTO) films from a facile spin – coating method. The addition of Zirconium in TiO{sub 2} offers conduction band offset to Si and consequently decreased the leakage current density by approximately two orders as compared to pure TiO{sub 2} thin (TO) films. The ZTO thin film shows a high dielectric constant 27 with a very low leakage current density ∼10{sup −8} A/cm{sup 2}. The oxide capacitate, flat band voltage and change in flat band voltage are 172 pF, -1.19 V and 54 mV. The AFM analysis confirmed the compactmore » and pore free flat surface. The RMS surface roughness is found to be 1.5 Å. The ellipsometry analysis also verified the fact with a high refractive index 2.21.« less

  18. Solution processed flexible organic thin film back-gated transistors based on polyimide dielectric films

    NASA Astrophysics Data System (ADS)

    Park, Janghoon; Min, Yoonki; Lee, Dongjin

    2018-04-01

    An organic thin film back-gated transistor (OBGT) was fabricated and characterized. The gate electrode was printed on the back side of substrate, and the dielectric layer was omitted by substituting the dielectric layer with the polyimide (PI) film substrate. Roll-to-roll (R2R) gravure printing, doctor blading, and drop casting methods were used to fabricate the OBGT. The printed OBGT device shows better performance compared with an OTFT device based on dielectric layer of BaTiO3. Additionally, a calendering process enhanced the performance by a factor of 3 to 7 (mobility: 0.016 cm2/V.s, on/off ratio: 9.17×103). A bending test was conducted to confirm the flexibility and durability of the OBGT device. The results show the fabricated device endures 20000-cyclic motions. The realized OBGT device was successfully fabricated and working, which is meaningful for production engineering from the viewpoint of process development.

  19. Boron Nitride Nanoribbons from Exfoliation of Boron Nitride Nanotubes

    NASA Technical Reports Server (NTRS)

    Hung, Ching-Cheh; Hurst, Janet; Santiago, Diana

    2017-01-01

    Two types of boron nitride nanotubes (BNNTs) were exfoliated into boron nitride nanoribbons (BNNR), which were identified using transmission electron microscopy: (1) commercial BNNTs with thin tube walls and small diameters. Tube unzipping was indicated by a large decrease of the sample's surface area and volume for pores less than 2 nm in diameter. (2) BNNTs with large diameters and thick walls synthesized at NASA Glenn Research Center. Here, tube unraveling was indicated by a large increase in external surface area and pore volume. For both, the exfoliation process was similar to the previous reported method to exfoliate commercial hexagonal boron nitride (hBN): Mixtures of BNNT, FeCl3, and NaF (or KF) were sequentially treated in 250 to 350 C nitrogen for intercalation, 500 to 750 C air for exfoliation, and finally HCl for purification. Property changes of the nanosized boron nitride throughout this process were also similar to the previously observed changes of commercial hBN during the exfoliation process: Both crystal structure (x-ray diffraction data) and chemical properties (Fourier-transform infrared spectroscopy data) of the original reactant changed after intercalation and exfoliation, but most (not all) of these changes revert back to those of the reactant once the final, purified products are obtained.

  20. Epitaxial growth and physical properties of ternary nitride thin films by polymer-assisted deposition

    NASA Astrophysics Data System (ADS)

    Enriquez, Erik; Zhang, Yingying; Chen, Aiping; Bi, Zhenxing; Wang, Yongqiang; Fu, Engang; Harrell, Zachary; Lü, Xujie; Dowden, Paul; Wang, Haiyan; Chen, Chonglin; Jia, Quanxi

    2016-08-01

    Epitaxial layered ternary metal-nitride FeMoN2, (Fe0.33Mo0.67)MoN2, CoMoN2, and FeWN2 thin films have been grown on c-plane sapphire substrates by polymer-assisted deposition. The ABN2 layer sits on top of the oxygen sublattices of the substrate with three possible matching configurations due to the significantly reduced lattice mismatch. The doping composition and elements affect not only the out-of-plane lattice parameters but also the temperature-dependent electrical properties. These films have resistivity in the range of 0.1-1 mΩ.cm, showing tunable metallic or semiconducting behaviors by adjusting the composition. A modified parallel connection channel model has been used to analyze the grain boundary and Coulomb blockade effect on the electrical properties. The growth of the high crystallinity layered epitaxial thin films provides an avenue to study the composition-structure-property relationship in ABN2 materials through A and B-site substitution.

  1. Tc depression and superconductor-insulator transition in molybdenum nitride thin films

    NASA Astrophysics Data System (ADS)

    Ichikawa, F.; Makise, K.; Tsuneoka, T.; Maeda, S.; Shinozaki, B.

    2018-03-01

    We have studied that the Tc depression and the superconductor-insulator transition (SIT) in molybdenum nitride (MoN) thin films. Thin films were fabricated by reactive DC magnetron sputtering method onto (100) MgO substrates in the mixture of Ar and N2 gases. Several dozen MoN thin films were prepared in the range of 3 nm < thickness d < 60 nm. The resistance was measured by a DC four-probe technique. It is found that Tc decreases from 6.6 K for thick films with increase of the normal state sheet resistance {R}{{sq}}{{N}} and experimental data were fitted to the Finkel’stein formula using the bulk superconducting transition temperature Tc 0 = 6.45 K and the elastic scattering time of electron τ = 1.6 × 10‑16 s. From this analysis the critical sheet resistance Rc is found about 2 kΩ, which is smaller than the quantum sheet resistance R Q. This value of Rc is almost the same as those for 2D NbN films. The value of τ for MoN films is also the similar value for NbN films 1.0 × 10‑16 s, while Tc 0 is different from that for NbN films 14.85 K. It is indicated that the mechanism of SIT for MoN films is similar to that of NbN films, while the mean free path ℓ for MoN films is larger than that for NbN films.

  2. Nanocomposites of polyimide and mixed oxide nanoparticles for high performance nanohybrid gate dielectrics in flexible thin film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Ju Hyun; Hwang, Byeong-Ung; Kim, Do-Il; Kim, Jin Soo; Seol, Young Gug; Kim, Tae Woong; Lee, Nae-Eung

    2017-05-01

    Organic gate dielectrics in thin film transistors (TFTs) for flexible display have advantages of high flexibility yet have the disadvantage of low dielectric constant (low- k). To supplement low- k characteristics of organic gate dielectrics, an organic/inorganic nanocomposite insulator loaded with high- k inorganic oxide nanoparticles (NPs) has been investigated but high loading of high- k NPs in polymer matrix is essential. Herein, compositing of over-coated polyimide (PI) on self-assembled (SA) layer of mixed HfO2 and ZrO2 NPs as inorganic fillers was used to make dielectric constant higher and leakage characteristics lower. A flexible TFT with lower the threshold voltage and high current on/off ratio could be fabricated by using the hybrid gate dielectric structure of the nanocomposite with SA layer of mixed NPs on ultrathin atomic-layer deposited Al2O3. [Figure not available: see fulltext.

  3. Electro-acoustic sensors based on AlN thin film: possibilities and limitations

    NASA Astrophysics Data System (ADS)

    Wingqvist, Gunilla

    2011-06-01

    The non-ferroelectric polar wurtzite aluminium nitride (AlN) material has been shown to have potential for various sensor applications both utilizing the piezoelectric effect directly for pressure sensors or indirectly for acoustic sensing of various physical, chemical and biochemical sensor applications. Especially, sputter deposited AlN thin films have played a central role for successful development of the thin film electro-acoustic technology. The development has been primarily driven by one device - the thin film bulk acoustic resonator (FBAR or TFBAR), with its primary use for high frequency filter applications for the telecom industry. AlN has been the dominating choice for commercial application due to compatibility with the integrated circuit technology, low acoustic and dielectric losses, high acoustic velocity in combination with comparably high (but still for some applications limited) electromechanical coupling. Recently, increased piezoelectric properties (and also electromechanical coupling) in the AlN through the alloying with scandium nitride (ScN) have been identified both experimentally and theoretically. Inhere, the utilization of piezoelectricity in electro-acoustic sensing will be discussed together with expectation on acoustic FBAR sensor performance with variation in piezoelectric material properties in the parameter space around AlN due to alloying, in view of the ScxAl1-xN (0

  4. Group III-nitride thin films grown using MBE and bismuth

    DOEpatents

    Kisielowski, Christian K.; Rubin, Michael

    2002-01-01

    The present invention comprises growing gallium nitride films in the presence of bismuth using MBE at temperatures of about 1000 K or less. The present invention further comprises the gallium nitride films fabricated using the inventive fabrication method. The inventive films may be doped with magnesium or other dopants. The gallium nitride films were grown on sapphire substrates using a hollow anode Constricted Glow Discharge nitrogen plasma source. When bismuth was used as a surfactant, two-dimensional gallium nitride crystal sizes ranging between 10 .mu.m and 20 .mu.m were observed. This is 20 to 40 times larger than crystal sizes observed when GaN films were grown under similar circumstances but without bismuth. It is thought that the observed increase in crystal size is due bismuth inducing an increased surface diffusion coefficient for gallium. The calculated value of 4.7.times.10.sup.-7 cm.sup.2 /sec. reveals a virtual substrate temperature of 1258 K which is 260 degrees higher than the actual one.

  5. Group III-nitride thin films grown using MBE and bismuth

    DOEpatents

    Kisielowski, Christian K.; Rubin, Michael

    2000-01-01

    The present invention comprises growing gallium nitride films in the presence of bismuth using MBE at temperatures of about 1000 K or less. The present invention further comprises the gallium nitride films fabricated using the inventive fabrication method. The inventive films may be doped with magnesium or other dopants. The gallium nitride films were grown on sapphire substrates using a hollow anode Constricted Glow Discharge nitrogen plasma source. When bismuth was used as a surfactant, two-dimensional gallium nitride crystal sizes ranging between 10 .mu.m and 20 .mu.m were observed. This is 20 to 40 times larger than crystal sizes observed when GaN films were grown under similar circumstances but without bismuth. It is thought that the observed increase in crystal size is due bismuth inducing an increased surface diffusion coefficient for gallium. The calculated value of 4.7.times.10.sup.-7 cm.sup.2 /sec. reveals a virtual substrate temperature of 1258 K which is 260 degrees higher than the actual one.

  6. Multifunctional cyanate ester nanocomposites reinforced by hexagonal boron nitride after noncovalent biomimetic functionalization.

    PubMed

    Wu, Hongchao; Kessler, Michael R

    2015-03-18

    Boron nitride (BN) reinforced polymer nanocomposites have attracted a growing research interest in the microelectronic industry for their uniquely thermal conductive but electrical insulating properties. To overcome the challenges in surface functionalization, in this study, hexagonal boron nitride (h-BN) nanoparticles were noncovalently modified with polydopamine in a solvent-free aqueous condition. The strong π-π interaction between the hexagonal structural BN and aromatic dopamine molecules facilitated 15 wt % polydopamine encapsulating the nanoparticles. High-performance bisphenol E cyanate ester (BECy) was incorporated by homogeneously dispersed h-BN at different loadings and functionalities to investigate their effects on thermo-mechanical, dynamic-mechanical, and dielectric properties, as well as thermal conductivity. Different theoretical and empirical models were successfully applied to predict thermal and dielectric properties of h-BN/BECy nanocomposites. Overall, the prepared h-BN/BECy nanocomposites exhibited outstanding performance in dimensional stability, dynamic-mechanical properties, and thermal conductivity, together with the controllable dielectric property and preserved thermal stability for high-temperature applications.

  7. Dielectric and transport properties of thin films precipitated from sols with silicon nanoparticles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kononov, N. N., E-mail: nnk@kapella.gpi.ru; Dorofeev, S. G.; Ishchenko, A. A.

    2011-08-15

    Dielectric properties of thin films precipitated on solid substrates from colloidal solutions containing silicon nanoparticles (average diameter is 10 nm) are studied by optical ellipsometry and impedance-spectroscopy. In the optical region, the values of real {epsilon} Prime and imaginary {epsilon} Double-Prime components of the complex permittivity {epsilon} vary within 2.1-1.1 and 0.25-0.75, respectively. These values are significantly lower than those of crystalline silicon. Using numerical simulation within the Bruggeman effective medium approximation, we show that the experimental {epsilon} Prime and {epsilon} Double-Prime spectra can be explained with good accuracy, assuming that the silicon film is a porous medium consisting ofmore » silicon monoxide (SiO) and air voids at a void ratio of 0.5. Such behavior of films is mainly caused by the effect of outer shells of silicon nanoparticles interacting with atmospheric oxygen on their dielectric properties. In the frequency range of 10-10{sup 6} Hz, the experimentally measured {epsilon} Prime and {epsilon} Double-Prime spectra of thin nanoscale silicon films are well approximated by the semi-empirical Cole-Cole dielectric dispersion law with the term related to free electric charges. The experimentally determined power-law frequency dependence of the ac conductivity means that the electrical transport in films is controlled by electric charge hopping through localized states in the unordered medium of outer shells of silicon nanoparticles composing films. It is found that the film conductivity at frequencies of {<=}2 Multiplication-Sign 10{sup 2} Hz is controlled by proton transport through Si-OH groups on the silicon nanoparticle surface.« less

  8. Piezoelectric actuated micro-resonators based on the growth of diamond on aluminum nitride thin films.

    PubMed

    Hees, J; Heidrich, N; Pletschen, W; Sah, R E; Wolfer, M; Williams, O A; Lebedev, V; Nebel, C E; Ambacher, O

    2013-01-18

    Unimorph heterostructures based on piezoelectric aluminum nitride (AlN) and diamond thin films are highly desirable for applications in micro- and nanoelectromechanical systems. In this paper, we present a new approach to combine thin conductive boron-doped as well as insulating nanocrystalline diamond (NCD) with sputtered AlN films without the need for any buffer layers between AlN and NCD or polishing steps. The zeta potentials of differently treated nanodiamond (ND) particles in aqueous colloids are adjusted to the zeta potential of AlN in water. Thereby, the nucleation density for the initial growth of diamond on AlN can be varied from very low (10(8) cm(-2)), in the case of hydrogen-treated ND seeding particles, to very high values of 10(11) cm(-2) for oxidized ND particles. Our approach yielding high nucleation densities allows the growth of very thin NCD films on AlN with thicknesses as low as 40 nm for applications such as microelectromechanical beam resonators. Fabricated piezo-actuated micro-resonators exhibit enhanced mechanical properties due to the incorporation of boron-doped NCD films. Highly boron-doped NCD thin films which replace the metal top electrode offer Young's moduli of more than 1000 GPa.

  9. Quantitative thickness measurement of polarity-inverted piezoelectric thin-film layer by scanning nonlinear dielectric microscopy

    NASA Astrophysics Data System (ADS)

    Odagawa, Hiroyuki; Terada, Koshiro; Tanaka, Yohei; Nishikawa, Hiroaki; Yanagitani, Takahiko; Cho, Yasuo

    2017-10-01

    A quantitative measurement method for a polarity-inverted layer in ferroelectric or piezoelectric thin film is proposed. It is performed nondestructively by scanning nonlinear dielectric microscopy (SNDM). In SNDM, linear and nonlinear dielectric constants are measured using a probe that converts the variation of capacitance related to these constants into the variation of electrical oscillation frequency. In this paper, we describe a principle for determining the layer thickness and some calculation results of the output signal, which are related to the radius of the probe tip and the thickness of the inverted layer. Moreover, we derive an equation that represents the relationship between the output signal and the oscillation frequency of the probe and explain how to determine the thickness from the measured frequency. Experimental results in Sc-doped AlN piezoelectric thin films that have a polarity-inverted layer with a thickness of 1.5 µm fabricated by radio frequency magnetron sputtering showed a fairly good value of 1.38 µm for the thickness of the polarity-inverted layer.

  10. Effects of external mechanical loading on phase diagrams and dielectric properties in epitaxial ferroelectric thin films with anisotropic in-plane misfit strains

    NASA Astrophysics Data System (ADS)

    Qiu, J. H.; Jiang, Q.

    2007-02-01

    A phenomenological Landau-Devonshine theory is used to describe the effects of external mechanical loading on equilibrium polarization states and dielectric properties in epitaxial ferroelectric thin films grown on dissimilar orthorhombic substrates which induce anisotropic misfit strains in the film plane. The calculation focuses on single-domain perovskite BaTiO3 and PbTiO3 thin films on the assumption that um1=-um2. Compared with the phase diagrams without external loading, the characteristic features of "misfit strain-misfit strain" phase diagrams at room temperature are the presence of paraelectric phase and the strain-induced ferroelectric to paraelectric phase transition. Due to the external loading, the "misfit strain-stress" and "stress-temperature" phase diagrams also have drastic changes, especially for the vanishing of paraelectric phase in "misfit strain-stress" phase map and the appearance of possible ferroelectric phases. We also investigate the dielectric properties and the tunability of both BaTiO3 and PbTiO3 thin films. We find that the external stress dependence of phase diagrams and dielectric properties largely depends on strain anisotropy as well.

  11. Thermal strain-induced dielectric anisotropy in Ba0.7Sr0.3TiO3 thin films grown on silicon-based substrates

    NASA Astrophysics Data System (ADS)

    Zhu, X. H.; Guigues, B.; Defaÿ, E.; Dubarry, C.; Aïd, M.

    2009-07-01

    Dielectric properties of Ba0.7Sr0.3TiO3 (BST) thin films, which were prepared on silicon-based substrates by ion beam sputtering and postdeposition annealing method, were systematically investigated in different electrode configurations of metal-insulator-metal and coplanar interdigital capacitors. It was found that a large dielectric anisotropy exists in the films with better in-plane dielectric properties (higher dielectric permittivity and tunability) than those along the out-of-plane direction. The observed anisotropic dielectric responses are explained qualitatively in terms of a thermal strain effect that is related to dissimilar film strains along the in-plane and out-of-plane directions. Another reason for the dielectric anisotropy is due to different influences of the interfacial low-dielectric layer between the BST film and the substrate (metal electrode).

  12. Work functions of hafnium nitride thin films as emitter material for field emitter arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gotoh, Yasuhito, E-mail: gotoh.yasuhito.5w@kyoto-u.ac.jp; Fujiwara, Sho; Tsuji, Hiroshi

    The work functions of hafnium nitride thin films prepared by radio-frequency magnetron sputtering were investigated in vacuum, before and after surface cleaning processes, with a view of improving the properties of as-fabricated field emitter arrays comprising hafnium nitride emitters. The measurement of the work function was first performed for the as-deposited films and then for films subjected to surface cleaning process, either thermal treatment or ion bombardment. Thermal treatment at a maximum temperature of 300 °C reduced the work function by 0.7 eV. Once the film was heated, the work function maintained the reduced value, even after cooling to room temperature. Amore » little change in the work function was observed for the second and third thermal treatments. The ion bombardment was conducted by exposing the sample to a thin plasma for different sample bias conditions and processing times. When the sample was biased at −10 V, the work function decreased by 0.6 eV. The work function reduction became saturated in the early stage of the ion bombardment. When the sample was biased at −50 V, the work function exhibited different behaviors, that is, first it decreased rapidly and then increased in response to the increase in processing time. The lowest attainable work function was found to be 4.00 eV. It should be noted that none of the work function values reported in this paper were obtained using surfaces that were demonstrated to be free from oxygen contamination. The present results suggest that the current–voltage characteristics of a field emitter array can be improved by a factor of 25–50 by the examined postprocesses.« less

  13. Broadband enhancement of dielectric light trapping nanostructure used in ultra-thin solar cells

    NASA Astrophysics Data System (ADS)

    Yang, Dong; Xu, Zhaopeng; Bian, Fei; Wang, Haiyan; Wang, Jiazhuang; Sun, Lu

    2018-03-01

    A dielectric fishnet nanostructure is designed to increase the light trapping capability of ultra-thin solar cells. The complex performance of ultra-thin cells such as the optical response and electrical response are fully quantified in simulation through a complete optoelectronic investigation. The results show that the optimized light trapping nanostructure can enhances the electromagnetic resonance in active layer then lead to extraordinary enhancement of both absorption and light-conversion capabilities in the solar cell. The short-circuit current density increases by 49.46% from 9.40 mA/cm2 to 14.05 mA/cm2 and light-conversion efficiency increases by 51.84% from 9.51% to 14.44% compared to the benchmark, a solar cell with an ITO-GaAs-Ag structure.

  14. Structure dependent resistivity and dielectric characteristics of tantalum oxynitride thin films produced by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Cristea, D.; Crisan, A.; Cretu, N.; Borges, J.; Lopes, C.; Cunha, L.; Ion, V.; Dinescu, M.; Barradas, N. P.; Alves, E.; Apreutesei, M.; Munteanu, D.

    2015-11-01

    The main purpose of this work is to present and to interpret the change of electrical properties of TaxNyOz thin films, produced by DC reactive magnetron sputtering. Some parameters were varied during deposition: the flow of the reactive gases mixture (N2 and O2, with a constant concentration ratio of 17:3); the substrate voltage bias (grounded, -50 V or -100 V) and the substrate (glass, (1 0 0) Si or high speed steel). The obtained films exhibit significant differences. The variation of the deposition parameters induces variations of the composition, microstructure and morphology. These differences cause variation of the electrical resistivity essentially correlated with the composition and structural changes. The gradual decrease of the Ta concentration in the films induces amorphization and causes a raise of the resistivity. The dielectric characteristics of some of the high resistance TaxNyOz films were obtained in the samples with a capacitor-like design (deposited onto high speed steel, with gold pads deposited on the dielectric TaxNyOz films). Some of these films exhibited dielectric constant values higher than those reported for other tantalum based dielectric films.

  15. Dyakonov surface waves at the interface between hexagonal-boron-nitride and isotropic material

    NASA Astrophysics Data System (ADS)

    Zhu, B.; Ren, G.; Gao, Y.; Wang, Q.; Wan, C.; Wang, J.; Jian, S.

    2016-12-01

    In this paper we analyze the propagation of Dyakonov surface waves (DSWs) at the interface between hexagonal-boron-nitride (h-BN) and isotropic dielectric material. Various properties of DSWs supported at the dielectric-elliptic and dielectric-hyperbolic types of interfaces have been theoretically investigated, including the real effective index, propagation length, the angular existence domain (AED) and the composition ratio of evanescent field components in an h-BN crystal and isotropic dielectric material, respectively. The analysis in this paper reveals that h-BN could be a promising anisotropic material to observe the propagation of DSWs and may have potential diverse applications, such as high sensitivity stress sensing or optical sensing of analytes infiltrating dielectric materials.

  16. Method and apparatus for stable silicon dioxide layers on silicon grown in silicon nitride ambient

    NASA Technical Reports Server (NTRS)

    Cohen, R. A.; Wheeler, R. K. (Inventor)

    1974-01-01

    A method and apparatus for thermally growing stable silicon dioxide layers on silicon is disclosed. A previously etched and baked silicon nitride tube placed in a furnace is used to grow the silicon dioxide. First, pure oxygen is allowed to flow through the tube to initially coat the inside surface of the tube with a thin layer of silicon dioxide. After the tube is coated with the thin layer of silicon dioxide, the silicon is oxidized thermally in a normal fashion. If the tube becomes contaminated, the silicon dioxide is etched off thereby exposing clean silicon nitride and then the inside of the tube is recoated with silicon dioxide. As is disclosed, the silicon nitride tube can also be used as the ambient for the pyrolytic decomposition of silane and ammonia to form thin layers of clean silicon nitride.

  17. Influence of stress on the structural and dielectric properties of rf magnetron sputtered zinc oxide thin film

    NASA Astrophysics Data System (ADS)

    Menon, Rashmi; Sreenivas, K.; Gupta, Vinay

    2008-05-01

    Highly c axis oriented zinc oxide (ZnO) thin films have been prepared on 1737 Corning glass substrate by planar rf magnetron sputtering under varying pressure (10-50mTorr) and different oxygen percentage (40%-100%) in reactive gas mixtures. The as-grown ZnO thin films were found to have stress over a wide range from -6×1010to-9×107dynes/cm2. The presence of stress depends strongly on processing conditions, and films become almost stress free under a unique combination of sputtering pressure and reactive gas composition. The studies show a correlation of stress with structural and electrical properties of the ZnO thin film. The stressed films possess high electrical conductivity and exhibits strong dielectric dispersion over a wide frequency (1kHz-1MHz). The dielectric constant ɛ'(ω) of stress free ZnO film was almost frequency independent and was close to the bulk value. The measured value of dc conductivity, σdc(ω) and ac conductivity σac(ω) of stress free ZnO film was 1.3×10-9 and 6.8×10-5Ω-1cm-1, respectively. The observed variation in the structural and electrical properties of ZnO thin film with stress has been analyzed in the light of growth kinetics.

  18. Monolithic microcircuit techniques and processes

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1972-01-01

    Brief discussions of the techniques used to make dielectric and metal thin film depositions for monolithic circuits are presented. Silicon nitride deposition and the properties of silicon nitride films are discussed. Deposition of dichlorosilane and thermally grown silicon dioxide are reported. The deposition and thermal densification of borosilicate, aluminosilicate, and phosphosilicate glasses are discussed. Metallization for monolithic circuits and the characteristics of thin films are also included.

  19. Direct Growth of III-Nitride Nanowire-Based Yellow Light-Emitting Diode on Amorphous Quartz Using Thin Ti Interlayer

    NASA Astrophysics Data System (ADS)

    Prabaswara, Aditya; Min, Jung-Wook; Zhao, Chao; Janjua, Bilal; Zhang, Daliang; Albadri, Abdulrahman M.; Alyamani, Ahmed Y.; Ng, Tien Khee; Ooi, Boon S.

    2018-02-01

    Consumer electronics have increasingly relied on ultra-thin glass screen due to its transparency, scalability, and cost. In particular, display technology relies on integrating light-emitting diodes with display panel as a source for backlighting. In this study, we undertook the challenge of integrating light emitters onto amorphous quartz by demonstrating the direct growth and fabrication of a III-nitride nanowire-based light-emitting diode. The proof-of-concept device exhibits a low turn-on voltage of 2.6 V, on an amorphous quartz substrate. We achieved 40% transparency across the visible wavelength while maintaining electrical conductivity by employing a TiN/Ti interlayer on quartz as a translucent conducting layer. The nanowire-on-quartz LED emits a broad linewidth spectrum of light centered at true yellow color ( 590 nm), an important wavelength bridging the green-gap in solid-state lighting technology, with significantly less strain and dislocations compared to conventional planar quantum well nitride structures. Our endeavor highlighted the feasibility of fabricating III-nitride optoelectronic device on a scalable amorphous substrate through facile growth and fabrication steps. For practical demonstration, we demonstrated tunable correlated color temperature white light, leveraging on the broadly tunable nanowire spectral characteristics across red-amber-yellow color regime.

  20. Direct Growth of III-Nitride Nanowire-Based Yellow Light-Emitting Diode on Amorphous Quartz Using Thin Ti Interlayer.

    PubMed

    Prabaswara, Aditya; Min, Jung-Wook; Zhao, Chao; Janjua, Bilal; Zhang, Daliang; Albadri, Abdulrahman M; Alyamani, Ahmed Y; Ng, Tien Khee; Ooi, Boon S

    2018-02-06

    Consumer electronics have increasingly relied on ultra-thin glass screen due to its transparency, scalability, and cost. In particular, display technology relies on integrating light-emitting diodes with display panel as a source for backlighting. In this study, we undertook the challenge of integrating light emitters onto amorphous quartz by demonstrating the direct growth and fabrication of a III-nitride nanowire-based light-emitting diode. The proof-of-concept device exhibits a low turn-on voltage of 2.6 V, on an amorphous quartz substrate. We achieved ~ 40% transparency across the visible wavelength while maintaining electrical conductivity by employing a TiN/Ti interlayer on quartz as a translucent conducting layer. The nanowire-on-quartz LED emits a broad linewidth spectrum of light centered at true yellow color (~ 590 nm), an important wavelength bridging the green-gap in solid-state lighting technology, with significantly less strain and dislocations compared to conventional planar quantum well nitride structures. Our endeavor highlighted the feasibility of fabricating III-nitride optoelectronic device on a scalable amorphous substrate through facile growth and fabrication steps. For practical demonstration, we demonstrated tunable correlated color temperature white light, leveraging on the broadly tunable nanowire spectral characteristics across red-amber-yellow color regime.

  1. Flexible high-temperature dielectric materials from polymer nanocomposites.

    PubMed

    Li, Qi; Chen, Lei; Gadinski, Matthew R; Zhang, Shihai; Zhang, Guangzu; Li, Haoyu; Iagodkine, Elissei; Haque, Aman; Chen, Long-Qing; Jackson, Tom; Wang, Qing

    2015-07-30

    Dielectric materials, which store energy electrostatically, are ubiquitous in advanced electronics and electric power systems. Compared to their ceramic counterparts, polymer dielectrics have higher breakdown strengths and greater reliability, are scalable, lightweight and can be shaped into intricate configurations, and are therefore an ideal choice for many power electronics, power conditioning, and pulsed power applications. However, polymer dielectrics are limited to relatively low working temperatures, and thus fail to meet the rising demand for electricity under the extreme conditions present in applications such as hybrid and electric vehicles, aerospace power electronics, and underground oil and gas exploration. Here we describe crosslinked polymer nanocomposites that contain boron nitride nanosheets, the dielectric properties of which are stable over a broad temperature and frequency range. The nanocomposites have outstanding high-voltage capacitive energy storage capabilities at record temperatures (a Weibull breakdown strength of 403 megavolts per metre and a discharged energy density of 1.8 joules per cubic centimetre at 250 degrees Celsius). Their electrical conduction is several orders of magnitude lower than that of existing polymers and their high operating temperatures are attributed to greatly improved thermal conductivity, owing to the presence of the boron nitride nanosheets, which improve heat dissipation compared to pristine polymers (which are inherently susceptible to thermal runaway). Moreover, the polymer nanocomposites are lightweight, photopatternable and mechanically flexible, and have been demonstrated to preserve excellent dielectric and capacitive performance after intensive bending cycles. These findings enable broader applications of organic materials in high-temperature electronics and energy storage devices.

  2. Flexible high-temperature dielectric materials from polymer nanocomposites

    NASA Astrophysics Data System (ADS)

    Li, Qi; Chen, Lei; Gadinski, Matthew R.; Zhang, Shihai; Zhang, Guangzu; Li, Haoyu; Haque, Aman; Chen, Long-Qing; Jackson, Tom; Wang, Qing

    2015-07-01

    Dielectric materials, which store energy electrostatically, are ubiquitous in advanced electronics and electric power systems. Compared to their ceramic counterparts, polymer dielectrics have higher breakdown strengths and greater reliability, are scalable, lightweight and can be shaped into intricate configurations, and are therefore an ideal choice for many power electronics, power conditioning, and pulsed power applications. However, polymer dielectrics are limited to relatively low working temperatures, and thus fail to meet the rising demand for electricity under the extreme conditions present in applications such as hybrid and electric vehicles, aerospace power electronics, and underground oil and gas exploration. Here we describe crosslinked polymer nanocomposites that contain boron nitride nanosheets, the dielectric properties of which are stable over a broad temperature and frequency range. The nanocomposites have outstanding high-voltage capacitive energy storage capabilities at record temperatures (a Weibull breakdown strength of 403 megavolts per metre and a discharged energy density of 1.8 joules per cubic centimetre at 250 degrees Celsius). Their electrical conduction is several orders of magnitude lower than that of existing polymers and their high operating temperatures are attributed to greatly improved thermal conductivity, owing to the presence of the boron nitride nanosheets, which improve heat dissipation compared to pristine polymers (which are inherently susceptible to thermal runaway). Moreover, the polymer nanocomposites are lightweight, photopatternable and mechanically flexible, and have been demonstrated to preserve excellent dielectric and capacitive performance after intensive bending cycles. These findings enable broader applications of organic materials in high-temperature electronics and energy storage devices.

  3. Launching Phonon Polaritons by Natural Boron Nitride Wrinkles with Modifiable Dispersion by Dielectric Environments.

    PubMed

    Duan, Jiahua; Chen, Runkun; Li, Jingcheng; Jin, Kuijuan; Sun, Zhigang; Chen, Jianing

    2017-10-01

    Interference-free hyperbolic phonon polaritons (HPPs) excited by natural wrinkles in a hexagonal boron nitride (hBN) microcrystal are reported both experimentally and theoretically. Although their geometries are off-resonant with the excitation wavelength, the wrinkles compensate for the large momentum mismatch between photon and phonon polariton, and launch the HPPs without interference. The spatial feature of wrinkles is about 200 nm, which is an order of magnitude smaller than resonant metal antennas at the same excitation wavelength. Compared with phonon polaritons launched by an atomic force microscopy tip, the phonon polaritons launched by wrinkles are interference-free, independent of the launcher geometry, and exhibit a smaller damping rate (γ ≈ 0.028). On the same hBN microcrystal, in situ nanoinfrared imaging of HPPs launched by different mechanisms is performed. In addition, the dispersion of HPPs is modified by changing the dielectric environments of hBN crystals. The wavelength of HPPs is compressed twofold when the substrate is changed from SiO 2 to gold. The findings provide insights into the intrinsic properties of hBN-HPPs and demonstrate a new way to launch and control polaritons in van der Waals materials. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Improvement in temperature dependence and dielectric tunability properties of PbZr0.52Ti0.48O3 thin films using Ba(Mg1/3Ta2/3)O3 buffer layer

    NASA Astrophysics Data System (ADS)

    Wu, Zhi; Zhou, Jing; Chen, Wen; Shen, Jie; Yang, Huimin; Zhang, Shisai; Liu, Yueli

    2016-12-01

    In this paper, Pb(Zr0.52Ti0.48)O3 (PZT) thin films were prepared via sol-gel method. The effects of Ba(Mg1/3Ta2/3)O3 (BMT) buffer layer on the temperature dependence and dielectric tunability properties of PZT thin films were studied. As the thickness of BMT buffer layer increases, the tan δ and tunability of PZT thin films decrease while tunability still maintains above 10%. This result shows that BMT buffer layer can improve the dielectric tunability properties of PZT thin films. Furthermore, the temperature coefficient of the dielectric constant decreases from 2333.4 to 906.9 ppm/°C with the thickness of BMT buffer layer increasing in the range from 25 to 205 °C, indicating that BMT buffer layer can improve the temperature stability of PZT thin films. Therefore, BMT buffer layer plays a critical role in improving temperature dependence and dielectric tunability properties of PbZr0.52Ti0.48O3 thin films.

  5. Low-voltage bendable pentacene thin-film transistor with stainless steel substrate and polystyrene-coated hafnium silicate dielectric.

    PubMed

    Yun, Dong-Jin; Lee, Seunghyup; Yong, Kijung; Rhee, Shi-Woo

    2012-04-01

    The hafnium silicate and aluminum oxide high-k dielectrics were deposited on stainless steel substrate using atomic layer deposition process and octadecyltrichlorosilane (OTS) and polystyrene (PS) were treated improve crystallinity of pentacene grown on them. Besides, the effects of the pentacene deposition condition on the morphologies, crystallinities and electrical properties of pentacene were characterized. Therefore, the surface treatment condition on dielectric and pentacene deposition conditions were optimized. The pentacene grown on polystyrene coated high-k dielectric at low deposition rate and temperature (0.2-0.3 Å/s and R.T.) showed the largest grain size (0.8-1.0 μm) and highest crystallinity among pentacenes deposited various deposition conditions, and the pentacene TFT with polystyrene coated high-k dielectric showed excellent device-performance. To decrease threshold voltage of pentacene TFT, the polystyrene-thickness on high-k dielectric was controlled using different concentration of polystyrene solution. As the polystyrene-thickness on hafnium silicate decreases, the dielectric constant of polystyrene/hafnium silicate increases, while the crystallinity of pentacene grown on polystyrene/hafnium silicate did not change. Using low-thickness polystyrene coated hafnium silicate dielectric, the high-performance and low voltage operating (<5 V) pentacene thin film transistor (μ: ~2 cm(2)/(V s), on/off ratio, >1 × 10(4)) and complementary inverter (DC gains, ~20) could be fabricated.

  6. A uniform GTD analysis of the EM diffraction by a thin dielectric/ferrite half-plane and related configurations

    NASA Technical Reports Server (NTRS)

    Rojas, Roberto G.

    1985-01-01

    A uniform geometrical theory of diffraction (UTD) solution is developed for the problem of the diffraction by a thin dielectric/ferrite half plane when it is excited by a plane, cylindrical, or surface wave field. Both transverse electric and transverse magnetic cases are considered. The solution of this problem is synthesized from the solutions to the related problems of EM diffraction by configurations involving perfectly conducting electric and magnetic walls covered by a dielectric/ferrite half-plane of one half the thickness of the original half-plane.

  7. Epitaxial growth and physical properties of ternary nitride thin films by polymer-assisted deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Enriquez, Erik M.; Zhang, Yingying; Chen, Aiping

    2016-08-26

    Epitaxial layered ternary metal-nitride FeMoN 2, (Fe 0.33 Mo 0.67)MoN 2, CoMoN 2, and FeWN 2 thin films have been grown on c-plane sapphire substrates by polymer-assisted deposition. The ABN 2 layer sits on top of the oxygen sublattices of the substrate with three possible matching configurations due to the significantly reduced lattice mismatch. The doping composition and elements affect not only the out-of-plane lattice parameters but also the temperature-dependent electrical properties. These films have resistivity in the range of 0.1–1 mΩ·cm, showing tunable metallic or semiconducting behaviors by adjusting the composition. A modified parallel connection channel model has beenmore » used to analyze the grain boundary and Coulomb blockade effect on the electrical properties. Furthermore, the growth of the high crystallinity layered epitaxial thin films provides an avenue to study the composition-structure-property relationship in ABN 2 materials through A and B-site substitution.« less

  8. Thin-walled boron nitride microtubes exhibiting intense band-edge UV emission at room temperature.

    PubMed

    Huang, Yang; Bando, Yoshio; Tang, Chengchun; Zhi, Chunyi; Terao, Takeshi; Dierre, Benjamin; Sekiguchi, Takashi; Golberg, Dmitri

    2009-02-25

    Boron nitride (BN) microtubes were synthesized in a vertical induction furnace using Li(2)CO(3) and B reactants. Their structures and morphologies were investigated using x-ray diffraction, scanning and transmission electron microscopy, and energy-dispersive x-ray spectroscopy. The microtubes have diameters of 1-3 microm, lengths of up to hundreds of micrometers, and well-structured ultrathin walls only approximately 50 nm thick. A mechanism combining the vapor-liquid-solid (VLS) and template self-sacrificing processes is proposed to explain the formation of these novel one-dimensional microstructures, in which the Li(2)O-B(2)O(3) eutectic reaction plays an important role. Cathodoluminescence studies show that even at room temperature the thin-walled BN microtubes can possess an intense band-edge emission at approximately 216.5 nm, which is distinct compared with other BN nanostructures. The study suggests that the thin-walled BN microtubes should be promising for constructing compact deep UV devices and find potential applications in microreactors and microfluidic and drug delivery systems.

  9. Structure, Mechanics and Synthesis of Nanoscale Carbon and Boron Nitride

    NASA Astrophysics Data System (ADS)

    Rinaldo, Steven G.

    This thesis is divided into two parts. In Part I, we examine the properties of thin sheets of carbon and boron nitride. We begin with an introduction to the theory of elastic sheets, where the stretching and bending modes are considered in detail. The coupling between stretching and bending modes is thought to play a crucial role in the thermodynamic stability of atomically-thin 2D sheets such as graphene. In Chapter 2, we begin by looking at the fabrication of suspended, atomically thin sheets of graphene. We then study their mechanical resonances which are read via an optical transduction technique. The frequency of the resonators was found to depend on their temperature, as was their quality factor. We conclude by offering some interpretations of the data in terms of the stretching and bending modes of graphene. In Chapter 3, we look briefly at the fabrication of thin sheets of carbon and boron nitride nanotubes. We examine the structure of the sheets using transmission and scanning electron microscopy (TEM and SEM, respectively). We then show a technique by which one can make sheets suspended over a trench with adjustable supports. Finally, DC measurements of the resistivity of the sheets in the temperature range 600 -- 1400 C are presented. In Chapter 4, we study the folding of few-layer graphene oxide, graphene and boron nitride into 3D aerogel monoliths. The properties of graphene oxide are first considered, after which the structure of graphene and boron nitride aerogels is examined using TEM and SEM. Some models for their structure are proposed. In Part II, we look at synthesis techniques for boron nitride (BN). In Chapter 5, we study the conversion of carbon structures of boron nitride via the application of carbothermal reduction of boron oxide followed by nitridation. We apply the conversion to a wide variety of morphologies, including aerogels, carbon fibers and nanotubes, and highly oriented pyrolytic graphite. In the latter chapters, we look at the

  10. Structure and performance of dielectric films based on self-assembled nanocrystals with a high dielectric constant.

    PubMed

    Huang, Limin; Liu, Shuangyi; Van Tassell, Barry J; Liu, Xiaohua; Byro, Andrew; Zhang, Henan; Leland, Eli S; Akins, Daniel L; Steingart, Daniel A; Li, Jackie; O'Brien, Stephen

    2013-10-18

    Self-assembled films built from nanoparticles with a high dielectric constant are attractive as a foundation for new dielectric media with increased efficiency and range of operation, due to the ability to exploit nanofabrication techniques and emergent electrical properties originating from the nanoscale. However, because the building block is a discrete one-dimensional unit, it becomes a challenge to capture potential enhancements in dielectric performance in two or three dimensions, frequently due to surface effects or the presence of discontinuities. This is a recurring theme in nanoparticle film technology when applied to the realm of thin film semiconductor and device electronics. We present the use of chemically synthesized (Ba,Sr)TiO3 nanocrystals, and a novel deposition-polymerization technique, as a means to fabricate the dielectric layer. The effective dielectric constant of the film is tunable according to nanoparticle size, and effective film dielectric constants of up to 34 are enabled. Wide area and multilayer dielectrics of up to 8 cm(2) and 190 nF are reported, for which the building block is an 8 nm nanocrystal. We describe models for assessing dielectric performance, and distinct methods for improving the dielectric constant of a nanocrystal thin film. The approach relies on evaporatively driven assembly of perovskite nanocrystals with uniform size distributions in a tunable 7-30 nm size range, coupled with the use of low molecular weight monomer/polymer precursor chemistry that can infiltrate the porous nanocrystal thin film network post assembly. The intercrystal void space (low k dielectric volume fraction) is minimized, while simultaneously promoting intercrystal connectivity and maximizing volume fraction of the high k dielectric component. Furfuryl alcohol, which has good affinity to the surface of (Ba,Sr)TiO3 nanocrystals and miscibility with a range of solvents, is demonstrated to be ideal for the production of nanocomposites. The

  11. Electrical transport and capacitance characteristics of metal-insulator-metal structures using hexagonal and cubic boron nitride films as dielectrics

    NASA Astrophysics Data System (ADS)

    Teii, Kungen; Kawamoto, Shinsuke; Fukui, Shingo; Matsumoto, Seiichiro

    2018-04-01

    Metal-insulator-metal capacitor structures using thick hexagonal and cubic boron nitride (hBN and cBN) films as dielectrics are produced by plasma jet-enhanced chemical vapor deposition, and their electrical transport and capacitance characteristics are studied in a temperature range of 298 to 473 K. The resistivity of the cBN film is of the order of 107 Ω cm at 298 K, which is lower than that of the hBN film by two orders of magnitude, while it becomes the same order as the hBN film above ˜423 K. The dominant current transport mechanism at high fields (≥1 × 104 V cm-1) is described by the Frenkel-Poole emission and thermionic emission models for the hBN and cBN films, respectively. The capacitance of the hBN film remains stable for a change in alternating-current frequency and temperature, while that of the cBN film has variations of at most 18%. The dissipation factor as a measure of energy loss is satisfactorily low (≤5%) for both films. The origin of leakage current and capacitance variation is attributed to a high defect density in the film and a transition interlayer between the substrate and the film, respectively. This suggests that cBN films with higher crystallinity, stoichiometry, and phase purity are potentially applicable for dielectrics like hBN films.

  12. Millimeter Wave Sensor For On-Line Inspection Of Thin Sheet Dielectrics

    DOEpatents

    Bakhtiari, Sasan; Gopalsami, Nachappa; Raptis, Apostolos C.

    1999-03-23

    A millimeter wave sensor is provided for non-destructive inspection of thin sheet dielectric materials. The millimeter wave sensor includes a Gunn diode oscillator (GDO) source generating a mill meter wave electromagnetic energy signal having a single frequency. A heater is coupled to the GDO source for stabilizing the single frequency. A small size antenna is coupled to the GDO source for transmitting the millimeter wave electromagnetic energy signal to a sample material and for receiving a reflected millimeter wave electromagnetic energy signal from the sample material. Ferrite circulator isolators coupled between the GDO source and the antenna separate the millimeter wave electromagnetic energy signal into transmitted and received electromagnetic energy signal components and a detector detects change in both amplitude and phase of the transmitted and received electromagnetic energy signal components. A millimeter wave sensor is provided for non-destructive inspection of thin sheet dielectric materials. The millimeter wave sensor includes a Gunn diode oscillator (GDO) source generating a mill meter wave electromagnetic energy signal having a single frequency. A heater is coupled to the GDO source for stabilizing the single frequency. A small size antenna is coupled to the GDO source for transmitting the millimeter wave electromagnetic energy signal to a sample material and for receiving a reflected millimeter wave electromagnetic energy signal from the sample material. Ferrite circulator isolators coupled between the GDO source and the antenna separate the millimeter wave electromagnetic energy signal into transmitted and received electromagnetic energy signal components and a detector detects change in both amplitude and phase of the transmitted and received electromagnetic energy signal components.

  13. Hot-Carrier Immunity of Polycrystalline Silicon Thin Film Transistors Using Silicon Oxynitride Gate Dielectric Formed with Plasma-Enhanced Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Kunii, Masafumi

    2009-11-01

    An analysis is presented of the hot-carrier degradation in a polycrystalline silicon (poly-Si) thin film transistor (TFT) with a silicon oxynitride gate dielectric formed with plasma-enhanced chemical vapor deposition. An introduction of silicon oxynitride into a gate dielectric significantly improves hot-carrier immunity even under the severe stressing mode of drain avalanche hot carriers. To compensate the initial negative shift of threshold voltage for TFTs with a silicon oxynitride gate dielectric, high-pressure water vapor annealing (HWA) is applied. A comparison of TFTs with and without HWA reveals that the improvement in hot-carrier immunity is mainly attributed to the introduction of Si≡N bonds into a gate dielectric.

  14. Radiation and Internal Charging Environments for Thin Dielectrics in Interplanetary Space

    NASA Technical Reports Server (NTRS)

    Minow, Joseph I.; Parker, Linda Neergaard; Altstatt, Richard L.

    2004-01-01

    Spacecraft designs using solar sails for propulsion or thin membranes to shade instruments from the sun to achieve cryogenic operating temperatures are being considered for a number of missions in the next decades. A common feature of these designs are thin dielectric materials that will be exposed to the solar wind, solar energetic particle events, and the distant magnetotail plasma environments encountered by spacecraft in orbit about the Earth-Sun L2 point. This paper will discuss the relevant radiation and internal charging environments developed to support spacecraft design for both total dose radiation effects as well as dose rate dependent phenomenon, such as internal charging in the solar wind and distant magnetotail environments. We will describe the development of radiation and internal charging environment models based on nearly a complete solar cycle of Ulysses solar wind plasma measurements over a complete range of heliocentric latitudes and the early years of the Geotail mission where distant magnetotail plasma environments were sampled beyond X(sub GSE) = -100 Re to nearly L2 (X(sub GSE) -236 Re). Example applications of the environment models are shown to demonstrate the radiation and internal charging environments of thin materials exposed to the interplanetary space plasma environments.

  15. Ni doping dependent dielectric, leakage, ferroelectric and magnetic properties in Bi7Fe3-xNixTi3O21 thin films

    NASA Astrophysics Data System (ADS)

    Yang, B. B.; Song, D. P.; Wei, R. H.; Tang, X. W.; Hu, L.; Yang, J.; Song, W. H.; Dai, J. M.; Zhu, X. B.; Sun, Y. P.

    2018-05-01

    Bi7Fe3-xNixTi3O21 thin films were prepared by chemical solution deposition on Pt/Ti/SiO2/Si substrates. The Ni doping effects on the dielectric, leakage, ferroelectric and magnetic properties were investigated. Coexistence of ferroelectric and ferromagnetic properties at room-temperature was observed in the Bi7Fe2NiTi3O21 thin film with a remnant polarization 2Pr of 36.4 μC/cm2 and a remnant magnetization 2Mr of 3.9 emu/cm3. The dielectric and leakage properties were discussed in detailed. The results will provide important information to explore single-phase multiferroic materials.

  16. High-mobility and low-operating voltage organic thin film transistor with epoxy based siloxane binder as the gate dielectric

    NASA Astrophysics Data System (ADS)

    Tewari, Amit; Gandla, Srinivas; Pininti, Anil Reddy; Karuppasamy, K.; Böhm, Siva; Bhattacharyya, Arup R.; McNeill, Christopher R.; Gupta, Dipti

    2015-09-01

    This paper reports the fabrication of pentacene-based organic thin-film transistors using a dielectric material, Dynasylan ®SIVO110. The devices exhibit excellent performance characterized by a low threshold voltage of -1.4 V (operating voltage: 0 to -4 V) together with a mobility of 1.9 cm2 V-1s-1. These results are promising because it uses only a single layer of dielectric without performing any intermediate treatment. The reason is attributed to the high charge storage capacity of the dielectric (κ ˜ 20.02), a low interfacial trap density (2.56 × 1011cm-2), and favorable pentacene film morphology consisting of large and interconnected grains having an average size of 234 nm.

  17. Low-temperature formation of c-axis-oriented aluminum nitride thin films by plasma-assisted reactive pulsed-DC magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Takenaka, Kosuke; Satake, Yoshikatsu; Uchida, Giichiro; Setsuhara, Yuichi

    2018-01-01

    The low-temperature formation of c-axis-oriented aluminum nitride thin films was demonstrated by plasma-assisted reactive pulsed-DC magnetron sputtering. The effects of the duty cycle at the pulsed-DC voltage applied to the Al target on the properties of AlN films formed via inductively coupled plasma (ICP)-enhanced pulsed-DC magnetron sputtering deposition were investigated. With decreasing duty cycle at the target voltage, the peak intensity of AlN(0002) increased linearly. The surface roughness of AlN films decreased since there was an increase in film density owing to the impact of energetic ions on the films together with the enhancement of nitriding associated with the relative increase in N radical flux. The improvement of both the crystallinity and surface morphology of AlN films at low temperatures is considered to be caused by the difference between the relative flux values of ions and sputtered atoms.

  18. A K-band Frequency Agile Microstrip Bandpass Filter using a Thin Film HTS/Ferroelectric/dielectric Multilayer Configuration

    NASA Technical Reports Server (NTRS)

    Subramanyam, Guru; VanKeuls, Fred; Miranda, Felix A.

    1998-01-01

    We report on YBa2Cu3O(7-delta) (YBCO) thin film/SrTiO3 (STO) thin film K-band tunable bandpass filters on LaAlO3 (LAO) dielectric substrates. The 2 pole filter has a center frequency of 19 GHz and a 4% bandwidth. Tunability is achieved through the non-linear dc electric field dependence of the relative dielectric constant of STO(epsilon(sub rSTO). A large tunability ((Delta)f/f(sub 0) = (f(sub Vmax) - f(sub 0)/f(sub 0), where f(sub 0) is the center frequency of the filter at no bias and f(sub Vmax) is the center frequency of the filter at the maximum applied bias) of greater than 10% was obtained in YBCO/STO/LAO microstrip bandpass filters operating below 77 K. A center frequency shift of 2.3 GHz (i.e., a tunability factor of approximately 15%) was obtained at a 400 V bipolar dc bias, and 30 K, with minimal degradation in the insertion loss of the filter. This paper addresses design, fabrication and testing of tunable filters based on STO ferroelectric thin films. The performance of the YBCO/STO/LAO filters is compared to that of gold/STO/LAO counterparts.

  19. Flexible, Low-Power Thin-Film Transistors Made of Vapor-Phase Synthesized High-k, Ultrathin Polymer Gate Dielectrics.

    PubMed

    Choi, Junhwan; Joo, Munkyu; Seong, Hyejeong; Pak, Kwanyong; Park, Hongkeun; Park, Chan Woo; Im, Sung Gap

    2017-06-21

    A series of high-k, ultrathin copolymer gate dielectrics were synthesized from 2-cyanoethyl acrylate (CEA) and di(ethylene glycol) divinyl ether (DEGDVE) monomers by a free radical polymerization via a one-step, vapor-phase, initiated chemical vapor deposition (iCVD) method. The chemical composition of the copolymers was systematically optimized by tuning the input ratio of the vaporized CEA and DEGDVE monomers to achieve a high dielectric constant (k) as well as excellent dielectric strength. Interestingly, DEGDVE was nonhomopolymerizable but it was able to form a copolymer with other kinds of monomers. Utilizing this interesting property of the DEGDVE cross-linker, the dielectric constant of the copolymer film could be maximized with minimum incorporation of the cross-linker moiety. To our knowledge, this is the first report on the synthesis of a cyanide-containing polymer in the vapor phase, where a high-purity polymer film with a maximized dielectric constant was achieved. The dielectric film with the optimized composition showed a dielectric constant greater than 6 and extremely low leakage current densities (<3 × 10 -8 A/cm 2 in the range of ±2 MV/cm), with a thickness of only 20 nm, which is an outstanding thickness for down-scalable cyanide polymer dielectrics. With this high-k dielectric layer, organic thin-film transistors (OTFTs) and oxide TFTs were fabricated, which showed hysteresis-free transfer characteristics with an operating voltage of less than 3 V. Furthermore, the flexible OTFTs retained their low gate leakage current and ideal TFT characteristics even under 2% applied tensile strain, which makes them some of the most flexible OTFTs reported to date. We believe that these ultrathin, high-k organic dielectric films with excellent mechanical flexibility will play a crucial role in future soft electronics.

  20. Redefinition of the self-bias voltage in a dielectrically shielded thin sheath RF discharge

    NASA Astrophysics Data System (ADS)

    Ho, Teck Seng; Charles, Christine; Boswell, Rod

    2018-05-01

    In a geometrically asymmetric capacitively coupled discharge where the powered electrode is shielded from the plasma by a layer of dielectric material, the self-bias manifests as a nonuniform negative charging in the dielectric rather than on the blocking capacitor. In the thin sheath regime where the ion transit time across the powered sheath is on the order of or less than the Radiofrequency (RF) period, the plasma potential is observed to respond asymmetrically to extraneous impedances in the RF circuit. Consequently, the RF waveform on the plasma-facing surface of the dielectric is unknown, and the behaviour of the powered sheath is not easily predictable. Sheath circuit models become inadequate for describing this class of discharges, and a comprehensive fluid, electrical, and plasma numerical model is employed to accurately quantify this behaviour. The traditional definition of the self-bias voltage as the mean of the RF waveform is shown to be erroneous in this regime. Instead, using the maxima of the RF waveform provides a more rigorous definition given its correlation with the ion dynamics in the powered sheath. This is supported by a RF circuit model derived from the computational fluid dynamics and plasma simulations.

  1. Titanium nitride electrodes for thermoelectric generators

    DOEpatents

    Novak, Robert F.; Schmatz, Duane J.; Hunt, Thomas K.

    1987-12-22

    The invention is directed to a composite article suitable for use in thermoelectric generators. The article comprises a thin film of titanium nitride as an electrode deposited onto solid electrolyte. The invention is also directed to the method of making same.

  2. Structure, phonons and related properties in zinc-IV-nitride (IV = silicon, germanium, tin), scandium nitride, and rare-earth nitrides

    NASA Astrophysics Data System (ADS)

    Paudel, Tula R.

    This thesis presents a study of the phonons and related properties in two sets of nitride compounds, whose properties are until now relatively poorly known. The Zn-IV-N2 group of compounds with the group IV elements Si, Ge and Sn, form a series analogous to the well known III-N nitride series with group III element Al, Ga, In. Structurally, they can be derived by doubling the period of III-V compounds in the plane in two directions and replacing the group-III elements with Zn and a group-IV element in a particular ordered pattern. Even though they are similar to the well-known III-V nitride compounds, the study of the properties of these materials is in its early stages. The phonons in these materials and their relation to the phonons in the corresponding group-III nitrides are of fundamental interest. They are also of practical interest because the phonon related spectra such as infrared absorption and Raman spectroscopy are sensitive to the structural quality of the material and can thus be used to quantify the degree of crystalline perfection of real samples. First-principles calculations of the phonons and related ground state properties of these compounds were carried out using Density Functional Perturbation Theory (DFPT) with the Local Density Approximation (LDA) for exchange and correlation and using a pseudopotential plane wave implementation which was developed by several authors over the last decades. The main focus of our study is on the phonons at the center of the Brillouin zone because the latter are most directly related to commonly used spectroscopies to probe the vibrations in a solid: infrared reflectivity and Raman spectroscopy. For a semiconducting or insulating compound, a splitting occurs between transverse and longitudinal phonons at the Gamma-point because of the long-range nature of electrostatic forces. The concepts required to handle this problem are reviewed. Our discussion emphasizes how the various quantities required are related to

  3. Ultrathin, wafer-scale hexagonal boron nitride on dielectric surfaces by diffusion and segregation mechanism

    NASA Astrophysics Data System (ADS)

    Sonde, Sushant; Dolocan, Andrei; Lu, Ning; Corbet, Chris; Kim, Moon J.; Tutuc, Emanuel; Banerjee, Sanjay K.; Colombo, Luigi

    2017-06-01

    Chemical vapor deposition (CVD) of two-dimensional (2D) hexagonal boron nitride (h-BN) is at the center of numerous studies for its applications in novel electronic devices. However, a clear understanding of the growth mechanism is lacking for its wider industrial adoption on technologically relevant substrates such as SiO2. Here, we demonstrate a controllable growth method of thin, wafer scale h-BN films on arbitrary substrates. We also clarify the growth mechanism to be diffusion and surface segregation (D-SS) of boron (B) and nitrogen (N) in Ni and Co thin films on SiO2/Si substrates after exposure to diborane and ammonia precursors at high temperature. The segregation was found to be independent of the cooling rates employed in this report, and to our knowledge has not been found nor reported for 2D h-BN growth so far, and thus provides an important direction for controlled growth of h-BN. This unique segregation behavior is a result of a combined effect of high diffusivity, small film thickness and the inability to achieve extremely high cooling rates in CVD systems. The resulting D-SS h-BN films exhibit excellent electrical insulating behavior with an optical bandgap of about 5.8 eV. Moreover, graphene-on-h-BN field effect transistors using the as-grown D-SS h-BN films show a mobility of about 6000 cm2 V-1 s-1 at room temperature.

  4. Ultra-thin ohmic contacts for p-type nitride light emitting devices

    DOEpatents

    Raffetto, Mark [Raleigh, NC; Bharathan, Jayesh [Cary, NC; Haberern, Kevin [Cary, NC; Bergmann, Michael [Chapel Hill, NC; Emerson, David [Chapel Hill, NC; Ibbetson, James [Santa Barbara, CA; Li, Ting [Ventura, CA

    2012-01-03

    A semiconductor based Light Emitting Device (LED) can include a p-type nitride layer and a metal ohmic contact, on the p-type nitride layer. The metal ohmic contact can have an average thickness of less than about 25 .ANG. and a specific contact resistivity less than about 10.sup.-3 ohm-cm.sup.2.

  5. Unintentional carbide formation evidenced during high-vacuum magnetron sputtering of transition metal nitride thin films

    NASA Astrophysics Data System (ADS)

    Greczynski, G.; Mráz, S.; Hultman, L.; Schneider, J. M.

    2016-11-01

    Carbide signatures are ubiquitous in the surface analyses of industrially sputter-deposited transition metal nitride thin films grown with carbon-less source materials in typical high-vacuum systems. We use high-energy-resolution photoelectron spectroscopy to reveal details of carbon temporal chemical state evolution, from carbide formed during film growth to adventitious carbon adsorbed upon contact with air. Using in-situ grown Al capping layers that protect the as-deposited transition metal nitride surfaces from oxidation, it is shown that the carbide forms during film growth rather than as a result of post deposition atmosphere exposure. The XPS signature of carbides is masked by the presence of adventitious carbon contamination, appearing as soon as samples are exposed to atmosphere, and eventually disappears after one week-long storage in lab atmosphere. The concentration of carbon assigned to carbide species varies from 0.28 at% for ZrN sample, to 0.25 and 0.11 at% for TiN and HfN, respectively. These findings are relevant for numerous applications, as unintentionally formed impurity phases may dramatically alter catalytic activity, charge transport and mechanical properties by offsetting the onset of thermally-induced phase transitions. Therefore, the chemical state of C impurities in PVD-grown films should be carefully investigated.

  6. Preferential growth and enhanced dielectric properties of Ba0.7Sr0.3TiO3 thin films with preannealed Pt bottom electrode

    NASA Astrophysics Data System (ADS)

    Zhu, Xiaohong; Defaÿ, Emmanuel; Aïd, Marc; Ren, Yinjuan; Zhang, Caiyun; Zhu, Jiliang; Zhu, Jianguo; Xiao, Dingquan

    2013-03-01

    Ba0.7Sr0.3TiO3 (BST) thin films, about 100 nm in thickness, were prepared on unannealed and 700 °C-preannealed Pt bottom electrodes by the ion beam sputtering and post-deposition annealing method. It was found that the preannealed Pt layer has a more compact structure, making it not only a bottom electrode but also a good template for high-quality BST thin film growth. The BST films deposited on preannealed Pt bottom electrodes showed (0 0 l)-preferred orientation, dense and uniform microstructure with no intermediate phase formed at the film/electrode interface, and thus enhanced dielectric properties. As a result, the typical relative dielectric constant and tunability (under a dc electric field of 1 MV cm-1) reach 180 and 50.1%, respectively, for the BST thin films with preannealed Pt bottom electrodes, which are significantly higher than those (166 and 41.3%, respectively) for the BST thin films deposited on unannealed Pt bottom electrodes.

  7. High-Quality Solution-Processed Silicon Oxide Gate Dielectric Applied on Indium Oxide Based Thin-Film Transistors.

    PubMed

    Jaehnike, Felix; Pham, Duy Vu; Anselmann, Ralf; Bock, Claudia; Kunze, Ulrich

    2015-07-01

    A silicon oxide gate dielectric was synthesized by a facile sol-gel reaction and applied to solution-processed indium oxide based thin-film transistors (TFTs). The SiOx sol-gel was spin-coated on highly doped silicon substrates and converted to a dense dielectric film with a smooth surface at a maximum processing temperature of T = 350 °C. The synthesis was systematically improved, so that the solution-processed silicon oxide finally achieved comparable break downfield strength (7 MV/cm) and leakage current densities (<10 nA/cm(2) at 1 MV/cm) to thermally grown silicon dioxide (SiO2). The good quality of the dielectric layer was successfully proven in bottom-gate, bottom-contact metal oxide TFTs and compared to reference TFTs with thermally grown SiO2. Both transistor types have field-effect mobility values as high as 28 cm(2)/(Vs) with an on/off current ratio of 10(8), subthreshold swings of 0.30 and 0.37 V/dec, respectively, and a threshold voltage close to zero. The good device performance could be attributed to the smooth dielectric/semiconductor interface and low interface trap density. Thus, the sol-gel-derived SiO2 is a promising candidate for a high-quality dielectric layer on many substrates and high-performance large-area applications.

  8. Titanium dioxide thin films deposited by pulsed laser deposition and integration in radio frequency devices: Study of structure, optical and dielectric properties

    NASA Astrophysics Data System (ADS)

    Orlianges, Jean-Christophe; Crunteanu, Aurelian; Pothier, Arnaud; Merle-Mejean, Therese; Blondy, Pierre; Champeaux, Corinne

    2012-12-01

    Titanium dioxide presents a wide range of technological application possibilities due to its dielectric, electrochemical, photocatalytic and optical properties. The three TiO2 allotropic forms: anatase, rutile and brookite are also interesting, since they exhibit different properties, stabilities and growth modes. For instance, rutile has a high dielectric permittivity, of particular interest for the integration as dielectric in components such as microelectromechanical systems (MEMS) for radio frequency (RF) devices. In this study, titanium dioxide thin films are deposited by pulsed laser deposition. Characterizations by Raman spectroscopy and X-ray diffraction show the evolution of the structural properties. Thin films optical properties are investigated using spectroscopic ellipsometry and transmission measurements from UV to IR range. Co-planar waveguide (CPW) devices are fabricated based on these films. Their performances are measured in the RF domain and compared to simulation, leading to relative permittivity values in the range 30-120, showing the potentialities of the deposited material for capacitive switches applications.

  9. Oxygen-vacancy-mediated dielectric property in perovskite Eu0.5Ba0.5TiO3-δ epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Li, Weiwei; Gu, Junxing; He, Qian; Zhang, Kelvin H. L.; Wang, Chunchang; Jin, Kuijuan; Wang, Yongqiang; Acosta, Matias; Wang, Haiyan; Borisevich, Albina Y.; MacManus-Driscoll, Judith L.; Yang, Hao

    2018-04-01

    Dielectric relaxation in ABO3 perovskite oxides can result from many different charge carrier-related phenomena. Despite a strong understanding of dielectric relaxations, a detailed investigation of the relationship between the content of oxygen vacancies (VO) and dielectric relaxation has not been performed in perovskite oxide films. In this work, we report a systematic investigation of the influence of the VO concentration on the dielectric relaxation of Eu0.5Ba0.5TiO3-δ epitaxial thin films. Nuclear resonance backscattering spectrometry was used to directly measure the oxygen concentration in Eu0.5Ba0.5TiO3-δ films. We found that dipolar defects created by VO interact with the off-centered Ti ions, which results in the dielectric relaxation in Eu0.5Ba0.5TiO3-δ films. Activation energy gradually increases with the increasing content of VO. The present work significantly extends our understanding of relaxation properties in oxide films.

  10. High-efficiency and low-loss gallium nitride dielectric metasurfaces for nanophotonics at visible wavelengths

    NASA Astrophysics Data System (ADS)

    Emani, Naresh Kumar; Khaidarov, Egor; Paniagua-Domínguez, Ramón; Fu, Yuan Hsing; Valuckas, Vytautas; Lu, Shunpeng; Zhang, Xueliang; Tan, Swee Tiam; Demir, Hilmi Volkan; Kuznetsov, Arseniy I.

    2017-11-01

    The dielectric nanophotonics research community is currently exploring transparent material platforms (e.g., TiO2, Si3N4, and GaP) to realize compact high efficiency optical devices at visible wavelengths. Efficient visible-light operation is key to integrating atomic quantum systems for future quantum computing. Gallium nitride (GaN), a III-V semiconductor which is highly transparent at visible wavelengths, is a promising material choice for active, nonlinear, and quantum nanophotonic applications. Here, we present the design and experimental realization of high efficiency beam deflecting and polarization beam splitting metasurfaces consisting of GaN nanostructures etched on the GaN epitaxial substrate itself. We demonstrate a polarization insensitive beam deflecting metasurface with 64% and 90% absolute and relative efficiencies. Further, a polarization beam splitter with an extinction ratio of 8.6/1 (6.2/1) and a transmission of 73% (67%) for p-polarization (s-polarization) is implemented to demonstrate the broad functionality that can be realized on this platform. The metasurfaces in our work exhibit a broadband response in the blue wavelength range of 430-470 nm. This nanophotonic platform of GaN shows the way to off- and on-chip nonlinear and quantum photonic devices working efficiently at blue emission wavelengths common to many atomic quantum emitters such as Ca+ and Sr+ ions.

  11. Ester-free cross-linker molecules for ultraviolet-light-cured polysilsesquioxane gate dielectric layers of organic thin-film transistors

    NASA Astrophysics Data System (ADS)

    Okada, Shuichi; Nakahara, Yoshio; Uno, Kazuyuki; Tanaka, Ichiro

    2018-04-01

    Pentacene thin-film transistors (TFTs) were fabricated with ultraviolet-light (UV)-cured polysilsesquioxane (PSQ) gate dielectric layers using cross-linker molecules with or without ester groups. To polymerize PSQ without ester groups, thiol-ene reaction was adopted. The TFTs fabricated with PSQ layers comprising ester-free cross-linkers showed a higher carrier mobility than the TFTs with PSQ layers cross-linked with ester groups, which had large electric dipole moments that limited the carrier mobility. It was demonstrated that the thiol-ene reaction is more suitable than the conventional radical reaction for UV-cured PSQ with small dielectric constant.

  12. Electronic and optical properties of titanium nitride bulk and surfaces from first principles calculations

    NASA Astrophysics Data System (ADS)

    Mehmood, Faisal; Pachter, Ruth; Murphy, Neil R.; Johnson, Walter E.

    2015-11-01

    Prediction of the frequency-dependent dielectric function of thin films poses computational challenges, and at the same time experimental characterization by spectroscopic ellipsometry remains difficult to interpret because of changes in stoichiometry and surface morphology, temperature, thickness of the film, or substrate. In this work, we report calculations for titanium nitride (TiN), a promising material for plasmonic applications because of less loss and other practical advantages compared to noble metals. We investigated structural, electronic, and optical properties of stoichiometric bulk TiN, as well as of the TiN(100), TiN(110), and TiN(111) outermost surfaces. Density functional theory (DFT) and many-body GW methods (Green's (G) function-based approximation with screened Coulomb interaction (W)) were used, ranging from G0W0, GW0 to partially self-consistent sc-GW0, as well as the GW-BSE (Bethe-Salpeter equation) and time-dependent DFT (TDDFT) methods for prediction of the optical properties. Structural parameters and the band structure for bulk TiN were shown to be consistent with previous work. Calculated dielectric functions, plasma frequencies, reflectivity, and the electron energy loss spectrum demonstrated consistency with experiment at the GW0-BSE level. Deviations from experimental data are expected due to varying experimental conditions. Comparison of our results to spectroscopic ellipsometry data for realistic nanostructures has shown that although TDDFT may provide a computationally feasible level of theory in evaluation of the dielectric function, application is subject to validation with GW-BSE calculations.

  13. Mocvd Growth of Group-III Nitrides on Silicon Carbide: From Thin Films to Atomically Thin Layers

    NASA Astrophysics Data System (ADS)

    Al Balushi, Zakaria Y.

    Group-III nitride semiconductors (AlN, GaN, InN and their alloys) are considered one of the most important class of materials for electronic and optoelectronic devices. This is not limited to the blue light-emitting diode (LED) used for efficient solid-state lighting, but other applications as well, such as solar cells, radar and a variety of high frequency power electronics, which are all prime examples of the technological importance of nitride based wide bandgap semiconductors in our daily lives. The goal of this dissertation work was to explore and establish new growth schemes to improve the structural and optical properties of thick to atomically thin films of group-III nitrides grown by metalorganic chemical vapor deposition (MOCVD) on SiC substrates for future novel devices. The first research focus of this dissertation was on the growth of indium gallium nitride (InGaN). This wide bandgap semiconductor has attracted much research attention as an active layer in LEDs and recently as an absorber material for solar cells. InGaN has superior material properties for solar cells due to its wavelength absorption tunability that nearly covers the entire solar spectrum. This can be achieved by controlling the indium content in thick grown material. Thick InGaN films are also of interest as strain reducing based layers for deep-green and red light emitters. The growth of thick films of InGaN is, however, hindered by several combined problems. This includes poor incorporation of indium in alloys, high density of structural and morphological defects, as well as challenges associated with the segregation of indium in thick films. Overcoming some of these material challenges is essential in order integrate thick InGaN films into future optoelectronics. Therefore, this dissertation research investigated the growth mechanism of InGaN layers grown in the N-polar direction by MOCVD as a route to improve the structural and optical properties of thick InGaN films. The growth

  14. Solution processed lanthanum aluminate gate dielectrics for use in metal oxide-based thin film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Esro, M.; Adamopoulos, G., E-mail: g.adamopoulos@lancaster.ac.uk; Mazzocco, R.

    2015-05-18

    We report on ZnO-based thin-film transistors (TFTs) employing lanthanum aluminate gate dielectrics (La{sub x}Al{sub 1−x}O{sub y}) grown by spray pyrolysis in ambient atmosphere at 440 °C. The structural, electronic, optical, morphological, and electrical properties of the La{sub x}Al{sub 1−x}O{sub y} films and devices as a function of the lanthanum to aluminium atomic ratio were investigated using a wide range of characterization techniques such as UV-visible absorption spectroscopy, impedance spectroscopy, spectroscopic ellipsometry, atomic force microscopy, x-ray diffraction, and field-effect measurements. As-deposited LaAlO{sub y} dielectrics exhibit a wide band gap (∼6.18 eV), high dielectric constant (k ∼ 16), low roughness (∼1.9 nm), and very low leakage currentsmore » (<3 nA/cm{sup 2}). TFTs employing solution processed LaAlO{sub y} gate dielectrics and ZnO semiconducting channels exhibit excellent electron transport characteristics with hysteresis-free operation, low operation voltages (∼10 V), high on/off current modulation ratio of >10{sup 6}, subthreshold swing of ∼650 mV dec{sup −1}, and electron mobility of ∼12 cm{sup 2} V{sup −1} s{sup −1}.« less

  15. APCVD hexagonal boron nitride thin films for passive near-junction thermal management of electronics

    NASA Astrophysics Data System (ADS)

    KC, Pratik; Rai, Amit; Ashton, Taylor S.; Moore, Arden L.

    2017-12-01

    The ability of graphene to serve as an ultrathin heat spreader has been previously demonstrated with impressive results. However, graphene is electrically conductive, making its use in contact with electronic devices problematic from a reliability and integration perspective. As an alternative, hexagonal boron nitride (h-BN) is a similarly structured material with large in-plane thermal conductivity but which possesses a wide band gap, thereby giving it potential to be utilized for directing contact, near-junction thermal management of electronics without shorting or the need for an insulating intermediate layer. In this work, the viability of using large area, continuous h-BN thin films as direct contact, near-junction heat spreaders for electronic devices is experimentally evaluated. Thin films of h-BN several square millimeters in size were synthesized via an atmospheric pressure chemical vapor deposition (APCVD) method that is both simple and scalable. These were subsequently transferred onto a microfabricated test device that simulated a multigate transistor while also allowing for measurements of the device temperature at various locations via precision resistance thermometry. Results showed that these large-area h-BN films with thicknesses of 77-125 nm are indeed capable of significantly lowering microdevice temperatures, with the best sample showing the presence of the h-BN thin film reduced the effective thermal resistance by 15.9% ± 4.6% compared to a bare microdevice at the same power density. Finally, finite element simulations of these experiments were utilized to estimate the thermal conductivity of the h-BN thin films and identify means by which further heat spreading performance gains could be attained.

  16. Estimation of sensing characteristics for refractory nitrides based gain assisted core-shell plasmonic nanoparticles

    NASA Astrophysics Data System (ADS)

    Shishodia, Manmohan Singh; Pathania, Pankaj

    2018-04-01

    Refractory transition metal nitrides such as zirconium nitride (ZrN), hafnium nitride (HfN) and titanium nitride (TiN) have emerged as viable alternatives to coinage metals based plasmonic materials, e.g., gold (Au) and silver (Ag). The present work assesses the suitability of gain assisted ZrN-, HfN- and TiN-based conventional core-shell nanoparticles (CCSNPs) and multilayered core-shell nanoparticles (MCSNPs) for refractive index sensing. We report that the optical gain incorporation in the dielectric layer leads to multifold enhancement of the scattering efficiency (Qsca), substantial reduction of the spectral full width at half maximum, and a higher figure of merit (FOM). In comparison with CCSNPs, the MCSNP system exhibits superior sensing characteristics such as higher FOM, ˜ 45% reduction in the critical optical gain, response shift towards the biological window, and higher degree of tunability. Inherent biocompatibility, growth compatibility, chemical stability and flexible spectral tuning of refractory nitrides augmented by superior sensing properties in the present work may pave the way for refractory nitrides based low cost sensing.

  17. Utilizing boron nitride sheets as thin supports for high resolution imaging of nanocrystals.

    PubMed

    Wu, Yimin A; Kirkland, Angus I; Schäffel, Franziska; Porfyrakis, Kyriakos; Young, Neil P; Briggs, G Andrew D; Warner, Jamie H

    2011-05-13

    We demonstrate the use of thin BN sheets as supports for imaging nanocrystals using low voltage (80 kV) aberration-corrected high resolution transmission electron microscopy. This provides an alternative to the previously utilized 2D crystal supports of graphene and graphene oxide. A simple chemical exfoliation method is applied to get few layer boron nitride (BN) sheets with micrometer-sized dimensions. This generic approach of using BN sheets as supports is shown by depositing Mn doped ZnSe nanocrystals directly onto the BN sheets and resolving the atomic structure from both the ZnSe nanocrystals and the BN support. Phase contrast images reveal moiré patterns of interference between the beams diffracted by the nanocrystals and the BN substrate that are used to determine the relative orientation of the nanocrystals with respect to the BN sheets and interference lattice planes. Double diffraction is observed and has been analyzed.

  18. Mechanical properties of atomically thin boron nitride and the role of interlayer interactions

    PubMed Central

    Falin, Aleksey; Cai, Qiran; Santos, Elton J. G.; Scullion, Declan; Qian, Dong; Zhang, Rui; Yang, Zhi; Huang, Shaoming; Watanabe, Kenji; Taniguchi, Takashi; Barnett, Matthew R.; Chen, Ying; Ruoff, Rodney S.; Li, Lu Hua

    2017-01-01

    Atomically thin boron nitride (BN) nanosheets are important two-dimensional nanomaterials with many unique properties distinct from those of graphene, but investigation into their mechanical properties remains incomplete. Here we report that high-quality single-crystalline mono- and few-layer BN nanosheets are one of the strongest electrically insulating materials. More intriguingly, few-layer BN shows mechanical behaviours quite different from those of few-layer graphene under indentation. In striking contrast to graphene, whose strength decreases by more than 30% when the number of layers increases from 1 to 8, the mechanical strength of BN nanosheets is not sensitive to increasing thickness. We attribute this difference to the distinct interlayer interactions and hence sliding tendencies in these two materials under indentation. The significantly better interlayer integrity of BN nanosheets makes them a more attractive candidate than graphene for several applications, for example, as mechanical reinforcements. PMID:28639613

  19. Nonvolatile memory characteristics of organic thin film transistors using poly(2-hydroxyethyl methacrylate)-based polymer multilayer dielectric

    NASA Astrophysics Data System (ADS)

    Chen, Ying-Chih; Su, Yan-Kuin; Yu, Hsin-Chieh; Huang, Chun-Yuan; Huang, Tsung-Syun

    2011-10-01

    A wide hysteresis width characteristic (memory window) was observed in the organic thin film transistors (OTFTs) using poly(2-hydroxyethyl methacrylate) (PHEMA)-based polymer multilayers. In this study, a strong memory effect was also found in the pentacene-based OTFTs and the electric characteristics were improved by introducing PHEMA/poly(methyl methacrylate) (PMMA)/PHEMA trilayer to replace the conventional PHEMA monolayer or PMMA/PHEMA and PHEMA/PMMA bilayer as the dielectric layers of OTFTs. The memory effect was originated from the electron trapping and slow polarization of the dielectrics. The hydroxyl (-OH) groups inside the polymer dielectric were the main charge storage sites of the electrons. This charge-storage phenomenon could lead to a wide flat-band voltage shift (memory window, △VFB = 22 V) which is essential for the OTFTs' memory-related applications. Moreover, the fabricated transistors also exhibited significant switchable channel current due to the charge-storage and slow charge relaxation.

  20. Hardness and deformation mechanisms of highly elastic carbon nitride thin films as studied by nanoindentation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hainsworth, S.V.; Page, T.F.; Sjoestroem, H.

    1997-05-01

    Carbon nitride (CN{sub x}) thin films (0.18 < x < 0.43), deposited by magnetron sputtering of C in a N{sub 2} discharge, have been observed to be extremely resistant to plastic deformation during surface contact (i.e., exhibit a purely elastic response over large strains). Elastic recoveries as high as 90% have been measured by nanoindentation. This paper addresses the problems of estimating Young`s modulus (E) and hardness (H) in such cases and shows how different strategies involving analysis of both loading and unloading curves and measuring the work of indentation each present their own problems. The results of some cyclicmore » contact experiments are also presented and possible deformation mechanisms in the fullerene-like CN{sub x} structures discussed.« less

  1. Synthesis of nano-structure tungsten nitride thin films on silicon using Mather-type plasma focus

    NASA Astrophysics Data System (ADS)

    Hussnain, A.; Rawat, R. S.; Ahmad, R.; Umar, Z. A.; Hussain, T.; Lee, P.; Chen, Z.

    2015-07-01

    Nano-structure thin film of tungsten nitride was deposited onto Si-substrate at room temperature using Mather-type plasma focus (3.3 kJ) machine. Substrate was exposed against 10, 20, 30, and 40 deposition shots and its corresponding effect on structure, morphology, conductivity and nano-hardness has been systematically studied. The X-ray diffractormeter spectra of the exposed samples show the presence of various phases of WN and WN2 that depends on number of deposition shots. Surface morphological study revealed the uniform distribution of nano-sized grains on deposited film surface. Hardness and conductivity of exposed substrate improved with higher deposition shots. X-ray photo-electron spectroscopy survey scan of 40 deposition shots confirmed the elemental presence of W and N on Si-substrate.

  2. Investigation of hexagonal boron nitride as an atomically thin corrosion passivation coating in aqueous solution.

    PubMed

    Zhang, Jing; Yang, Yingchao; Lou, Jun

    2016-09-09

    Hexagonal boron nitride (h-BN) atomic layers were utilized as a passivation coating in this study. A large-area continuous h-BN thin film was grown on nickel foil using a chemical vapor deposition method and then transferred onto sputtered copper as a corrosion passivation coating. The corrosion passivation performance in a Na2SO4 solution of bare and coated copper was investigated by electrochemical methods including cyclic voltammetry (CV), Tafel polarization and electrochemical impedance spectroscopy (EIS). CV and Tafel analysis indicate that the h-BN coating could effectively suppress the anodic dissolution of copper. The EIS fitting result suggests that defects are the dominant leakage source on h-BN films, and improved anti-corrosion performances could be achieved by further passivating these defects.

  3. Enhanced c-axis orientation of aluminum nitride thin films by plasma-based pre-conditioning of sapphire substrates for SAW applications

    NASA Astrophysics Data System (ADS)

    Gillinger, M.; Shaposhnikov, K.; Knobloch, T.; Stöger-Pollach, M.; Artner, W.; Hradil, K.; Schneider, M.; Kaltenbacher, M.; Schmid, U.

    2018-03-01

    Aluminum nitride (AlN) on sapphire has been investigated with two different pretreatments prior to sputter deposition of the AlN layer to improve the orientation and homogeneity of the thin film. An inverse sputter etching of the substrate in argon atmosphere results in an improvement of the uniformity of the alignment of the AlN grains and hence, in enhanced electro-mechanical AlN film properties. This effect is demonstrated in the raw measurements of SAW test devices. Additionally, the impulse response of several devices shows that a poor AlN thin film layer quality leads to a higher signal damping during the transduction of energy in the inter-digital transducers. As a result, the triple-transit signal cannot be detected at the receiver.

  4. On the buckling of hexagonal boron nitride nanoribbons via structural mechanics

    NASA Astrophysics Data System (ADS)

    Giannopoulos, Georgios I.

    2018-03-01

    Monolayer hexagonal boron nitride nanoribbons have similar crystal structure as graphene nanoribbons, have excellent mechanical, thermal insulating and dielectric properties and additionally present chemical stability. These allotropes of boron nitride can be used in novel applications, in which graphene is not compatible, to achieve remarkable performance. The purpose of the present work is to provide theoretical estimations regarding the buckling response of hexagonal boron nitride monolayer under compressive axial loadings. For this reason, a structural mechanics method is formulated which employs the exact equilibrium atomistic structure of the specific two-dimensional nanomaterial. In order to represent the interatomic interactions appearing between boron and nitrogen atoms, the Dreiding potential model is adopted which is realized by the use of three-dimensional, two-noded, spring-like finite elements of appropriate stiffness matrices. The critical compressive loads that cause the buckling of hexagonal boron nitride nanoribbons are computed with respect to their size and chirality while some indicative buckled shapes of them are illustrated. Important conclusions arise regarding the effect of the size and chirality on the structural stability of the hexagonal boron nitride monolayers. An analytical buckling formula, which provides good fitting of the numerical outcome, is proposed.

  5. Interfacial phenomena in high-kappa dielectrics

    NASA Astrophysics Data System (ADS)

    Mathew, Anoop

    The introduction of novel high-kappa dielectric materials to replace the traditional SiO2 insulating layer in CMOS transistors is a watershed event in the history of transistor development. Further, replacement of the traditional highly-doped polycrystalline silicon gate electrode with a new set of materials for metal gates complicates the transition and introduces further integration challenges. A whole variety of new material surfaces and interfaces are thus introduced that merit close investigation to determine parameters for optimal device performance. Nitrogen is a key component that improves the performance of a variety of materials for the next generation of these CMOS transistors. Nitrogen is introduced into new gate dielectric materials such as hafnium silicates as well as in potential metal gate materials such as hafnium nitride. A photoemission study of the binding energies of the various atoms in these systems using photoemission reveals the nature of the atomic bonding. The current study compares hafnium silicates of various compositions which were thermally nitrided at different temperatures in ammonia, hafnium nitrides, and thin HfO2 films using photoelectron spectroscopy. A recurring theme that is explored is the competition between oxygen and nitrogen atoms in bonding with hafnium and other atoms. The N 1s photoemission peak is seen to have contributions from its bonding with hafnium, oxygen, and silicon atoms. The Hf 4f and O 1s spectra similarly exhibit signatures of their bonding environment with their neighboring atoms. Angle resolved photoemission and in-situ annealing/argon sputtering experiments are used to elucidate the nature of the bonding and its evolution with processing. A nondestructive profilitng of nitrogen distribution as a function of composition in nitrided hafnium silicates is also constructed using angle resolved photoemission as a function of the take-off angle. These results are corroborated with depth reconstruction obtained

  6. Light emission in forward and reverse bias operation in OLED with amorphous silicon carbon nitride thin films

    NASA Astrophysics Data System (ADS)

    Reyes, R.; Cremona, M.; Achete, C. A.

    2011-01-01

    Amorphous silicon carbon nitride (a-SiC:N) thin films deposited by magnetron sputtering were used in the structure of an organic light emitting diode (OLED), obtaining an OLED operating in forward and reverse bias mode. The device consist of the heterojunction structure ITO/a-SiC:N/Hole Transport Layer (HTL)/ Electron Transport Layer (ETL)/a-SiC:N/Al. As hole transporting layer was used a thin film of 1-(3-methylphenyl)-1,2,3,4 tetrahydroquinoline - 6 - carboxyaldehyde - 1,1'- diphenylhydrazone (MTCD), while the tris(8-hydroxyquinoline aluminum) (Alq3) is used as electron transport and emitting layer. A significant increase in the voltage operation compared to the conventional ITO/MTCD/Alq3/Al structure was observed, so the onset of electroluminescence occurs at about 22 V in the forward and reverse bias mode of operation. The electroluminescence spectra is similar in both cases, only slightly shifted 0.14 eV to lower energies in relation to the conventional device.

  7. High Efficient Ultra-Thin Flat Optics Based on Dielectric Metasurfaces

    NASA Astrophysics Data System (ADS)

    Ozdemir, Aytekin

    Metasurfaces which emerged as two-dimensional counterparts of metamaterials, facilitate the realization of arbitrary phase distributions using large arrays with subwavelength and ultra-thin features. Even if metasurfaces are ultra-thin, they still effectively manipulate the phase, amplitude, and polarization of light in transmission or reflection mode. In contrast, conventional optical components are bulky, and they lose their functionality at sub-wavelength scales, which requires conceptually new types of nanoscale optical devices. On the other hand, as the optical systems shrink in size day by day, conventional bulky optical components will have tighter alignment and fabrication tolerances. Since metasurfaces can be fabricated lithographically, alignment can be done during lithographic fabrication, thus eliminating the need for post-fabrication alignments. In this work, various types of metasurface applications are thoroughly investigated for robust wavefront engineering with enhanced characteristics in terms of broad bandwidth, high efficiency and active tunability, while beneficial for application. Plasmonic metasurfaces are not compatible with the CMOS process flow, and, additionally their high absorption and ohmic loss is problematic in transmission based applications. Dielectric metasurfaces, however, offer a strong magnetic response at optical frequencies, and thus they can offer great opportunities for interacting not only with the electric component of a light field, but also with its magnetic component. They show great potential to enable practical device functionalities at optical frequencies, which motivates us to explore them one step further on wavefront engineering and imaging sensor platforms. Therefore, we proposed an efficient ultra-thin flat metalens at near-infrared regime constituted by silicon nanodisks which can support both electric and magnetic dipolar Mie-type resonances. These two dipole resonances can be overlapped at the same frequency

  8. Materials science, integration, and performance characterization of high-dielectric constant thin film based devices

    NASA Astrophysics Data System (ADS)

    Fan, Wei

    To overcome the oxidation and diffusion problems encountered during Copper integration with oxide thin film-based devices, TiAl/Cu/Ta heterostructure has been first developed in this study. Investigation on the oxidation and diffusion resistance of the laminate structure showed high electrical conductance and excellent thermal stability in oxygen environment. Two amorphous oxide layers that were formed on both sides of the TiAl barrier after heating in oxygen have been revealed as the structure that effectively prevents oxygen penetration and protects the integrity of underlying Cu layer. Polycrystalline (BaxSr1-x)TiO3 (BST) thin films were subsequently deposited on the Cu-based bottom electrode by RF magnetron sputtering to investigate the interaction between the oxide and Cu layers. The thickness of the interfacial layer and interface roughness play critical roles in the optimization of the electrical performance of the BST capacitors using Cu-based electrode. It was determined that BST deposition at moderate temperature followed by rapid thermal annealing in pure oxygen yields BST/Cu capacitors with good electrical properties for application to high frequency devices. The knowledge obtained on the study of barrier properties of TiAl inspired a continuous research on the materials science issues related to the application of the hybrid TiAlOx, as high-k gate dielectric in MOSFET devices. Novel fabrication process such as deposition of ultra-thin TiAl alloy layer followed by oxidation with atomic oxygen has been established in this study. Stoichiometric amorphous TiAlOx layers, exhibiting only Ti4+ and Al3+ states, were produced with a large variation of oxidation temperature (700°C to room temperature). The interfacial SiOx formation between TiAlOx and Si was substantially inhibited by the use of the low temperature oxidation process. Electrical characterization revealed a large permittivity of 30 and an improved band structure for the produced TiAlOx layers

  9. Acoustic excitations in nanosponges, low-k dielectric thin films and oxide glasses

    NASA Astrophysics Data System (ADS)

    Zhou, Wei

    The invention of the laser has made optical spectroscopy techniques especially valuable research tools. Brillouin light scattering (BLS) is one such powerful technique to measure low energy excitations as acoustic phonons and magnons (spin waves) in materials. In this thesis, the BLS technique is utilized to investigate acoustic excitations and the underlying physics in different media: carbon nanosponges, ultra thin low-k dielectric films and soda germanate glasses. The highlights include: (1) acoustic response of carbon nanosponges solvated in the organic solvent dimethylformamide (DMF) and the discovery of nanosponge formation by exposure to laser radiation. The observed acoustic mode is confirmed as the slow longitudinal wave within the nanosponge suspension. The counter intuitive result of the sound speed decreasing with increasing weight fraction of carbon nano tubes is found and modeled by an effective medium approximation theory; (2) in ultra thin low-k dielectric films, longitudinal standing waves, transverse standing waves and surface waves are observed and recorded. Using a Green's function method, the elastic constants are calculated by fitting the dispersion of these waves. The displacements of standing waves are also simulated and found to behave like the modes in an organ pipe; (3) the long wavelength bulk longitudinal and transverse modes in soda germanate glasses (Na2O)x(GeO2) 1-x glasses are found to be anomalous with increasing soda concentration. The elastic constants C11 and C44 are determined and related quantities such as the elastic energy are also found to have maxima around a soda concentration of x=17%. The elastic properties are compared with those of (Na2O)x(SiO2)1-x glasses, and structural differences are discussed to account for the origin of their different behaviors.

  10. Decay Rate of the Nuclear Isomer Th 229 (3 /2+,7.8 eV ) in a Dielectric Sphere, Thin Film, and Metal Cavity

    NASA Astrophysics Data System (ADS)

    Tkalya, E. V.

    2018-03-01

    The main decay channels of the anomalous low-energy 3 /2+(7.8 ±0.5 eV ) isomeric level of the Th 229 nucleus, namely the γ emission and internal conversion, inside a dielectric sphere, dielectric thin film, and conducting spherical microcavity are investigated theoretically, taking into account the effect of media interfaces. It is shown that (1) the γ decay rate of the nuclear isomer inside a dielectric thin film and dielectric microsphere placed in a vacuum or in a metal cavity can decrease (increase) in dozen of times, (2) the γ activity of the distributed source as a function of time can be nonexponential, and (3) the metal cavity, whose size is of the order of the radiation wavelength, does not affect the probability of the internal conversion in Th 229 , because the virtual photon attenuates at much shorter distances and the reflected wave is very weak.

  11. Plasma polymerized high energy density dielectric films for capacitors

    NASA Technical Reports Server (NTRS)

    Yamagishi, F. G.

    1983-01-01

    High energy density polymeric dielectric films were prepared by plasma polymerization of a variety of gaseous monomers. This technique gives thin, reproducible, pinhole free, conformable, adherent, and insoluble coatings and overcomes the processing problems found in the preparation of thin films with bulk polymers. Thus, devices are prepared completely in a vacuum environment. The plasma polymerized films prepared all showed dielectric strengths of greater than 1000 kV/cm and in some cases values of greater than 4000 kV/cm were observed. The dielectric loss of all films was generally less than 1% at frequencies below 10 kHz, but this value increased at higher frequencies. All films were self healing. The dielectric strength was a function of the polymerization technique, whereas the dielectric constant varied with the structure of the starting material. Because of the thin films used (thickness in the submicron range) surface smoothness of the metal electrodes was found to be critical in obtaining high dielectric strengths. High dielectric strength graft copolymers were also prepared. Plasma polymerized ethane was found to be thermally stable up to 150 C in the presence of air and 250 C in the absence of air. No glass transitions were observed for this material.

  12. Multifunctional Hybrid Multilayer Gate Dielectrics with Tunable Surface Energy for Ultralow-Power Organic and Amorphous Oxide Thin-Film Transistors.

    PubMed

    Byun, Hye-Ran; You, Eun-Ah; Ha, Young-Geun

    2017-03-01

    For large-area, printable, and flexible electronic applications using advanced semiconductors, novel dielectric materials with excellent capacitance, insulating property, thermal stability, and mechanical flexibility need to be developed to achieve high-performance, ultralow-voltage operation of thin-film transistors (TFTs). In this work, we first report on the facile fabrication of multifunctional hybrid multilayer gate dielectrics with tunable surface energy via a low-temperature solution-process to produce ultralow-voltage organic and amorphous oxide TFTs. The hybrid multilayer dielectric materials are constructed by iteratively stacking bifunctional phosphonic acid-based self-assembled monolayers combined with ultrathin high-k oxide layers. The nanoscopic thickness-controllable hybrid dielectrics exhibit the superior capacitance (up to 970 nF/cm 2 ), insulating property (leakage current densities <10 -7 A/cm 2 ), and thermal stability (up to 300 °C) as well as smooth surfaces (root-mean-square roughness <0.35 nm). In addition, the surface energy of the hybrid multilayer dielectrics are easily changed by switching between mono- and bifunctional phosphonic acid-based self-assembled monolayers for compatible fabrication with both organic and amorphous oxide semiconductors. Consequently, the hybrid multilayer dielectrics integrated into TFTs reveal their excellent dielectric functions to achieve high-performance, ultralow-voltage operation (< ± 2 V) for both organic and amorphous oxide TFTs. Because of the easily tunable surface energy, the multifunctional hybrid multilayer dielectrics can also be adapted for various organic and inorganic semiconductors, and metal gates in other device configurations, thus allowing diverse advanced electronic applications including ultralow-power and large-area electronic devices.

  13. Enhanced dielectric and piezoelectric responses in Zn 1 -xMg xO thin films near the phase separation boundary

    DOE PAGES

    Kang, Xiaoyu; Shetty, Smitha; Garten, Lauren; ...

    2017-01-23

    Dielectric and piezoelectric properties for Zn 1-xMg xO (ZMO) thin films are reported as a function of MgO composition up to and including the phase separation region. Zn 1-xMg xO (0.25 ≤ x ≤ 0.5) thin films with c-axis textures were deposited by pulsed laser deposition on platinized sapphire substrates. The films were phase pure wurtzite for MgO concentrations up to 40%; above that limit, a second phase with rocksalt structure evolves with strong {100} texture. With increasing MgO concentration, the out-of-plane ( d33,f) and in-plane ( e31,f) piezoelectric coefficients increase by 360% and 290%, respectively. The increase in piezoelectricmore » coefficients is accompanied by a 35% increase in relative permittivity. Loss tangent values fall monotonically with increasing MgO concentration, reaching a minimum of 0.001 for x ≥ 0.30, at which point the band gap is reported to be 4 eV. As a result, the enhanced piezoelectric response, the large band gap, and the low dielectric loss make Zn 1-xMg xO an interesting candidate for thin film piezoelectric devices, and demonstrate that compositional phase transformations provide opportunities for property engineering.« less

  14. Interaction of slow highly charged ions with a metal surface covered with a thin dielectric film. The role of the neutralization energy in the nanostructures formation

    NASA Astrophysics Data System (ADS)

    Majkić, M. D.; Nedeljković, N. N.; Dojčilović, R. J.

    2017-09-01

    We consider the slow highly charged ions impinging upon a metal surface covered with a thin dielectric film, and formation of the surface nanostructures (craters) from the standpoint of the required energy. For the moderate ionic velocities, the size of the surface features depends on the deposited kinetic energy of the projectile and the ionic neutralization energy. The neutralization energy is calculated by employing the recently developed quasi-resonant two-state vector model for the intermediate Rydberg state population and the micro-staircase model for the cascade neutralization. The electron interactions with the ionic core, polarized dielectric and charge induced on the metal surface are modelled by the appropriate asymptotic expressions and the method for calculation of the effective ionic charges in the dielectric is proposed. The results are presented for the interaction of \\text{X}{{\\text{e}}Z+} ions (velocity v=0.25 a.u.; 25) with the metal surface (Co) covered with a thin dielectric film, for model values of dielectric constant inside the interaction region. In the absence of dielectric film, the neutralization energy is lower than the potential (ionization) energy due to the incomplete neutralization. The presence of dielectric film additionally decreases the neutralization energy. We calculate the projectile neutralization energy in the perturbed dielectric (perturbation is caused by the ionic motion and the surface structure formation). We correlate the neutralization energy added to the deposited kinetic energy with the experimentally obtained energy necessary for the formation of the nano-crater of a given depth.

  15. Submillimeter and far-infrared dielectric properties of thin films

    NASA Astrophysics Data System (ADS)

    Cataldo, Giuseppe; Wollack, Edward J.

    2016-07-01

    The complex dielectric function enables the study of a material's refractive and absorptive properties and provides information on a material's potential for practical application. Commonly employed line shape profile functions from the literature are briefly surveyed and their suitability for representation of dielectric material properties are discussed. An analysis approach to derive a material's complex dielectric function from observed transmittance spectra in the far-infrared and submillimeter regimes is presented. The underlying model employed satisfies the requirements set by the Kramers-Kronig relations. The dielectric function parameters derived from this approachtypically reproduce the observed transmittance spectra with an accuracy of < 4%.

  16. Thermal, Structural, AC Conductivity, and Dielectric Properties of Ethyl-2-amino-6-ethyl-5-oxo-4-(3-phenoxyphenyl)-5,6-dihydro-4H-pyrano[3,2-c]quinoline-3-carboxylate Thin Films

    NASA Astrophysics Data System (ADS)

    El-Shabaan, M. M.

    2018-05-01

    Thermal, structural, alternating-current (AC) conductivity (σ AC), and dielectric properties of ethyl-2-amino-6-ethyl-5-oxo-4-(3-phenoxyphenyl)-5,6-dihydro-4H-pyrano[3,2-c]quinoline-3-carboxylate (HPQC) thin films have been studied. Thermogravimetry analysis and differential scanning calorimetry confirmed the thermal stability of HPQC over a wide temperature range. Fourier-transform infrared spectroscopy and x-ray diffraction analysis were carried out on HPQC in powder form and as-deposited thin film. The crystal system and space group type were determined for HPQC in powder form. The AC conductivity and dielectric properties were determined in the frequency range from 0.5 kHz to 5 MHz and temperature range from 296 K to 443 K. The AC electrical conduction of HPQC thin film was found to be governed by the small-polaron tunneling mechanism. The polaron hopping energy (W H), tunneling distance (R), and density of states (N) near the Fermi level were determined as functions of temperature and frequency. The dielectric properties of HPQC thin film were studied by analysis of Nyquist diagrams, the dissipation factor (tan δ), and real (ɛ') and imaginary (ɛ″) parts of the dielectric constant.

  17. Moderate temperature-dependent surface and volume resistivity and low-frequency dielectric constant measurements of pure and multi-walled carbon nanotube (MWCNT) doped polyvinyl alcohol thin films

    NASA Astrophysics Data System (ADS)

    Edwards, Matthew; Guggilla, Padmaja; Reedy, Angela; Ijaz, Quratulann; Janen, Afef; Uba, Samuel; Curley, Michael

    2017-08-01

    Previously, we have reported measurements of temperature-dependent surface resistivity of pure and multi-walled carbon nanotube (MWNCT) doped amorphous Polyvinyl Alcohol (PVA) thin films. In the temperature range from 22 °C to 40 °C with humidity-controlled environment, we found the surface resistivity to decrease initially, but to rise steadily as the temperature continued to increase. Moreover, electric surface current density (Js) was measured on the surface of pure and MWCNT doped PVA thin films. In this regard, the surface current density and electric field relationship follow Ohm's law at low electric fields. Unlike Ohmic conduction in metals where free electrons exist, selected captive electrons are freed or provided from impurities and dopants to become conduction electrons from increased thermal vibration of constituent atoms in amorphous thin films. Additionally, a mechanism exists that seemingly decreases the surface resistivity at higher temperatures, suggesting a blocking effect for conducting electrons. Volume resistivity measurements also follow Ohm's law at low voltages (low electric fields), and they continue to decrease as temperatures increase in this temperature range, differing from surface resistivity behavior. Moreover, we report measurements of dielectric constant and dielectric loss as a function of temperature and frequency. Both the dielectric constant and dielectric loss were observed to be highest for MWCNT doped PVA compared to pure PVA and commercial paper, and with frequency and temperature for all samples.

  18. Low-Frequency Dielectric Responses of Barium Strontium Titanate Thin Films with Conducting Perovskite LaNiO3 Electrode

    NASA Astrophysics Data System (ADS)

    Lee, Su-Jae; Moon, Seung-Eon; Ryu, Han-Cheol; Kwak, Min-Hwan; Kim, Young-Tae

    2002-07-01

    Highly (h00)-oriented (Ba,Sr)TiO3 [BST] thin films were deposited by pulsed laser depositi on on the perovskite LaNiO3 metallic oxide layer as a bottom electrode. The LaNiO3 films were deposited on SiO2/Si substrates by the rf-magnetron sputtering method. The crystal line phases of the BST film were characterized by X-ray θ-2θ, ω-rocking curve and Φ-scan diffraction measurements. The surface microstructure observed by scanning electron mi croscopy was very dense and smooth. The low-frequency dielectric responses of the BST films grown at various substrate temperatures were measured as a function of frequency in the frequency range from 0.1 Hz to 10 MHz. The BST films have the dielectric constant of 265 at 1 kHz and showed multiple dielectric relaxations in the measured frequency region. The origins of these low-frequency dielectric relaxations are attributed to ionized space charge carriers such as the oxygen vacancies and defects in the BST film, the interfacial polarization in the grain boundary region and the electrode polarization. We also studied the capacitance-voltage characteristics of BST films.

  19. Test results for electron beam charging of flexible insulators and composites. [solar array substrates, honeycomb panels, and thin dielectric films

    NASA Technical Reports Server (NTRS)

    Staskus, J. V.; Berkopec, F. D.

    1979-01-01

    Flexible solar-array substrates, graphite-fiber/epoxy - aluminum honeycomb panels, and thin dielectric films were exposed to monoenergetic electron beams ranging in energy from 2 to 20 keV in the Lewis Research Center's geomagnetic-substorm-environment simulation facility to determine surface potentials, dc currents, and surface discharges. The four solar-array substrate samples consisted of Kapton sheet reinforced with fabrics of woven glass or carbon fibers. They represented different construction techniques that might be used to reduce the charge accumulation on the array back surface. Five honeycomb-panel samples were tested, two of which were representative of Voyager antenna materials and had either conductive or nonconductive painted surfaces. A third sample was of Navstar solar-array substrate material. The other two samples were of materials proposed for use on Intelsat V. All the honeycomb-panel samples had graphite-fiber/epoxy composite face sheets. The thin dielectric films were 2.54-micrometer-thick Mylar and 7.62-micrometer-thick Kapton.

  20. Optical properties of InN thin films

    NASA Astrophysics Data System (ADS)

    Malakhov, Vladislav Y.

    2000-04-01

    The basic optical properties of low temperature plasma enhanced chemical reactionary sputtered (PECRS) InN thin films are presented. Optical absorption and reflectance spectra of InN polycrystalline films at room temperature in visible and near infrared (NIR) regions were taken to determine direct band gap energy (2.03 eV), electron plasma resonances energy (0.6 eV), damping constant (0.18 eV), and optical effective mass of electrons (0.11). In addition the UV and visible reflectance spectra have been used to reproduce accurately dielectric function of wurtzite InN for assignments of the peak structures to interband transitions (1.5 - 12.0 eV) as well as to determine dielectric constant (9.3) and refractive index (>3.0). The revealed reflectance peaks at 485 and 590 cm-1 respectively in IR spectra are connected with TO and LO optical vibration modes of InN films. Some TO (485 cm-1) and LO (585 cm-1) phonon features of indium nitride polycrystalline films on ceramics were observed in Raman spectra and also discussed. The excellent possibilities of InN polycrystalline layers for potential application in optoelectronic devices such as LEDs based InGaAlN and high efficiency solar cells are confirmed.

  1. Phase sensitive molecular dynamics of self-assembly glycolipid thin films: A dielectric spectroscopy investigation

    NASA Astrophysics Data System (ADS)

    Velayutham, T. S.; Ng, B. K.; Gan, W. C.; Majid, W. H. Abd.; Hashim, R.; Zahid, N. I.; Chaiprapa, Jitrin

    2014-08-01

    Glycolipid, found commonly in membranes, is also a liquid crystal material which can self-assemble without the presence of a solvent. Here, the dielectric and conductivity properties of three synthetic glycolipid thin films in different thermotropic liquid crystal phases were investigated over a frequency and temperature range of (10-2-106 Hz) and (303-463 K), respectively. The observed relaxation processes distinguish between the different phases (smectic A, columnar/hexagonal, and bicontinuous cubic Q) and the glycolipid molecular structures. Large dielectric responses were observed in the columnar and bicontinuous cubic phases of the longer branched alkyl chain glycolipids. Glycolipids with the shortest branched alkyl chain experience the most restricted self-assembly dynamic process over the broad temperature range studied compared to the longer ones. A high frequency dielectric absorption (Process I) was observed in all samples. This is related to the dynamics of the hydrogen bond network from the sugar group. An additional low-frequency mechanism (Process II) with a large dielectric strength was observed due to the internal dynamics of the self-assembly organization. Phase sensitive domain heterogeneity in the bicontinuous cubic phase was related to the diffusion of charge carriers. The microscopic features of charge hopping were modelled using the random walk scheme, and two charge carrier hopping lengths were estimated for two glycolipid systems. For Process I, the hopping length is comparable to the hydrogen bond and is related to the dynamics of the hydrogen bond network. Additionally, that for Process II is comparable to the bilayer spacing, hence confirming that this low-frequency mechanism is associated with the internal dynamics within the phase.

  2. Electronic and optical properties of titanium nitride bulk and surfaces from first principles calculations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mehmood, Faisal; General Dynamics Information Technology, Inc., Dayton, Ohio 45433; Pachter, Ruth, E-mail: ruth.pachter@us.af.mil

    Prediction of the frequency-dependent dielectric function of thin films poses computational challenges, and at the same time experimental characterization by spectroscopic ellipsometry remains difficult to interpret because of changes in stoichiometry and surface morphology, temperature, thickness of the film, or substrate. In this work, we report calculations for titanium nitride (TiN), a promising material for plasmonic applications because of less loss and other practical advantages compared to noble metals. We investigated structural, electronic, and optical properties of stoichiometric bulk TiN, as well as of the TiN(100), TiN(110), and TiN(111) outermost surfaces. Density functional theory (DFT) and many-body GW methods (Green'smore » (G) function-based approximation with screened Coulomb interaction (W)) were used, ranging from G{sub 0}W{sub 0}, GW{sub 0} to partially self-consistent sc-GW{sub 0}, as well as the GW-BSE (Bethe-Salpeter equation) and time-dependent DFT (TDDFT) methods for prediction of the optical properties. Structural parameters and the band structure for bulk TiN were shown to be consistent with previous work. Calculated dielectric functions, plasma frequencies, reflectivity, and the electron energy loss spectrum demonstrated consistency with experiment at the GW{sub 0}-BSE level. Deviations from experimental data are expected due to varying experimental conditions. Comparison of our results to spectroscopic ellipsometry data for realistic nanostructures has shown that although TDDFT may provide a computationally feasible level of theory in evaluation of the dielectric function, application is subject to validation with GW-BSE calculations.« less

  3. Submillimeter and Far-Infrared Dielectric Properties of Thin Films

    NASA Technical Reports Server (NTRS)

    Cataldo, Giuseppe; Wollack, Edward J.

    2016-01-01

    The complex dielectric function enables the study of a material's refractive and absorptive properties and provides information on a material's potential for practical application. Commonly employed line shape profile functions from the literature are briefly surveyed and their suitability for representation of dielectric material properties are discussed. An analysis approach to derive a material's complex dielectric function from observed transmittance spectra in the far-infrared and submillimeter regimes is presented. The underlying model employed satisfies the requirements set by the Kramers-Kronig relations. The dielectric function parameters derived from this approach typically reproduce the observed transmittance spectra with an accuracy of less than 4%.

  4. Origin of switching current transients in TIPS-pentacene based organic thin-film transistor with polymer dielectric

    NASA Astrophysics Data System (ADS)

    Singh, Subhash; Mohapatra, Y. N.

    2017-06-01

    We have investigated switch-on drain-source current transients in fully solution-processed thin film transistors based on 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) using cross-linked poly-4-vinylphenol as a dielectric. We show that the nature of the transient (increasing or decreasing) depends on both the temperature and the amplitude of the switching pulse at the gate. The isothermal transients are analyzed spectroscopically in a time domain to extract the degree of non-exponentiality and its possible origin in trap kinetics. We propose a phenomenological model in which the exchange of electrons between interfacial ions and traps controls the nature of the drain current transients dictated by the Fermi level position. The origin of interfacial ions is attributed to the essential fabrication step of UV-ozone treatment of the dielectric prior to semiconductor deposition.

  5. High-permittivity thin dielectric padding improves fresh blood imaging of femoral arteries at 3 T.

    PubMed

    Lindley, Marc D; Kim, Daniel; Morrell, Glen; Heilbrun, Marta E; Storey, Pippa; Hanrahan, Christopher J; Lee, Vivian S

    2015-02-01

    Fresh blood imaging (FBI) is a useful noncontrast magnetic resonance angiographic (MRA) method for the assessment of peripheral arterial disease, particularly for imaging patients with poor renal function. Compared with 1.5 T, 3 T enables higher signal-to-noise ratio and/or spatiotemporal resolution in FBI. Indeed, previous studies have reported successful FBI of the calf station at 3 T. However, FBI of the thigh station at 3 T has been reported to suffer from signal void in the common femoral artery of 1 thigh only because of the radial symmetry in transmit radiofrequency field (B1+) variation. We sought to increase the signal of femoral artery in FBI at 3 T using high-permittivity dielectric padding. We performed FBI and B1+ mapping of the thigh station at 3 T in 13 human subjects to compare the following 3 dielectric padding settings: no padding, commercially available thick (approximately 5 cm) dielectric padding, and high-permittivity thin (approximately 2 cm) dielectric padding. We characterized the radial symmetry in B1+ variation as well as its impact on the FBI signal at baseline and how dielectric padding improves B1+ and FBI. We evaluated the quality of 3 FBI MRA acquisitions using quantitative (ie, contrast-to-noise ratio of femoral arteries) and qualitative (ie, conspicuity of femoral arteries) analyses. With the subjects positioned on the magnetic resonance table in feet-first, supine orientation, the radial symmetry in B1+ variation attenuates the signal in the right common femoral artery. The signal void can be improved partially with commercial padding and improved further with high-permittivity padding. Averaging the results over the 13 subjects, the mean B1+, contrast-to-noise ratio, and conspicuity scores for the right common femoral artery were significantly higher with high-permittivity padding than with commercial padding and baseline (P < 0.001). Our study shows that high-permittivity dielectric padding can be used to increase the signal of

  6. III-Nitride Digital Alloy: Electronics and Optoelectronics Properties of the InN/GaN Ultra-Short Period Superlattice Nanostructures.

    PubMed

    Sun, Wei; Tan, Chee-Keong; Tansu, Nelson

    2017-07-27

    The III-Nitride digital alloy (DA) is comprehensively studied as a short-period superlattice nanostructure consisting of ultra-thin III-Nitride epitaxial layers. By stacking the ultra-thin III-Nitride epitaxial layers periodically, these nanostructures are expected to have comparable optoelectronic properties as the conventional III-Nitride alloys. Here we carried out numerical studies on the InGaN DA showing the tunable optoelectronic properties of the III-Nitride DA. Our study shows that the energy gap of the InGaN DA can be tuned from ~0.63 eV up to ~2.4 eV, where the thicknesses and the thickness ratio of each GaN and InN ultra-thin binary layers within the DA structure are the key factors for tuning bandgap. Correspondingly, the absorption spectra of the InGaN DA yield broad wavelength tunability which is comparable to that of bulk InGaN ternary alloy. In addition, our investigation also reveals that the electron-hole wavefunction overlaps are remarkably large in the InGaN DA structure despite the existence of strain effect and build-in polarization field. Our findings point out the potential of III-Nitride DA as an artificially engineered nanostructure for optoelectronic device applications.

  7. Anomalous bias-stress-induced unstable phenomena of InZnO thin-film transistors using Ta2O5 gate dielectric

    NASA Astrophysics Data System (ADS)

    Xu, Wangying; Dai, Mingzhi; Liang, Lingyan; Liu, Zhimin; Sun, Xilian; Wan, Qing; Cao, Hongtao

    2012-05-01

    InZnO thin-film transistors using high-κ Ta2O5 gate dielectric are presented and analysed. The large capacitance coupling effect of amorphous Ta2O5 results in fabricated devices with good electrical properties. However, an anomalous negative threshold voltage (Vth) shift under positive bias stress is observed. It is suggested that electron detrapping from the high-κ Ta2O5 dielectric to the gate electrode is responsible for this Vth shift, which is supported both by the logarithmical dependence of the Vth change on the duration of the bias stress and device simulation extracted trapped charges involved.

  8. Electrode/Dielectric Strip For High-Energy-Density Capacitor

    NASA Technical Reports Server (NTRS)

    Yen, Shiao-Ping S.

    1994-01-01

    Improved unitary electrode/dielectric strip serves as winding in high-energy-density capacitor in pulsed power supply. Offers combination of qualities essential for high energy density: high permittivity of dielectric layers, thinness, and high resistance to breakdown of dielectric at high electric fields. Capacitors with strip material not impregnated with liquid.

  9. Internal residual stress studies and enhanced dielectric properties in La0.7Sr0.3CoO3 buffered (Ba,Sr)TiO3 thin films

    NASA Astrophysics Data System (ADS)

    Lu, Shengbo; Xu, Zhengkui

    2009-09-01

    Ba0.6Sr0.4TiO3 (BST) thin films were deposited on La0.7Sr0.3CoO3 (LSCO) buffered and unbuffered Pt (111)/Ti/SiO2/Si substrates by pulsed laser deposition. The former exhibits a (100) preferred orientation and the latter a random orientation, respectively. Grazing incident x-ray diffraction study revealed that the tensile residual stress observed in the latter is markedly reduced in the former. As a result, the dielectric property of the LSCO buffered BST thin film is greatly improved, which shows a larger dielectric constant and tunability, smaller loss tangent, and lower leakage current than those of the unbuffered BST thin film. The relaxation of the larger tensile residual stress is attributed to the larger grain size in the buffered BST thin film and to a closer match of thermal expansion coefficient between the BST and the LSCO buffer layer.

  10. Low temperature synthesis of silicon nitride thin films deposited by VHF/RF PECVD for gas barrier application

    NASA Astrophysics Data System (ADS)

    Lee, Jun S.; Shin, Kyung S.; Sahu, B. B.; Han, Jeon G.

    2015-09-01

    In this work, silicon nitride (SiNx) thin films were deposited on polyethylene terephthalate (PET) substrates as barrier layers by plasma enhanced chemical vapor deposition (PECVD) system. Utilizing a combination of very high-frequency (VHF 40.68 MHz) and radio-frequency (RF 13.56 MHz) plasmas it was possible to adopt PECVD deposition at low-temperature using the precursors: Hexamethyldisilazane (HMDSN) and nitrogen. To investigate relationship between film properties and plasma properties, plasma diagnostic using optical emission spectroscopy (OES) was performed along with the film analysis using Fourier transform infrared spectroscopy (FT-IR) and X-ray photoelectron spectroscopy (XPS). OES measurements show that there is dominance of the excited N2 and N2+ emissions with increase in N2 dilution, which has a significant impact on the film properties. It was seen that all the deposited films contains mainly silicon nitride with a small content of carbon and no signature of oxygen. Interestingly, upon air exposure, films have shown the formation of Si-O bonds in addition to the Si-N bonds. Measurements and analysis reveals that SiNx films deposited with high content of nitrogen with HMDSN plasma can have lower gas barrier properties as low as 7 . 3 ×10-3 g/m2/day. Also at Chiang Mai University.

  11. In-plane microwave dielectric properties of paraelectric barium strontium titanate thin films with anisotropic epitaxy

    NASA Astrophysics Data System (ADS)

    Simon, W. K.; Akdogan, E. K.; Safari, A.; Bellotti, J. A.

    2005-08-01

    In-plane dielectric properties of ⟨110⟩ oriented epitaxial (Ba0.60Sr0.40)TiO3 thin films in the thickness range from 25-1200nm have been investigated under the influence of anisotropic epitaxial strains from ⟨100⟩ NdGaO3 substrates. The measured dielectric properties show strong residual strain and in-plane directional dependence. Below 150nm film thickness, there appears to be a phase transition due to the anisotropic nature of the misfit strain relaxation. In-plane relative permittivity is found to vary from as much as 500-150 along [11¯0] and [001] respectively, in 600nm thick films, and from 75 to 500 overall. Tunability was found to vary from as much as 54% to 20% in all films and directions, and in a given film the best tunability is observed along the compressed axis in a mixed strain state, 54% along [11¯0] in the 600nm film for example.

  12. Tuneable dielectric films having low electrical losses

    DOEpatents

    Dimos, Duane Brian; Schwartz, Robert William; Raymond, Mark Victor; Al-Shareef, Husam Niman; Mueller, Carl; Galt, David

    2000-01-01

    The present invention is directed to a method for forming dielectric thin films having substantially reduced electrical losses at microwave and millimeter wave frequencies relative to conventional dielectric thin films. The reduction in losses is realized by dramatically increasing the grain sizes of the dielectric films, thereby minimizing intergranular scattering of the microwave signal due to grain boundaries and point defects. The increase in grain size is realized by heating the film to a temperature at which the grains experience regrowth. The grain size of the films can be further increased by first depositing the films with an excess of one of the compoents, such that a highly mobile grain boundary phase is formed.

  13. Bismuth pyrochlore-based thin films for dielectric energy storage

    NASA Astrophysics Data System (ADS)

    Michael, Elizabeth K.

    The drive towards the miniaturization of electronic devices has created a need for dielectric materials with large energy storage densities. These materials, which are used in capacitors, are a critical component in many electrical systems. Here, the development of dielectric energy storage materials for pulsed power applications, which require materials with the ability to accumulate a large amount of energy and then deliver it to the system rapidly, is explored. The amount of electrostatic energy that can be stored by a material is a function of the induced polarization and the dielectric breakdown strength of the material. An ideal energy storage dielectric would possess a high relative permittivity, high dielectric breakdown strength, and low loss tangent under high applied electric fields. The bismuth pyrochlores are a compositionally tunable family of materials that meet these requirements. Thin films of cubic pyrochlore bismuth zinc niobate, bismuth zinc tantalate, and bismuth zinc niobate tantalate, were fabricated using a novel solution chemistry based upon the Pechini method. This solution preparation is advantageous because it avoids the use of teratogenic solvents, such as 2-methoxyethanol. Crystalline films fabricated using this solution chemistry had very small grains that were approximately 27 nm in lateral size and 35 nm through the film thickness. Impedance measurements found that the resistivity of the grain boundaries was two orders of magnitude higher than the resistivity of the grain interior. The presence of many resistive grain boundaries impeded conduction through the films, resulting in high breakdown strengths for these materials. In addition to high breakdown strengths, this family of materials exhibited moderate relative permittivities of between 55 +/- 2 and 145 +/- 5, for bismuth zinc tantalate and bismuth zinc niobate, respectively, and low loss tangents on the order of 0.0008 +/- 0.0001. Increases in the concentration of the tantalum

  14. Design and characterization of terahertz-absorbing nano-laminates of dielectric and metal thin films.

    PubMed

    Bolakis, C; Grbovic, D; Lavrik, N V; Karunasiri, G

    2010-07-05

    A terahertz-absorbing thin-film stack, containing a dielectric Bragg reflector and a thin chromium metal film, was fabricated on a silicon substrate for applications in bi-material terahertz (THz) sensors. The Bragg reflector is to be used for optical readout of sensor deformation under THz illumination. The THz absorption characteristics of the thin-film composite were measured using Fourier transform infrared spectroscopy. The absorption of the structure was calculated both analytically and by finite element modeling and the two approaches agreed well. Finite element modeling provides a convenient way to extract the amount of power dissipation in each layer and is used to quantify the THz absorption in the multi-layer stack. The calculation and the model were verified by experimentally characterizing the multi-layer stack in the 3-5 THz range. The measured and simulated absorption characteristics show a reasonably good agreement. It was found that the composite film absorbed about 20% of the incident THz power. The model was used to optimize the thickness of the chromium film for achieving high THz absorption and found that about 50% absorption can be achieved when film thickness is around 9 nm.

  15. Epitaxial thin films

    DOEpatents

    Hunt, Andrew Tye; Deshpande, Girish; Lin, Wen-Yi; Jan, Tzyy-Jiuan

    2006-04-25

    Epitatial thin films for use as buffer layers for high temperature superconductors, electrolytes in solid oxide fuel cells (SOFC), gas separation membranes or dielectric material in electronic devices, are disclosed. By using CCVD, CACVD or any other suitable deposition process, epitaxial films having pore-free, ideal grain boundaries, and dense structure can be formed. Several different types of materials are disclosed for use as buffer layers in high temperature superconductors. In addition, the use of epitaxial thin films for electrolytes and electrode formation in SOFCs results in densification for pore-free and ideal gain boundary/interface microstructure. Gas separation membranes for the production of oxygen and hydrogen are also disclosed. These semipermeable membranes are formed by high-quality, dense, gas-tight, pinhole free sub-micro scale layers of mixed-conducting oxides on porous ceramic substrates. Epitaxial thin films as dielectric material in capacitors are also taught herein. Capacitors are utilized according to their capacitance values which are dependent on their physical structure and dielectric permittivity. The epitaxial thin films of the current invention form low-loss dielectric layers with extremely high permittivity. This high permittivity allows for the formation of capacitors that can have their capacitance adjusted by applying a DC bias between their electrodes.

  16. Electromagnetic scattering and absorption by thin walled dielectric cylinders with application to ice crystals

    NASA Technical Reports Server (NTRS)

    Senior, T. B. A.; Weil, H.

    1977-01-01

    Important in the atmospheric heat balance are the reflection, transmission, and absorption of visible and infrared radiation by clouds and polluted atmospheres. Integral equations are derived to evaluate the scattering and absorption of electromagnetic radiation from thin cylindrical dielectric shells of arbitrary cross section when irradiated by a plane wave of any polarization incident in a plane perpendicular to the generators. Application of the method to infinitely long hexagonal cylinders has yielded numerical scattering and absorption data which simulate columnar sheath ice crystals. It is found that the numerical procedures are economical for cylinders having perimeters less than approximately fifteen free-space wavelengths.

  17. Electrical conduction mechanism and phase transition studies using dielectric properties and Raman spectroscopy in ferroelectric Pb0.76Ca0.24TiO3 thin films

    NASA Astrophysics Data System (ADS)

    Pontes, F. M.; Pontes, D. S. L.; Leite, E. R.; Longo, E.; Chiquito, A. J.; Pizani, P. S.; Varela, J. A.

    2003-12-01

    We have studied the phase transition behavior of Pb0.76Ca0.24TiO3 thin films using Raman scattering and dielectric measurement techniques. We also have studied the leakage current conduction mechanism as a function of temperature for these thin films on platinized silicon substrates. A Pb0.76Ca0.24TiO3 thin film was prepared using a soft chemical process, called the polymeric precursor method. The results showed that the dependence of the dielectric constant upon the frequency does not reveal any relaxor behavior. However, a diffuse character-type phase transition was observed upon transformation from a cubic paraelectric phase to a tetragonal ferroelectric phase. The temperature dependency of Raman scattering spectra was investigated through the ferroelectric phase transition. The soft mode showed a marked dependence on temperature and its disappearance at about 598 K. On the other hand, Raman modes persist above the tetragonal to cubic phase transition temperature, although all optical modes should be Raman inactive above the phase transition temperature. The origin of these modes must be interpreted in terms of a local breakdown of cubic symmetry by some kind of disorder. The lack of a well-defined transition temperature suggested a diffuse-type phase transition. This result corroborate the dielectric constant versus temperature data, which showed a broad ferroelectric phase transition in the thin film. The leakage current density of the PCT24 thin film was studied at elevated temperatures, and the data were well fitted by the Schottky emission model. The Schottky barrier height of the PCT24 thin film was estimated to be 1.49 eV.

  18. Evolution of dielectric function of Al-doped ZnO thin films with thermal annealing: effect of band gap expansion and free-electron absorption.

    PubMed

    Li, X D; Chen, T P; Liu, Y; Leong, K C

    2014-09-22

    Evolution of dielectric function of Al-doped ZnO (AZO) thin films with annealing temperature is observed. It is shown that the evolution is due to the changes in both the band gap and the free-electron absorption as a result of the change of free-electron concentration of the AZO thin films. The change of the electron concentration could be attributed to the activation of Al dopant and the creation/annihilation of the donor-like defects like oxygen vacancy in the thin films caused by annealing.

  19. Chemical nature of colossal dielectric constant of CaCu3Ti4O12 thin film by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Deng, Guochu; Xanthopoulos, Nicolas; Muralt, Paul

    2008-04-01

    Epitaxial CaCu3Ti4O12 thin films grown by pulsed laser deposition were studied in the as-deposited and oxygen annealed state. The first one exhibited the usual transition from dielectric to colossal dielectric behavior upon increasing the temperature to above 100K. This transition disappeared after annealing at 900°C in air. The two states significantly differ in their x-ray photoelectron spectra. The state of colossal dielectric constant corresponds to a bulk material with considerable amounts of Cu + and Ti3+, combined with Cu species enrichment at the surface. The annealed state exhibited a nearly stoichiometric composition with no Cu+ and Ti3+. The previously observed p-type conduction in the as-deposited state is thus related to oxygen vacancies compensated by the point defects of Cu+ and Ti3+.

  20. Plasma emission spectroscopy and its relation to the refractive index of silicon nitride thin films deposited by reactive magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Sanginés, R.; Abundiz-Cisneros, N.; Hernández Utrera, O.; Diliegros-Godines, C.; Machorro-Mejía, R.

    2018-03-01

    In this work, we present a thorough study on the relation between the plasma emission and the change of the silicon nitride thin films refractive index. Thin films were grown by reactive magnetron direct current sputtering technique and deposited onto silicon wafers at different fluxes of Ar and N2 and at different working pressures. This procedure, at certain deposition parameters, produced poor quality films, i.e. films with refractive index other than pure Si3N4 films. The emission of the plasma was interrogated in real time by means of optical emission spectroscopy (OES) observing at the vicinity of the trget location. In addition, optical properties of the films were measured by in situ ellipsometric-spectroscopy and then correlated with OES observations. Changes in the film refractive index could be deduced from changes in plasma emission applying a principal component analysis.

  1. Tailored Waveform of Dielectric Barrier Discharge to Control Composite Thin Film Morphology.

    PubMed

    Brunet, Paul; Rincón, Rocío; Matouk, Zineb; Chaker, Mohamed; Massines, Françoise

    2018-02-06

    Nanocomposite thin films of TiO 2 in a polymer-like matrix are grown in a filamentary argon (Ar) dielectric barrier discharge (DBD) from a suspension of TiO 2 nanoparticles in isopropanol (IPA). The sinusoidal voltage producing the plasma is designed to independently control the matrix growth rate and the transport of nanoparticle (NP) aggregates to the surface. The useful FSK (frequency shift keying) modulation mode is chosen to successively generate two sinusoidal voltages: a high frequency of 15 kHz and a low frequency ranging from 0.5 to 3 kHz. The coating surface coverage by the NPs and the thickness of the matrix are measured as a function of the FSK parameters. The duty cycle between these two signals is varied from 0 to 100%. It is observed that the matrix thickness is mainly controlled by the power of the discharge, which largely depends on the high-frequency value. The quantity of NPs deposited in the composite thin film is proportional to the duration of the low frequency applied. The FSK waveform has a double modulation effect, allowing us to obtain a uniform coating as the NPs are not affected by the high frequency and the matrix growth rate is limited when the low frequency is applied. When it is close to a frequency limit, the low frequency acts like a filter for the NP aggregates. The higher the frequency, the smaller the size of the aggregates transferred to the surface. By changing only the FSK modulation parameters, the thin film can be switched from superhydrophobic to superhydrophilic, and under suitable conditions, a nanocomposite thin film is obtained.

  2. The Effect of Adjacent Materials on the Propagation of Phonon Polaritons in Hexagonal Boron Nitride.

    PubMed

    Kim, Kris S; Trajanoski, Daniel; Ho, Kevin; Gilburd, Leonid; Maiti, Aniket; van der Velden, Luuk; de Beer, Sissi; Walker, Gilbert C

    2017-07-06

    In order to apply the ability of hexagonal boron nitride (hBN) to confine energy in the form of hyperbolic phonon polariton (HPhP) modes in photonic-electronic devices, approaches to finely control and leverage the sensitivity of these propagating waves must be investigated. Here, we show that by surrounding hBN with materials of lower/higher dielectric responses, such as air and silicon, lower/higher surface momenta of HPhPs can be achieved. Furthermore, an alternative method for preparing thin hBN crystals with minimum contamination is presented, which provides opportunities to study the sensitivity of the damping mechanism of HPhPs on adsorbed materials. Infrared scanning near-field optical microscopy (IR-SNOM) results suggest that the reflections at the upper and lower hBN interfaces are primary causes of the damping of HPhPs, and that the damping coefficients of propagating waves are highly sensitive to adjacent layers, suggesting opportunities for sensor applications.

  3. High-density carrier-accumulated and electrically stable oxide thin-film transistors from ion-gel gate dielectric

    PubMed Central

    Fujii, Mami N.; Ishikawa, Yasuaki; Miwa, Kazumoto; Okada, Hiromi; Uraoka, Yukiharu; Ono, Shimpei

    2015-01-01

    The use of indium–gallium–zinc oxide (IGZO) has paved the way for high-resolution uniform displays or integrated circuits with transparent and flexible devices. However, achieving highly reliable devices that use IGZO for low-temperature processes remains a technological challenge. We propose the use of IGZO thin-film transistors (TFTs) with an ionic-liquid gate dielectric in order to achieve high-density carrier-accumulated IGZO TFTs with high reliability, and we discuss a distinctive mechanism for the degradation of this organic–inorganic hybrid device under long-term electrical stress. Our results demonstrated that an ionic liquid or gel gate dielectric provides highly reliable and low-voltage operation with IGZO TFTs. Furthermore, high-density carrier accumulation helps improve the TFT characteristics and reliability, and it is highly relevant to the electronic phase control of oxide materials and the degradation mechanism for organic–inorganic hybrid devices. PMID:26677773

  4. High-density carrier-accumulated and electrically stable oxide thin-film transistors from ion-gel gate dielectric.

    PubMed

    Fujii, Mami N; Ishikawa, Yasuaki; Miwa, Kazumoto; Okada, Hiromi; Uraoka, Yukiharu; Ono, Shimpei

    2015-12-18

    The use of indium-gallium-zinc oxide (IGZO) has paved the way for high-resolution uniform displays or integrated circuits with transparent and flexible devices. However, achieving highly reliable devices that use IGZO for low-temperature processes remains a technological challenge. We propose the use of IGZO thin-film transistors (TFTs) with an ionic-liquid gate dielectric in order to achieve high-density carrier-accumulated IGZO TFTs with high reliability, and we discuss a distinctive mechanism for the degradation of this organic-inorganic hybrid device under long-term electrical stress. Our results demonstrated that an ionic liquid or gel gate dielectric provides highly reliable and low-voltage operation with IGZO TFTs. Furthermore, high-density carrier accumulation helps improve the TFT characteristics and reliability, and it is highly relevant to the electronic phase control of oxide materials and the degradation mechanism for organic-inorganic hybrid devices.

  5. Continuous Growth of Hexagonal Graphene and Boron Nitride In-Plane Heterostructures by Atmospheric Pressure Chemical Vapor Deposition

    PubMed Central

    Han, Gang Hee; Rodríguez-Manzo, Julio A.; Lee, Chan-Woo; Kybert, Nicholas J.; Lerner, Mitchell B.; Qi, Zhengqing John; Dattoli, Eric N.; Rappe, Andrew M.; Drndic, Marija; Charlie Johnson, A. T.

    2013-01-01

    Graphene-boron nitride monolayer heterostructures contain adjacent electrically active and insulating regions in a continuous, single-atom thick layer. To date structures were grown at low pressure, resulting in irregular shapes and edge direction, so studies of the graphene-boron nitride interface were restricted to microscopy of nano-domains. Here we report templated growth of single crystalline hexagonal boron nitride directly from the oriented edge of hexagonal graphene flakes by atmospheric pressure chemical vapor deposition, and physical property measurements that inform the design of in-plane hybrid electronics. Ribbons of boron nitride monolayer were grown from the edge of a graphene template and inherited its crystallographic orientation. The relative sharpness of the interface was tuned through control of growth conditions. Frequent tearing at the graphene-boron nitride interface was observed, so density functional theory was used to determine that the nitrogen-terminated interface was prone to instability during cool down. The electronic functionality of monolayer heterostructures was demonstrated through fabrication of field effect transistors with boron nitride as an in-plane gate dielectric. PMID:24182310

  6. Continuous growth of hexagonal graphene and boron nitride in-plane heterostructures by atmospheric pressure chemical vapor deposition.

    PubMed

    Han, Gang Hee; Rodríguez-Manzo, Julio A; Lee, Chan-Woo; Kybert, Nicholas J; Lerner, Mitchell B; Qi, Zhengqing John; Dattoli, Eric N; Rappe, Andrew M; Drndic, Marija; Johnson, A T Charlie

    2013-11-26

    Graphene-boron nitride monolayer heterostructures contain adjacent electrically active and insulating regions in a continuous, single-atom thick layer. To date structures were grown at low pressure, resulting in irregular shapes and edge direction, so studies of the graphene-boron nitride interface were restricted to the microscopy of nanodomains. Here we report templated growth of single crystalline hexagonal boron nitride directly from the oriented edge of hexagonal graphene flakes by atmospheric pressure chemical vapor deposition, and physical property measurements that inform the design of in-plane hybrid electronics. Ribbons of boron nitride monolayer were grown from the edge of a graphene template and inherited its crystallographic orientation. The relative sharpness of the interface was tuned through control of growth conditions. Frequent tearing at the graphene-boron nitride interface was observed, so density functional theory was used to determine that the nitrogen-terminated interface was prone to instability during cool down. The electronic functionality of monolayer heterostructures was demonstrated through fabrication of field effect transistors with boron nitride as an in-plane gate dielectric.

  7. Elastic and dielectric anisotropy in barium strontium titanate thin films on orthorhombic neodymium gallate substrates

    NASA Astrophysics Data System (ADS)

    Simon, William Kurt

    Functional oxide thin films often focus on standard cubic substrates that impose an equal biaxial plane stress condition (sigma11 = sigma22) to the film. These internal stresses in thin films reach magnitudes not easily achieved in bulk materials and represent an important influence on the properties of thin films. Equal biaxial plane stress is a small sub-set of stress conditions. Anisotropic stress (sigma11 ≠ sigma 22) represents a wide range of influences that can be utilized to manipulate the properties of thin films. To investigate these conditions, heteroepitaxial thin films of paraelectric Ba0.6Sr0.4TiO3 (BST) were deposited on [100] and [110] oriented single crystal NdGaO 3 (NGO) substrates. Films were grown in the thickness range of 25 to 1200 nm by Pulsed Laser Deposition. The films grown on [100]NGO substrates were [110] oriented, while [110]NGO substrates resulted in [100] oriented BST films. The [100]BST films exhibit a small variation of the epitaxial misfit with direction: -2.6% and -2.8% along the [010]BST and [001 ]BST directions respectively. The epitaxial misfit for the [110]BST films show a greater variation with direction; -1.9% and -2.8% along the [1¯10]BST, and [001]BST directions respectively. The interfacial dislocations that form to relieve stress are found to be dependant on the growth orientation of the film and to contribute to the degree of elastic and dielectric anisotropy. The variation of the residual strains, with thickness and direction are correlated to the non-linear dielectric permittivity at 10 GHz. The relative permittivity is seen to vary from 150 to 500 with in-plane direction of a single [110]BST film. Tunabilities in the same film vary from 30 to 54%, with the greater tunability occurring along the directions with greater permittivity. Analysis of the non-linear polarization curves illustrate that the higher order permittivity terms, which are responsible for tunability, are all adversely affected by strain and reach

  8. Epitaxial Growth of MOF Thin Film for Modifying the Dielectric Layer in Organic Field-Effect Transistors.

    PubMed

    Gu, Zhi-Gang; Chen, Shan-Ci; Fu, Wen-Qiang; Zheng, Qingdong; Zhang, Jian

    2017-03-01

    Metal-organic framework (MOF) thin films are important in the application of sensors and devices. However, the application of MOF thin films in organic field effect transistors (OFETs) is still a challenge to date. Here, we first use the MOF thin film prepared by a liquid-phase epitaxial (LPE) approach (also called SURMOFs) to modify the SiO 2 dielectric layer in the OFETs. After the semiconductive polymer of PTB7-Th (poly[4,8-bis(5-(2-ethylhexyl)thiophene-2-yl)benzo[1,2-b:4,5-b']dithiophene-co-3-fluorothieno[3,4-b]thiophene-2-carboxylate]) was coated on MOF/SiO 2 and two electrodes on the semiconducting film were deposited sequentially, MOF-based OFETs were fabricated successfully. By controlling the LPE cycles of SURMOF HKUST-1 (also named Cu 3 (BTC) 2 , BTC = 1,3,5-benzenetricarboxylate), the performance of the HKUST-1/SiO 2 -based OFETs showed high charge mobility and low threshold voltage. This first report on the application of MOF thin film in OFETs will offer an effective approach for designing a new kind of materials for the OFET application.

  9. Physical aspects of colossal dielectric constant material CaCu3Ti4O12 thin films

    NASA Astrophysics Data System (ADS)

    Deng, Guochu; He, Zhangbin; Muralt, Paul

    2009-04-01

    The underlying physical mechanism of the so-called colossal dielectric constant phenomenon in CaCu3Ti4O12 (CCTO) thin films were investigated by using semiconductor theories and methods. The semiconductivity of CCTO thin films originated from the acceptor defect at a level ˜90 meV higher than valence band. Two contact types, metal-semiconductor and metal-insulator-semiconductor junctions, were observed and their barrier heights, and impurity concentrations were theoretically calculated. Accordingly, the Schottky barrier height of metal-semiconductor contact is about 0.8 eV, and the diffusion barrier height of metal-insulator-semiconductor contact is about 0.4-0.7 eV. The defect concentrations of both samples are quite similar, of the magnitude of 1019 cm-3, indicating an inherent feature of high defect concentration.

  10. Novel organic semiconductors and a high capacitance gate dielectric for organic thin film transistors

    NASA Astrophysics Data System (ADS)

    Cai, Xiuyu

    2007-12-01

    Organic semiconductors are attracting more and more interest as a promising set of materials in the field of electronics research. This thesis focused on several new organic semiconductors and a novel high-kappa dielectric thin film (SrTiO3), which are two essential parts in Organic Thin Film Transistors (OTFTs). Structure and morphology of thin films of tricyanovinyl capped oligothiophenes were studied using atomic force microscopy and x-ray diffraction. Thin film transistors of one compound exhibited a reasonable electron mobility of 0.02 cm2/Vs. Temperature dependent measurements on the thin film transistor based on this compound revealed shallow trap states that were interpreted in terms of a multiple trap and release model. Moreover, inversion of the majority charge carrier type from electrons to holes was observed when the number of oligothiophene rings increased to six and ambipolar transport behavior was observed for tricyanovinyl sexithiophene. Another interesting organic semiconductor compound is the fluoalkylquarterthiophene, which showed ambipolar transport and large hysteresis in the transfer curve. Due to the bistable state at floating gate, the thin film transistor was exploited to study non-volatile floating gate memory effects. The temperature dependence of the retention time for this memory device revealed that the electron trapping was an activated process. Following the earlier work on hybrid acene-thiophene organic semiconductors, new compounds with similar structure were studied to reveal the mechanism of the air-stability exhibited by some compounds. They all formed highly crystalline thin films and showed reasonable device performances which are well correlated with the molecular structures, thin film microstructures, and solid state packing. The most air-stable compound had no observable degradation with exposure to air for 15 months. SrTiO3 was developed to be employed in OTFTs. Optimization of thin film growth was performed using reactive

  11. Tungsten-doped thin film materials

    DOEpatents

    Xiang, Xiao-Dong; Chang, Hauyee; Gao, Chen; Takeuchi, Ichiro; Schultz, Peter G.

    2003-12-09

    A dielectric thin film material for high frequency use, including use as a capacitor, and having a low dielectric loss factor is provided, the film comprising a composition of tungsten-doped barium strontium titanate of the general formula (Ba.sub.x Sr.sub.1-x)TiO.sub.3, where X is between about 0.5 and about 1.0. Also provided is a method for making a dielectric thin film of the general formula (Ba.sub.x Sr.sub.1-x)TiO.sub.3 and doped with W, where X is between about 0.5 and about 1.0, a substrate is provided, TiO.sub.2, the W dopant, Ba, and optionally Sr are deposited on the substrate, and the substrate containing TiO.sub.2, the W dopant, Ba, and optionally Sr is heated to form a low loss dielectric thin film.

  12. Sputtered (barium(x), strontium(1-x))titanate, BST, thin films on flexible copper foils for use as a non-linear dielectric

    NASA Astrophysics Data System (ADS)

    Laughlin, Brian James

    Ferroelectric thin film dielectrics have a non-linear DC bias dependent permittivity and can be used as the dielectric between metal electrodes to make tunable Metal-Insulator-Metal (MIM) capacitors. Varactors can be used to change the resonance frequency of a circuit allowing high speed frequency switching intra- and inter-band. 2-D geometric arrays of circuitry, where resonant frequency is independently controlled by tunable elements in each section of the array, allow electromagnetic radiation to be focused and the wave front spatial trajectory controlled. BST thin films varactors allow large DC fields to be applied with modest voltages providing large tunabilities. If ferroelectric thin film based devices are to complement or supplant semiconductor varactors as tunable elements then devices must be synthesized using a low cost processing techniques. The Film on Foil process methodology for depositing BST thin films on copper foil substrates was used to create BST/Cu specimens. Sputtering conditions were determined via BST deposition on platinized silicon. Sputtered BST thin films were synthesized on Cu foil substrates and densified using high T, controlled pO2 anneals. XRD showed the absence of Cu2O in as-deposited, post crystallization annealed, and post "re-ox" annealed state. Data showed a polycrystalline BST microstructure with a 55--80 nm grain size and no copper oxidation. HRTEM imaging qualitatively showed evidence of an abrupt BST/Cu interface free from oxide formation. Dielectric properties of Cu/BST/Pt MIM devices were measured as a function of DC bias, frequency, and temperature. A permittivity of 725 was observed with tunability >3:1 while zero bias tan delta of 0.02 saturating to tan delta < 0.003 at high DC bias. No significant frequency dispersion was observed over five decades of frequency. Temperature dependent measurements revealed a broad ferroelectric transition with a maximum at -32°C which sustains a large tunability over -150°C to 150

  13. Protein adsorption on thin films of carbon and carbon nitride monitored with in situ ellipsometry.

    PubMed

    Berlind, T; Tengvall, P; Hultman, L; Arwin, H

    2011-03-01

    Thin films of amorphous carbon and amorphous, graphitic and fullerene-like carbon nitride were deposited by reactive magnetron sputtering and optically characterized with spectroscopic ellipsometry. Complementary studies using scanning electron microscopy and atomic force microscopy were performed. The films were exposed to human serum albumin (HSA) and the adsorption was monitored in situ using dynamic ellipsometry. From the ellipsometric data the adsorbed amount of proteins was quantified in terms of surface mass density using de Feijter's model. The results indicate larger adsorption of proteins onto the amorphous films compared to the films with a more textured structure. Complementary studies with 125I-labeled HSA showed an apparent protein adsorption up to six times larger compared to the ellipsometry measurement. In addition, the four types of films were incubated in blood plasma followed by exposure to anti-fibrinogen, anti-HMWK or anti-C3c, revealing the materials' response to complement and contact activation. The amorphous and graphitic carbon nitride exhibit rather high immune activity compared to a titanium reference, whereas the amorphous carbon and the fullerene-like CNx show less immune complement deposition. Compared to the reference, all films exhibit indications of a stronger ability to initiate the intrinsic pathway of coagulation. Finally, the surfaces' bone-bonding ability was investigated by examination of their ability to form calcium phosphate crystals in a simulated body fluid, with a-CNx depositing most calcium phosphate after 21 days of incubation. Copyright © 2010 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  14. Oriented graphene nanoribbons embedded in hexagonal boron nitride trenches

    PubMed Central

    Chen, Lingxiu; He, Li; Wang, Hui Shan; Wang, Haomin; Tang, Shujie; Cong, Chunxiao; Xie, Hong; Li, Lei; Xia, Hui; Li, Tianxin; Wu, Tianru; Zhang, Daoli; Deng, Lianwen; Yu, Ting; Xie, Xiaoming; Jiang, Mianheng

    2017-01-01

    Graphene nanoribbons (GNRs) are ultra-narrow strips of graphene that have the potential to be used in high-performance graphene-based semiconductor electronics. However, controlled growth of GNRs on dielectric substrates remains a challenge. Here, we report the successful growth of GNRs directly on hexagonal boron nitride substrates with smooth edges and controllable widths using chemical vapour deposition. The approach is based on a type of template growth that allows for the in-plane epitaxy of mono-layered GNRs in nano-trenches on hexagonal boron nitride with edges following a zigzag direction. The embedded GNR channels show excellent electronic properties, even at room temperature. Such in-plane hetero-integration of GNRs, which is compatible with integrated circuit processing, creates a gapped channel with a width of a few benzene rings, enabling the development of digital integrated circuitry based on GNRs. PMID:28276532

  15. Thermal conductivity and thermal boundary resistance of atomic layer deposited high-k dielectric aluminum oxide, hafnium oxide, and titanium oxide thin films on silicon

    NASA Astrophysics Data System (ADS)

    Scott, Ethan A.; Gaskins, John T.; King, Sean W.; Hopkins, Patrick E.

    2018-05-01

    The need for increased control of layer thickness and uniformity as device dimensions shrink has spurred increased use of atomic layer deposition (ALD) for thin film growth. The ability to deposit high dielectric constant (high-k) films via ALD has allowed for their widespread use in a swath of optical, optoelectronic, and electronic devices, including integration into CMOS compatible platforms. As the thickness of these dielectric layers is reduced, the interfacial thermal resistance can dictate the overall thermal resistance of the material stack compared to the resistance due to the finite dielectric layer thickness. Time domain thermoreflectance is used to interrogate both the thermal conductivity and the thermal boundary resistance of aluminum oxide, hafnium oxide, and titanium oxide films on silicon. We calculate a representative design map of effective thermal resistances, including those of the dielectric layers and boundary resistances, as a function of dielectric layer thickness, which will be of great importance in predicting the thermal resistances of current and future devices.

  16. Investigation of Ultraviolet Light Curable Polysilsesquioxane Gate Dielectric Layers for Pentacene Thin Film Transistors.

    PubMed

    Shibao, Hideto; Nakahara, Yoshio; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) comprising 3-methacryloxypropyl groups was investigated as an ultraviolet (UV)-light curable gate dielectric-material for pentacene thin film transistors (TFTs). The surface of UV-light cured PSQ films was smoother than that of thermally cured ones, and the pentacene layers deposited on the UV-Iight cured PSQ films consisted of larger grains. However, carrier mobility of the TFTs using the UV-light cured PSQ films was lower than that of the TFTs using the thermally cured ones. It was shown that the cross-linker molecules, which were only added to the UV-light cured PSQ films, worked as a major mobility-limiting factor for the TFTs.

  17. In-plane heterostructures of graphene and hexagonal boron nitride with controlled domain sizes

    NASA Astrophysics Data System (ADS)

    Liu, Zheng; Ma, Lulu; Shi, Gang; Zhou, Wu; Gong, Yongji; Lei, Sidong; Yang, Xuebei; Zhang, Jiangnan; Yu, Jingjiang; Hackenberg, Ken P.; Babakhani, Aydin; Idrobo, Juan-Carlos; Vajtai, Robert; Lou, Jun; Ajayan, Pulickel M.

    2013-02-01

    Graphene and hexagonal boron nitride (h-BN) have similar crystal structures with a lattice constant difference of only 2%. However, graphene is a zero-bandgap semiconductor with remarkably high carrier mobility at room temperature, whereas an atomically thin layer of h-BN is a dielectric with a wide bandgap of ~5.9 eV. Accordingly, if precise two-dimensional domains of graphene and h-BN can be seamlessly stitched together, hybrid atomic layers with interesting electronic applications could be created. Here, we show that planar graphene/h-BN heterostructures can be formed by growing graphene in lithographically patterned h-BN atomic layers. Our approach can create periodic arrangements of domains with size ranging from tens of nanometres to millimetres. The resulting graphene/h-BN atomic layers can be peeled off the growth substrate and transferred to various platforms including flexible substrates. We also show that the technique can be used to fabricate two-dimensional devices, such as a split closed-loop resonator that works as a bandpass filter.

  18. Single-Photon Emitters in Boron Nitride Nanococoons.

    PubMed

    Ziegler, Joshua; Blaikie, Andrew; Fathalizadeh, Aidin; Miller, David; Yasin, Fehmi S; Williams, Kerisha; Mohrhardt, Jordan; McMorran, Benjamin J; Zettl, Alex; Alemán, Benjamín

    2018-04-11

    Quantum emitters in two-dimensional hexagonal boron nitride (hBN) are attractive for a variety of quantum and photonic technologies because they combine ultra-bright, room-temperature single-photon emission with an atomically thin crystal. However, the emitter's prominence is hindered by large, strain-induced wavelength shifts. We report the discovery of a visible-wavelength, single-photon emitter (SPE) in a zero-dimensional boron nitride allotrope (the boron nitride nanococoon, BNNC) that retains the excellent optical characteristics of few-layer hBN while possessing an emission line variation that is lower by a factor of 5 than the hBN emitter. We determined the emission source to be the nanometer-size BNNC through the cross-correlation of optical confocal microscopy with high-resolution scanning and transmission electron microscopy. Altogether, this discovery enlivens color centers in BN materials and, because of the BN nanococoon's size, opens new and exciting opportunities in nanophotonics, quantum information, biological imaging, and nanoscale sensing.

  19. Selective layer disordering in III-nitrides with a capping layer

    DOEpatents

    Wierer, Jr., Jonathan J.; Allerman, Andrew A.

    2016-06-14

    Selective layer disordering in a doped III-nitride superlattice can be achieved by depositing a dielectric capping layer on a portion of the surface of the superlattice and annealing the superlattice to induce disorder of the layer interfaces under the uncapped portion and suppress disorder of the interfaces under the capped portion. The method can be used to create devices, such as optical waveguides, light-emitting diodes, photodetectors, solar cells, modulators, laser, and amplifiers.

  20. Measuring the dielectric and optical response of millimeter-scale amorphous and hexagonal boron nitride films grown on epitaxial graphene.

    PubMed

    Rigosi, Albert F; Hill, Heather M; Glavin, Nicholas R; Pookpanratana, Sujitra J; Yang, Yanfei; Boosalis, Alexander G; Hu, Jiuning; Rice, Anthony; Allerman, Andrew A; Nguyen, Nhan V; Hacker, Christina A; Elmquist, Randolph E; Hight Walker, Angela R; Newell, David B

    2018-01-01

    Monolayer epitaxial graphene (EG), grown on the Si face of SiC, is an advantageous material for a variety of electronic and optical applications. EG forms as a single crystal over millimeter-scale areas and consequently, the large scale single crystal can be utilized as a template for growth of other materials. In this work, we present the use of EG as a template to form millimeter-scale amorphous and hexagonal boron nitride ( a -BN and h -BN) films. The a -BN is formed with pulsed laser deposition and the h -BN is grown with triethylboron (TEB) and NH 3 precursors, making it the first metal organic chemical vapor deposition (MOCVD) process of this growth type performed on epitaxial graphene. A variety of optical and non-optical characterization methods are used to determine the optical absorption and dielectric functions of the EG, a -BN, and h -BN within the energy range of 1 eV to 8.5 eV. Furthermore, we report the first ellipsometric observation of high-energy resonant excitons in EG from the 4H polytype of SiC and an analysis on the interactions within the EG and h -BN heterostructure.

  1. AC electrical conductivity and dielectric relaxation studies on n-type organic thin films of N, N‧-Dimethyl-3,4,9,10-perylenedicarboximide (DMPDC)

    NASA Astrophysics Data System (ADS)

    Qashou, Saleem I.; Darwish, A. A. A.; Rashad, M.; Khattari, Z.

    2017-11-01

    Both Alternating current (AC) conductivity and dielectric behavior of n-type organic thin films of N, N‧-Dimethyl-3,4,9,10-perylenedicarboximide (DMPDC) have been investigated. Fourier transformation infrared (FTIR) spectroscopy is used for identifying both powder and film bonds which confirm that there are no observed changes in the bonds between the DMPDC powder and evaporated films. The dependence of AC conductivity on the temperature for DMPDC evaporated films was explained by the correlated barrier hopping (CBH) model. The calculated barrier height using CBH model shows a decreasing behavior with increasing temperature. The mechanism of dielectric relaxation was interpreted on the basis of the modulus of the complex dielectric. The calculated activation energy of the relaxation process was found to be 0.055 eV.

  2. Adhesion promoters for large scale fabrication of dielectric elastomer stack transducers (DESTs) made of pre-fabricated dielectric films

    NASA Astrophysics Data System (ADS)

    Grotepaß, T.; Förster-Zügel, F.; Mößinger, H.; Schlaak, H. F.

    2015-04-01

    Multilayer dielectric elastomer stack transducers (DESTs) are a promising new transducer technology with many applications in different industry sectors, like medical devices, human-machine-interaction, etc. Stacked dielectric elastomer transducers show larger thickness contraction driven by lower voltages than transducers made from a single dielectric layer. Traditionally multilayered DESTs are produced by repeatedly cross-linking a liquid elastomeric pre-polymer into the required shape. Our recent research focusses on a novel fabrication method for large scale stack transducers with a surface area over 200 x 300 mm by processing pre-fabricated elastomeric thin films of less than 50 μm thicknesses. The thin films are provided as two- or three-layer composites, where the elastomer is sandwiched between one or two sacrificial liners. Separating the elastomeric film from the residual layers and assembling them into dielectric elastomer stack transducers poses many challenges concerning adhesion, since the dielectric film merely separates from the liner if the adhesive forces between them are overcome. Conversely, during the assembly of a dielectric elastomer stack transducer, adhesive forces have to be established between two elastomeric layers or between the dielectric and the electrode layer. The very low Young's modulus of at least one adhesion partner requires suitable means of increasing the adhesive forces between the different adhesive layers of a dielectric elastomer stack transducer to prevent a delamination of the transducer during its lifetime. This work evaluates different surface activation treatments - corona, low-pressure plasma and UV-light - and their applicability in the production of large scale DESTs made from pre-fabricated elastomeric films.

  3. Highly Bendable In-Ga-ZnO Thin Film Transistors by Using a Thermally Stable Organic Dielectric Layer

    PubMed Central

    Kumaresan, Yogeenth; Pak, Yusin; Lim, Namsoo; kim, Yonghun; Park, Min-Ji; Yoon, Sung-Min; Youn, Hyoc-Min; Lee, Heon; Lee, Byoung Hun; Jung, Gun Young

    2016-01-01

    Flexible In-Ga-ZnO (IGZO) thin film transistor (TFT) on a polyimide substrate is produced by employing a thermally stable SA7 organic material as the multi-functional barrier and dielectric layers. The IGZO channel layer was sputtered at Ar:O2 gas flow rate of 100:1 sccm and the fabricated TFT exhibited excellent transistor performances with a mobility of 15.67 cm2/Vs, a threshold voltage of 6.4 V and an on/off current ratio of 4.5 × 105. Further, high mechanical stability was achieved by the use of organic/inorganic stacking of dielectric and channel layers. Thus, the IGZO transistor endured unprecedented bending strain up to 3.33% at a bending radius of 1.5 mm with no significant degradation in transistor performances along with a superior reliability up to 1000 cycles. PMID:27876893

  4. Highly Bendable In-Ga-ZnO Thin Film Transistors by Using a Thermally Stable Organic Dielectric Layer.

    PubMed

    Kumaresan, Yogeenth; Pak, Yusin; Lim, Namsoo; Kim, Yonghun; Park, Min-Ji; Yoon, Sung-Min; Youn, Hyoc-Min; Lee, Heon; Lee, Byoung Hun; Jung, Gun Young

    2016-11-23

    Flexible In-Ga-ZnO (IGZO) thin film transistor (TFT) on a polyimide substrate is produced by employing a thermally stable SA7 organic material as the multi-functional barrier and dielectric layers. The IGZO channel layer was sputtered at Ar:O 2 gas flow rate of 100:1 sccm and the fabricated TFT exhibited excellent transistor performances with a mobility of 15.67 cm 2 /Vs, a threshold voltage of 6.4 V and an on/off current ratio of 4.5 × 10 5 . Further, high mechanical stability was achieved by the use of organic/inorganic stacking of dielectric and channel layers. Thus, the IGZO transistor endured unprecedented bending strain up to 3.33% at a bending radius of 1.5 mm with no significant degradation in transistor performances along with a superior reliability up to 1000 cycles.

  5. Electro-Optic Effect in Thin Films of a Dielectric and a Ferroelectric with Subwavelength Aluminum Grating

    NASA Astrophysics Data System (ADS)

    Blinov, L. M.; Lazarev, V. V.; Yudin, S. G.; Artemov, V. V.; Palto, S. P.; Gorkunov, M. V.

    2018-01-01

    The electro-optic effect in three nanoscale heterostructures, in each of which a thin layer of dielectric or ferroelectric material is inserted between two planar metal electrodes, has been studied. Each structure has one aluminum layer, containing a subwavelength grating with a period of 400 nm, contacting with either the glass substrate or air. The light transmission spectra of structures with subwavelength grating contain characteristic plasmon dips. Short external-voltage pulses affect the change in the refractive index of the corresponding active layer. Significant values of these changes may be useful for designing optical modulators.

  6. Effects of Electric Discharge Plasma Treatment on the Thermal Conductivity of Polymer-Metal Nitride/Carbide Composites

    NASA Astrophysics Data System (ADS)

    Parali, Levent; Kurbanov, Mirza A.; Bayramov, Azad A.; Tatardar, Farida N.; Sultanakhmedova, Ramazanova I.; Xanlar, Huseynova Gulnara

    2015-11-01

    High-density polymer composites with semiconductor or dielectric fillers such as aluminum nitride (AIN), aluminum oxide (Al2O3), titanium carbide (TiC), titanium nitride (TiN), boron nitride (BN), silicon nitride (Si3N4), and titanium carbonitride (TiCN) were prepared by the hot pressing method. Each powder phase of the composites was exposed to an electric discharge plasma process before composite formation. The effects of the electric discharge plasma process and the filler content (volume fraction) on the thermal conductivity, volt-ampere characteristics, thermally stimulated depolarization current, as well as electrical and mechanical strength were investigated. The results of the study indicate that, with increasing filler volume fraction, the thermal conductivity of the samples also increased. Furthermore, the thermal conductivity, and electrophysical and mechanical properties of the high-density polyethylene + 70% BN composite modified using the electric discharge plasma showed improvement when compared with that without electric discharge plasma treatment.

  7. Enhanced polarization and dielectric properties of Pb(Zr1-xTix)O3 thin films

    NASA Astrophysics Data System (ADS)

    Ortega, N.; Kumar, Ashok; Katiyar, R. S.

    2008-10-01

    We report the fabrication of PbZr0.57Ti0.43O3 (PZT) thin films with preferential growth along (111) and random crystalline orientation on the platinized silicon substrates using pulsed laser deposition technique. X-ray diffraction patterns and surface morphology indicate increase in grain size and nucleation, which support better perovskite matrix with increase in annealing temperature. We observed large dielectric constant (˜4000) and enhanced remanent polarization 70 μC/cm2 at room temperature attributed to grain growth and intermetallic Pt-Pb transient phase. Frequency dependent polarization showed minor reduction in polarization above 10 kHz frequencies. Normalized fatigue characteristic of PZT thin films showed minimal 25% degradation in remanent polarization after 109 cycles, which may be useful for memory devices. ac conductivity spectra illustrated that anomaly near the phase transition temperature with activation energy (Ea˜0.60-0.75 eV) supports the intrinsic nature of ferroelectric phase transition.

  8. Characterization of CMOS metal based dielectric loaded surface plasmon waveguides at telecom wavelengths.

    PubMed

    Weeber, J-C; Arocas, J; Heintz, O; Markey, L; Viarbitskaya, S; Colas-des-Francs, G; Hammani, K; Dereux, A; Hoessbacher, C; Koch, U; Leuthold, J; Rohracher, K; Giesecke, A L; Porschatis, C; Wahlbrink, T; Chmielak, B; Pleros, N; Tsiokos, D

    2017-01-09

    Dielectric loaded surface plasmon waveguides (DLSPPWs) comprised of polymer ridges deposited on top of CMOS compatible metal thin films are investigated at telecom wavelengths. We perform a direct comparison of the properties of copper (Cu), aluminum (Al), titanium nitride (TiN) and gold (Au) based waveguides by implementing the same plasmonic waveguiding configuration for each metal. The DLSPPWs are characterized by leakage radiation microscopy and a fiber-to-fiber configuration mimicking the cut-back method. We introduce the ohmic loss rate (OLR) to analyze quantitatively the properties of the CMOS metal based DLSPPWs relative to the corresponding Au based waveguides. We show that the Cu, Al and TiN based waveguides feature extra ohmic loss compared to Au of 0.027 dB/μm, 0.18 dB/μm and 0.52 dB/μm at 1550nm respectively. The dielectric function of each metal extracted from ellipsometric spectroscopic measurements is used to model the properties of the DLSP-PWs. We find a fairly good agreement between experimental and modeled DLSPPWs properties except for Al featuring a large surface roughness. Finally, we conclude that TiN based waveguides sustaining intermediate effective index (in the range 1.05-1.25) plasmon modes propagate over very short distances restricting the the use of those modes in practical situations.

  9. Influence of inert gases on the reactive high power pulsed magnetron sputtering process of carbon-nitride thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schmidt, Susann; Czigany, Zsolt; Greczynski, Grzegorz

    2013-01-15

    The influence of inert gases (Ne, Ar, Kr) on the sputter process of carbon and carbon-nitride (CN{sub x}) thin films was studied using reactive high power pulsed magnetron sputtering (HiPIMS). Thin solid films were synthesized in an industrial deposition chamber from a graphite target. The peak target current during HiPIMS processing was found to decrease with increasing inert gas mass. Time averaged and time resolved ion mass spectroscopy showed that the addition of nitrogen, as reactive gas, resulted in less energetic ion species for processes employing Ne, whereas the opposite was noticed when Ar or Kr were employed as inertmore » gas. Processes in nonreactive ambient showed generally lower total ion fluxes for the three different inert gases. As soon as N{sub 2} was introduced into the process, the deposition rates for Ne and Ar-containing processes increased significantly. The reactive Kr-process, in contrast, showed slightly lower deposition rates than the nonreactive. The resulting thin films were characterized regarding their bonding and microstructure by x-ray photoelectron spectroscopy and transmission electron microscopy. Reactively deposited CN{sub x} thin films in Ar and Kr ambient exhibited an ordering toward a fullerene-like structure, whereas carbon and CN{sub x} films deposited in Ne atmosphere were found to be amorphous. This is attributed to an elevated amount of highly energetic particles observed during ion mass spectrometry and indicated by high peak target currents in Ne-containing processes. These results are discussed with respect to the current understanding of the structural evolution of a-C and CN{sub x} thin films.« less

  10. Gate bias stress stability under light irradiation for indium zinc oxide thin-film transistors based on anodic aluminium oxide gate dielectrics

    NASA Astrophysics Data System (ADS)

    Li, Min; Lan, Linfeng; Xu, Miao; Wang, Lei; Xu, Hua; Luo, Dongxiang; Zou, Jianhua; Tao, Hong; Yao, Rihui; Peng, Junbiao

    2011-11-01

    Thin-film transistors (TFTs) using indium zinc oxide as the active layer and anodic aluminium oxide (Al2O3) as the gate dielectric layer were fabricated. The device showed an electron mobility of as high as 10.1 cm2 V-1 s-1, an on/off current ratio of as high as ~108, and a turn-on voltage (Von) of only -0.5 V. Furthermore, this kind of TFTs was very stable under positive bias illumination stress. However, when the device experienced negative bias illumination stress, the threshold voltage shifted to the positive direction. It was found that the instability under negative bias illumination stress (NBIS) was due to the electrons from the Al gate trapping into the Al2O3 dielectric when exposed to the illuminated light. Using a stacked structure of Al2O3/SiO2 dielectrics, the device became more stable under NBIS.

  11. Low-voltage organic thin film transistors (OTFTs) using crosslinked polyvinyl alcohol (PVA)/neodymium oxide (Nd2O3) bilayer gate dielectrics

    NASA Astrophysics Data System (ADS)

    Khound, Sagarika; Sarma, Ranjit

    2018-01-01

    We have reported here on the design, processing and dielectric properties of pentacene-based organic thin film transitors (OTFTs) with a bilayer gate dilectrics of crosslinked PVA/Nd2O3 which enables low-voltage organic thin film operations. The dielectric characteristics of PVA/Nd2O3 bilayer films are studied by capacitance-voltage ( C- V) and current-voltage ( I- V) curves in the metal-insulator-metal (MIM) structure. We have analysed the output electrical responses and transfer characteristics of the OTFT devices to determine their performance of OTFT parameters. The mobility of 0.94 cm2/Vs, the threshold voltage of - 2.8 V, the current on-off ratio of 6.2 × 105, the subthreshold slope of 0.61 V/decade are evaluated. Low leakage current of the device is observed from current density-electric field ( J- E) curve. The structure and the morphology of the device are studied using X-ray diffraction (XRD) and atomic force microscope (AFM), respectively. The study demonstrates an effective way to realize low-voltage, high-performance OTFTs at low cost.

  12. Direct Correlations of Grain Boundary Potentials to Chemical States and Dielectric Properties of Doped CaCu3Ti4O12 Thin Films.

    PubMed

    Cho, Ahra; Han, Chan Su; Kang, Meenjoo; Choi, Wooseok; Lee, Jihwan; Jeon, Jaecheol; Yu, Sujae; Jung, Ye Seul; Cho, Yong Soo

    2018-05-09

    Colossal dielectric constant CaCu 3 Ti 4 O 12 has been recognized as one of the rare materials having intrinsic interfacial polarization and thus unusual dielectric characteristics, in which the electrical state of the grain boundary is critical. Here, the direct correlation between the grain boundary potential and relative permittivity is proposed for the CaCu 3 Ti 4 O 12 thin films doped with Zn, Ga, Mn, and Ag as characterized by Kelvin probe force microscopy. The dopants are intended to provide the examples of variable grain boundary potentials that are driven by chemical states including Cu + , Ti 3+ , and oxygen vacancy. Grain boundary potential is nearly linearly proportional to the dielectric constant. This effect is attributed to the increased charge accumulation near the grain boundary, depending on the choice of the dopant. As an example, 1 mol % Ag-doped CaCu 3 Ti 4 O 12 thin films demonstrate the best relative permittivity as associated with a higher grain boundary potential of 120.3 mV compared with 82.6 mV for the reference film. The chemical states across grain boundaries were further verified by using spherical aberration-corrected scanning transmission electron microscopy with the simultaneous electron energy loss spectroscopy.

  13. Relative SHG measurements of metal thin films: Gold, silver, aluminum, cobalt, chromium, germanium, nickel, antimony, titanium, titanium nitride, tungsten, zinc, silicon and indium tin oxide

    NASA Astrophysics Data System (ADS)

    Che, Franklin; Grabtchak, Serge; Whelan, William M.; Ponomarenko, Sergey A.; Cada, Michael

    We have experimentally measured the surface second-harmonic generation (SHG) of sputtered gold, silver, aluminum, zinc, tungsten, copper, titanium, cobalt, nickel, chromium, germanium, antimony, titanium nitride, silicon and indium tin oxide thin films. The second-harmonic response was measured in reflection using a 150 fs p-polarized laser pulse at 1561 nm. We present a clear comparison of the SHG intensity of these films relative to each other. Our measured relative intensities compare favorably with the relative intensities of metals with published data. We also report for the first time to our knowledge the surface SHG intensity of tungsten and antimony relative to that of well known metallic thin films such as gold and silver.

  14. Influence of the solid dielectric over the electric field from the ozone cell gap with double dielectric barrier

    NASA Astrophysics Data System (ADS)

    Ganea, I.

    2017-05-01

    The distilled water has the advantage of high value dielectric constant (ε = 81) in relation to ceramic glass materials, currently used for constructing the dielectric barrier. It was necessary to build a thin-walled enclosure of solid insulating material that contain distilled water to achieve a dielectric barrier. This was necessary to avoid exposing the liquid to the direct action of ozone. Dielectric permittivity of the solid dielectric material and the thickness of these walls have diminished the value of the electric field form the gaseous gap of the ozone cell compared to the case with the dielectric barrier from distilled water. The author of this work deduced theoretical relationships that express the values of the electric field intensity in the gap of the cell with two dielectrics and compared them with similar relationships of the intensity of the electric field from the gap of the ozone cell with one dielectric. In this work the author presenting experimental results which confirm the theoretical deducting regarding the use of the solid dielectric as enclosure for the liquid dielectric.

  15. Electrostatically assisted fabrication of silver-dielectric core/shell nanoparticles thin film capacitor with uniform metal nanoparticle distribution and controlled spacing.

    PubMed

    Li, Xue; Niitsoo, Olivia; Couzis, Alexander

    2016-03-01

    An electrostatically-assisted strategy for fabrication of thin film composite capacitors with controllable dielectric constant (k) has been developed. The capacitor is composed of metal-dielectric core/shell nanoparticle (silver/silica, Ag@SiO2) multilayer films, and a backfilling polymer. Compared with the simple metal particle-polymer mixtures where the metal nanoparticles (NP) are randomly dispersed in the polymer matrix, the metal volume fraction in our capacitor was significantly increased, owing to the densely packed NP multilayers formed by the electrostatically assisted assembly process. Moreover, the insulating layer of silica shell provides a potential barrier that reduces the tunneling current between neighboring Ag cores, endowing the core/shell nanocomposites with a stable and relatively high dielectric constant (k) and low dielectric loss (D). Our work also shows that the thickness of the SiO2 shell plays a dominant role in controlling the dielectric properties of the nanocomposites. Control over metal NP separation distance was realized not only by variation the shell thickness of the core/shell NPs but also by introducing a high k nanoparticle, barium strontium titanate (BST) of relatively smaller size (∼8nm) compared to 80-160nm of the core/shell Ag@SiO2 NPs. The BST assemble between the Ag@SiO2 and fill the void space between the closely packed core/shell NPs leading to significant enhancement of the dielectric constant. This electrostatically assisted assembly method is promising for generating multilayer films of a large variety of NPs over large areas at low cost. Copyright © 2015 Elsevier Inc. All rights reserved.

  16. Correlation between bonding structure and microstructure in fullerenelike carbon nitride thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gago, R.; Abendroth, B.; Moeller, W.

    2005-03-15

    The bonding structure of highly ordered fullerenelike (FL) carbon nitride (CN{sub x}) thin films has been assessed by x-ray absorption near-edge spectroscopy (XANES). Samples with different degrees of FL character have been analyzed to discern spectral signatures related to the FL microstructure. The XANES spectra of FL-CN{sub x} films resemble that of graphitic CN{sub x}, evidencing the sp{sup 2} hybridization of both C and N atoms. The FL structure is achieved with the promotion of N in threefold positions over pyridinelike and cyanidelike bonding environments. In addition, the relative {pi}{sup *}/{sigma}* XANES intensity ratio at the C(1s) edge is independentmore » of the FL character, while it decreases {approx}40% at the N(1s) edge with the formation of FL arrangements. This result indicates that there is no appreciable introduction of C-sp{sup 3} hybrids with the development of FL structures and, additionally, that a different spatial localization of {pi} electrons at C and N sites takes place in curved graphitic structures. The latter has implications for the elastic properties of graphene sheets and could, as such, explain the outstanding elastic properties of FL-CN{sub x}.« less

  17. Aromatic Polythiourea Dielectrics with High Energy Density, High Breakdown Strength, and Low Dielectric Loss

    NASA Astrophysics Data System (ADS)

    Wu, Shan; Burlingame, Quinn; Lin, Minren; Zhang, Qiming

    2013-03-01

    There is an increasing demand on dielectric materials with high electric energy density and low loss for a broad range of applications in modern electronics and electrical power systems such as hybrid electric vehicles (HEV), medical defibrillators, filters, and switched-mode power supplies. One major challenge in developing dielectric polymers is how to achieve high energy density Ue while maintaining low dielectric loss, even at very high-applied electric fields. Here we show that amorphous polar-polymers with very low impurity concentration can be promising for realizing such a dielectric polymer. Polar-polymer with high dipole moment and weak dipole coupling can provide relatively high dielectric constant for high Ue, eliminate polarization and conduction losses due to weak dipolar coupling and strong polar-scattering to charge carriers. Indeed, an aromatic polythiourea thin film can maintain low loss to high fields (>1 GV/m) with a high Ue (~ 24 J/cm3) , which is very attractive for energy storage capacitors.

  18. Interface trap and oxide charge generation under negative bias temperature instability of p-channel metal-oxide-semiconductor field-effect transistors with ultrathin plasma-nitrided SiON gate dielectrics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu Shiyang; Nakajima, Anri; Ohashi, Takuo

    2005-12-01

    The interface trap generation ({delta}N{sub it}) and fixed oxide charge buildup ({delta}N{sub ot}) under negative bias temperature instability (NBTI) of p-channel metal-oxide-semiconductor field-effect transistors (pMOSFETs) with ultrathin (2 nm) plasma-nitrided SiON gate dielectrics were studied using a modified direct-current-current-voltage method and a conventional subthreshold characteristic measurement. Different stress time dependences were shown for {delta}N{sub it} and {delta}N{sub ot}. At the earlier stress times, {delta}N{sub it} dominates the threshold voltage shift ({delta}V{sub th}) and {delta}N{sub ot} is negligible. With increasing stress time, the rate of increase of {delta}N{sub it} decreases continuously, showing a saturating trend for longer stress times, while {delta}N{submore » ot} still has a power-law dependence on stress time so that the relative contribution of {delta}N{sub ot} increases. The thermal activation energy of {delta}N{sub it} and the NBTI lifetime of pMOSFETs, compared at a given stress voltage, are independent of the peak nitrogen concentration of the SiON film. This indicates that plasma nitridation is a more reliable method for incorporating nitrogen in the gate oxide.« less

  19. Dielectric response of branched copper phthalocyanine

    NASA Astrophysics Data System (ADS)

    Hamam, Khalil J.; Al-Amar, Mohammad M.; Mezei, Gellert; Guda, Ramakrishna; Burns, Clement A.

    2017-09-01

    The dielectric constant of pressed pellets and thin films of branched copper phthalocyanine (CuPc) was investigated as a function of frequency from 0.1 kHz to 1 MHz and temperature from 20 °C to 100 °C. Surface morphology was studied using a scanning electron microscope. The high-frequency values of the dielectric constant of pellets and thin films are ~3.5 and ~5.8, respectively. The response was only weakly dependent on frequency and temperature. The branched structure of the CuPc molecules helped to cancel out the effects of low-frequency polarization mechanisms. A planar delocalized charge system with two-dimensional localization was found using time-resolved photoluminescence measurements.

  20. Crystal orientation dependence of the dielectric properties for epitaxial BaZr0.15Ti0.85O3 thin films

    NASA Astrophysics Data System (ADS)

    Miao, J.; Yuan, J.; Wu, H.; Yang, S. B.; Xu, B.; Cao, L. X.; Zhao, B. R.

    2007-01-01

    Epitaxial Ba0.15Zr0.85TiO3 (BZT) ferroelectric thin films with (001), (011), and (111) orientations were, respectively, grown on La0.67Sr0.33MnO3 (LSMO) buffered LaAlO3 substrates by pulsed laser deposition method. The dc electric-field dependence of permittivity and dielectric loss of (001)-, (011)-, and (111)-oriented BZT/LSMO heterostructures obeys the Johnson formula, and the ac electric-field dependence of that obeys the Rayleigh law under the subswitching field region. The anisotropic dielectric properties are attributed to the higher mobility of the charge carriers, the concentration of mobile interfacial domain walls, and boundaries in the (111)-oriental films than in the (110)- and (100)-oriented films.

  1. Selective femtosecond laser structuring of dielectric thin films with different band gaps: a time-resolved study of ablation mechanisms

    NASA Astrophysics Data System (ADS)

    Rapp, Stephan; Schmidt, Michael; Huber, Heinz P.

    2016-12-01

    Ultrashort pulse lasers have been increasingly gaining importance for the selective structuring of dielectric thin films in industrial applications. In a variety of works the ablation of thin SiO2 and SiNx films from Si substrates has been investigated with near infrared laser wavelengths with photon energies of about 1.2 eV where both dielectrics are transparent (E_{{gap,SiO2}}≈ 8 eV; E_{{gap,SiN}x}≈ 2.5 eV). In these works it was found that few 100 nm thick SiO2 films are selectively ablated with a "lift-off" initiated by confined laser ablation whereas the SiN_{{x}} films are ablated by a combination of confined and direct laser ablation. In the work at hand, ultrafast pump-probe imaging was applied to compare the laser ablation dynamics of the two thin film systems directly with the uncoated Si substrate—on the same setup and under identical parameters. On the SiO2 sample, results show the pulse absorption in the Si substrate, leading to the confined ablation of the SiO2 layer by the expansion of the substrate. On the SiN_{{x}} sample, direct absorption in the layer is observed leading to its removal by evaporation. The pump-probe measurements combined with reflectivity corrected threshold fluence investigations suggest that melting of the Si substrate is sufficient to initiate the lift-off of an overlaying transparent film—evaporation of the substrate seems not to be necessary.

  2. Low-voltage Organic Thin Film Transistors (OTFTs) with Solution-processed High-k Dielectric cum Interface Engineering

    NASA Astrophysics Data System (ADS)

    Su, Yaorong

    Although impressive progress has been made in improving the performance of organic thin film transistors (OTFTs), the high operation voltage resulting from the low gate areal capacitance of traditional SiO 2 remains a severe limitation that hinders OTFTs' development in practical applications. In this regard, developing new materials with high- k characteristics at low cost is of great scientific and technological importance in the area of both academia and industry. In this thesis, we first describe a simple solution-based method to fabricate a high-k bilayer Al2Oy/TiOx (ATO) dielectric system at low temperature. Then the dielectric properties of the ATO are characterized and discussed in detail. Furthermore, by employing the high-k ATO as gate dielectric, low-voltage copper phthalocyanine (CuPc) based OTFTs are successfully developed. Interestingly, the obtained low-voltage CuPc TFT exhibits outstanding electrical performance, which is even higher than the device fabricated on traditional low-k SiO2. The above results seem to be contradictory to the reported results due to the fact that high-k usually shows adverse effect on the device performance. This abnormal phenomenon is then studied in detail. Characterization on the initial growth shows that the CuPc molecules assemble in a "rod-like" nano crystal with interconnected network on ATO, which probably promotes the charge carrier transport, whereas, they form isolated small islands with amorphous structure on SiO2. In addition, a better metal/organic contact is observed on ATO, which benefits the charge carrier injection. Our studies suggest that the low-temperature, solution-processed high-k ATO is a promising candidate for fabrication of high-performance, low-voltage OTFTs. Furthermore, it is well known that the properties of the dielectric/semiconductor and electrode/semiconductor interfaces are crucial in controlling the electrical properties of OTFTs. Hence, investigation the effects of interfaces

  3. Dual frequency diffuse dielectric barrier discharge in atmospheric-pressure air-like gas mixture for thin film deposition

    NASA Astrophysics Data System (ADS)

    Liu, Yaoge; Starostin, Serguei; Welzel, Stefan; van de Sanden, M. C. M.; de Vries, Hindrik; Fom Institute-Differ Team; Eindhoven University Of Technology Team; Fujifilm Manufacturing Europe B. v. Team

    2016-09-01

    A dual frequency (DF) diffuse discharge was obtained in an atmospheric-pressure dielectric barrier discharge reactor in air-like gas mixtures. By adding a radio frequency (RF) voltage to a low frequency (LF) voltage, we aim to increase the plasma power density. In this study, the discussion is mainly focused on the discharge characteristics and the thin film deposition. According to the spatio-temporal emission, the discharge shows a glow-like structure with both LF and DF voltages. By fitting the spectral lines of the second positive system of N2, the gas temperature was estimated which does not obviously increase with the extra RF signal. Moreover, SiO2-like film was deposited from TEOS using the DF power supply. Thin film properties such as surface morphology, microstructure and stoichiometry were analyzed by AFM, FTIR and XPS, respectively. Because of the higher plasma power density, the DF power supply can be an efficient approach to improve the properties and to increase the throughput of the thin film deposition.

  4. Oxide-cladding aluminum nitride photonic crystal slab: Design and investigation of material dispersion and fabrication induced disorder

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Melo, E. G., E-mail: emerdemelo@usp.br; Alvarado, M. A.; Carreño, M. N. P.

    2016-01-14

    Photonic crystal slabs with a lower-index material surrounding the core layer are an attractive choice to circumvent the drawbacks in the fabrication of membranes suspended in air. In this work we propose a photonic crystal (PhC) slab structure composed of a triangular pattern of air holes in a multilayer thin film of aluminum nitride embedded in silicon dioxide layers designed for operating around 450 nm wavelengths. We show the design of an ideal structure and analyze the effects of material dispersion based on a first-order correction perturbation theory approach using dielectric functions obtained by experimental measurements of the thin film materials.more » Numerical methods were used to investigate the effects of fabrication induced disorder of typical nanofabrication processes on the bandgap size and spectral response of the proposed device. Deviation in holes radii and positions were introduced in the proposed PhC slab model with a Gaussian distribution profile. Impacts of slope in holes sidewalls that might result from the dry etching of AlN were also evaluated. The results show that for operation at the midgap frequency, slope in holes sidewalls is more critical than displacements in holes sizes and positions.« less

  5. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics.

    PubMed

    Alshammari, Fwzah H; Nayak, Pradipta K; Wang, Zhenwei; Alshareef, Husam N

    2016-09-07

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm(2) V(-1) s(-1), but increased to 13.3 cm(2) V(-1) s(-1) using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance.

  6. Role of out-of-plane dielectric thickness in the electrostatic simulation of atomically thin lateral junctions

    NASA Astrophysics Data System (ADS)

    Nipane, Ankur; Zhang, Yefei; Teherani, James T.

    2018-06-01

    Two-dimensional materials enable novel electronic and optoelectronic devices due to their unique properties. Device modeling plays a fundamental role in developing these novel devices by providing insights into the underlying physics. In this work, we present the dramatic impact of the simulated out-of-plane dielectric thickness on the electrostatics of lateral junctions formed from atomically thin materials. We show that unlike bulk junctions, the boundary conditions on the edges of the simulation region significantly affect the electrostatics of two-dimensional (2D) lateral junctions by modifying the out-of-plane electric field. We also present an intuitive understanding of the Neumann boundary conditions imposed on the boundaries of the simulation region. The Neumann boundary conditions alter the intended simulation by generating reflections of the device across the boundaries. Finally, we derive a minimal dielectric thickness for a symmetrically doped 2D lateral p-n junction, above which the out-of-plane simulation region boundaries minimally affect the simulated electric field, electrostatic potential, and depletion width of the junction.

  7. Electrical characterization of amorphous Al2O3 dielectric films on n-type 4H-SiC

    NASA Astrophysics Data System (ADS)

    Khosa, R. Y.; Thorsteinsson, E. B.; Winters, M.; Rorsman, N.; Karhu, R.; Hassan, J.; Sveinbjörnsson, E. Ö.

    2018-02-01

    We report on the electrical properties of Al2O3 films grown on 4H-SiC by successive thermal oxidation of thin Al layers at low temperatures (200°C - 300°C). MOS capacitors made using these films contain lower density of interface traps, are more immune to electron injection and exhibit higher breakdown field (5MV/cm) than Al2O3 films grown by atomic layer deposition (ALD) or rapid thermal processing (RTP). Furthermore, the interface state density is significantly lower than in MOS capacitors with nitrided thermal silicon dioxide, grown in N2O, serving as the gate dielectric. Deposition of an additional SiO2 film on the top of the Al2O3 layer increases the breakdown voltage of the MOS capacitors while maintaining low density of interface traps. We examine the origin of negative charges frequently encountered in Al2O3 films grown on SiC and find that these charges consist of trapped electrons which can be released from the Al2O3 layer by depletion bias stress and ultraviolet light exposure. This electron trapping needs to be reduced if Al2O3 is to be used as a gate dielectric in SiC MOS technology.

  8. Nanostructured pyronin Y thin films as a new organic semiconductor: Linear/nonlinear optics, band gap and dielectric properties

    NASA Astrophysics Data System (ADS)

    Zahran, H. Y.; Yahia, I. S.; Alamri, F. H.

    2017-05-01

    Pyronin Y dye (PY) is a kind of xanthene derivatives. Thin films of pyronin Y were deposited onto highly cleaned glass substrates using low-cost/spin coating technique. The structure properties of pyronin Y thin films with different thicknesses were investigated by using X-ray diffraction (XRD) and atomic force microscope (AFM). PY thin films for all the studied thicknesses have an amorphous structure supporting the short range order of the grain size. AFM supports the nanostructure with spherical/clusters morphologies of the investigated thin films. The optical constants of pyronin Y thin films for various thicknesses were studied by using UV-vis-NIR spectrophotometer in the wavelength range 350-2500 nm. The transmittance T(λ), reflectance R(λ) spectral and absorbance (abs(λ)) were obtained for all film thicknesses at room temperature and the normal light incident. These films showed a high transmittance in the wide scale wavelengths. For different thicknesses of the studied thin films, the optical band gaps were determined and their values around 2 eV. Real and imaginary dielectric constants, dissipation factor and the nonlinear optical parameters were calculated in the wavelengths to the range 300-2500 nm. The pyronin Y is a new organic semiconductor with a good optical absorption in UV-vis regions and it is suitable for nonlinear optical applications.

  9. Lead zirconate titanate thin films directly on copper electrodes for ferroelectric, dielectric and piezoelectric applications

    NASA Astrophysics Data System (ADS)

    Kingon, Angus I.; Srinivasan, Sudarsan

    2005-03-01

    Replacement of noble metal electrodes by base metals significantly lowers the cost of ferroelectric, piezoelectric and dielectric devices. Here, we demonstrate that it is possible to process lead zirconate (Pb(Zr0.52Ti0.48)O3, or PZT) thin films directly on base metal copper foils. We explore the impact of the oxygen partial pressure during processing, and demonstrate that high-quality films and interfaces can be achieved through control of the oxygen partial pressure within a narrow window predicted by thermodynamic stability considerations. This demonstration has broad implications, opening up the possibility of the use of low-cost, high-conductivity copper electrodes for a range of Pb-based perovskite materials, including PZT films in embedded printed circuit board applications for capacitors, varactors and sensors; multilayer PZT piezoelectric stacks; and multilayer dielectric and electrostrictive devices based on lead magnesium niobate-lead titanate. We also point out that the capacitors do not fatigue on repeated switching, unlike those with Pt noble metal electrodes. Instead, they appear to be fatigue-resistant, like capacitors with oxide electrodes. This may have implications for ferroelectric non-volatile memories.

  10. In-situ integrated processing and characterization of thin films of high temperature superconductors, dielectrics and semiconductors by MOCVD

    NASA Technical Reports Server (NTRS)

    Singh, R.; Sinha, S.; Hsu, N. J.; Thakur, R. P. S.; Chou, P.; Kumar, A.; Narayan, J.

    1990-01-01

    In this strategy of depositing the basic building blocks of superconductors, semiconductors, and dielectric having common elements, researchers deposited superconducting films of Y-Ba-Cu-O, semiconductor films of Cu2O, and dielectric films of BaF2 and Y2O3 by metal oxide chemical vapor deposition (MOCVD). By switching source materials entering the chamber, and by using direct writting capability, complex device structures like three-terminal hybrid semiconductors/superconductors transistors can be fabricated. The Y-Ba-Cu-O superconducting thin films on BaF2/YSZ substrates show a T(sub c) of 80 K and are textured with most of the grains having their c-axis or a-axis perpendicular to the substrate. Electrical characteristics as well as structural characteristics of superconductors and related materials obtained by x-ray defraction, electron microscopy, and energy dispersive x-ray analysis are discussed.

  11. In-situ integrated processing and characterization of thin films of high temperature superconductors, dielectrics and semiconductors by MOCVD

    NASA Technical Reports Server (NTRS)

    Singh, R.; Sinha, S.; Hsu, N. J.; Thakur, R. P. S.; Chou, P.; Kumar, A.; Narayan, J.

    1991-01-01

    In this strategy of depositing the basic building blocks of superconductors, semiconductors, and dielectrics having common elements, researchers deposited superconducting films of Y-Ba-Cu-O, semiconductor films of Cu2O, and dielectric films of BaF2 and Y2O3 by metal oxide chemical vapor deposition (MOCVD). By switching source materials entering the chamber, and by using direct writing capability, complex device structures like three terminal hybrid semiconductor/superconductor transistors can be fabricated. The Y-Ba-Cu-O superconducting thin films on BaF2/YSZ substrates show a T(sub c) of 80 K and are textured with most of the grains having their c-axis or a-axis perpendicular to the substrate. Electrical characteristics as well as structural characteristics of superconductors and related materials obtained by x-ray deffraction, electron microscopy, and energy dispersive x-ray analysis are discussed.

  12. Surface improvement and biocompatibility of TiAl 24Nb 10 intermetallic alloy using rf plasma nitriding

    NASA Astrophysics Data System (ADS)

    Abd El-Rahman, A. M.; Maitz, M. F.; Kassem, M. A.; El-Hossary, F. M.; Prokert, F.; Reuther, H.; Pham, M. T.; Richter, E.

    2007-09-01

    The present work describes the surface improvement and biocompatibility of TiAl 24Nb 10 intermetallic alloy using rf plasma nitriding. The nitriding process was carried out at different plasma power from 400 W to 650 W where the other plasma conditions were fixed. Grazing incidence X-ray diffractometry (GIXRD), Auger electron spectroscopy (AES), tribometer and a nanohardness tester were employed to characterize the nitrided layer. Further potentiodynamic polarization method was used to describe the corrosion behavior of the un-nitrided and nitrided alloy. It has been found that the Vickers hardness (HV) and corrosion resistance values of the nitrided layers increase with increasing plasma power while the wear rates of the nitrided layers reduce by two orders of magnitude as compared to those of the un-nitrided layer. This improvement in surface properties of the intermetallic alloy is due to formation of a thin modified layer which is composed of titanium nitride in the alloy surface. Moreover, all modified layers were tested for their sustainability as a biocompatible material. Concerning the application area of biocompatibility, the present treated alloy show good surface properties especially for the nitrided alloy at low plasma power of 400 W.

  13. The mechanical design of hybrid graphene/boron nitride nanotransistors: Geometry and interface effects

    NASA Astrophysics Data System (ADS)

    Einalipour Eshkalak, Kasra; Sadeghzadeh, Sadegh; Jalaly, Maisam

    2018-02-01

    From electronic point of view, graphene resembles a metal or semi-metal and boron nitride is a dielectric material (band gap = 5.9 eV). Hybridization of these two materials opens band gap of the graphene which has expansive applications in field-effect graphene transistors. In this paper, the effect of the interface structure on the mechanical properties of a hybrid graphene/boron nitride was studied. Young's modulus, fracture strain and tensile strength of the models were simulated. Three likely types (hexagonal, octagonal and decagonal) were found for the interface of hybrid sheet after relaxation. Although Csbnd B bonds at the interface were indicated to result in more promising electrical properties, nitrogen atoms are better choice for bonding to carbon for mechanical applications.

  14. Effect of post annealing on structural, optical and dielectric properties of MgTiO3 thin films deposited by RF magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Santhosh Kumar, T.; Bhuyan, R. K.; Pamu, D.

    2013-01-01

    MgTiO3 (MTO) thin films have been deposited on to quartz and platinized silicon (Pt/TiO2/SiO2/Si) substrates by RF magnetron sputtering. The metal-MTO-metal (Ag-MTO-Pt/TiO2/SiO2/Si) thin film capacitors have been fabricated at different oxygen mixing percentage (OMP). The effects of OMP and post annealing on the structural, microstructural, optical and dielectric properties of MTO films were studied. The MTO target has been synthesized by mechanochemical synthesis method. The phase purity of the sputtering target was confirmed from X-ray diffraction pattern and refined to R3bar space group with lattice parameters a = b = 5.0557(12) Å, c = 13.9003(9) Å. The chemical composition of the deposited films was confirmed from EDS spectra and all the films exhibited the composition of the sputtering target. The XRD patterns of the as-deposited films are amorphous and annealing at 700 °C for 1 h induced nanocrystallinity with the improved optical and dielectric properties. The annealed films exhibit refractive index in the range of 2.12-2.19 at 600 nm with an optical bandgap value in between 4.11 and 4.19 eV. The increase in the refractive index and bandgap upon annealing can be attributed to the improvement in packing density, crystallinity, and decrease in porosity ratio. Both the dielectric constant and tan δ decrease with the increase in frequency and were in the range of 13.7-31.11 and 0.006-0.124, respectively. The improvement in dielectric properties with the increase in OMP has been correlated to the reduction in oxygen vacancies, increase in crystallinity and grain size of the films.

  15. High- k Gate Dielectrics for Emerging Flexible and Stretchable Electronics.

    PubMed

    Wang, Binghao; Huang, Wei; Chi, Lifeng; Al-Hashimi, Mohammed; Marks, Tobin J; Facchetti, Antonio

    2018-05-22

    Recent advances in flexible and stretchable electronics (FSE), a technology diverging from the conventional rigid silicon technology, have stimulated fundamental scientific and technological research efforts. FSE aims at enabling disruptive applications such as flexible displays, wearable sensors, printed RFID tags on packaging, electronics on skin/organs, and Internet-of-things as well as possibly reducing the cost of electronic device fabrication. Thus, the key materials components of electronics, the semiconductor, the dielectric, and the conductor as well as the passive (substrate, planarization, passivation, and encapsulation layers) must exhibit electrical performance and mechanical properties compatible with FSE components and products. In this review, we summarize and analyze recent advances in materials concepts as well as in thin-film fabrication techniques for high- k (or high-capacitance) gate dielectrics when integrated with FSE-compatible semiconductors such as organics, metal oxides, quantum dot arrays, carbon nanotubes, graphene, and other 2D semiconductors. Since thin-film transistors (TFTs) are the key enablers of FSE devices, we discuss TFT structures and operation mechanisms after a discussion on the needs and general requirements of gate dielectrics. Also, the advantages of high- k dielectrics over low- k ones in TFT applications were elaborated. Next, after presenting the design and properties of high- k polymers and inorganic, electrolyte, and hybrid dielectric families, we focus on the most important fabrication methodologies for their deposition as TFT gate dielectric thin films. Furthermore, we provide a detailed summary of recent progress in performance of FSE TFTs based on these high- k dielectrics, focusing primarily on emerging semiconductor types. Finally, we conclude with an outlook and challenges section.

  16. Multilayer polymer dielectric films for hollow glass waveguides

    NASA Astrophysics Data System (ADS)

    Kendall, Wesley; Harrington, James A.

    2018-02-01

    Hollow glass waveguides (HGWs) have been extensively investigated for the transmission of broadband, high-power radiation, particularly in the mid-infrared. One area of particular interest is the deposition of dielectric thin films within the hollow core of the HGW in order to reduce the losses at desired wavelengths. By implementing a thin film multilayer structure with high index mismatch between adjacent films, it is possible to dramatically improve the losses of the waveguides due to the thin film interference effect. Existing multilayer film research has utilized heavy metal halides, which although provide considerable index contrast, are toxic and unsuitable for clinical applications in which they are often used. Polymer dielectric thin films provide desirable optical properties for HGWs but are hindered by solvent compatibility in the deposition procedure. This work demonstrates implementation of a polymer multilayer dielectric thin film stack within a HGW, using ChemoursTM Teflon AF (n = 1.29) as the low-index material and polystyrene (n = 1.59) as the high-index material. These two polymers were deposited using liquid phase techniques within a HGW; the absorption spectra of waveguide as each layer was deposited on was analyzed in the mid-IR with an FTIR, and straight and bending losses were measured on a CO2 laser. Appreciable losses were realized with the addition of the second polymer film and the interference bands red-shifted with the second layer, suggesting the successful creation of the multilayer structure.

  17. Second-harmonic generation in substoichiometric silicon nitride layers

    NASA Astrophysics Data System (ADS)

    Pecora, Emanuele; Capretti, Antonio; Miano, Giovanni; Dal Negro, Luca

    2013-03-01

    Harmonic generation in optical circuits offers the possibility to integrate wavelength converters, light amplifiers, lasers, and multiple optical signal processing devices with electronic components. Bulk silicon has a negligible second-order nonlinear optical susceptibility owing to its crystal centrosymmetry. Silicon nitride has its place in the microelectronic industry as an insulator and chemical barrier. In this work, we propose to take advantage of silicon excess in silicon nitride to increase the Second Harmonic Generation (SHG) efficiency. Thin films have been grown by reactive magnetron sputtering and their nonlinear optical properties have been studied by femtosecond pumping over a wide range of excitation wavelengths, silicon nitride stoichiometry and thermal processes. We demonstrate SHG in the visible range (375 - 450 nm) using a tunable 150 fs Ti:sapphire laser, and we optimize the SH emission at a silicon excess of 46 at.% demonstrating a maximum SHG efficiency of 4x10-6 in optimized films. Polarization properties, generation efficiency, and the second order nonlinear optical susceptibility are measured for all the investigated samples and discussed in terms of an effective theoretical model. Our findings show that the large nonlinear optical response demonstrated in optimized Si-rich silicon nitride materials can be utilized for the engineering of nonlinear optical functions and devices on a Si chip.

  18. Adsorption and electronic properties of pentacene on thin dielectric decoupling layers.

    PubMed

    Koslowski, Sebastian; Rosenblatt, Daniel; Kabakchiev, Alexander; Kuhnke, Klaus; Kern, Klaus; Schlickum, Uta

    2017-01-01

    With the increasing use of thin dielectric decoupling layers to study the electronic properties of organic molecules on metal surfaces, comparative studies are needed in order to generalize findings and formulate practical rules. In this paper we study the adsorption and electronic properties of pentacene deposited onto h-BN/Rh(111) and compare them with those of pentacene deposited onto KCl on various metal surfaces. When deposited onto KCl, the HOMO and LUMO energies of the pentacene molecules scale with the work functions of the combined KCl/metal surface. The magnitude of the variation between the respective KCl/metal systems indicates the degree of interaction of the frontier orbitals with the underlying metal. The results confirm that the so-called IDIS model developed by Willenbockel et al. applies not only to molecular layers on bare metal surfaces, but also to individual molecules on thin electronically decoupling layers. Depositing pentacene onto h-BN/Rh(111) results in significantly different adsorption characteristics, due to the topographic corrugation of the surface as well as the lateral electric fields it presents. These properties are reflected in the divergence from the aforementioned trend for the orbital energies of pentacene deposited onto h-BN/Rh(111), as well as in the different adsorption geometry. Thus, the highly desirable capacity of h-BN to trap molecules comes at the price of enhanced metal-molecule interaction, which decreases the HOMO-LUMO gap of the molecules. In spite of the enhanced interaction, the molecular orbitals are evident in scanning tunnelling spectroscopy (STS) and their shapes can be resolved by spectroscopic mapping.

  19. Fabrication of Crack-Free Barium Titanate Thin Film with High Dielectric Constant Using Sub-Micrometric Scale Layer-by-Layer E-Jet Deposition.

    PubMed

    Liang, Junsheng; Li, Pengfei; Wang, Dazhi; Fang, Xu; Ding, Jiahong; Wu, Junxiong; Tang, Chang

    2016-01-19

    Dense and crack-free barium titanate (BaTiO₃, BTO) thin films with a thickness of less than 4 μm were prepared by using sub-micrometric scale, layer-by-layer electrohydrodynamic jet (E-jet) deposition of the suspension ink which is composed of BTO nanopowder and BTO sol. Impacts of the jet height and line-to-line pitch of the deposition on the micro-structure of BTO thin films were investigated. Results show that crack-free BTO thin films can be prepared with 4 mm jet height and 300 μm line-to-line pitch in this work. Dielectric constant of the prepared BTO thin film was recorded as high as 2940 at 1 kHz at room temperature. Meanwhile, low dissipation factor of the BTO thin film of about 8.6% at 1 kHz was also obtained. The layer-by-layer E-jet deposition technique developed in this work has been proved to be a cost-effective, flexible and easy to control approach for the preparation of high-quality solid thin film.

  20. Fabrication of Crack-Free Barium Titanate Thin Film with High Dielectric Constant Using Sub-Micrometric Scale Layer-by-Layer E-Jet Deposition

    PubMed Central

    Liang, Junsheng; Li, Pengfei; Wang, Dazhi; Fang, Xu; Ding, Jiahong; Wu, Junxiong; Tang, Chang

    2016-01-01

    Dense and crack-free barium titanate (BaTiO3, BTO) thin films with a thickness of less than 4 μm were prepared by using sub-micrometric scale, layer-by-layer electrohydrodynamic jet (E-jet) deposition of the suspension ink which is composed of BTO nanopowder and BTO sol. Impacts of the jet height and line-to-line pitch of the deposition on the micro-structure of BTO thin films were investigated. Results show that crack-free BTO thin films can be prepared with 4 mm jet height and 300 μm line-to-line pitch in this work. Dielectric constant of the prepared BTO thin film was recorded as high as 2940 at 1 kHz at room temperature. Meanwhile, low dissipation factor of the BTO thin film of about 8.6% at 1 kHz was also obtained. The layer-by-layer E-jet deposition technique developed in this work has been proved to be a cost-effective, flexible and easy to control approach for the preparation of high-quality solid thin film. PMID:28787860

  1. Surface modification of titanium nitride film by a picosecond Nd:YAG laser

    NASA Astrophysics Data System (ADS)

    Gakovic, B.; Trtica, M.; Batani, D.; Desai, T.; Panjan, P.; Vasiljevic-Radovic, D.

    2007-06-01

    The interaction of a picosecond Nd:YAG laser (wavelength 532 nm, pulse duration 40 ps) with a polycrystalline titanium nitride (TiN) film was studied. The TiN thin film was deposited by physical vapour deposition on a silicon substrate. The titanium nitride/silicon system was modified with an energy fluence from 0.2 to 5.9 J cm-2. Multi-pulse irradiation was performed in air by a focused laser beam. Surface modifications were analysed after 1 100 successive laser pulses. Depending on the laser pulse energy and pulse count, the following phenomena were observed: (i) increased surface roughness, (ii) titanium nitride film cracking, (iii) silicon substrate modification, (iv) film exfoliation and (v) laser-induced periodical surface structures on nano- (NPSS) and micro-dimensions (MPSS).

  2. Effect of O 2 gas partial pressure on structures and dielectric characteristics of rf sputtered ZrO 2 thin films

    NASA Astrophysics Data System (ADS)

    Ma, C. Y.; Lapostolle, F.; Briois, P.; Zhang, Q. Y.

    2007-08-01

    Amorphous and polycrystalline zirconium oxide thin films have been deposited by reactive rf magnetron sputtering in a mixed argon/oxygen or pure oxygen atmosphere with no intentional heating of the substrate. The films were characterized by high-resolution transmission electron microscopy (HR-TEM), atomic force microscopy (AFM), spectroscopic ellipsometry (SE), and capacitance versus voltage ( C- V) measurements to investigate the variation of structure, surface morphology, thickness of SiO 2-like interfacial layer as well as dielectric characteristics with different oxygen partial pressures. The films deposited at low oxygen partial pressures (less than 15%) are amorphous and dense with a smooth surface. In contrast, the films prepared at an oxygen partial pressure higher than 73% are crystallized with the microstructure changing from the mixture of monoclinic and tetragonal phases to a single monoclinic structure. The film structural transition is believed to be consequences of decrease in the oxygen vacancy concentration in the film and of increase of the energetically neutral particles in the plasma due to an increased oxygen partial pressure. SE measurements showed that significant interfacial SiO 2 growth has taken place above approximately 51%. The best C- V results in terms of relative dielectric constant values are obtained for thin films prepared at an oxygen partial pressure of 15%.

  3. Crystal structure study of dielectric oxynitride perovskites La{sub 1−x}Sr{sub x}TiO{sub 2+x}N{sub 1−x} (x=0, 0.2)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Habu, Daiki; Masubuchi, Yuji; Torii, Shuki

    As is the case with SrTaO{sub 2}N, both cis-ordering of nitride anions and octahedral titling are also preferable in La{sub 1−x}Sr{sub x}TiO{sub 2+x}N{sub 1−x} (x=0, 0.2) oxynitride perovskites. A larger dielectric constant of ε{sub r}≈5.0×10{sup 3} was estimated for the pure oxynitride with x=0.2, compared with ε{sub r}≈750 for the product with x=0, by extrapolating the ε{sub r} values obtained from powders mixed with paraffin at various mixing ratios. The crystal structure of x=0.2 with larger tolerance factor than x=0 increased the octahedral tilting, which contributes to the increased dielectric constant. The increased dielectric constant supports the exchange mechanism formore » the dielectric property between two kinds of –Ti–N– helical coils (clockwise and anticlockwise) derived from the above cis-ordering of nitride anions. - Graphical abstract: Very large dielectric constant values were estimated for La{sub 1−x}Sr{sub x}TiO{sub 2+x}N{sub 1−x}; ε{sub r}≈5.0×10{sup 3} in x=0.2 and ε{sub r}≈750 in x=0. - Highlights: • Cis-configuration of nitride anions was confirmed in La{sub 1−x}Sr{sub x}TiO{sub 2+x}N{sub 1−x} (x=0, 0.2). • Dielectric constant values were estimated to be 750 for x=0 and 5.0×10{sup 3} for x=0.2, respectively. • The large dielectric property is to the exchange mechanism between clockwise and anticlockwise –Ti–N– coil motifs.« less

  4. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors

    PubMed Central

    2013-01-01

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric. PMID:23294730

  5. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors.

    PubMed

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Matsuda, Yasuhiro H; Pan, Tung-Ming

    2013-01-08

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric.

  6. Atomic Layer Deposition of HfO2 and Si Nitride on Ge Substrates

    NASA Astrophysics Data System (ADS)

    Zhu, Shiyang; Nakajima, Anri

    2007-12-01

    Hafnium oxide (HfO2) thin films were deposited on Ge substrates at 300 °C using atomic layer deposition (ALD) with tetrakis(diethylamino)hafnium (termed as TDEAH) as a precursor and water as an oxidant. The deposition rate was estimated to be 0.09 nm/cycle and the deposited HfO2 films have a smooth surface and an almost stoichiometric composition, indicating that the growth follows a layer-by-layer kinetics, similarly to that on Si substrates. Si nitride thin films were also deposited on Ge by ALD using SiCl4 as a precursor and NH3 as an oxidant. Si nitride has a smaller deposition rate of about 0.055 nm/cycle and a larger gate leakage current than HfO2 deposited on Ge by ALD.

  7. Epitaxial growth and dielectric properties of Pb0.4Sr0.6TiO3 thin films on (00l)-oriented metallic Li0.3Ni0.7O2 coated MgO substrates

    NASA Astrophysics Data System (ADS)

    Li, X. T.; Du, P. Y.; Mak, C. L.; Wong, K. H.

    2007-06-01

    Highly (00l)-oriented Li0.3Ni0.7O2 thin films have been fabricated on (001) MgO substrates by pulsed laser deposition. The Pb0.4Sr0.6TiO3 (PST40) thin film deposited subsequently also shows a significant (00l)-oriented texture. Both the PST40 and Li0.3Ni0.7O2 have good epitaxial behavior. The epitaxial growth of the PST40 thin film is more perfect with the Li0.3Ni0.7O2 buffer layer due to the less distortion in the film. The dielectric tunability of the PST40 thin film with Li0.3Ni0.7O2 buffer layer therefore reaches 70%, which is 75% higher than that without Li0.3Ni0.7O2 buffer layer, and the dielectric loss of the PST40 thin film is 0.06.

  8. Low-temperature fabrication of sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors

    NASA Astrophysics Data System (ADS)

    Yao, Rihui; Zheng, Zeke; Xiong, Mei; Zhang, Xiaochen; Li, Xiaoqing; Ning, Honglong; Fang, Zhiqiang; Xie, Weiguang; Lu, Xubing; Peng, Junbiao

    2018-03-01

    In this work, low temperature fabrication of a sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors (TFTs) on polyimide substrates was investigated. The effects of Ar-pressure during the sputtering process and then especially the post-annealing treatments at low temperature (≤200 °C) for HfO2 on reducing the density of defects in the bulk and on the surface were systematically studied. X-ray reflectivity, UV-vis and X-ray photoelectron spectroscopy, and micro-wave photoconductivity decay measurements were carried out and indicated that the high quality of optimized HfO2 film and its high dielectric properties contributed to the low concentration of structural defects and shallow localized defects such as oxygen vacancies. As a result, the well-structured HfO2 gate dielectric exhibited a high density of 9.7 g/cm3, a high dielectric constant of 28.5, a wide optical bandgap of 4.75 eV, and relatively low leakage current. The corresponding flexible a-IGZO TFT on polyimide exhibited an optimal device performance with a saturation mobility of 10.3 cm2 V-1 s-1, an Ion/Ioff ratio of 4.3 × 107, a SS value of 0.28 V dec-1, and a threshold voltage (Vth) of 1.1 V, as well as favorable stability under NBS/PBS gate bias and bending stress.

  9. Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Posseme, N., E-mail: nicolas.posseme@cea.fr; Pollet, O.; Barnola, S.

    2014-08-04

    Silicon nitride spacer etching realization is considered today as one of the most challenging of the etch process for the new devices realization. For this step, the atomic etch precision to stop on silicon or silicon germanium with a perfect anisotropy (no foot formation) is required. The situation is that none of the current plasma technologies can meet all these requirements. To overcome these issues and meet the highly complex requirements imposed by device fabrication processes, we recently proposed an alternative etching process to the current plasma etch chemistries. This process is based on thin film modification by light ionsmore » implantation followed by a selective removal of the modified layer with respect to the non-modified material. In this Letter, we demonstrate the benefit of this alternative etch method in term of film damage control (silicon germanium recess obtained is less than 6 A), anisotropy (no foot formation), and its compatibility with other integration steps like epitaxial. The etch mechanisms of this approach are also addressed.« less

  10. Optimization of dielectric matrix for ZnO nanowire based nanogenerators

    NASA Astrophysics Data System (ADS)

    Kannan, Santhosh; Parmar, Mitesh; Tao, Ran; Ardila, Gustavo; Mouis, Mireille

    2016-11-01

    This paper reports the role of selection of suitable dielectric layer in nanogenerator (NG) structure and its influence on the output performance. The basic NG structure is a composite material integrating hydrothermally grown vertical piezoelectric zinc oxide (ZnO) nanowires (NWs) into a dielectric matrix. To accomplish this study, three materials - poly methyl methacrylate (PMMA), silicon nitride (Si3N4) and aluminium oxide (Al2O3) are selected, processed and used as matrix dielectric in NGs. Scanning electron microscopy (SEM) analysis shows the well-aligned NWs with a diameter of 200±50 nm and length of 3.5±0.3 μm. This was followed by dielectric material deposition as a matrix material. After fabricating NG devices, the output generated voltage under manual and automatic bending were recorded, observed and analyzed for the selection of the best dielectric material to obtain an optimum output. The maximum peak-to-peak open-circuit voltage output for PMMA, Si3N4 and Al2O3 under manual bending was recorded as approximately 880 mV, 1.2 V and 2.1 V respectively. These preliminary results confirm the predicted effect of using more rigid dielectrics as matrix material for the NGs. The generated voltage is increased by about 70% using Si3N4 or Al2O3, instead of a less rigid material as PMMA.

  11. Silicon Nitride Equation of State

    NASA Astrophysics Data System (ADS)

    Swaminathan, Pazhayannur; Brown, Robert

    2015-06-01

    This report presents the development a global, multi-phase equation of state (EOS) for the ceramic silicon nitride (Si3N4) . Structural forms include amorphous silicon nitride normally used as a thin film and three crystalline polymorphs. Crystalline phases include hexagonal α-Si3N4, hexagonalβ-Si3N4, and the cubic spinel c-Si3N4. Decomposition at about 1900 °C results in a liquid silicon phase and gas phase products such as molecular nitrogen, atomic nitrogen, and atomic silicon. The silicon nitride EOS was developed using EOSPro which is a new and extended version of the PANDA II code. Both codes are valuable tools and have been used successfully for a variety of material classes. Both PANDA II and EOSPro can generate a tabular EOS that can be used in conjunction with hydrocodes. The paper describes the development efforts for the component solid phases and presents results obtained using the EOSPro phase transition model to investigate the solid-solid phase transitions in relation to the available shock data. Furthermore, the EOSPro mixture model is used to develop a model for the decomposition products and then combined with the single component solid models to study the global phase diagram. Sponsored by the NASA Goddard Space Flight Center Living With a Star program office.

  12. Microwave dielectric properties of BNT-BT0.08 thin films prepared by sol-gel technique

    NASA Astrophysics Data System (ADS)

    Huitema, L.; Cernea, M.; Crunteanu, A.; Trupina, L.; Nedelcu, L.; Banciu, M. G.; Ghalem, A.; Rammal, M.; Madrangeas, V.; Passerieux, D.; Dutheil, P.; Dumas-Bouchiat, F.; Marchet, P.; Champeaux, C.

    2016-04-01

    We report for the first time the microwave characterization of 0.92(Bi0.5Na0.5)TiO3-0.08BaTiO3 (BNT-BT0.08) ferroelectric thin films fabricated by the sol-gel method and integrated in both planar and out-of-plane tunable capacitors for agile high-frequency applications and particularly on the WiFi frequency band from 2.4 GHz to 2.49 GHz. The permittivity and loss tangent of the realized BNT-BT0.08 layers have been first measured by a resonant cavity method working at 12.5 GHz. Then, we integrated the ferroelectric material in planar inter-digitated capacitors (IDC) and in out-of-plane metal-insulator-metal (MIM) devices and investigated their specific properties (dielectric tunability and losses) on the whole 100 MHz-15 GHz frequency domain. The 3D finite-elements electromagnetic simulations of the IDC capacitances are fitting very well with their measured responses and confirm the dielectric properties determined with the cavity method. While IDCs are not exhibiting an optimal tunability, the MIM capacitor devices with optimized Ir/MgO(100) bottom electrodes demonstrate a high dielectric tunability, of 30% at 2.45 GHz under applied voltages as low as 10 V, and it is reaching 50% under 20 V voltage bias at the same frequency. These high-frequency properties of the MIM devices integrating the BNT-BT0.08 films, combining a high tunability under low applied voltages indicate a wide integration potential for tunable devices in the microwave domain and particularly at 2.45 GHz, corresponding to the widely used industrial, scientific, and medical frequency band.

  13. Combined angle-resolved X-ray photoelectron spectroscopy, density functional theory and kinetic study of nitridation of gallium arsenide

    NASA Astrophysics Data System (ADS)

    Mehdi, H.; Monier, G.; Hoggan, P. E.; Bideux, L.; Robert-Goumet, C.; Dubrovskii, V. G.

    2018-01-01

    The high density of interface and surface states that cause the strong Fermi pinning observed on GaAs surfaces can be reduced by depositing GaN ultra-thin films on GaAs. To further improve this passivation, it is necessary to investigate the nitridation phenomena by identifying the distinct steps occurring during the process and to understand and quantify the growth kinetics of GaAs nitridation under different conditions. Nitridation of the cleaned GaAs substrate was performed using N2 plasma source. Two approaches have been combined. Firstly, an AR-XPS (Angle Resolved X-ray Photoelectron Spectroscopy) study is carried out to determine the chemical environments of the Ga, As and N atoms and the composition depth profile of the GaN thin film which allow us to summarize the nitridation process in three steps. Moreover, the temperature and time treatment have been investigated and show a significant impact on the formation of the GaN layer. The second approach is a refined growth kinetic model which better describes the GaN growth as a function of the nitridation time. This model clarifies the exchange mechanism of arsenic with nitrogen atoms at the GaN/GaAs interface and the phenomenon of quasi-saturation of the process observed experimentally.

  14. Study on Structural and Dielectric Properties of Ultra-Low-Fire Integratable Dielectric Film for High-Frequency and Microwave Application

    NASA Astrophysics Data System (ADS)

    Qu, Sheng; Zhang, Jihua; Wu, Kaituo; Wang, Lei; Chen, Hongwei

    2018-03-01

    In this study, ultra-low-fire ceramic composites of Zn2Te3O8-30 wt.%TiTe3O8 (ZTT) were prepared by a solid-state reaction method. Densified at 600°C, the best microwave dielectric properties at 8.5 GHz were measured with the ɛ r , tan δ, Q × f, and τ f as 25.6, 1.5 × 10-4, 56191 GHz and 1.66 ppm/°C, respectively. Thin films of ultra-low-fire ZTT were prepared by a radio-frequency magnetron sputtering method. ZTT films which deposited on Au/NiCr/SiO2/Si (100) substrates at 200°C showed good adhesion. From ultra-low-fire ceramic to ultra-low-fire ZTT thin films, the latter maintained all the good high-frequency dielectric properties of the former: high dielectric constant ( ɛ r ˜ 25) and low dissipation factor (tan δ < 5×10-3), low leakage current density (˜ 10-9 A/cm2) and ultra low processing temperature. These excellent properties of the ultra-low-fire ZTT thin film make it possible to be integrated in MMIC and be applied in the research of GaN and GaAs MOSFET devices.

  15. Metal clusters and nanoparticles in dielectric matrices: Formation and optical properties

    NASA Astrophysics Data System (ADS)

    Gladskikh, I. A.; Vartanyan, T. A.

    2016-12-01

    The optical properties of thin dielectric films with metal inclusions and their dependence on thermal and laser annealing are studied experimentally. Metal clusters (Ag, Au, and Cu) in dielectric materials (Al2O3 and SiO2) are obtained by simultaneous vacuum deposition of metal and dielectric on the surface of a corresponding dielectric substrate (sapphire and quartz). It is shown that, depending on the deposited dielectric material, on the weight ratio of deposited metal and dielectric, and on the subsequent thermal treatment, one can obtain different metal structures, from clusters with a small number of atoms to complex dendritic plasmonic structures.

  16. Complex dielectric constants for selected near-millimeter-wave materials at 245 GHz

    NASA Technical Reports Server (NTRS)

    Dutta, J. M.; Jones, C. R.; Dave, H.

    1986-01-01

    A double-beam instrument developed in this laboratory has been used to measure the complex dielectric constant of selected materials at 245 GHz. It is reported here the results for crystalline quartz, fused silica (Spectrosil WF and Dynasil 4000), beryllia (iso-pressed), boron nitride (hot-pressed), and a nickel ferrite (Trans-Tech 2-111). Results are compared with the data obtained by other researchers.

  17. Surface step terrace tuned microstructures and dielectric properties of highly epitaxial CaCu3Ti4O12 thin films on vicinal LaAlO3 substrates

    PubMed Central

    Yao, Guang; Gao, Min; Ji, Yanda; Liang, Weizheng; Gao, Lei; Zheng, Shengliang; Wang, You; Pang, Bin; Chen, Y. B.; Zeng, Huizhong; Li, Handong; Wang, Zhiming; Liu, Jingsong; Chen, Chonglin; Lin, Yuan

    2016-01-01

    Controllable interfacial strain can manipulate the physical properties of epitaxial films and help understand the physical nature of the correlation between the properties and the atomic microstructures. By using a proper design of vicinal single-crystal substrate, the interface strain in epitaxial thin films can be well controlled by adjusting the miscut angle via a surface-step-terrace matching growth mode. Here, we demonstrate that LaAlO3 (LAO) substrates with various miscut angles of 1.0°, 2.75°, and 5.0° were used to tune the dielectric properties of epitaxial CaCu3Ti4O12 (CCTO) thin films. A model of coexistent compressive and tensile strained domains is proposed to understand the epitaxial nature. Our findings on the self-tuning of the compressive and tensile strained domain ratio along the interface depending on the miscut angle and the stress relaxation mechanism under this growth mode will open a new avenue to achieve CCTO films with high dielectric constant and low dielectric loss, which is critical for the design and integration of advanced heterostructures for high performance capacitance device applications. PMID:27703253

  18. Surface step terrace tuned microstructures and dielectric properties of highly epitaxial CaCu3Ti4O12 thin films on vicinal LaAlO3 substrates

    NASA Astrophysics Data System (ADS)

    Yao, Guang; Gao, Min; Ji, Yanda; Liang, Weizheng; Gao, Lei; Zheng, Shengliang; Wang, You; Pang, Bin; Chen, Y. B.; Zeng, Huizhong; Li, Handong; Wang, Zhiming; Liu, Jingsong; Chen, Chonglin; Lin, Yuan

    2016-10-01

    Controllable interfacial strain can manipulate the physical properties of epitaxial films and help understand the physical nature of the correlation between the properties and the atomic microstructures. By using a proper design of vicinal single-crystal substrate, the interface strain in epitaxial thin films can be well controlled by adjusting the miscut angle via a surface-step-terrace matching growth mode. Here, we demonstrate that LaAlO3 (LAO) substrates with various miscut angles of 1.0°, 2.75°, and 5.0° were used to tune the dielectric properties of epitaxial CaCu3Ti4O12 (CCTO) thin films. A model of coexistent compressive and tensile strained domains is proposed to understand the epitaxial nature. Our findings on the self-tuning of the compressive and tensile strained domain ratio along the interface depending on the miscut angle and the stress relaxation mechanism under this growth mode will open a new avenue to achieve CCTO films with high dielectric constant and low dielectric loss, which is critical for the design and integration of advanced heterostructures for high performance capacitance device applications.

  19. Surface step terrace tuned microstructures and dielectric properties of highly epitaxial CaCu3Ti4O12 thin films on vicinal LaAlO3 substrates.

    PubMed

    Yao, Guang; Gao, Min; Ji, Yanda; Liang, Weizheng; Gao, Lei; Zheng, Shengliang; Wang, You; Pang, Bin; Chen, Y B; Zeng, Huizhong; Li, Handong; Wang, Zhiming; Liu, Jingsong; Chen, Chonglin; Lin, Yuan

    2016-10-05

    Controllable interfacial strain can manipulate the physical properties of epitaxial films and help understand the physical nature of the correlation between the properties and the atomic microstructures. By using a proper design of vicinal single-crystal substrate, the interface strain in epitaxial thin films can be well controlled by adjusting the miscut angle via a surface-step-terrace matching growth mode. Here, we demonstrate that LaAlO 3 (LAO) substrates with various miscut angles of 1.0°, 2.75°, and 5.0° were used to tune the dielectric properties of epitaxial CaCu 3 Ti 4 O 12 (CCTO) thin films. A model of coexistent compressive and tensile strained domains is proposed to understand the epitaxial nature. Our findings on the self-tuning of the compressive and tensile strained domain ratio along the interface depending on the miscut angle and the stress relaxation mechanism under this growth mode will open a new avenue to achieve CCTO films with high dielectric constant and low dielectric loss, which is critical for the design and integration of advanced heterostructures for high performance capacitance device applications.

  20. Giant optical field enhancement in multi-dielectric stacks by photon scanning tunneling microscopy

    NASA Astrophysics Data System (ADS)

    Ndiaye, C.; Zerrad, M.; Lereu, A. L.; Roche, R.; Dumas, Ph.; Lemarchand, F.; Amra, C.

    2013-09-01

    Dielectric optical thin films, as opposed to metallic, have been very sparsely explored as good candidates for absorption-based optical field enhancement. In such materials, the low imaginary part of the refractive index implies that absorption processes are usually not predominant. This leads to dielectric-based optical resonances mainly via waveguiding modes. We show here that when properly designed, a multi-layered dielectric thin films stack can give rise to optical resonances linked to total absorption. We report here, on such dielectric stack designed to possess a theoretical optical field enhancement above 1000. Using photon scanning tunneling microscopy, we experimentally evaluate the resulting field enhancement of the stack as well as the associated penetration depth. We thus demonstrate the capability of multi-dielectric stacks in generating giant optical field with tunable penetration depth (down to few dozens of nm).

  1. Infrared Dielectric Properties of Low-Stress Silicon Oxide

    NASA Technical Reports Server (NTRS)

    Cataldo, Giuseppe; Wollack, Edward J.; Brown, Ari D.; Miller, Kevin H.

    2016-01-01

    Silicon oxide thin films play an important role in the realization of optical coatings and high-performance electrical circuits. Estimates of the dielectric function in the far- and mid-infrared regime are derived from the observed transmittance spectrum for a commonly employed low-stress silicon oxide formulation. The experimental, modeling, and numerical methods used to extract the dielectric function are presented.

  2. Method for making surfactant-templated, high-porosity thin films

    DOEpatents

    Brinker, C. Jeffrey; Lu, Yunfeng; Fan, Hongyou

    2001-01-01

    An evaporation-induced self-assembly method to prepare a surfactant-templated thin film by mixing a silica sol, a surfactant, and a hydrophobic polymer and then evaporating a portion of the solvent during coating onto a substrate and then heating to form a liquid-phase, thin film material with a porosity greater than approximately 50 percent. The high porosity thin films can have dielectric constants less than 2 to be suitable for applications requiring low-dielectric constants. An interstitial compound can be added to the mixture, with the interstitial compound either covalently bonded to the pores or physically entrapped within the porous structure. The selection of the interstitial compound provides a means for developing thin films for applications including membranes, sensors, low dielectric constant films, photonic materials and optical hosts.

  3. Electron tunneling through atomically flat and ultrathin hexagonal boron nitride

    NASA Astrophysics Data System (ADS)

    Lee, Gwan-Hyoung; Yu, Young-Jun; Lee, Changgu; Dean, Cory; Shepard, Kenneth L.; Kim, Philip; Hone, James

    2011-12-01

    Electron tunneling through atomically flat and ultrathin hexagonal boron nitride (h-BN) on gold-coated mica was investigated using conductive atomic force microscopy. Low-bias direct tunneling was observed in mono-, bi-, and tri-layer h-BN. For all thicknesses, Fowler-Nordheim tunneling (FNT) occurred at high bias, showing an increase of breakdown voltage with thickness. Based on the FNT model, the barrier height for tunneling (3.07 eV) and dielectric strength (7.94 MV/cm) of h-BN are obtained; these values are comparable to those of SiO2.

  4. Microwave dielectric behavior of vegetation material

    NASA Technical Reports Server (NTRS)

    Elrayes, Mohamed A.; Ulaby, Fawwaz T.

    1987-01-01

    The microwave dielectric behavior of vegetation was examined through the development of theoretical models involving dielectric dispersion by both bound and free water and supported by extensive dielectric measurements conducted over a wide range of conditions. The experimental data were acquired using an open-ended coaxial probe that was developed for sensing the dielectric constant of thin layers of materials, such as leaves, from measurements of the complex reflection coefficient using a network analyzer. The probe system was successfully used to record the spectral variation of the dielectric constant over a wide frequency range extending from 0.5 to 20.4 GHz at numerous temperatures between -40 to +40 C. The vegetation samples were measured over a wide range of moisture conditions. To model the dielectric spectrum of the bound water component of the water included in vegetation, dielectric measurements were made for several sucrose-water solutions as analogs for the situation in vegetation. The results were used in conjunction with the experimental data for leaves to determine some of the constant coefficients in the theoretical models. Two models, both of which provide good fit to the data, are proposed.

  5. The effects of strain relaxation on the dielectric properties of epitaxial ferroelectric Pb(Zr0.2Ti0.8)TiO3 thin films

    NASA Astrophysics Data System (ADS)

    Khan, Asif Islam; Yu, Pu; Trassin, Morgan; Lee, Michelle J.; You, Long; Salahuddin, Sayeef

    2014-07-01

    We study the effects of strain relaxation on the dielectric properties of epitaxial 40 nm Pb(Zr0.2Ti0.8)TiO3 (PZT) films. A significant increase in the defect and dislocation density due to strain relaxation is observed in PZT films with tetragonality c/a < 1.07 grown on SrTiO3 (001) substrates, which results in significant frequency dispersion of the dielectric constant and strong Rayleigh type behavior in those samples. This combined structural-electrical study provides a framework for investigating strain relaxation in thin films and can provide useful insights into the mechanisms of fatigue in ferroelectric materials.

  6. Cyanoresin, cyanoresin/cellulose triacetate blends for thin film, dielectric capacitors

    NASA Technical Reports Server (NTRS)

    Yen, Shiao-Ping S. (Inventor); Lewis, Carol R. (Inventor); Cygan, Peter J. (Inventor); Jow, T. Richard (Inventor)

    1996-01-01

    Non brittle dielectric films are formed by blending a cyanoresin such as cyanoethyl, hydroxyethyl cellulose (CRE) with a compatible, more crystalline resin such as cellulose triacetate. The electrical breakdown strength of the blend is increased by orienting the films by uniaxial or biaxial stretching. Blends of high molecular weight CRE with high molecular weight cyanoethyl cellulose (CRC) provide films with high dielectric constants.

  7. Cyanoresin, cyanoresin/cellulose triacetate blends for thin film, dielectric capacitors

    NASA Technical Reports Server (NTRS)

    Yen, Shiao-Ping (Inventor); Jow, T. Richard (Inventor)

    1993-01-01

    Non-brittle dielectric films are formed by blending a cyanoresin such as cyanoethyl, hydroxyethyl cellulose (CRE) with a compatible, more crystalline resin such as cellulose triacetate. The electrical breakdown strength of the blend is increased by orienting the films by uniaxial or biaxial stretching. Blends of high molecular weight CRE with high molecular weight cyanoethyl cellulose (CRC) provide films with high dielectric constants.

  8. Boron nitride composites

    DOEpatents

    Kuntz, Joshua D.; Ellsworth, German F.; Swenson, Fritz J.; Allen, Patrick G.

    2017-02-21

    According to one embodiment, a composite product includes: a matrix material including hexagonal boron nitride and one or more borate binders; and a plurality of cubic boron nitride particles dispersed in the matrix material. According to another embodiment, a composite product includes: a matrix material including hexagonal boron nitride and amorphous boron nitride; and a plurality of cubic boron nitride particles dispersed in the matrix material.

  9. Temperature dependent dielectric properties of Au/ZnO/n-Si heterojuntion

    NASA Astrophysics Data System (ADS)

    Kocyigit, Adem; Orak, İkram; Turut, Abdulmecit

    2018-03-01

    Owing to importance of ZnO in electronics, Au/ZnO/n-type Si device was fabricated to investigate its dielectric properties by aid of capacitance-conductance-voltage measurements. While the ZnO thin film layer on the n-type Si was formed by atomic layer deposition (ALD) technique, the rectifying and ohmic contacts were obtained by thermal evaporation. The surface morphology of ZnO thin film was characterized using atomic force microscopy (AFM) to show its compatibility as interfacial layer in the Au/ZnO/n-type Si device. The dielectric properties of the device were examined in terms of dielectric parameters such as dielectric constant (ɛ‧), dielectric loss (ɛ″), loss tangent (tan δ), the real and imaginary parts of electric modulus (M ‧ and M ″) and ac electrical conductivity (σ) depending on applied voltages (from -1 to 2 V) and temperatures (from 140 K to 360 K) ranges. The results have revealed that interfacial polarization and charge carriers are the important parameters to affect the dielectric properties of the device with changing temperature. The device can be used at wide range temperatures for diode applications.

  10. Distribution of nitrogen and defects in SiO(x)N(y)/Si structures formed by the thermal nitridation of SiO2/Si

    NASA Technical Reports Server (NTRS)

    Vasquez, R. P.; Madhukar, A.; Grunthaner, F. J.; Naiman, M. L.

    1986-01-01

    Previously reported nitrogen distributions in SiO2 films on Si which have been thermally nitrided at 1000 C have been explained by a kinetic model of the nitridation process which rests upon the effects of interfacial strain. A critical test of this kinetic model is the validity of the predictions regarding nitrogen distributions obtained at other nitridation temperatures. In this work, nitrogen distributions determined via X-ray photoelectron spectroscopy are reported for samples nitrided at 800 and 1150 C, and are shown to be consistent with the kinetic model. In addition, the intensity of a fluorine marker is found to correlate with the nitrogen distribution, and is postulated to be related to kinetically generated defects in the dielectric film, consistent with the strain-dependent energy of formation of defects proposed recently to explain electrical data.

  11. Tunable dielectric properties of Barium Magnesium Niobate (BMN) doped Barium Strontium Titanate (BST) thin films by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Alema, Fikadu; Reinholz, Aaron; Pokhodnya, Konstantin

    2013-03-01

    We report on the tunable dielectric properties of Mg and Nb co-doped Ba0.45Sr0.55TiO3 (BST) thin film prepared by the magnetron sputtering using BST target (pure and doped with BaMg0.33Nb0.67O3 (BMN)) on Pt/TiO2/SiO2/Al2O3 4'' wafers at 700 °C under oxygen atmosphere. The electrical measurements are conducted on 2432 metal-ferroelectric-metal capacitors using Pt as the top and bottom electrode. The crystalline structure, microstructure, and surface morphology of the films are analyzed and correlated to the films dielectric properties. The BMN doped and undoped BST films have shown tunabilities of 48% and 52%; and leakage current densities of 2.2x10-6 A/cm2 and 3.7x10-5 A/cm2, respectively at 0.5 MV/cm bias field. The results indicate that the BMN doped film exhibits a lower leakage current with no significant decrease in tunability. Due to similar electronegativity and ionic radii, it was suggested that both Mg2+ (accepter-type) and Nb5+ (donor-type) dopants substitutTi4+ ion in BST. The improvement in the film dielectric losses and leakage current with insignificant loss of tunability is attributed to the adversary effects of Mg2+ and Nb5+ in BST.

  12. Effect of dead layer and strain on diffuse phase transition of PLZT relaxor thin films.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tong, S.; Narayanan, M.; Ma, B.

    2011-02-01

    Bulk relaxor ferroelectrics exhibit excellent permittivity compared to their thin film counterpart, although both show diffuse phase transition (DPT) behavior unlike normal ferroelectrics. To better understand the effect of dead layer and strain on the observed anomaly in the dielectric properties, we have developed relaxor PLZT (lead lanthanum zirconate titanate) thin films with different thicknesses and measured their dielectric properties as a function of temperature and frequency. The effect of dead layer on thin film permittivity has been found to be independent of temperature and frequency, and is governed by the Schottky barrier between the platinum electrode and PLZT. Themore » total strain (thermal and intrinsic) in the film majorly determines the broadening, dielectric peak and temperature shift in the relaxor ferroelectric. The Curie-Weiss type law for relaxors has been further modified to incorporate these two effects to accurately predict the DPT behavior of thin film and bulk relaxor ferroelectrics. The dielectric behavior of thin film is predicted by using the bulk dielectric data from literature in the proposed equation, which agree well with the measured dielectric behavior.« less

  13. Adsorption and electronic properties of pentacene on thin dielectric decoupling layers

    PubMed Central

    Kabakchiev, Alexander; Kuhnke, Klaus; Kern, Klaus

    2017-01-01

    With the increasing use of thin dielectric decoupling layers to study the electronic properties of organic molecules on metal surfaces, comparative studies are needed in order to generalize findings and formulate practical rules. In this paper we study the adsorption and electronic properties of pentacene deposited onto h-BN/Rh(111) and compare them with those of pentacene deposited onto KCl on various metal surfaces. When deposited onto KCl, the HOMO and LUMO energies of the pentacene molecules scale with the work functions of the combined KCl/metal surface. The magnitude of the variation between the respective KCl/metal systems indicates the degree of interaction of the frontier orbitals with the underlying metal. The results confirm that the so-called IDIS model developed by Willenbockel et al. applies not only to molecular layers on bare metal surfaces, but also to individual molecules on thin electronically decoupling layers. Depositing pentacene onto h-BN/Rh(111) results in significantly different adsorption characteristics, due to the topographic corrugation of the surface as well as the lateral electric fields it presents. These properties are reflected in the divergence from the aforementioned trend for the orbital energies of pentacene deposited onto h-BN/Rh(111), as well as in the different adsorption geometry. Thus, the highly desirable capacity of h-BN to trap molecules comes at the price of enhanced metal–molecule interaction, which decreases the HOMO–LUMO gap of the molecules. In spite of the enhanced interaction, the molecular orbitals are evident in scanning tunnelling spectroscopy (STS) and their shapes can be resolved by spectroscopic mapping. PMID:28900594

  14. Optical Properties and Plasmonic Performance of Titanium Nitride

    PubMed Central

    Patsalas, Panos; Kalfagiannis, Nikolaos; Kassavetis, Spyros

    2015-01-01

    Titanium nitride (TiN) is one of the most well-established engineering materials nowadays. TiN can overcome most of the drawbacks of palsmonic metals due to its high electron conductivity and mobility, high melting point and due to the compatibility of its growth with Complementary Metal Oxide Semiconductor (CMOS) technology. In this work, we review the dielectric function spectra of TiN and we evaluate the plasmonic performance of TiN by calculating (i) the Surface Plasmon Polariton (SPP) dispersion relations and (ii) the Localized Surface Plasmon Resonance (LSPR) band of TiN nanoparticles, and we demonstrate a significant plasmonic performance of TiN.

  15. Method of forming a dielectric thin film having low loss composition of Ba.sub.x Sr.sub.y Ca.sub.1-x-y TiO.sub.3 : Ba.sub.0.12-0.25 Sr.sub.0.35-0.47 Ca.sub.0.32-0.53 TiO.sub.3

    DOEpatents

    Xiang, Xiao-Dong; Chang, Hauyee; Takeuchi, Ichiro

    2000-01-01

    A dielectric thin-film material for microwave applications, including use as a capacitor, the thin-film comprising a composition of barium strontium calcium and titanium of perovskite type (Ba.sub.x Sr.sub.y Ca.sub.1-x-y)TiO.sub.3. Also provided is a method for making a dielectric thin film of that formula over a wide compositional range through a single deposition process.

  16. Helium ion beam induced electron emission from insulating silicon nitride films under charging conditions

    NASA Astrophysics Data System (ADS)

    Petrov, Yu. V.; Anikeva, A. E.; Vyvenko, O. F.

    2018-06-01

    Secondary electron emission from thin silicon nitride films of different thicknesses on silicon excited by helium ions with energies from 15 to 35 keV was investigated in the helium ion microscope. Secondary electron yield measured with Everhart-Thornley detector decreased with the irradiation time because of the charging of insulating films tending to zero or reaching a non-zero value for relatively thick or thin films, respectively. The finiteness of secondary electron yield value, which was found to be proportional to electronic energy losses of the helium ion in silicon substrate, can be explained by the electron emission excited from the substrate by the helium ions. The method of measurement of secondary electron energy distribution from insulators was suggested, and secondary electron energy distribution from silicon nitride was obtained.

  17. Simultaneous Noncontact Precision Imaging of Microstructural and Thickness Variation in Dielectric Materials Using Terahertz Energy

    NASA Technical Reports Server (NTRS)

    Roth, Don J.; Seebo, Jeffrey P.; Winfree, William P.

    2008-01-01

    This article describes a noncontact single-sided terahertz electromagnetic measurement and imaging method that simultaneously characterizes microstructural (egs. spatially-lateral density) and thickness variation in dielectric (insulating) materials. The method was demonstrated for two materials-Space Shuttle External Tank sprayed-on foam insulation and a silicon nitride ceramic. It is believed that this method can be used as an inspection method for current and future NASA thermal protection system and other dielectric material inspection applications, where microstructural and thickness variation require precision mapping. Scale-up to more complex shapes such as cylindrical structures and structures with beveled regions would appear to be feasible.

  18. Temporally and spatially resolved plasma spectroscopy in pulsed laser deposition of ultra-thin boron nitride films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Glavin, Nicholas R., E-mail: nicholas.glavin.1@us.af.mil, E-mail: andrey.voevodin@us.af.mil; School of Mechanical Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana 47907; Muratore, Christopher

    2015-04-28

    Physical vapor deposition (PVD) has recently been investigated as a viable, alternative growth technique for two-dimensional materials with multiple benefits over other vapor deposition synthesis methods. The high kinetic energies and chemical reactivities of the condensing species formed from PVD processes can facilitate growth over large areas and at reduced substrate temperatures. In this study, chemistry, kinetic energies, time of flight data, and spatial distributions within a PVD plasma plume ablated from a boron nitride (BN) target by a KrF laser at different pressures of nitrogen gas were investigated. Time resolved spectroscopy and wavelength specific imaging were used to identifymore » and track atomic neutral and ionized species including B{sup +}, B*, N{sup +}, N*, and molecular species including N{sub 2}*, N{sub 2}{sup +}, and BN. Formation and decay of these species formed both from ablation of the target and from interactions with the background gas were investigated and provided insights into fundamental growth mechanisms of continuous, amorphous boron nitride thin films. The correlation of the plasma diagnostic results with film chemical composition and thickness uniformity studies helped to identify that a predominant mechanism for BN film formation is condensation surface recombination of boron ions and neutral atomic nitrogen species. These species arrive nearly simultaneously to the substrate location, and BN formation occurs microseconds before arrival of majority of N{sup +} ions generated by plume collisions with background molecular nitrogen. The energetic nature and extended dwelling time of incident N{sup +} ions at the substrate location was found to negatively impact resulting BN film stoichiometry and thickness. Growth of stoichiometric films was optimized at enriched concentrations of ionized boron and neutral atomic nitrogen in plasma near the condensation surface, providing few nanometer thick films with 1:1 BN stoichiometry and

  19. Cytocompatibility of amorphous hydrogenated carbon nitride films deposited by CH4/N2 dielectric barrier discharge plasmas with respect to cell lines

    NASA Astrophysics Data System (ADS)

    Majumdar, Abhijit; Schröder, Karsten; Hippler, Rainer

    2008-10-01

    Special amorphous hydrogenated carbon nitride (a-H-CNx) films have been prepared on glass substrates for the investigation of adhesion and proliferation of different mammalian cell lines. CH4/N2 dielectric barrier discharge plasmas were applied to deposit a-H-CNx coatings at half of the atmospheric pressure. Film quality was modified by varying the CH4:N2 ratio and deposition duration. Chemical composition was determined by x-ray photoelectron spectroscopy and Fourier transformed infrared spectroscopy. The N/C ratio was in the range of 0.20-0.55. A very small surface roughness was verified by atomic force microscopy. Human embryonic kidney (HEK) and rat adrenal pheochromocytoma (PC12) cells were cultivated on the a-H-CNx films to investigate the cytocompatibility of these surfaces. The microscopic images show that both kinds of cells lines were unable to survive. The cells did not adhere to the surfaces, and most of the cells died after certain time spans. Increased amounts of nitrogen in the film induce an accelerated cell death. It is concluded, that the deposited CNx film behaves cytotoxic to HEK and PC12 cell lines.

  20. Dielectric materials for use in thin-film capacitors

    NASA Technical Reports Server (NTRS)

    Carr, H. E.; Foster, W. D.; Fromhold, A. T., Jr.; Harbuck, T. A.

    1969-01-01

    Investigation report presents details of dielectric properties of various metals measured at 300 degrees K for thermally evaporated oxides from 300 to 6000 A in thickness. It is relevant to the medium of integrated circuitry.

  1. Dielectric-loaded coaxial-slot antenna for interstitial microwave hyperthermia: longitudinal control of heating patterns.

    PubMed

    Hamada, L; Saito, K; Yoshimura, H; Ito, K

    2000-01-01

    In this paper, the microwave interstitial antenna with the dielectric load in part near the tip is introduced to realize the tip-heating and to improve the dependence of the heating patterns on the insertion depth. Numerical simulations using the Finite Difference Time Domain (FDTD) method have been conducted at the frequency of 915 MHz for four different configurations of the coaxial-slot antenna inserted into a catheter: the media between the antenna and the catheter are (a) no, (b) a thin air layer, (c) a thin dielectric layer, and (d) a thin air layer and a dielectric load in part near the tip. The diameter of the antenna including the catheter is sufficiently small for minimally invasive therapy. Comparison of the SARs for the four configurations makes it clear that the dielectric-loaded antenna can realize the best tip-heating and suppress the hot spot near the surface of the human body. Dependence of the SAR distributions on the insertion depth of the antenna has also been examined. It is found from the investigation that the dielectric-loaded antenna has little dependence on the insertion depth.

  2. Silicon nitride equation of state

    NASA Astrophysics Data System (ADS)

    Brown, Robert C.; Swaminathan, Pazhayannur K.

    2017-01-01

    This report presents the development of a global, multi-phase equation of state (EOS) for the ceramic silicon nitride (Si3N4).1 Structural forms include amorphous silicon nitride normally used as a thin film and three crystalline polymorphs. Crystalline phases include hexagonal α-Si3N4, hexagonal β-Si3N4, and the cubic spinel c-Si3N4. Decomposition at about 1900 °C results in a liquid silicon phase and gas phase products such as molecular nitrogen, atomic nitrogen, and atomic silicon. The silicon nitride EOS was developed using EOSPro which is a new and extended version of the PANDA II code. Both codes are valuable tools and have been used successfully for a variety of material classes. Both PANDA II and EOSPro can generate a tabular EOS that can be used in conjunction with hydrocodes. The paper describes the development efforts for the component solid phases and presents results obtained using the EOSPro phase transition model to investigate the solid-solid phase transitions in relation to the available shock data that have indicated a complex and slow time dependent phase change to the c-Si3N4 phase. Furthermore, the EOSPro mixture model is used to develop a model for the decomposition products; however, the need for a kinetic approach is suggested to combine with the single component solid models to simulate and further investigate the global phase coexistences.

  3. Nitride alloy layer formation of duplex stainless steel using nitriding process

    NASA Astrophysics Data System (ADS)

    Maleque, M. A.; Lailatul, P. H.; Fathaen, A. A.; Norinsan, K.; Haider, J.

    2018-01-01

    Duplex stainless steel (DSS) shows a good corrosion resistance as well as the mechanical properties. However, DSS performance decrease as it works under aggressive environment and at high temperature. At the mentioned environment, the DSS become susceptible to wear failure. Surface modification is the favourable technique to widen the application of duplex stainless steel and improve the wear resistance and its hardness properties. Therefore, the main aim of this work is to nitride alloy layer on the surface of duplex stainless steel by the nitriding process temperature of 400°C and 450°C at different time and ammonia composition using a horizontal tube furnace. The scanning electron microscopy and x-ray diffraction analyzer are used to analyse the morphology, composition and the nitrided alloy layer for treated DSS. The micro hardnesss Vickers tester was used to measure hardness on cross-sectional area of nitrided DSS. After nitriding, it was observed that the hardness performance increased until 1100 Hv0.5kgf compared to substrate material of 250 Hv0.5kgf. The thickness layer of nitride alloy also increased from 5μm until 100μm due to diffusion of nitrogen on the surface of DSS. The x-ray diffraction results showed that the nitride layer consists of iron nitride, expanded austenite and chromium nitride. It can be concluded that nitride alloy layer can be produced via nitriding process using tube furnace with significant improvement of microstructural and hardness properties.

  4. Method for forming monolayer graphene-boron nitride heterostructures

    DOEpatents

    Sutter, Peter Werner; Sutter, Eli Anguelova

    2016-08-09

    A method for fabricating monolayer graphene-boron nitride heterostructures in a single atomically thin membrane that limits intermixing at boundaries between graphene and h-BN, so as to achieve atomically sharp interfaces between these materials. In one embodiment, the method comprises exposing a ruthenium substrate to ethylene, exposing the ruthenium substrate to oxygen after exposure to ethylene and exposing the ruthenium substrate to borazine after exposure to oxygen.

  5. Understanding the influence of surface chemical states on the dielectric tunability of sputtered Ba0.5Sr0.5TiO3 thin films

    NASA Astrophysics Data System (ADS)

    Venkata Saravanan, K.; Raju, K. C. James

    2014-03-01

    The surface chemical states of RF-magnetron sputtered Ba0.5Sr0.5TiO3 (BST5) thin films deposited at different oxygen mixing percentage (OMP) was examined by x-ray photoelectron spectroscopy. The O1s XPS spectra indicate the existence of three kinds of oxygen species (dissociated oxygen ion O2 -, adsorbed oxide ion O- and lattice oxide ion O2-) on the films’ surface, which strongly depends on OMP. The presence of oxygen species other than lattice oxygen ion makes the films’ surface highly reactivity to atmospheric gases, resulting in the formation of undesired surface layers. The XPS results confirm the formation of surface nitrates for the films deposited under oxygen deficient atmosphere (OMP ≦̸ 25%), whereas the films deposited in oxygen rich atmosphere (OMP ≧̸ 75%) show the presence of metal-hydroxide. The influence of a surface dead layer on the tunable dielectric properties of BST5 films have been studied in detail and are reported. Furthermore, our observations indicate that an optimum ratio of Ar:O2 is essential for achieving desired material and dielectric properties in BST5 thin films. The films deposited at 50% OMP have the highest dielectric tunability of ~65% (@280 kV cm-1), with good ɛ r-E curve symmetry of 98% and low tan δ of 0.018. The figure of merit for these films is about 35, which is promising for frequency agile device applications.

  6. Trap density of GeNx/Ge interface fabricated by electron-cyclotron-resonance plasma nitridation

    NASA Astrophysics Data System (ADS)

    Fukuda, Yukio; Otani, Yohei; Toyota, Hiroshi; Ono, Toshiro

    2011-07-01

    We have investigated GeNx/Ge interface properties using Si3N4(7 nm)/GeNx(2 nm)/Ge metal-insulator-semiconductor structures fabricated by the plasma nitridation of Ge substrates using an electron-cyclotron-resonance-generated nitrogen plasma. The interface trap density (Dit) measured by the conductance method is found to be distributed symmetrically in the Ge band gap with a minimum Dit value lower than 3 × 1011 cm-2eV-1 near the midgap. This result may lead to the development of processes for the fabrication of p- and n-Ge Schottky-barrier (SB) source/drain metal-insulator-semiconductor field-effect transistors using chemically and thermally robust GeNx dielectrics as interlayers for SB source/drain contacts and high-κ gate dielectrics.

  7. Effect of dielectric layers on device stability of pentacene-based field-effect transistors.

    PubMed

    Di, Chong-an; Yu, Gui; Liu, Yunqi; Guo, Yunlong; Sun, Xiangnan; Zheng, Jian; Wen, Yugeng; Wang, Ying; Wu, Weiping; Zhu, Daoben

    2009-09-07

    We report stable organic field-effect transistors (OFETs) based on pentacene. It was found that device stability strongly depends on the dielectric layer. Pentacene thin-film transistors based on the bare or polystyrene-modified SiO(2) gate dielectrics exhibit excellent electrical stabilities. In contrast, the devices with the octadecyltrichlorosilane (OTS)-treated SiO(2) dielectric layer showed the worst stabilities. The effects of the different dielectrics on the device stabilities were investigated. We found that the surface energy of the gate dielectric plays a crucial role in determining the stability of the pentacene thin film, device performance and degradation of electrical properties. Pentacene aggregation, phase transfer and film morphology are also important factors that influence the device stability of pentacene devices. As a result of the surface energy mismatch between the dielectric layer and organic semiconductor, the electronic performance was degraded. Moreover, when pentacene was deposited on the OTS-treated SiO(2) dielectric layer with very low surface energy, pentacene aggregation occurred and resulted in a dramatic decrease of device performance. These results demonstrated that the stable OFETs could be obtained by using pentacene as a semiconductor layer.

  8. 2D layered insulator hexagonal boron nitride enabled surface passivation in dye sensitized solar cells.

    PubMed

    Shanmugam, Mariyappan; Jacobs-Gedrim, Robin; Durcan, Chris; Yu, Bin

    2013-11-21

    A two-dimensional layered insulator, hexagonal boron nitride (h-BN), is demonstrated as a new class of surface passivation materials in dye-sensitized solar cells (DSSCs) to reduce interfacial carrier recombination. We observe ~57% enhancement in the photo-conversion efficiency of the DSSC utilizing h-BN coated semiconductor TiO2 as compared with the device without surface passivation. The h-BN coated TiO2 is characterized by Raman spectroscopy to confirm the presence of highly crystalline, mixed monolayer/few-layer h-BN nanoflakes on the surface of TiO2. The passivation helps to minimize electron-hole recombination at the TiO2/dye/electrolyte interfaces. The DSSC with h-BN passivation exhibits significantly lower dark saturation current in the low forward bias region and higher saturation in the high forward bias region, respectively, suggesting that the interface quality is largely improved without impeding carrier transport at the material interface. The experimental results reveal that the emerging 2D layered insulator could be used for effective surface passivation in solar cell applications attributed to desirable material features such as high crystallinity and self-terminated/dangling-bond-free atomic planes as compared with high-k thin-film dielectrics.

  9. Diffusion barrier properties of single- and multilayered quasi-amorphous tantalum nitride thin films against copper penetration

    NASA Astrophysics Data System (ADS)

    Chen, G. S.; Chen, S. T.

    2000-06-01

    Tantalum-related thin films containing different amounts of nitrogen are sputter deposited at different argon-to-nitrogen flow rate ratios on (100) silicon substrates. Using x-ray diffractometry, transmission electron microscopy, composition and resistivity analyses, and bending-beam stress measurement technique, this work examines the impact of varying the nitrogen flow rate, particularly on the crystal structure, composition, resistivity, and residual intrinsic stress of the deposited Ta2N thin films. With an adequate amount of controlled, reactive nitrogen in the sputtering gas, thin films of the tantalum nitride of nominal formula Ta2N are predominantly amorphous and can exist over a range of nitrogen concentrations slightly deviated from stoichiometry. The single-layered quasi-amorphous Ta2N (a-Ta2N) thin films yield intrinsic compressive stresses in the range 3-5 GPa. In addition, the use of the 40-nm-thick a-Ta2N thin films with different nitrogen atomic concentrations (33% and 36%) and layering designs as diffusion barriers between silicon and copper are also evaluated. When subjected to high-temperature annealing, the single-layered a-Ta2N barrier layers degrade primarily by an amorphous-to-crystalline transition of the barrier layers. Crystallization of the single-layered stoichiometric a-Ta2N (Ta67N33) diffusion barriers occurs at temperatures as low as 450 °C. Doing so allows copper to preferentially penetrate through the grain boundaries or thermal-induced microcracks of the crystallized barriers and react with silicon, sequentially forming {111}-facetted pyramidal Cu3Si precipitates and TaSi2 Overdoping nitrogen into the amorphous matrix can dramatically increase the crystallization temperature to 600 °C. This temperature increase slows down the inward diffusion of copper and delays the formation of both silicides. The nitrogen overdoped Ta2N (Ta64N36) diffusion barriers can thus be significantly enhanced so as to yield a failure temperature 100

  10. Frequency and Temperature Dependent Dielectric Properties of Free-standing Strontium Titanate Thin Films.

    NASA Astrophysics Data System (ADS)

    Dalberth, Mark J.; Stauber, Renaud E.; Anderson, Britt; Price, John C.; Rogers, Charles T.

    1998-03-01

    We will report on the frequency and temperature dependence of the complex dielectric function of free-standing strontium titanate (STO) films. STO is an incipient ferroelectric with electric-field tunable dielectric properties of utility in microwave electronics. The films are grown epitaxially via pulsed laser deposition on a variety of substrates, including lanthanum aluminate (LAO), neodymium gallate (NGO), and STO. An initial film of yttrium barium cuprate (YBCO) is grown on the substrate, followed by deposition of the STO layer. Following deposition, the sacrificial YBCO layer is chemically etched away in dilute nitric acid, leaving the substrate and a released, free-standing STO film. Coplanar capacitor structures fabricated on the released films allow us to measure the dielectric response. We observe a peak dielectric function in excess of 5000 at 35K, change in dielectric constant of over a factor of 8 for 10Volt/micron electric fields, and temperature dependence above 50K that is very similar to bulk material. The dielectric loss shows two peaks, each with a thermally activated behavior, apparently arising from two types of polar defects. We will discuss the correlation between dielectric properties, growth conditions, and strain in the free-standing STO films.

  11. Microstructure and dielectric properties of piezoelectric magnetron sputtered w-ScxAl1-xN thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zukauskaite, Agne; Wingqvist, Gunilla; Palisaitis, Justinas

    2012-01-01

    Piezoelectric wurtzite ScxAl1 xN (x = 0, 0.1, 0.2, 0.3) thin films were epitaxially grown by reactive magnetron co-sputtering from elemental Sc and Al targets. Al2O3(0001) wafers with TiN(111) seed and electrode layers were used as substrates. X-ray diffraction shows that an increase in the Sc content results in the degradation of the crystalline quality. Samples grown at 400 C possess true dielectric behavior with quite low dielectric losses and the leakage current is negligible. For ScAlN samples grown at 800 C, the crystal structure is poor and leakage current is high. Transmission electron microscopy with energy dispersive x-ray spectroscopymore » mapping shows a mass separation into ScN-rich and AlN-rich domains for x 0.2 when substrate temperature is increased from 400 to 800 C. The piezoelectric response of epitaxial ScxAl1 xN films measured by piezoresponse force microscopy and double beam interferometry shows up to 180% increase by the addition of Sc up to x = 0.2 independent of substrate temperature, in good agreement with previous theoretical predictions based on density-functional theory.« less

  12. Origin of colossal dielectric response of CaCu3Ti4O12 studied by using CaTiO3/CaCu3Ti4O12/CaTiO3 multilayer thin films

    NASA Astrophysics Data System (ADS)

    Mitsugi, Masakazu; Asanuma, Shutaro; Uesu, Yoshiaki; Fukunaga, Mamoru; Kobayashi, Wataru; Terasaki, Ichiro

    2007-06-01

    To elucidate the origin of the colossal dielectric response (CDR) of CaCu3Ti4O12 (CCTO), multilayer thin films of CCTO interposed in insulating CaTiO3 (CTO) were synthesized using a pulsed laser deposition technique. The capacitance C of CTO/CCTO/CTO films with different layer thicknesses is measured. After removing the capacitance of CTO by extrapolating C to zero CTO thickness, the real part of dielectric constant of CCTO is estimated to be 329-435, which is much smaller than the reported value for CCTO thin films. This fact indicates that the CDR of CCTO is extrinsic and originates from an internal barrier layer capacitor.

  13. Indium Gallium Nitride/Gallium Nitride (InGaN/GaN) Nanorods Superlattice (SL)

    DTIC Science & Technology

    2006-03-29

    Final Report (Technical) 3. DATES COVERED 29-03-2005 to 29-05-2006 4. TITLE AND SUBTITLE Indium Gallium Nitride/ Gallium Nitride (InGaN/GaN...Institution: Quantum functional Semiconductor Research Center (QSRC), Dongguk University - Title of project: Indium Gallium Nitride/ Gallium Nitride...Accepted with minor revision Indium Gallium Nitride / Gallium Nitride (InGaN/ GaN) Nanorods Superlattice (SL) Abstract The growth condition, electrical

  14. Characterizations of biodegradable epoxy-coated cellulose nanofibrils (CNF) thin film for flexible microwave applications

    Treesearch

    Hongyi Mi; Chien-Hao Liu; Tzu-Husan Chang; Jung-Hun Seo; Huilong Zhang; Sang June Cho; Nader Behdad; Zhenqiang Ma; Chunhua Yao; Zhiyong Cai; Shaoqin Gong

    2016-01-01

    Wood pulp cellulose nanofibrils (CNF) thin film is a novel recyclable and biodegradable material. We investigated the microwave dielectric properties of the epoxy coated-CNF thin film for potential broad applications in flexible high speed electronics. The characterizations of dielectric properties were carried out in a frequency range of 1–10 GHz. The dielectric...

  15. Direct Effect of Dielectric Surface Energy on Carrier Transport in Organic Field-Effect Transistors.

    PubMed

    Zhou, Shujun; Tang, Qingxin; Tian, Hongkun; Zhao, Xiaoli; Tong, Yanhong; Barlow, Stephen; Marder, Seth R; Liu, Yichun

    2018-05-09

    The understanding of the characteristics of gate dielectric that leads to optimized carrier transport remains controversial, and the conventional studies applied organic semiconductor thin films, which introduces the effect of dielectric on the growth of the deposited semiconductor thin films and hence only can explore the indirect effects. Here, we introduce pregrown organic single crystals to eliminate the indirect effect (semiconductor growth) in the conventional studies and to undertake an investigation of the direct effect of dielectric on carrier transport. It is shown that the matching of the polar and dispersive components of surface energy between semiconductor and dielectric is favorable for higher mobility. This new empirical finding may show the direct relationship between dielectric and carrier transport for the optimized mobility of organic field-effect transistors and hence show a promising potential for the development of next-generation high-performance organic electronic devices.

  16. Advanced methods for light trapping in optically thin silicon solar cells

    NASA Astrophysics Data System (ADS)

    Nagel, James Richard

    2011-12-01

    The field of light trapping is the study of how best to absorb light in a thin film of material when most light either reflects away at the surface or transmits straight through to the other side. This has tremendous application to the field of photovoltaics where thin silicon films can be manufactured cheaply, but also fail to capture all of the available photons in the solar spectrum. Advancements in light trapping therefore bring us closer to the day when photovoltaic devices may reach grid parity with traditional fossil fuels on the electrical energy market. This dissertation advances our understanding of light trapping by first modeling the effects of loss in planar dielectric waveguides. The mathematical framework developed here can be used to model any arbitrary three-layer structure with mixed gain or loss and then extract the total field solution for the guided modes. It is found that lossy waveguides possess a greater number of eigenmodes than their lossless counterparts, and that these "loss guided" modes attenuate much more rapidly than conventional modes. Another contribution from this dissertation is the exploration of light trapping through the use of dielectric nanospheres embedded directly within the active layer of a thin silicon film. The primary benefit to this approach is that the device can utilize a surface nitride layer serving as an antireflective coating while still retaining the benefits of light trapping within the film. The end result is that light trapping and light injection are effectively decoupled from each other and may be independently optimized within a single photovoltaic device. The final contribution from this work is a direct numerical comparison between multiple light trapping schemes. This allows us to quantify the relative performances of various design techniques against one another and objectively determine which ideas tend to capture the most light. Using numerical simulation, this work directly compares the absorption

  17. Polarization and Dielectric Study of Methylammonium Lead Iodide Thin Film to Reveal its Nonferroelectric Nature under Solar Cell Operating Conditions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hoque, Md Nadim Ferdous; Yang, Mengjin; Li, Zhen

    2016-07-08

    Researchers have debated whether methylammonium lead iodide (MAPbI3), with a perovskite crystal structure, is ferroelectric and therefore contributes to the current--voltage hysteresis commonly observed in hybrid perovskite solar cells (PSCs). We thoroughly investigated temperature-dependent polarization, dielectric, and impedance spectroscopies, and we found no evidence of ferroelectric effect in a MAPbI3 thin film at normal operating conditions. Therefore, the effect does not contribute to the hysteresis in PSCs, whereas the large component of ionic migration observed may play a critical role. Our temperature-based polarization and dielectric studies find that MAPbI3 exhibits different electrical behaviors below and above ca. 45 degrees C,more » suggesting a phase transition around this temperature. In particular, we report the activation energies of ionic migration for the two phases and temperature-dependent permittivity of MAPbI3. This study contributes to the understanding of the material properties and device performance of hybrid perovskites.« less

  18. Thermionic field emission in gold nitride Schottky nanodiodes

    NASA Astrophysics Data System (ADS)

    Spyropoulos-Antonakakis, N.; Sarantopoulou, E.; Kollia, Z.; Samardžija, Z.; Kobe, S.; Cefalas, A. C.

    2012-11-01

    We report on the thermionic field emission and charge transport properties of gold nitride nanodomains grown by pulsed laser deposition with a molecular fluorine laser at 157 nm. The nanodomains are sandwiched between the metallic tip of a conductive atomic force microscope and a thin gold layer forming thus a metal-semiconductor-metal junction. Although the limited existing data in the literature indicate that gold nitride was synthesized previously with low efficiency, poor stability, and metallic character; in this work, it is shown that gold nitride nanodomains exhibit semiconducting behavior and the metal-semiconductor-metal contact can be modeled with the back-to-back Schottky barrier model. From the experimental I-V curves, the main charge carrier transport process is found to be thermionic field emission via electron tunneling. The rectifying, near symmetric and asymmetric current response of nanocontacts is related to the effective contact area of the gold nitride nanodomains with the metals. A lower limit for the majority charge carriers concentration at the boundaries of nanodomains is also established using the full depletion approximation, as nanodomains with thickness as low as 6 nm were found to be conductive. Current rectification and charge memory effects are also observed in "quite small" conductive nanodomains (6-10 nm) due to stored charges. Indeed, charges near the surface are identified as inversion domains in the phase shift mapping performed with electrostatic force microscopy and are attributed to charge trapping at the boundaries of the nanodomains.

  19. Critical temperatures of 70%Pb(Mg1/3Nb2/3)O3-30%PbTiO3 thin films investigated by dielectric, ferroelectric, and structural measurements

    NASA Astrophysics Data System (ADS)

    Meng, X. J.; Rémiens, D.; Detalle, M.; Dkhil, B.; Sun, J. L.; Chu, J. H.

    2007-03-01

    The authors have investigated the temperature dependence of the ferroelectric, dielectric, and structural properties of 70%Pb(Mg1/3Nb2/3)O3-30%PbTiO3 thin films. Two critical temperatures were evidenced. The first one occurring around 410K corresponds to the bulk paraelectric-ferroelectric phase transition and the second one around 200K is rather related to a self-arrangement of small domains into macrodomains in order to minimize elastic energies. A multiscale domainlike structure is induced and the temperature evolution of such complex structure can be revealed through pronounced changes occurring in the nonlinear dielectric susceptibility.

  20. Influence of anisotropic strain on the dielectric and ferroelectric properties of SrTiO3 thin films on DyScO3 substrates

    NASA Astrophysics Data System (ADS)

    Biegalski, M. D.; Vlahos, E.; Sheng, G.; Li, Y. L.; Bernhagen, M.; Reiche, P.; Uecker, R.; Streiffer, S. K.; Chen, L. Q.; Gopalan, V.; Schlom, D. G.; Trolier-McKinstry, S.

    2009-06-01

    The in-plane dielectric and ferroelectric properties of coherent anisotropically strained SrTiO3 thin films grown on orthorhombic (101) DyScO3 substrates were examined as a function of the angle between the applied electric field and the principal directions of the substrate. The dielectric permittivity revealed two distinct maxima as a function of temperature along the [100]p and [010]p SrTiO3 pseudocubic directions. These data, in conjunction with optical second-harmonic generation, show that the switchable ferroelectric polarization develops first predominantly along the in-plane axis with the larger tensile strain before developing a polarization component along the perpendicular direction with smaller strain as well, leading to domain twinning at the lower temperature. Finally, weak signatures in the dielectric and second-harmonic generation response were detected at the SrTiO3 tilt transition close to 165 K. These studies indicate that anisotropic biaxial strain can lead to new ferroelectric domain reorientation transitions that are not observed in isotropically strained films.

  1. Dielectric properties of Ba0.6Sr0.4TiO3 thin films deposited by mist plasma evaporation using aqueous solution precursor

    NASA Astrophysics Data System (ADS)

    Huang, Hui; Shi, Peng; Wang, Minqiang; Yao, Xi; Tan, O. K.

    2006-06-01

    Mist plasma evaporation (MPE) technique has been developed to deposit Ba0.6Sr0.4TiO3 (BST) thin films on SiO2/Si and Pt/Ti/SiO2/Si substrates at atmospheric pressure using metal nitrate aqueous solution as precursor. MPE is characterized by the injection of liquid reactants into thermal plasma where the source materials in the droplets are evaporated by the high temperature of the thermal plasma. Nanometer-scale clusters are formed in the tail flame of the plasma, and then deposited and rearranged on the substrate at a lower temperature. Due to the high temperature annealing process of the thermal plasma before deposition, well-crystallized BST films were deposited at substrate temperature of 630 °C. The dielectric constant and dielectric loss of the film at 100 kHz are 715 and 0.24, respectively. Due to the good crystallinity of the BST films deposited by MPE, high dielectric tunability up to 39.3% is achieved at low applied electric field of 100 kV cm-1.

  2. Electronic and Optical Properties of Titanium Nitride Bulk and Surfaces from First Principles Calculations (Postprint)

    DTIC Science & Technology

    2015-11-18

    thickness of the film, or substrate. In this work, we report calculations for titanium nitride ( TiN ), a promising material for plasmonic applications...stoichiometric bulk TiN , as well as of the TiN (100), TiN (110), and TiN (111) outermost surfaces. Density functional theory (DFT) and many-body GW methods...and the band structure for bulk TiN were shown to be consistent with previous work. Calculated dielectric functions, plasma frequencies, reflectivity

  3. Significantly Elevated Dielectric and Energy Storage Traits in Boron Nitride Filled Polymer Nano-composites with Topological Structure

    NASA Astrophysics Data System (ADS)

    Feng, Yefeng; Zhang, Jianxiong; Hu, Jianbing; Li, Shichun; Peng, Cheng

    2018-03-01

    Interface induced polarization has a prominent influence on dielectric properties of 0-3 type polymer based composites containing Si-based semi-conductors. The disadvantages of composites were higher dielectric loss, lower breakdown strength and energy storage density, although higher permittivity was achieved. In this work, dielectric, conductive, breakdown and energy storage properties of four nano-composites have been researched. Based on the cooperation of fluoropolymer/alpha-SiC layer and fluoropolymer/hexagonal-BN layer, it was confirmed constructing the heterogeneous layer-by-layer composite structure rather than homogeneous mono-layer structure could significantly reduce dielectric loss, promote breakdown strength and increase energy storage density. The former worked for a larger dielectric response and the latter layer acted as a robust barrier of charge carrier transfer. The best nano-composite could possess a permittivity of 43@100 Hz ( 3.3 times of polymer), loss of 0.07@100 Hz ( 37% of polymer), discharged energy density of 2.23 J/cm3@249 kV/cm ( 10 times of polymer) and discharged energy efficiency of 54%@249 kV/cm ( 5 times of polymer). This work might enlighten a facile route to achieve the promising high energy storage composite dielectrics by constructing the layer-by-layer topological structure.

  4. Electrodeposition of titania and barium titanate thin films for high dielectric applications

    NASA Astrophysics Data System (ADS)

    Roy, Biplab Kumar

    In order to address the requirement of a low-temperature low-cost cost processing for depositing high dielectric constant ceramic films for applications in embedded capacitor and flexible electronics technology, two different chemical bath processes, namely, thermohydrolytic deposition (TD) and cathodic electrodeposition (ED) have been exploited to generate titania thin films. In thermohydrolytic deposition technique, titania films were generated from acidic aqueous solution of titanium chloride on F: SnO2 coated glass and Si substrates by temperature assisted hydrolysis mechanism. On the other hand, in cathodic electrodeposition, in-situ electro-generation of hydroxyl ions triggered a fast deposition of titania on conductive substrates such as copper and F: SnO2 coated glass from peroxotitanium solution at low temperatures (˜0°C). In both techniques, solution compositions affected the morphology and crystallinity of the films. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) techniques have been employed to perform such characterization. As both processes utilized water as solvent, the as-deposited films contained hydroxyl ligand or physically adsorbed water molecules in the titania layer. Besides that, electrodeposited films contained peroxotitanium bonds which were characterized by FTIR studies. Although as-electrodeposited titania films were X-ray amorphous, considerable crystallinity could be generated by heat treatment. The films obtained from both the processes showed v moderately high dielectric constant (ranging from 9-30 at 100 kHz) and high breakdown voltage (0.09-0.15 MV/cm) in electrical measurements. To further improve the dielectric constant, electrodeposited titania films were converted to barium titanate films in high pH barium ion containing solution at 80-90°C. The resultant film contained cubic crystalline barium titanate verified by XRD analysis. Simple low-temperature hydrothermal technique of conversion worked

  5. Mechanical and dielectric characterization of lead zirconate titanate(PZT)/polyurethane(PU) thin film composite for energy harvesting

    NASA Astrophysics Data System (ADS)

    Aboubakr, S.; Rguiti, M.; Hajjaji, A.; Eddiai, A.; Courtois, C.; d'Astorg, S.

    2014-04-01

    The Lead Zirconate titanate (PZT) ceramic is known by its piezoelectric feature, but also by its stiffness, the use of a composite based on a polyurethane (PU) matrix charged by a piezoelectric material, enable to generate a large deformation of the material, therefore harvesting more energy. This new material will provide a competitive alternative and low cost manufacturing technology of autonomous systems (smart clothes, car seat, boat sail, flag ...). A thin film of the PZT/PU composite was prepared using up to 80 vol. % of ceramic. Due to the dielectric nature of the PZT, inclusions of this one in a PU matrix raises the permittivity of the composite, on other hand this latter seems to decline at high frequencies.

  6. Control of the Structure of Diffusion Layer in Carbon Steels Under Nitriding with Preliminary Deposition of Copper Oxide Catalytic Films

    NASA Astrophysics Data System (ADS)

    Petrova, L. G.; Aleksandrov, V. A.; Malakhov, A. Yu.

    2017-07-01

    The effect of thin films of copper oxide deposited before nitriding on the phase composition and the kinetics of growth of diffusion layers in carbon steels is considered. The process of formation of an oxide film involves chemical reduction of pure copper on the surface of steel specimens from a salt solution and subsequent oxidation under air heating. The oxide film exerts a catalytic action in nitriding of low- and medium-carbon steels, which consists in accelerated growth of the diffusion layer, the nitride zone in the first turn. The kinetics of the nitriding process and the phase composition of the layer are controlled by the thickness of the copper oxide precursor, i.e., the deposited copper film.

  7. Methods of forming boron nitride

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trowbridge, Tammy L; Wertsching, Alan K; Pinhero, Patrick J

    A method of forming a boron nitride. The method comprises contacting a metal article with a monomeric boron-nitrogen compound and converting the monomeric boron-nitrogen compound to a boron nitride. The boron nitride is formed on the same or a different metal article. The monomeric boron-nitrogen compound is borazine, cycloborazane, trimethylcycloborazane, polyborazylene, B-vinylborazine, poly(B-vinylborazine), or combinations thereof. The monomeric boron-nitrogen compound is polymerized to form the boron nitride by exposure to a temperature greater than approximately 100.degree. C. The boron nitride is amorphous boron nitride, hexagonal boron nitride, rhombohedral boron nitride, turbostratic boron nitride, wurzite boron nitride, combinations thereof, or boronmore » nitride and carbon. A method of conditioning a ballistic weapon and a metal article coated with the monomeric boron-nitrogen compound are also disclosed.« less

  8. Conduction Mechanism and Improved Endurance in HfO2-Based RRAM with Nitridation Treatment

    NASA Astrophysics Data System (ADS)

    Yuan, Fang-Yuan; Deng, Ning; Shih, Chih-Cheng; Tseng, Yi-Ting; Chang, Ting-Chang; Chang, Kuan-Chang; Wang, Ming-Hui; Chen, Wen-Chung; Zheng, Hao-Xuan; Wu, Huaqiang; Qian, He; Sze, Simon M.

    2017-10-01

    A nitridation treatment technology with a urea/ammonia complex nitrogen source improved resistive switching property in HfO2-based resistive random access memory (RRAM). The nitridation treatment produced a high performance and reliable device which results in superior endurance (more than 109 cycles) and a self-compliance effect. Thus, the current conduction mechanism changed due to defect passivation by nitrogen atoms in the HfO2 thin film. At a high resistance state (HRS), it transferred to Schottky emission from Poole-Frenkel in HfO2-based RRAM. At low resistance state (LRS), the current conduction mechanism was space charge limited current (SCLC) after the nitridation treatment, which suggests that the nitrogen atoms form Hf-N-Ox vacancy clusters (Vo +) which limit electron movement through the switching layer.

  9. Improved memory characteristics by NH3-nitrided GdO as charge storage layer for nonvolatile memory applications

    NASA Astrophysics Data System (ADS)

    Liu, L.; Xu, J. P.; Ji, F.; Chen, J. X.; Lai, P. T.

    2012-07-01

    Charge-trapping memory capacitor with nitrided gadolinium oxide (GdO) as charge storage layer (CSL) is fabricated, and the influence of post-deposition annealing in NH3 on its memory characteristics is investigated. Transmission electron microscopy, x-ray photoelectron spectroscopy, and x-ray diffraction are used to analyze the cross-section and interface quality, composition, and crystallinity of the stack gate dielectric, respectively. It is found that nitrogen incorporation can improve the memory window and achieve a good trade-off among the memory properties due to NH3-annealing-induced reasonable distribution profile of a large quantity of deep-level bulk traps created in the nitrided GdO film and reduction of shallow traps near the CSL/SiO2 interface.

  10. Nanostructure and strain effects in active thin films for novel electronic device applications

    NASA Astrophysics Data System (ADS)

    Yuan, Zheng

    2007-12-01

    There are many potential applications of ferroelectric thin films that take advantage of their unique dielectric and piezoelectric properties, such as tunable microwave devices and thin-film active sensors for structural health monitoring (SHM). However, many technical issues still restrict practical applications of ferroelectric thin films, including high insertion loss, limited figure of merit, soft mode effect, large temperature coefficients, and others. The main theme of this thesis is the advanced technique developments, and the new ferroelectric thin films syntheses and investigations for novel device applications. A novel method of additional doping has been adopted to (Ba,Sr)TiO 3 (BSTO) thin films on MgO. By introducing 2% Mn into the stoichiometric BSTO, Mn:BSTO thin films have shown a greatly enhanced dielectric tunability and a reduced insertion loss at high frequencies (10-30 GHz). A new record of a large tunability of 80% with a high dielectric constant of 3800 and an extra low dielectric loss of 0.001 at 1 MHz at room-temperature was achieved. Meanwhile, the new highly epitaxial ferroelectric (Pb,Sr)TiO3 (PSTO) thin films have been synthesized on (001) MgO substrates. PSTO films demonstrated excellent high frequency dielectric properties with high dielectric constants above 1420 and large dielectric tunabilities above 34% at room-temperature up to 20 GHz. In addition, a smaller temperature coefficient from 80 K to 300 K was observed in PSTO films compared to BSTO films. These results indicate that the Mn:BSTO and PSTO films are both good candidates for developing room-temperature tunable microwave devices. Furthermore, crystalline ferroelectric BaTiO3 (BTO) thin films have been deposited directly on metal substrate Ni through a unique in-situ substrate pre-oxidation treatment. The highly oriented nanopillar structural BTO films were grown on the buffered layers created by the pre-oxidation treatment. No interdiffusion or reaction was observed at the

  11. Thin-film preparation by back-surface irradiation pulsed laser deposition using metal powder targets

    NASA Astrophysics Data System (ADS)

    Kawasaki, Hiroharu; Ohshima, Tamiko; Yagyu, Yoshihito; Ihara, Takeshi; Yamauchi, Makiko; Suda, Yoshiaki

    2017-01-01

    Several kinds of functional thin films were deposited using a new thin-film preparation method named the back-surface irradiation pulsed laser deposition (BIPLD) method. In this BIPLD method, powder targets were used as the film source placed on a transparent target holder, and then a visible-wavelength pulsed laser was irradiated from the holder side to the substrate. Using this new method, titanium oxide and boron nitride thin films were deposited on the silicon substrate. Surface scanning electron microscopy (SEM) images suggest that all of the thin films were deposited on the substrate with some large droplets irrespective of the kind of target used. The deposition rate of the films prepared by using this method was calculated from film thickness and deposition time to be much lower than that of the films prepared by conventional PLD. X-ray diffraction (XRD) measurement results suggest that rutile and anatase TiO2 crystal peaks were formed for the films prepared using the TiO2 rutile powder target. Crystal peaks of hexagonal boron nitride were observed for the films prepared using the boron nitride powder target. The crystallinity of the prepared films was changed by annealing after deposition.

  12. Effect of nitrogen plasma afterglow on the surface charge effect resulted during XPS surface analysis of amorphous carbon nitride thin films

    NASA Astrophysics Data System (ADS)

    Kayed, Kamal

    2018-06-01

    The aim of this paper is to investigate the relationship between the micro structure and the surface charge effect resulted during XPS surface analysis of amorphous carbon nitride thin films prepared by laser ablation method. The study results show that the charge effect coefficient (E) is not just a correction factor. We found that the changes in this coefficient value due to incorporation of nitrogen atoms into the carbon network are related to the spatial configurations of the sp2 bonded carbon atoms, order degree and sp2 clusters size. In addition, results show that the curve E vs. C(sp3)-N is a characteristic curve of the micro structure. This means that using this curve makes it easy to sorting the samples according to the micro structure (hexagonal rings or chains).

  13. Improved integration of ultra-thin high-k dielectrics in few-layer MoS2 FET by remote forming gas plasma pretreatment

    NASA Astrophysics Data System (ADS)

    Wang, Xiao; Zhang, Tian-Bao; Yang, Wen; Zhu, Hao; Chen, Lin; Sun, Qing-Qing; Zhang, David Wei

    2017-01-01

    The effective and high-quality integration of high-k dielectrics on two-dimensional (2D) crystals is essential to the device structure engineering and performance improvement of field-effect transistor (FET) based on the 2D semiconductors. We report a 2D MoS2 transistor with ultra-thin Al2O3 top-gate dielectric (6.1 nm) and extremely low leakage current. Remote forming gas plasma pretreatment was carried out prior to the atomic layer deposition, providing nucleation sites with the physically adsorbed ions on the MoS2 surface. The top gate MoS2 FET exhibited excellent electrical performance, including high on/off current ratio over 109, subthreshold swing of 85 mV/decade and field-effect mobility of 45.03 cm2/V s. Top gate leakage current less than 0.08 pA/μm2 at 4 MV/cm has been obtained, which is the smallest compared with the reported top-gated MoS2 transistors. Such an optimized integration of high-k dielectric in 2D semiconductor FET with enhanced performance is very attractive, and it paves the way towards the realization of more advanced 2D nanoelectronic devices and integrated circuits.

  14. New two-dimensional boron nitride allotropes with attractive electronic and optical properties

    NASA Astrophysics Data System (ADS)

    Shahrokhi, Masoud; Mortazavi, Bohayra; Berdiyorov, Golibjon R.

    2017-03-01

    Using first principles calculations, structural, electronic and optical properties of five new 2D boron nitride (BN) allotropes have been studied. The results exhibit that the cohesive energy for all these five new allotrope is positive such as all these systems are stable; therefore, it is possible to synthesize these structures in experiments. It is found that the band gap of all new 2D BN allotropes is smaller than the h-BN sheet. In our calculations the dielectric tensor is derived within the random phase approximation (RPA). Specifically, the dielectric function, refraction index and the loss function, of the 2D BN allotropes are calculated for both parallel and perpendicular electric field polarizations. The results show that the optical spectra are anisotropic along these two polarizations. The results obtained from our calculations are beneficial to practical applications of these 2D BN allotropes in optoelectronics and electronics.

  15. Complex oxide thin films for microelectronics

    NASA Astrophysics Data System (ADS)

    Suvorova, Natalya

    The rapid scaling of the device dimensions, namely in metal oxide semiconductor field effect transistor (MOSFET), is reaching its fundamental limit which includes the increase in allowable leakage current due to direct tunneling with decrease of physical thickness of SiO2 gate dielectric. The significantly higher relative dielectric constant (in the range 9--25) of the gate dielectric beyond the 3.9 value of silicon dioxide will allow increasing the physical thickness. Among the choices for the high dielectric constant (K) materials for future generation MOSFET application, barium strontium titanate (BST) and strontium titanate (STO) possess one of the highest attainable K values making them the promising candidates for alternative gate oxide. However, the gate stack engineering does not imply the simple replacement of the SiO2 with the new dielectric. Several requirements should be met for successful integration of a new material. The major one is a production of high level of interface states (Dit) compared to that of SiO 2 on Si. An insertion of a thin SiO2 layer prior the growth of high-K thin film is a simple solution that helps to limit reaction with Si substrate and attains a high quality interface. However, the combination of two thin films reduces the overall K of the dielectric stack. An optimization of the SiO2 underlayer in order to maintain the interface quality yet minimize the effect on K is the focus of this work. The results from our study are presented with emphasis on the key process parameters that improve the dielectric film stack. For in-situ growth characterization of BST and STO films sputter deposited on thermally oxidized Si substrates spectroscopic ellipsometry in combination with time of flight ion scattering and recoil spectrometry have been employed. Studies of material properties have been complemented with analytical electron microscopy. To evaluate the interface quality the electrical characterization has been employed using

  16. Dielectric Properties of BST/(Y 2O 3) x(ZrO 2) 1-x/BST Trilayer Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sahoo, Santosh K.; Misra, D.

    2011-01-31

    Thin films of Ba1-xSrxTiO3 (BST) are being actively investigated for applications in dynamic random access memories (DRAM) because of their properties such as high dielectric constant, low leakage current, and high dielectric breakdown strength. Various approaches have been used to improve the dielectric properties of BST thin films such as doping, graded compositions, and multilayer structures. We have found that inserting a ZrO2 layer in between two BST layers results in a significant reduction in dielectric constant as well as dielectric loss. In this work the effect of Y2O3 doped ZrO2 on the dielectric properties of BST/ZrO2/BST trilayer structure ismore » studied. The structure Ba0.8Sr0.2TiO3/(Y2O3)x(ZrO2)1-x/Ba0.8Sr0.2TiO3 is deposited by a sol-gel process on platinized Si substrate. The composition (x) of the middle layer is varied while keeping the total thickness of the trilayer film constant. The dielectric constant of the multilayer film decreases with the increase of Y2O3 amount in the film whereas there is a slight variation in dielectric loss. In Y2O3 doped multilayer thin films, the dielectric loss is lower in comparison to other films and also there is good frequency stability in the loss in the measured frequency range and hence very suitable for microwave device applications.« less

  17. Structural and optical characterization of pure Si-rich nitride thin films

    PubMed Central

    2013-01-01

    The specific dependence of the Si content on the structural and optical properties of O- and H-free Si-rich nitride (SiNx>1.33) thin films deposited by magnetron sputtering is investigated. A semiempirical relation between the composition and the refractive index was found. In the absence of Si-H, N-H, and Si-O vibration modes in the FTIR spectra, the transverse and longitudinal optical (TO-LO) Si-N stretching pair modes could be unambiguously identified using the Berreman effect. With increasing Si content, the LO and the TO bands shifted to lower wavenumbers, and the LO band intensity dropped suggesting that the films became more disordered. Besides, the LO and the TO bands shifted to higher wavenumbers with increasing annealing temperature which may result from the phase separation between Si nanoparticles (Si-np) and the host medium. Indeed, XRD and Raman measurements showed that crystalline Si-np formed upon 1100°C annealing but only for SiNx<0.8. Besides, quantum confinement effects on the Raman peaks of crystalline Si-np, which were observed by HRTEM, were evidenced for Si-np average sizes between 3 and 6 nm. A contrario, visible photoluminescence (PL) was only observed for SiNx>0.9, demonstrating that this PL is not originating from confined states in crystalline Si-np. As an additional proof, the PL was quenched while crystalline Si-np could be formed by laser annealing. Besides, the PL cannot be explained neither by defect states in the bandgap nor by tail to tail recombination. The PL properties of SiNx>0.9 could be then due to a size effect of Si-np but having an amorphous phase. PMID:23324447

  18. Structural and optical characterization of pure Si-rich nitride thin films

    NASA Astrophysics Data System (ADS)

    Debieu, Olivier; Nalini, Ramesh Pratibha; Cardin, Julien; Portier, Xavier; Perrière, Jacques; Gourbilleau, Fabrice

    2013-01-01

    The specific dependence of the Si content on the structural and optical properties of O- and H-free Si-rich nitride (SiN x>1.33) thin films deposited by magnetron sputtering is investigated. A semiempirical relation between the composition and the refractive index was found. In the absence of Si-H, N-H, and Si-O vibration modes in the FTIR spectra, the transverse and longitudinal optical (TO-LO) Si-N stretching pair modes could be unambiguously identified using the Berreman effect. With increasing Si content, the LO and the TO bands shifted to lower wavenumbers, and the LO band intensity dropped suggesting that the films became more disordered. Besides, the LO and the TO bands shifted to higher wavenumbers with increasing annealing temperature which may result from the phase separation between Si nanoparticles (Si-np) and the host medium. Indeed, XRD and Raman measurements showed that crystalline Si-np formed upon 1100°C annealing but only for SiN x<0.8. Besides, quantum confinement effects on the Raman peaks of crystalline Si-np, which were observed by HRTEM, were evidenced for Si-np average sizes between 3 and 6 nm. A contrario, visible photoluminescence (PL) was only observed for SiN x>0.9, demonstrating that this PL is not originating from confined states in crystalline Si-np. As an additional proof, the PL was quenched while crystalline Si-np could be formed by laser annealing. Besides, the PL cannot be explained neither by defect states in the bandgap nor by tail to tail recombination. The PL properties of SiN x>0.9 could be then due to a size effect of Si-np but having an amorphous phase.

  19. Label-free surface plasmon resonance biosensing with titanium nitride thin film.

    PubMed

    Qiu, Guangyu; Ng, Siu Pang; Wu, Chi-Man Lawrence

    2018-05-30

    In this report, titanium nitride thin film synthesized with reactive magneto-sputtering technique is proposed as an alternative surface plasmon resonance sensing material. The physical and chemical natures were initially studied by atomic force microscopy, X-ray diffraction and X-ray photoelectron spectroscopy. In virtue of white-light common-path sensing system, the wavelength modulated TiN films achieved tunable evanescent plasmonic field from 573 nm to 627 nm. The optimized TiN film with 29.8 nm thickness exhibited good differential phase sensitivity (i.e. 1.932 × 10 -7 RIU) to refractive index alteration, which is comparable to the performance of gold film. We have also attained direct measurement of biotin adsorption on the TiN and monitored sub-sequential biotin-streptavidin conjugation. It was found that TiN films have significantly higher binding affinity toward biotin than that of gold in experiments, so we are able to detect biotin directly to 0.22 µg/ml (0.90 µM) in label-free manner. The adsorption mechanism of biotin on TiN(200) are also explored with periodic density functional theory (DFT) via computer simulation and it was found that the exceptional biotin-TiN affinity may be due to the stacking formation of both N-Ti and O-Ti bonds. Also, the adsorption energy of biotin-TiN was found to be - 1.85 eV, which was two times higher than that of biotin-gold. Both experimental and computational results indicate, for the first time, that the TiN film can be directly functionalized with biotin molecules, thus it serves as an alternative plasmonic material to existing gold-based SPR biosensors. Copyright © 2018 Elsevier B.V. All rights reserved.

  20. Silicon Nitride for Direct Water-Splitting and Corrosion Mitigation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Head, J.; Turner, J.A.

    2006-01-01

    Todays fossil fuels are becoming harder to obtain, creating pollution problems, and posing hazards to people’s health. One alternative to fossil fuels is hydrogen, capable of serving as a clean and efficient energy carrier. Certain semiconductors are able to harness the energy of photons and direct it into water electrolysis in a process known as photoelectrochemical water splitting. Triple junction devices integrate three semiconductors of different band gaps resulting in a monolithic material that absorbs over a broader spectrum. Amorphous silicon (a-Si) is one such material that, when stacked in tandem, possesses water-splitting capabilities. Even though a-Si is capable ofmore » splitting water, it is an unstable material in solution and therefore requires a coating to protect the surface from corrosion. A stable, transparent material that has the potential for corrosion protection is silicon nitride. In this study, silicon nitride thin films were grown using DC magnetron sputtering with varying amounts of argon and nitrogen added to the system. X-ray diffraction indicated amorphous silicon nitride films. Current as a function of potential was determined from cyclic voltammetry measurements. Mott-Schottky analysis showed n-type behavior with absorption and transmission measurements indicated variation in flatband potentials. Variation in band gap values ranging from 1.90 to 4.0 eV. Corrosion measurements reveal that the silicon nitride samples exhibit both p-type and n-type behavior. Photocurrent over a range of potentials was greater in samples that were submerged in acidic electrolyte. Silicon nitride shows good stability in acidic, neutral, and basic solutions, indicative of a good material for corrosion mitigation.« less

  1. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    NASA Astrophysics Data System (ADS)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  2. High Stability Pentacene Transistors Using Polymeric Dielectric Surface Modifier.

    PubMed

    Wang, Xiaohong; Lin, Guangqing; Li, Peng; Lv, Guoqiang; Qiu, Longzhen; Ding, Yunsheng

    2015-08-01

    1,6-bis(trichlorosilyl)hexane (C6Cl), polystyrene (PS), and cross-linked polystyrene (CPS) were investigated as gate dielectric modified layers for high performance organic transistors. The influence of the surface energy, roughness and morphology on the charge transport of the organic thin-film transistors (OTFTs) was investigated. The surface energy and roughness both affect the grain size of the pentacene films which will control the charge carrier mobility of the devices. Pentacene thin-film transistors fabricated on the CPS modified dielectric layers exhibited charge carrier mobility as high as 1.11 cm2 V-1 s-1. The bias stress stability for the CPS devices shows that the drain current only decays 1% after 1530 s and the mobility never decreases until 13530 s.

  3. Nanoscale Reactive Ion Etching of Silicon Nitride Thin Films for Embedded Nanomagnetic Device Fabrication

    NASA Astrophysics Data System (ADS)

    Hibbard-Lubow, David Luke

    The demands of digital memory have increased exponentially in recent history, requiring faster, smaller and more accurate storage methods. Two promising solutions to this ever-present problem are Bit Patterned Media (BPM) and Spin-Transfer Torque Magnetic Random Access Memory (STT-MRAM). Producing these technologies requires difficult and expensive fabrication techniques. Thus, the production processes must be optimized to allow these storage methods to compete commercially while continuing to increase their information storage density and reliability. I developed a process for the production of nanomagnetic devices (which can take the form of several types of digital memory) embedded in thin silicon nitride films. My focus was on optimizing the reactive ion etching recipe required to embed the device in the film. Ultimately, I found that recipe 37 (Power: 250W, CF4 nominal/actual flow rate: 25/25.4 sccm, O2 nominal/actual flow rate: 3.1/5.2 sccm, which gave a maximum pressure around 400 mTorr) gave the most repeatable and anisotropic results. I successfully used processes described in this thesis to make embedded nanomagnets, which could be used as bit patterned media. Another promising application of this work is to make embedded magnetic tunneling junctions, which are the storage medium used in MRAM. Doing so will require still some tweaks to the fabrication methods. Techniques for making these changes and their potential effects are discussed.

  4. Visible light metasurfaces based on gallium nitride high contrast gratings

    NASA Astrophysics Data System (ADS)

    Wang, Zhenhai; He, Shumin; Liu, Qifa; Wang, Wei

    2016-05-01

    We propose visible-light metasurfaces (VLMs) capable of serving as lens and beam deflecting element based on gallium nitride (GaN) high contrast gratings (HCGs). By precisely manipulating the wavefront of the transmitted light, we theoretically demonstrate an HCG focusing lens with transmissivity of 86.3%, and a VLM with beam deflection angle of 6.09° and transmissivity as high as 91.4%. The proposed all-dielectric metasurfaces are promising for GaN-based visible light-emitting diodes (LEDs), which would be robust and versatile for controlling the output light propagation and polarization, as well as enhancing the extraction efficiency of the LEDs.

  5. Investigation of electrical and optical properties of low temperature titanium nitride grown by rf-magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Sosnin, D.; Kudryashov, D.; Mozharov, A.

    2017-11-01

    Titanium nitride is a promising material due to its low resistivity, high hardness and chemical inertness. Titanium nitride (TiN) can be applied as an ohmic contact for n-GaN and rectifying contact for p-GaN and also as a part of perovskite solar cell. A technology of TiN low temperature reactive rf-magnetron sputtering has been developed. Electrical and optical properties of titanium nitride were studied as a function of the rf-power and gas mixture composition. Reflectance and transmittance spectra were measured. Cross-section and surface SEM image were obtained. 250 nm thin films of TiN with a resistivity of 23.6 μOm cm were obtained by rf-magnetron sputtering at low temperature.

  6. Dielectric characteristics of CaCu3Ti4O12/P(VDF-TrFE) nanocomposites

    NASA Astrophysics Data System (ADS)

    Zhang, Lin; Shan, Xiaobing; Wu, Peixuan; Cheng, Z.-Y.

    2012-06-01

    Composite thin film is highly desirable for the dielectric applications. In order to develop composite thin film, a nanocomposite, in which nanosized CaCu3Ti4O12 (CCTO) particles are used as filler and P(VDF-TrFE) 55/45 mol% copolymer is used as polymer matrix, is investigated. The contents of CCTO in the nanocomposites range from 0% to 50 vol%. The dielectric property of these nanocomposites was characterized at frequencies ranging from 100 Hz to 1 MHz and at temperatures ranging from 200 K to 370 K. A dielectric constant of 62 with a loss of 0.05 was obtained in nanocomposite with 50 vol% CCTO at room temperature at 1 kHz. At the phase transition temperature (˜340 K) of the copolymer, a dielectric constant of 150 with a loss less than 0.1 was obtained in this nanocomposite. It is found that the dielectric loss of the nanocomposites is dominated by the polymer which has a relaxation process. Comparing to composites made using microsized CCTO, the nanocomposites exhibit a much lower dielectric loss and a lower dielectric constant. This indicates that the nanosized CCTO particles have a lower dielectric constant than the microsized CCTO particles.

  7. (Ba+Sr)/Ti ratio dependence of the dielectric properties for (Ba0.5Sr0.5)TiO3 thin films prepared by ion beam sputtering

    NASA Astrophysics Data System (ADS)

    Yamamichi, Shintaro; Yabuta, Hisato; Sakuma, Toshiyuki; Miyasaka, Yoichi

    1994-03-01

    (Ba0.5Sr0.5)TiO3 thin films were prepared by ion beam sputtering from powder targets with (Ba+Sr)/Ti ratios ranging from 0.80 to 1.50. All of the perovskite (Ba,Sr)TiO3 films were single phase except for the film with a (Ba+Sr)/Ti ratio of 1.41. The dielectric constant values notably depended on the (Ba+Sr)/Ti ratio for films thicker than 70 nm. The highest dielectric constant of 580 was achieved for the 5% (Ba+Sr) rich film. This (Ba+Sr)/Ti ratio dependence was diminished by the thickness dependence for thinner films. The grain sizes for the 9% (Ba+Sr) rich film and for the 6% (Ba+Sr) poor film ranged from 70 to 100 nm and from 30 to 60 nm, respectively. This grain size difference could explain why slightly A-site rich (Ba,Sr)TiO3 films have a larger dielectric constant than A-site poor films.

  8. On-chip copper-dielectric interference filters for manufacturing of ambient light and proximity CMOS sensors.

    PubMed

    Frey, Laurent; Masarotto, Lilian; D'Aillon, Patrick Gros; Pellé, Catherine; Armand, Marilyn; Marty, Michel; Jamin-Mornet, Clémence; Lhostis, Sandrine; Le Briz, Olivier

    2014-07-10

    Filter technologies implemented on CMOS image sensors for spectrally selective applications often use a combination of on-chip organic resists and an external substrate with multilayer dielectric coatings. The photopic-like and near-infrared bandpass filtering functions respectively required by ambient light sensing and user proximity detection through time-of-flight can be fully integrated on chip with multilayer metal-dielectric filters. Copper, silicon nitride, and silicon oxide are the materials selected for a technological proof-of-concept on functional wafers, due to their immediate availability in front-end semiconductor fabs. Filter optical designs are optimized with respect to specific performance criteria, and the robustness of the designs regarding process errors are evaluated for industrialization purposes.

  9. Characterization and manipulation of individual defects in insulating hexagonal boron nitride using scanning tunnelling microscopy.

    PubMed

    Wong, Dillon; Velasco, Jairo; Ju, Long; Lee, Juwon; Kahn, Salman; Tsai, Hsin-Zon; Germany, Chad; Taniguchi, Takashi; Watanabe, Kenji; Zettl, Alex; Wang, Feng; Crommie, Michael F

    2015-11-01

    Defects play a key role in determining the properties and technological applications of nanoscale materials and, because they tend to be highly localized, characterizing them at the single-defect level is of particular importance. Scanning tunnelling microscopy has long been used to image the electronic structure of individual point defects in conductors, semiconductors and ultrathin films, but such single-defect electronic characterization remains an elusive goal for intrinsic bulk insulators. Here, we show that individual native defects in an intrinsic bulk hexagonal boron nitride insulator can be characterized and manipulated using a scanning tunnelling microscope. This would typically be impossible due to the lack of a conducting drain path for electrical current. We overcome this problem by using a graphene/boron nitride heterostructure, which exploits the atomically thin nature of graphene to allow the visualization of defect phenomena in the underlying bulk boron nitride. We observe three different defect structures that we attribute to defects within the bulk insulating boron nitride. Using scanning tunnelling spectroscopy we obtain charge and energy-level information for these boron nitride defect structures. We also show that it is possible to manipulate the defects through voltage pulses applied to the scanning tunnelling microscope tip.

  10. Synthesis and Characterization of Hexagonal Boron Nitride as a Gate Dielectric

    PubMed Central

    Jang, Sung Kyu; Youn, Jiyoun; Song, Young Jae; Lee, Sungjoo

    2016-01-01

    Two different growth modes of large-area hexagonal boron nitride (h-BN) film, a conventional chemical vapor deposition (CVD) growth mode and a high-pressure CVD growth mode, were compared as a function of the precursor partial pressure. Conventional self-limited CVD growth was obtained below a critical partial pressure of the borazine precursor, whereas a thick h-BN layer (thicker than a critical thickness of 10 nm) was grown beyond a critical partial pressure. An interesting coincidence of a critical thickness of 10 nm was identified in both the CVD growth behavior and in the breakdown electric field strength and leakage current mechanism, indicating that the electrical properties of the CVD h-BN film depended significantly on the film growth mode and the resultant film quality. PMID:27458024

  11. Nitrided SrTiO3 as charge-trapping layer for nonvolatile memory applications

    NASA Astrophysics Data System (ADS)

    Huang, X. D.; Lai, P. T.; Liu, L.; Xu, J. P.

    2011-06-01

    Charge-trapping characteristics of SrTiO3 with and without nitrogen incorporation were investigated based on Al/Al2O3/SrTiO3/SiO2/Si (MONOS) capacitors. A Ti-silicate interlayer at the SrTiO3/SiO2 interface was confirmed by x-ray photoelectron spectroscopy and transmission electron microscopy. Compared with the MONOS capacitor with SrTiO3 as charge-trapping layer (CTL), the one with nitrided SrTiO3 showed a larger memory window (8.4 V at ±10 V sweeping voltage), higher P/E speeds (1.8 V at 1 ms +8 V) and better retention properties (charge loss of 38% after 104 s), due to the nitrided SrTiO3 film exhibiting higher dielectric constant, higher deep-level traps induced by nitrogen incorporation, and suppressed formation of Ti silicate between the CTL and SiO2 by nitrogen passivation.

  12. Magnetic tunnel junctions with monolayer hexagonal boron nitride tunnel barriers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Piquemal-Banci, M.; Galceran, R.; Bouzehouane, K.

    We report on the integration of atomically thin 2D insulating hexagonal boron nitride (h-BN) tunnel barriers into Co/h-BN/Fe magnetic tunnel junctions (MTJs). The h-BN monolayer is directly grown by chemical vapor deposition on Fe. The Conductive Tip Atomic Force Microscopy (CT-AFM) measurements reveal the homogeneity of the tunnel behavior of our h-BN layers. As expected for tunneling, the resistance depends exponentially on the number of h-BN layers. The h-BN monolayer properties are also characterized through integration into complete MTJ devices. A Tunnel Magnetoresistance of up to 6% is observed for a MTJ based on a single atomically thin h-BN layer.

  13. Crystalline boron nitride aerogels

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zettl, Alexander K.; Rousseas, Michael; Goldstein, Anna P.

    This disclosure provides methods and materials related to boron nitride aerogels. For example, one aspect relates to a method for making an aerogel comprising boron nitride, comprising: (a) providing boron oxide and an aerogel comprising carbon; (b) heating the boron oxide to melt the boron oxide and heating the aerogel; (c) mixing a nitrogen-containing gas with boron oxide vapor from molten boron oxide; and (d) converting at least a portion of the carbon to boron nitride to obtain the aerogel comprising boron nitride. Another aspect relates to a method for making an aerogel comprising boron nitride, comprising heating boron oxidemore » and an aerogel comprising carbon under flow of a nitrogen-containing gas, wherein boron oxide vapor and the nitrogen-containing gas convert at least a portion of the carbon to boron nitride to obtain the aerogel comprising boron nitride.« less

  14. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO₂ Gate Dielectrics by CF₄ Plasma Treatment.

    PubMed

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-05-17

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO₂ gate insulator and CF₄ plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO₂ gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm²/V∙s (without treatment) to 54.6 cm²/V∙s (with CF₄ plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO₂ gate dielectric has also been improved by the CF₄ plasma treatment. By applying the CF₄ plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device's immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF₄ plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO₂ gate dielectric, but also enhances the device's reliability.

  15. Hardness, microstructure and surface characterization of laser gas nitrided commercially pure titanium using high power CO{sub 2} laser

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Selvan, J.S.; Subramanian, K.; Nath, A.K.

    Surface nitriding of commercially pure (CP) titanium was carried out using high power CO{sub 2} laser at pure nitrogen and dilute nitrogen (N{sub 2} + Ar) environment. The hardness, microstructure, and melt pool configuration of the laser melted titanium in helium and argon atmosphere was compared with laser melting at pure and dilute nitrogen environment. The hardness of the nitrided layer was of the order of 1000 to 1600 HV. The hardness of the laser melted titanium in the argon and helium atmosphere was 500 to 1000 HV. Using x-ray analysis of the formation of TiN and Ti{sub 2}N phasemore » was identified in the laser nitrided titanium. The presence of nitrogen in the nitrided zone was confirmed using secondary ion mass spectroscopy (SIMS) analysis. The microstructures revealed densely populated dendrites in the sample nitrided at 100% N{sub 2} environment and thinly populated dendrites in dilute environment. The crack intensity was large in the nitrided sample at pure nitrogen, and few cracks were observed in the 50% N{sub 2} + 50% Ar environment.« less

  16. Measurement and modeling of dielectric properties of Pb(Zr,Ti)O3 ferroelectric thin films.

    PubMed

    Renoud, Raphaël; Borderon, Caroline; Gundel, Hartmut W

    2011-09-01

    In this study, the real and imaginary parts of the complex permittivity of lead zirconate titanate ferroelectric thin films are studied in the frequency range of 100 Hz to 100 MHz. The permittivity is well fitted by the Cole-Cole model. The variation of the relaxation time with the temperature is described by the Arrhenius law and an activation energy of 0.38 eV is found. Because of its nonlinear character, the dielectric response of the ferroelectric sample depends on the amplitude of the applied ac electric field. The permittivity is composed of three different contributions: the first is due to intrinsic lattice, the second is due to domain wall vibrations, and the third is due to domain wall jumps between pinning centers. This last contribution depends on the electric field, so it is important to control the field amplitude to obtain the desired values of permittivity and tunability.

  17. Amorphous Metal Oxide Thin Films from Aqueous Precursors: New Routes to High-kappa Dielectrics, Impact of Annealing Atmosphere Humidity, and Elucidation of Non-Uniform Composition Profiles

    NASA Astrophysics Data System (ADS)

    Woods, Keenan N.

    Metal oxide thin films serve as critical components in many modern technologies, including microelectronic devices. Industrial state-of-the-art production utilizes vapor-phase techniques to make high-quality (dense, smooth, uniform) thin film materials. However, vapor-phase techniques require large energy inputs and expensive equipment and precursors. Solution-phase routes to metal oxides have attracted great interest as cost-effective alternatives to vapor-phase methods and also offer the potential of large-area coverage, facile control of metal composition, and low-temperature processing. Solution deposition has previously been dominated by sol-gel routes, which utilize organic ligands, additives, and/or solvents. However, sol-gel films are often porous and contain residual carbon impurities, which can negatively impact device properties. All-inorganic aqueous routes produce dense, ultrasmooth films without carbon impurities, but the mechanisms involved in converting aqueous precursors to metal oxides are virtually unexplored. Understanding these mechanisms and the parameters that influence them is critical for widespread use of aqueous approaches to prepare microelectronic components. Additionally, understanding (and controlling) density and composition inhomogeneities is important for optimizing electronic properties. An overview of deposition approaches and the challenges facing aqueous routes are presented in Chapter I. A summary of thin film characterization techniques central to this work is given in Chapter II. This dissertation contributes to the field of solution-phase deposition by focusing on three areas. First, an all-inorganic aqueous route to high-kappa metal oxide dielectrics is developed for two ternary systems. Chapters III and IV detail the film formation chemistry and film properties of lanthanum zirconium oxide (LZO) and zirconium aluminum oxide (ZAO), respectively. The functionality of these dielectrics as device components is also

  18. A PMMA microfluidic dielectric sensor for blood coagulation monitoring at the point-of-care.

    PubMed

    Maji, Debnath; Suster, Michael A; Kucukal, Erdem; Gurkan, Umut A; Stavrou, Evi X; Mohseni, Pedram

    2016-08-01

    This paper describes the design and construct of a fully biocompatible, microfluidic, dielectric sensor targeted at monitoring human whole blood coagulation at the point-of-care (POC). The sensor assembly procedure involves using sputtered electrodes in a microfluidic channel with a physiologically relevant height of 50μm to create a three-dimensional (3D), parallel-plate, capacitive sensing area. The sensor is constructed with biocompatible materials of polymethyl methacrylate (PMMA) for the substrate and titanium nitride (TiN) for the sensing and floating electrodes. The real part of the complex relative dielectric permittivity of human whole blood is measured from 10kHz to 100MHz using an impedance analyzer and under static conditions. The temporal variation in dielectric permittivity at 1MHz for human whole blood undergoing coagulation shows a peak in permittivity at 5 minutes, which closely matches our previously established results. This sensor can pave the way for monitoring blood coagulation under physiologically relevant shear flow rates in the future.

  19. Characterizing AISI 1045 steel surface duplex-treated by alternating current field enhanced pack aluminizing and nitriding

    NASA Astrophysics Data System (ADS)

    Xie, Fei; Zhang, Ge; Pan, Jianwei

    2018-02-01

    Thin cases and long treating time are shortcomings of conventional duplex treatment of aluminizing followed by nitriding (DTAN). Alternating current field (ACF) enhanced DTAN was carried out on AISI 1045 steel by applying an ACF to treated samples and treating agents with a pair of electrodes for overcoming those shortcomings. By investigating cases' structures, phases, composition and hardness distributions of differently treated samples, preliminary studies were made on characterizations of the ACF enhanced duplex treatment to AISI 1045 steel. The results show that, with the help of the ACF, the surface Al-rich phase Al5Fe2 formed in conventional pack aluminizing can be easily avoided and the aluminizing process is dramatically promoted. The aluminizing case can be nitrided either with conventional pack nitriding or ACF enhanced pack nitriding. By applying ACF to pack nitriding, the diffusion of nitrogen into the aluminizing case is promoted. AlN, Fe2∼3N and solid solution of N in iron are efficiently formed as a result of reactions of N with the aluminizing case. A duplex treated case with an effective thickness of more than 170 μm can be obtained by the alternating current field enhanced 4 h pack aluminizing plus 4 h pack nitriding.

  20. Center for dielectric studies

    NASA Astrophysics Data System (ADS)

    Cross, L. E.; Newnham, R. E.; Biggers, J. V.

    1984-05-01

    This report focuses upon the parts of the Center program which have drawn most extensively upon Navy funds. In the basic study of polarization processes in high K dielectrics, major progress has been made in understanding the mechanisms in relaxor ferroelectric in the perovskite structure families. A new effort is also being mounted to obtain more precise evaluation of the internal stress effects in fine grained barium titanate. Related to reliability, studies of the effects of induced macro-defects are described, and preparation for the evaluation of space charge by internal potential distribution measurements discussed. To develop new processing methods for very thin dielectric layers, a new type of single barrier layer multilayer is discussed, and work on the thermal evaporation of oriented crystalline antimony sulphur iodide describe.

  1. Interference phenomena in the refraction of a surface polariton by vertical dielectric barriers

    NASA Technical Reports Server (NTRS)

    Shen, T. P.; Wallis, R. F.; Maradudin, A. A.; Stegeman, G. I.

    1984-01-01

    A normal mode analysis is used to calculate the transmission and reflection coefficients for a surface polariton propagating along the interface between a surface active medium and a dielectric and incident normally on a vertical dielectric barrier of finite thickness or a thin dielectric film of finite length. The efficiencies of conversion of the surface polariton into transmitted and reflected bulk waves are also determined. The radiation patterns associated with the latter waves are presented.

  2. Direct growth of hexagonal boron nitride/graphene heterostructures on cobalt foil substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Zhongguang; Khanaki, Alireza; Tian, Hao

    2016-07-25

    Graphene/hexagonal boron nitride (G/h-BN) heterostructures have attracted a great deal of attention because of their exceptional properties and wide variety of potential applications in nanoelectronics. However, direct growth of large-area, high-quality, and stacked structures in a controllable and scalable way remains challenging. In this work, we demonstrate the synthesis of h-BN/graphene (h-BN/G) heterostructures on cobalt (Co) foil by sequential deposition of graphene and h-BN layers using plasma-assisted molecular beam epitaxy. It is found that the coverage of h-BN layers can be readily controlled on the epitaxial graphene by growth time. Large-area, uniform-quality, and multi-layer h-BN films on thin graphite layersmore » were achieved. Based on an h-BN (5–6 nm)/G (26–27 nm) heterostructure, capacitor devices with Co(foil)/G/h-BN/Co(contact) configuration were fabricated to evaluate the dielectric properties of h-BN. The measured breakdown electric field showed a high value of ∼2.5–3.2 MV/cm. Both I-V and C-V characteristics indicate that the epitaxial h-BN film has good insulating characteristics.« less

  3. Wave attenuation and mode dispersion in a waveguide coated with lossy dielectric material

    NASA Technical Reports Server (NTRS)

    Lee, C. S.; Chuang, S. L.; Lee, S. W.; Lo, Y. T.

    1984-01-01

    The modal attenuation constants in a cylindrical waveguide coated with a lossy dielectric material are studied as functions of frequency, dielectric constant, and thickness of the dielectric layer. A dielectric material best suited for a large attenuation is suggested. Using Kirchhoff's approximation, the field attenuation in a coated waveguide which is illuminated by a normally incident plane wave is also studied. For a circular guide which has a diameter of two wavelengths and is coated with a thin lossy dielectric layer (omega sub r = 9.1 - j2.3, thickness = 3% of the radius), a 3 dB attenuation is achieved within 16 diameters.

  4. Crystalline boron nitride aerogels

    DOEpatents

    Zettl, Alexander K.; Rousseas, Michael; Goldstein, Anna P.; Mickelson, William; Worsley, Marcus A.; Woo, Leta

    2017-04-04

    This disclosure provides methods and materials related to boron nitride aerogels. In one aspect, a material comprises an aerogel comprising boron nitride. The boron nitride has an ordered crystalline structure. The ordered crystalline structure may include atomic layers of hexagonal boron nitride lying on top of one another, with atoms contained in a first layer being superimposed on atoms contained in a second layer.

  5. Impact of In situ Postnitridation Annealing for Successful Fabrication of HfSiON Thin Film

    NASA Astrophysics Data System (ADS)

    Horii, Sadayoshi; Ishikawa, Dai; Sano, Atsushi; Imai, Yoshinori; Kunii, Yasuo

    2007-05-01

    For the successful integration of high-k gate dielectrics into advanced complementary metal-oxide-semiconductor (CMOS) processes, it is important to determine the stability of high-k materials during exposure to an ambient atmosphere. In this work, we investigated the effect of exposure to air on the nitrogen concentration in HfSiON films formed by sequentially combining HfSiO chemical vapor deposition (CVD), plasma nitridation, and postnitridation annealing (PNA). We observed that exposure to air after the nitridation step reduces the nitrogen concentration due to a reaction between the HfSiON surface and the constituents of atmospheric air. We also found that exposure to air for even a short time between nitridation and PNA leads to a significant loss of nitrogen concentration, indicating that in situ PNA is critical for achieving precise control of the nitridation. These results confirmed the importance of using clustered multichamber platforms for successful high-k fabrication.

  6. Surface and interfacial chemistry of high-k dielectric and interconnect materials on silicon

    NASA Astrophysics Data System (ADS)

    Kirsch, Paul Daniel

    Surfaces and interfaces play a critical role in the manufacture and function of silicon based integrated circuits. It is therefore reasonable to study the chemistries at these surfaces and interfaces to improve existing processes and to develop new ones. Model barium strontium titanate high-k dielectric systems have been deposited on ultrathin silicon oxynitride in ultrahigh vacuum. The resulting nanostructures are characterized with secondary ion mass spectroscopy (SIMS) and X-ray photoelectron spectroscopy (XPS). An interfacial reaction between Ba and Sr atoms and SiOxNy was found to create silicates, BaSixOy or SrSi xOy. Inclusion of N in the interfacial oxide decreased silicate formation in both Ba and Sr systems. Furthermore, inclusion of N in the interfacial oxide decreased the penetration of Ba and Sr containing species, such as silicides and silicates. Sputter deposited HfO2 was studied on nitrided and unnitrided Si(100) surfaces. XPS and SIMS were used to verify the presence of interfacial HfSixOy and estimate its relative amount on both nitrided and unnitrided samples. More HfSixOy formed without the SiNx interfacial layer. These interfacial chemistry results are then used to explain the electrical measurements obtained from metal oxide semiconductor (MOS) capacitors. MOS capacitors with interfacial SiNx exhibit reduced leakage current and increased capacitance. Lastly, surface science techniques were used to develop a processing technique for reducing thin films of copper (II) and copper (I) oxide to copper. Deuterium atoms (D*) and methyl radicals (CH3*) were shown to reduce Cu 2+ and/or Cu1+ to Cu0 within 30 min at a surface temperature of 400 K under a flux of 1 x 1015 atoms/cm2s. Temperature programmed desorption experiments suggest that oxygen leaves the surface as D2O and CO2 for the D* and CH3* treated surfaces, respectively.

  7. Deposition and dielectric characterization of strontium and tantalum-based oxide and oxynitride perovskite thin films

    NASA Astrophysics Data System (ADS)

    Jacq, S.; Le Paven, C.; Le Gendre, L.; Benzerga, R.; Cheviré, F.; Tessier, F.; Sharaiha, A.

    2016-04-01

    We have synthesized the composition x = 0.01 of the (Sr1-xLax)2(Ta1-xTix)2O7 solid solution, mixing the ferroelectric perovskite phases Sr2Ta2O7 and La2Ti2O7. Related oxide and oxynitride materials have been produced as thin films by magnetron radio frequency sputtering. Reactive sputter deposition was conducted at 750 °C under a 75 vol.% (Ar) + 25 vol.% (N2,O2) mixture. An oxygen-free plasma leads to the deposition of an oxynitride film (Sr0.99La0.01) (Ta0.99Ti0.01)O2N, characterized by a band gap Eg = 2.30 eV and a preferential (001) epitaxial growth on (001) SrTiO3 substrate. Its dielectric constant and loss tangent are respectively Epsilon' = 60 (at 1 kHz) and tanDelta = 62.5 × 10-3. In oxygen-rich conditions (vol.%N2 ≤ 15%), (110) epitaxial (Sr0.99La0.01)2(Ta0.99Ti0.01)2O7 oxides films are deposited, associated to a larger band gap value (Eg = 4.55 eV). The oxide films permittivity varies from 45 to 25 (at 1 kHz) in correlation with the decrease in crystalline orientation; measured losses are lower than 5.10-3. For 20 ≤ vol.% N2 ≤ 24.55, the films are poorly crystallized, leading to very low permittivities (minimum Epsilon' = 3). A correlation between the dielectric losses and the presence of an oxynitride phase in the samples is highlighted.

  8. Cavity-enhanced measurements for determining dielectric-membrane thickness and complex index of refraction.

    PubMed

    Stambaugh, Corey; Durand, Mathieu; Kemiktarak, Utku; Lawall, John

    2014-08-01

    The material properties of silicon nitride (SiN) play an important role in the performance of SiN membranes used in optomechanical applications. An optimum design of a subwavelength high-contrast grating requires accurate knowledge of the membrane thickness and index of refraction, and its performance is ultimately limited by material absorption. Here we describe a cavity-enhanced method to measure the thickness and complex index of refraction of dielectric membranes with small, but nonzero, absorption coefficients. By determining Brewster's angle and an angle at which reflection is minimized by means of destructive interference, both the real part of the index of refraction and the sample thickness can be measured. A comparison of the losses in the empty cavity and the cavity containing the dielectric sample provides a measurement of the absorption.

  9. Tribological properties of boron nitride synthesized by ion beam deposition

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Buckley, D. H.; Spalvins, T.

    1985-01-01

    The adhesion and friction behavior of boron nitride films on 440 C bearing stainless steel substrates was examined. The thin films containing the boron nitride were synthesized using an ion beam extracted from a borazine plasma. Sliding friction experiments were conducted with BN in sliding contact with itself and various transition metals. It is indicated that the surfaces of atomically cleaned BN coating film contain a small amount of oxides and carbides, in addition to boron nitride. The coefficients of friction for the BN in contact with metals are related to the relative chemical activity of the metals. The more active the metal, the higher is the coefficient of friction. The adsorption of oxygen on clean metal and BN increases the shear strength of the metal - BN contact and increases the friction. The friction for BN-BN contact is a function of the shear strength of the elastic contacts. Clean BN surfaces exhibit relatively strong interfacial adhesion and high friction. The presence of adsorbates such as adventitious carbon contaminants on the BN surfaces reduces the shear strength of the contact area. In contrast, chemically adsorbed oxygen enhances the shear strength of the BN-BN contact and increases the friction.

  10. Scanning Probe Microscopy on heterogeneous CaCu3Ti4O12 thin films

    PubMed Central

    2011-01-01

    The conductive atomic force microscopy provided a local characterization of the dielectric heterogeneities in CaCu3Ti4O12 (CCTO) thin films deposited by MOCVD on IrO2 bottom electrode. In particular, both techniques have been employed to clarify the role of the inter- and sub-granular features in terms of conductive and insulating regions. The microstructure and the dielectric properties of CCTO thin films have been studied and the evidence of internal barriers in CCTO thin films has been provided. The role of internal barriers and the possible explanation for the extrinsic origin of the giant dielectric response in CCTO has been evaluated. PMID:21711646

  11. Scanning Probe Microscopy on heterogeneous CaCu3Ti4O12 thin films

    NASA Astrophysics Data System (ADS)

    Fiorenza, Patrick; Lo Nigro, Raffaella; Raineri, Vito

    2011-12-01

    The conductive atomic force microscopy provided a local characterization of the dielectric heterogeneities in CaCu3Ti4O12 (CCTO) thin films deposited by MOCVD on IrO2 bottom electrode. In particular, both techniques have been employed to clarify the role of the inter- and sub-granular features in terms of conductive and insulating regions. The microstructure and the dielectric properties of CCTO thin films have been studied and the evidence of internal barriers in CCTO thin films has been provided. The role of internal barriers and the possible explanation for the extrinsic origin of the giant dielectric response in CCTO has been evaluated.

  12. Scanning Probe Microscopy on heterogeneous CaCu3Ti4O12 thin films.

    PubMed

    Fiorenza, Patrick; Lo Nigro, Raffaella; Raineri, Vito

    2011-02-04

    The conductive atomic force microscopy provided a local characterization of the dielectric heterogeneities in CaCu3Ti4O12 (CCTO) thin films deposited by MOCVD on IrO2 bottom electrode. In particular, both techniques have been employed to clarify the role of the inter- and sub-granular features in terms of conductive and insulating regions. The microstructure and the dielectric properties of CCTO thin films have been studied and the evidence of internal barriers in CCTO thin films has been provided. The role of internal barriers and the possible explanation for the extrinsic origin of the giant dielectric response in CCTO has been evaluated.

  13. Effectiveness of BaTiO 3 dielectric patches on YBa 2Cu 3O 7 thin films for MEM switches

    DOE PAGES

    Vargas, J.; Hijazi, Y.; Noel, J.; ...

    2014-05-12

    A micro-electro-mechanical (MEM) switch built on a superconducting microstrip filter will be utilized to investigate BaTiO 3 dielectric patches for functional switching points of contact. Actuation voltage resulting from the MEM switch provokes static friction between the bridge membrane and BaTiO 3 insulation layer. Furthermore, the dielectric patch crystal structure and roughness affect the ability of repetitively switching cycles and lifetime. We performed a series of experiments using different deposition methods and RF magnetron sputtering was found to be the best deposition process for the BaTiO 3 layer. The effect examination of surface morphology will be presented using characterization techniquesmore » as x-ray diffraction, SEM and AFM for an optimum switching device. The thin film is made of YBa 2Cu 3O 7 deposited on LaAlO 3 substrate by pulsed laser deposition. In our work, the dielectric material sputtering pressure is set at 9.5x10 -6 Torr. The argon gas is released through a mass-flow controller to purge the system prior to deposition. RF power is 85 W at a distance of 9 cm. The behavior of Au membranes built on ultimate BaTiO 3 patches will be shown as part of the results. These novel surface patterns will in turn be used in modelling other RF MEM switch devices such as distributed-satellite communication system operating at cryogenic temperatures.« less

  14. The electrical performance and gate bias stability of an amorphous InGaZnO thin-film transistor with HfO2 high-k dielectrics

    NASA Astrophysics Data System (ADS)

    Wang, Ruo Zheng; Wu, Sheng Li; Li, Xin Yu; Zhang, Jin Tao

    2017-07-01

    In this study, we set out to fabricate an amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with SiNx/HfO2/SiNx (SHS) sandwiched dielectrics. The J-V and C-V of this SHS film were extracted by the Au/p-Si/SHS/Ti structure. At room temperature the a-IGZO with SHS dielectrics showed the following electrical properties: a threshold voltage of 2.9 V, a subthreshold slope of 0.35 V/decade, an on/off current ratio of 3.5 × 107, and a mobility of 12.8 cm2 V-1 s-1. Finally, we tested the influence of gate bias stress on the TFT, and the result showed that the threshold voltage shifted to a positive voltage when applying a positive gate voltage to the TFT.

  15. A Dielectric Rod Antenna for Picosecond Pulse Stimulation of Neurological Tissue

    PubMed Central

    Petrella, Ross A.; Schoenbach, Karl H.; Xiao, Shu

    2016-01-01

    A dielectrically loaded wideband rod antenna has been studied as a pulse delivery system to subcutaneous tissues. Simulation results applying 100 ps electrical pulse show that it allows us to generate critical electric field for biological effects, such as brain stimulation, in the range of several centimeters. In order to reach the critical electric field for biological effects, which is approximately 20 kV/cm, at a depth of 2 cm, the input voltage needs to be 175 kV. The electric field spot size in the brain at this position is approximately 1 cm2. Experimental studies in free space with a conical antenna (part of the antenna system) with aluminum nitride as the dielectric have confirmed the accuracy of the simulation. These results set the foundation for high voltage in situ experiments on the complete antenna system and the delivery of pulses to biological tissue. PMID:27563160

  16. Temperature dependent dielectric behavior of sol-gel grown Y0.95Ca0.05MnO3/Si junction

    NASA Astrophysics Data System (ADS)

    Dhruv, Davit; Joshi, Zalak; Solanki, Sapana; Sagapariya, Khushal; Makwana, Pratima; Kansara, S. B.; Joshi, A. D.; Pandya, D. D.; Solanki, P. S.; Shah, N. A.

    2017-05-01

    We have successfully fabricated divalent doped Y0.95Ca0.05MnO3 film on (100) single crystalline n-type Si substrate by spin coating assisted chemical solution deposition technique. The X-ray diffraction (XRD) pattern of thin film depicts that the film has (h00) directional growth on substrate. Thin film possesses -1.4% compressive strain at the interface level and thin film thickness is found to be ˜ 78nm. Dielectric property of film has been studied by Agilent LCR meter from 100Hz to 2MHz applied field frequency at temperatures 150 to 300K. Real dielectric permittivity decreases and imaginary dielectric permittivity increases with increasing applied frequency. Furthermore, at low temperatures, higher dielectric is observed in all the frequency range studied and it decreases with increasing temperature due to thermal excitation induced increased charge carrier movements across the film lattice. The relaxation mechanism of Y0.95Ca0.05MnO3 film has been understood through cole-cole plots.

  17. Dielectric properties of highly resistive GaN crystals grown by ammonothermal method at microwave frequencies

    NASA Astrophysics Data System (ADS)

    Krupka, Jerzy; Zajåc, Marcin; Kucharski, Robert; Gryglewski, Daniel

    2016-03-01

    Permittivity, the dielectric loss tangent and conductivity of semi-insulating Gallium Nitride crystals have been measured as functions of frequency from 10 GHz to 50 GHz and temperature from 295 to 560 K employing quasi TE0np mode dielectric resonator technique. Crystals were grown using ammonothermal method. Two kinds of doping were used to obtain high resistivity crystals; one with deep acceptors in form of transition metal ions, and the other with shallow Mg acceptors. The sample compensated with transition metal ions exhibited semi-insulating behavior in the whole temperature range. The sample doped with Mg acceptors remained semi-insulating up to 390 K. At temperatures exceeding 390 K the conductivity term in the total dielectric loss tangent of Mg compensated sample becomes dominant and it increases exponentially with activation energy of 1.14 eV. It has been proved that ammonothermal method with appropriate doping allows growth of high quality, temperature stable semi-insulating GaN crystals.

  18. Nitride stabilized core/shell nanoparticles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuttiyiel, Kurian Abraham; Sasaki, Kotaro; Adzic, Radoslav R.

    Nitride stabilized metal nanoparticles and methods for their manufacture are disclosed. In one embodiment the metal nanoparticles have a continuous and nonporous noble metal shell with a nitride-stabilized non-noble metal core. The nitride-stabilized core provides a stabilizing effect under high oxidizing conditions suppressing the noble metal dissolution during potential cycling. The nitride stabilized nanoparticles may be fabricated by a process in which a core is coated with a shell layer that encapsulates the entire core. Introduction of nitrogen into the core by annealing produces metal nitride(s) that are less susceptible to dissolution during potential cycling under high oxidizing conditions.

  19. Orientation effect on microwave dielectric properties of Si-integrated Ba0.6Sr0.4TiO3 thin films for frequency agile devices

    NASA Astrophysics Data System (ADS)

    Kim, Hyun-Suk; Hyun, Tae-Seon; Kim, Ho-Gi; Kim, Il-Doo; Yun, Tae-Soon; Lee, Jong-Chul

    2006-07-01

    The effect of texture with (100) and (110) preferred orientations on dielectric properties of Ba0.6Sr0.4TiO3 (BST) thin films grown on SrO (9nm) and CeO2 (70nm ) buffered Si substrates, respectively, was investigated. The coplanar waveguide (CPW) phase shifter using (100) oriented BST films on SrO buffered Si exhibited a much-enhanced figure of merit of 24.7°/dB, as compared to that (10.2°/dB) of a CPW phase shifter using (110) oriented BST films on CeO2 buffered Si at 12GHz. This work demonstrates that the microwave properties of the Si-integrated BST thin films are highly correlated with crystal orientation.

  20. Extending the 3ω method: thermal conductivity characterization of thin films.

    PubMed

    Bodenschatz, Nico; Liemert, André; Schnurr, Sebastian; Wiedwald, Ulf; Ziemann, Paul

    2013-08-01

    A lock-in technique for measurement of thermal conductivity and volumetric heat capacity of thin films is presented. The technique is based on the 3ω approach using electrical generation and detection of oscillatory heat along a thin metal strip. Thin films are deposited onto the backside of commercial silicon nitride membranes, forming a bilayer geometry with distinct thermal parameters. Stepwise comparison to an adapted heat diffusion model delivers these parameters for both layers. Highest sensitivity is found for metallic thin films.

  1. Fabrication and characterization of low temperature polycrystalline silicon thin film transistors

    NASA Astrophysics Data System (ADS)

    Krishnan, Anand Thiruvengadathan

    2000-10-01

    The proliferation of devices with built-in displays, such as personal digital assistants and cellular phones has created a demand for rugged light-weight displays. Polymeric substrates could be suited for these applications, and they offer the possibility of flexible displays also. However, driver circuitry needs to be integrated in the display if the cost is to be reduced. Low temperature (<350°C) polycrystalline silicon (poly-Si) thin film transistors, if developed, offer driver circuitry integration during pixel transistor fabrication on top of flexible substrates. This thesis addresses several issues related to the fabrication of thin film transistors at low temperatures on glass substrates. A high-density plasma (electron cyclotron resonance (ECR)) based approach was adopted for deposition of thin films. A process for deposition of n-type doped silicon (n-type doped Si) at T < 350°C and having resistivity <1 ohm/cm has been developed. Intrinsic poly-Si was deposited under different conditions of microwave power, RF bias and deposition times. The properties of n-type doped Si and intrinsic poly-Si were correlated with the structure and the deposition conditions. A novel TFT structure has been proposed and implemented in this work. This top gate TFT structure uses n-type doped Si and utilizes only two masks and one alignment step. There are no critical etch steps and good interface quality could be obtained even without post-processing hydrogenation as the poly-Si surface was not exposed to air before deposition of the gate dielectric. TFTs using this top gate structure were fabricated with no process step exceeding 340°C electrode temperature (surface temperature <300°C). These TFTs show ON/OFF ratios in excess of 105. Their sub-threshold swing is ˜0.5 V/decade and mobility is 1--10 cm2/V-s. Several TFTs were also fabricated using alternative dielectrics such as oxide deposited from tetramethyl silane in an RFPECVD chamber and silicon nitride deposited in

  2. CMUTs with high-K atomic layer deposition dielectric material insulation layer.

    PubMed

    Xu, Toby; Tekes, Coskun; Degertekin, F

    2014-12-01

    Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (Six)Ny)) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2) such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD Six)Ny) and 100-nm HfO2) insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure.

  3. CMUTs with High-K Atomic Layer Deposition Dielectric Material Insulation Layer

    PubMed Central

    Xu, Toby; Tekes, Coskun; Degertekin, F. Levent

    2014-01-01

    Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (SixNy) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2 such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD SixNy and 100-nm HfO2 insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure. PMID:25474786

  4. PECVD silicon-rich nitride and low stress nitride films mechanical characterization using membrane point load deflection

    NASA Astrophysics Data System (ADS)

    Bagolini, Alvise; Picciotto, Antonino; Crivellari, Michele; Conci, Paolo; Bellutti, Pierluigi

    2016-02-01

    An analysis of the mechanical properties of plasma enhanced chemical vapor (PECVD) silicon nitrides is presented, using micro fabricated silicon nitride membranes under point load deflection. The membranes are made of PECVD silicon-rich nitride and low stress nitride films. The mechanical performance of the bended membranes is examined both with analytical models and finite element simulation in order to extract the elastic modulus and residual stress values. The elastic modulus of low stress silicon nitride is calculated using stress free analytical models, while for silicon-rich silicon nitride and annealed low stress silicon nitride it is estimated with a pre-stressed model of point-load deflection. The effect of annealing both in nitrogen and hydrogen atmosphere is evaluated in terms of residual stress, refractive index and thickness variation. It is demonstrated that a hydrogen rich annealing atmosphere induces very little change in low stress silicon nitride. Nitrogen annealing effects are measured and shown to be much higher in silicon-rich nitride than in low stress silicon nitride. An estimate of PECVD silicon-rich nitride elastic modulus is obtained in the range between 240-320 GPa for deposited samples and 390 GPa for samples annealed in nitrogen atmosphere. PECVD low stress silicon nitride elastic modulus is estimated to be 88 GPa as deposited and 320 GPa after nitrogen annealing.

  5. Novel electrode-elastomer combinations for improved performance and application of dielectric elastomers

    NASA Astrophysics Data System (ADS)

    Yuan, Wei

    Dielectric elastomers are the most promising technology for mimicking human muscles in terms of strain, stress, and work density, etc. Actuators have been fabricated based on different design concepts and configurations for applications in robotics, prosthetic devices, medical implants, pumps, and valves. However, to date these actuators have experienced high rates of failure caused by electrical shorting of the compliant electrodes through the elastomer film during electrical breakdown, which has prevented their practical application. In this thesis, single walled carbon nanotube (SWNT) thin films were employed as compliant electrodes for dielectric elastomers to reduce the rate of failure. Thanks to the high aspect ratio of the SWNTs, the electrodes maintain substantial conductance at high biaxial strains. 3M VHB acrylics can be actuated up to 200% area strain with SWNT electrodes, this matches the performance of actuators with carbon grease electrodes. During uni-directional stretching, SWNT electrodes can maintain surface conductivity up to 700% linear strain. SWNT electrodes can experience a self-clearing process under high voltage discharging and electrically isolate the electrodes around the breakdown sites when breakdown events happen. With conventional dielectric elastomer electrode materials such as carbon grease and carbon black, a single breakdown event results in a permanent loss in the actuator's functionality. In contrast, for SWNT electrodes, the SWNTs around the breakdown site will be degraded and become non-conductive. The non-conductive area expands outward until the high voltage discharging stops. As such, the opposing electrodes are prevented from coming into contact with each other and forming an electrical short and the breakdown site is electrically isolated from the remainder of the active area. Despite the existence of the breakdown sites, the dielectric elastomer will resume its functionality and avoid permanent failure. Thus, dielectric

  6. Synthesis of large single-crystal hexagonal boron nitride grains on Cu-Ni alloy

    NASA Astrophysics Data System (ADS)

    Lu, Guangyuan; Wu, Tianru; Yuan, Qinghong; Wang, Huishan; Wang, Haomin; Ding, Feng; Xie, Xiaoming; Jiang, Mianheng

    2015-01-01

    Hexagonal boron nitride (h-BN) has attracted significant attention because of its superior properties as well as its potential as an ideal dielectric layer for graphene-based devices. The h-BN films obtained via chemical vapour deposition in earlier reports are always polycrystalline with small grains because of high nucleation density on substrates. Here we report the successful synthesis of large single-crystal h-BN grains on rational designed Cu-Ni alloy foils. It is found that the nucleation density can be greatly reduced to 60 per mm2 by optimizing Ni ratio in substrates. The strategy enables the growth of single-crystal h-BN grains up to 7,500 μm2, approximately two orders larger than that in previous reports. This work not only provides valuable information for understanding h-BN nucleation and growth mechanisms, but also gives an effective alternative to exfoliated h-BN as a high-quality dielectric layer for large-scale nanoelectronic applications.

  7. Critical coupling using the hexagonal boron nitride crystals in the mid-infrared range

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Jipeng; Wang, Hengliang; Wen, Shuangchun

    2016-05-28

    We theoretically demonstrate the perfect absorption phenomena in the hexagonal boron nitride (hBN) crystals in the mid-infrared wavelength ranges by means of critical coupling with a one-dimensional photonic crystal spaced by the air. Different from the polymer absorbing layer composed by a metal-dielectric composite film, the hyperbolic dispersion characteristics of hBN can meet the condition of critical coupling and achieve the total absorption in the mid-infrared wavelength ranges. However, the critical coupling phenomenon can only appear in the hBN crystals with the type II dispersion. Moreover, we discuss the influence of the thickness of hBN, the incident angle, and themore » thickness and permittivity of the space dielectric on the total absorption. Ultimately, the conditions for absorption enhancement and the optimization methods of perfect absorption are proposed, and the design rules for a totally absorbing system under the different conditions are achieved.« less

  8. Study of structure and dielectric properties of 1:2 type modified Barium Niobates in bulk and thin film forms

    NASA Astrophysics Data System (ADS)

    Bishnoi, Bhagwanti Ben S.

    thin films of various compositions with A- and B'-site substitutions were successfully made using Pulsed laser deposition technique. Over all properties of films are identical to the respective bulk compositions except for some of the samples which exhibited relaxor behaviour only in the film form. Compared to O7+ irradiation the Ag15+ irradiation due to type of defects it created is more effective in reducing lattice strain induced dielectric losses along with marginal loss of dielectric constant. Significant increase in dielectric constant with low loss, on O 7+ as well as Ag15+ ion irradiation, may enhance the electro-optical properties which in turn increase compounds tuneablility for device applications.

  9. Small-scale fracture toughness of ceramic thin films: the effects of specimen geometry, ion beam notching and high temperature on chromium nitride toughness evaluation

    NASA Astrophysics Data System (ADS)

    Best, James P.; Zechner, Johannes; Wheeler, Jeffrey M.; Schoeppner, Rachel; Morstein, Marcus; Michler, Johann

    2016-12-01

    For the implementation of thin ceramic hard coatings into intensive application environments, the fracture toughness is a particularly important material design parameter. Characterisation of the fracture toughness of small-scale specimens has been a topic of great debate, due to size effects, plasticity, residual stress effects and the influence of ion penetration from the sample fabrication process. In this work, several different small-scale fracture toughness geometries (single-beam cantilever, double-beam cantilever and micro-pillar splitting) were compared, fabricated from a thin physical vapour-deposited ceramic film using a focused ion beam source, and then the effect of the gallium-milled notch on mode I toughness quantification investigated. It was found that notching using a focused gallium source influences small-scale toughness measurements and can lead to an overestimation of the fracture toughness values for chromium nitride (CrN) thin films. The effects of gallium ion irradiation were further studied by performing the first small-scale high-temperature toughness measurements within the scanning electron microscope, with the consequence that annealing at high temperatures allows for diffusion of the gallium to grain boundaries promoting embrittlement in small-scale CrN samples. This work highlights the sensitivity of some materials to gallium ion penetration effects, and the profound effect that it can have on fracture toughness evaluation.

  10. Synthesis of TiN/a-Si3N4 thin film by using a Mather type dense plasma focus system

    NASA Astrophysics Data System (ADS)

    Hussain, T.; R., Ahmad; Khalid, N.; A. Umar, Z.; Hussnain, A.

    2013-05-01

    A 2.3 kJ Mather type pulsed plasma focus device was used for the synthesis of a TiN/a-Si3N4 thin film at room temperature. The film was characterized using X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), and atomic force microscopy (AFM). The XRD pattern confirms the growth of polycrystalline TiN thin film. The XPS results indicate that the synthesized film is non-stoichiometric and contains titanium nitride, silicon nitride, and a phase of silicon oxy-nitride. The SEM and AFM results reveal that the surface of the synthesized film is quite smooth with 0.59 nm roughness (root-mean-square).

  11. Developing Multilayer Thin Film Strain Sensors With High Thermal Stability

    NASA Technical Reports Server (NTRS)

    Wrbanek, John D.; Fralick, Gustave C.; Gonzalez, Jose M., III

    2006-01-01

    A multilayer thin film strain sensor for large temperature range use is under development using a reactively-sputtered process. The sensor is capable of being fabricated in fine line widths utilizing the sacrificial-layer lift-off process that is used for micro-fabricated noble-metal sensors. Tantalum nitride films were optimized using reactive sputtering with an unbalanced magnetron source. A first approximation model of multilayer resistance and temperature coefficient of resistance was used to set the film thicknesses in the multilayer film sensor. Two multifunctional sensors were fabricated using multilayered films of tantalum nitride and palladium chromium, and tested for low temperature resistivity, TCR and strain response. The low temperature coefficient of resistance of the films will result in improved stability in thin film sensors for low to high temperature use.

  12. Low-voltage organic strain sensor on plastic using polymer/high- K inorganic hybrid gate dielectrics

    NASA Astrophysics Data System (ADS)

    Jung, Soyoun; Ji, Taeksoo; Varadan, Vijay K.

    2007-12-01

    In this paper, gate-induced pentacene semiconductor strain sensors based on hybrid-gate dielectrics using poly-vinylphenol (PVP) and high-K inorganic, Ta IIO 5 are fabricated on flexible substrates, polyethylene naphthalate (PEN). The Ta IIO 5 gate dielectric layer is combined with a thin PVP layer to obtain very smooth and hydrophobic surfaces which improve the molecular structures of pentacene films. The PVP-Ta IIO 5 hybrid-gate dielectric films exhibit a high dielectric capacitance and low leakage current. The sensors adopting thin film transistor (TFT)-like structures show a significantly reduced operating voltage (~6V), and good device characteristics with a field-effect mobility of 1.89 cm2/V•s, a threshold voltage of -0.5 V, and an on/off ratio of 10 3. The strain sensor, one of the practical applications in large-area organic electronics, was characterized with different bending radii of 50, 40, 30, and 20 mm. The sensor output signals were significantly improved with low-operating voltages.

  13. Use of cermet thin film resistors with nitride passivated metal insulator field effect transistor

    NASA Technical Reports Server (NTRS)

    Brown, G. A.; Harrap, V.

    1971-01-01

    Film deposition of cermet resistors on same chip with metal nitride oxide silicon field effect transistors permits protection of contamination sensitive active devices from contaminants produced in cermet deposition and definition processes. Additional advantages include lower cost, greater reliability, and space savings.

  14. Core-shell titanium dioxide-titanium nitride nanotube arrays with near-infrared plasmon resonances

    NASA Astrophysics Data System (ADS)

    Farsinezhad, Samira; Shanavas, Thariq; Mahdi, Najia; Askar, Abdelrahman M.; Kar, Piyush; Sharma, Himani; Shankar, Karthik

    2018-04-01

    Titanium nitride (TiN) is a ceramic with high electrical conductivity which in nanoparticle form, exhibits localized surface plasmon resonances (LSPRs) in the visible region of the solar spectrum. The ceramic nature of TiN coupled with its dielectric loss factor being comparable to that of gold, render it attractive for CMOS polarizers, refractory plasmonics, surface-enhanced Raman scattering and a whole host of sensing applications. We report core-shell TiO2-TiN nanotube arrays exhibiting LSPR peaks in the range 775-830 nm achieved by a simple, solution-based, low cost, large area-compatible fabrication route that does not involve laser-writing or lithography. Self-organized, highly ordered TiO2 nanotube arrays were grown by electrochemical anodization of Ti thin films on fluorine-doped tin oxide-coated glass substrates and then conformally coated with a thin layer of TiN using atomic layer deposition. The effects of varying the TiN layer thickness and thermal annealing on the LSPR profiles were also investigated. Modeling the TiO2-TiN core-shell nanotube structure using two different approaches, one employing effective medium approximations coupled with Fresnel coefficients, resulted in calculated optical spectra that closely matched the experimentally measured spectra. Modeling provided the insight that the observed near-infrared resonance was not collective in nature, and was mainly attributable to the longitudinal resonance of annular nanotube-like TiN particles redshifted due to the presence of the higher permittivity TiO2 matrix. The resulting TiO2-TiN core-shell nanotube structures also function as visible light responsive photocatalysts, as evidenced by their photoelectrochemical water-splitting performance under light emitting diode illumination using 400, 430 and 500 nm photons.

  15. III-Nitride Nanowire Lasers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wright, Jeremy Benjamin

    2014-07-01

    In recent years there has been a tremendous interest in nanoscale optoelectronic devices. Among these devices are semiconductor nanowires whose diameters range from 10-100 nm. To date, nanowires have been grown using many semiconducting material systems and have been utilized as light emitting diodes, photodetectors, and solar cells. Nanowires possess a relatively large index contrast relative to their dielectric environment and can be used as lasers. A key gure of merit that allows for nanowire lasing is the relatively high optical con nement factor. In this work, I discuss the optical characterization of 3 types of III-nitride nanowire laser devices.more » Two devices were designed to reduce the number of lasing modes to achieve singlemode operation. The third device implements low-group velocity mode lasing with a photonic crystal constructed of an array of nanowires. Single-mode operation is necessary in any application where high beam quality and single frequency operation is required. III-Nitride nanowire lasers typically operate in a combined multi-longitudinal and multi-transverse mode state. Two schemes are introduced here for controlling the optical modes and achieving single-mode op eration. The rst method involves reducing the diameter of individual nanowires to the cut-o condition, where only one optical mode propagates in the wire. The second method employs distributed feedback (DFB) to achieve single-mode lasing by placing individual GaN nanowires onto substrates with etched gratings. The nanowire-grating substrate acted as a distributed feedback mirror producing single mode operation at 370 nm with a mode suppression ratio (MSR) of 17 dB. The usage of lasers for solid state lighting has the potential to further reduce U.S. lighting energy usage through an increase in emitter e ciency. Advances in nanowire fabrication, speci cally a two-step top-down approach, have allowed for the demonstration of a multi-color array of lasers on a single chip that

  16. Method for preparing actinide nitrides

    DOEpatents

    Bryan, G.H.; Cleveland, J.M.; Heiple, C.R.

    1975-12-01

    Actinide nitrides, and particularly plutonium and uranium nitrides, are prepared by reacting an ammonia solution of an actinide compound with an ammonia solution of a reactant or reductant metal, to form finely divided actinide nitride precipitate which may then be appropriately separated from the solution. The actinide nitride precipitate is particularly suitable for forming nuclear fuels.

  17. Atomic oxygen effects on boron nitride and silicon nitride: A comparison of ground based and space flight data

    NASA Technical Reports Server (NTRS)

    Cross, J. B.; Lan, E. H.; Smith, C. A.; Whatley, W. J.

    1990-01-01

    The effects of atomic oxygen on boron nitride (BN) and silicon nitride (Si3N4) were evaluated in a low Earth orbit (LEO) flight experiment and in a ground based simulation facility. In both the inflight and ground based experiments, these materials were coated on thin (approx. 250A) silver films, and the electrical resistance of the silver was measured in situ to detect any penetration of atomic oxygen through the BN and Si3N4 materials. In the presence of atomic oxygen, silver oxidizes to form silver oxide, which has a much higher electrical resistance than pure silver. Permeation of atomic oxygen through BN, as indicated by an increase in the electrical resistance of the silver underneath, was observed in both the inflight and ground based experiments. In contrast, no permeation of atomic oxygen through Si3N4 was observed in either the inflight or ground based experiments. The ground based results show good qualitative correlation with the LEO flight results, indicating that ground based facilities such as the one at Los Alamos National Lab can reproduce space flight data from LEO.

  18. A comparison of ground-based and space flight data: Atomic oxygen reactions with boron nitride and silicon nitride

    NASA Technical Reports Server (NTRS)

    Cross, J. B.; Lan, E. H.; Smith, C. A.; Whatley, W. J.; Koontz, S. L.

    1990-01-01

    The effects of atomic oxygen on boron nitride (BN) and silicon nitride (Si3N4) have been studied in low Earth orbit (LEO) flight experiments and in a ground-based simulation facility at Los Alamos National Laboratory. Both the in-flight and ground-based experiments employed the materials coated over thin (approx 250 Angstrom) silver films whose electrical resistance was measured in situ to detect penetration of atomic oxygen through the BN and Si3N4 materials. In the presence of atomic oxygen, silver oxidizes to form silver oxide, which has a much higher electrical resistance than pure silver. Permeation of atomic oxygen through BN, as indicated by an increase in the electrical resistance of the silver underneath, was observed in both the in-flight and ground-based experiments. In contrast, no permeation of atomic oxygen through Si3N4 was observed in either the in-flight or ground-based experiments. The ground-based results show good qualitative correlation with the LEO flight results, thus validating the simulation fidelity of the ground-based facility in terms of reproducing LEO flight results.

  19. Numerical Simulation of Ballistic Impact of Layered Aluminum Nitride Ceramic

    DTIC Science & Technology

    2015-09-01

    tile(s) Aluminum nitride (AlN) 163 a Polymer layers Polyurethane foam 18 b Backing metal Aluminum 6061-T6 (Al) 23 c Projectile Tungsten heavy alloy...larger (a factor of 3.8) than the most dense polyurethane foam of the available constitutive models. Default options for element failure were imposed in...AlN), a polycrystalline ceramic. The total thickness of the tile(s) is 38.1 mm in all cases. A thin polyurethane laminate separates neighboring tiles

  20. Investigation of terbium scandate as an alternative gate dielectric in fully depleted transistors

    NASA Astrophysics Data System (ADS)

    Roeckerath, M.; Lopes, J. M. J.; Özben, E. Durǧun; Urban, C.; Schubert, J.; Mantl, S.; Jia, Y.; Schlom, D. G.

    2010-01-01

    Terbium scandate thin films were deposited by e-gun evaporation on (100) silicon substrates. Rutherford backscattering spectrometry and x-ray diffraction studies revealed homogeneous chemical compositions of the films. A dielectric constant of 26 and CV-curves with small hystereses were measured as well as low leakage current densities of <1 nA/cm2. Fully depleted n-type field-effect transistors on thin silicon-on-insulator substrates with terbium scandate gate dielectrics were fabricated with a gate-last process. The devices show inverse subthreshold slopes of 80 mV/dec and a carrier mobility for electrons of 225 cm2/V•s was extracted.

  1. Process for the production of metal nitride sintered bodies and resultant silicon nitride and aluminum nitride sintered bodies

    NASA Technical Reports Server (NTRS)

    Yajima, S.; Omori, M.; Hayashi, J.; Kayano, H.; Hamano, M.

    1983-01-01

    A process for the manufacture of metal nitride sintered bodies, in particular, a process in which a mixture of metal nitrite powders is shaped and heated together with a binding agent is described. Of the metal nitrides Si3N4 and AIN were used especially frequently because of their excellent properties at high temperatures. The goal is to produce a process for metal nitride sintered bodies with high strength, high corrosion resistance, thermal shock resistance, thermal shock resistance, and avoidance of previously known faults.

  2. Microstructure and dielectric properties of piezoelectric magnetron sputtered w-Sc{sub x}Al{sub 1-x}N thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zukauskaite, Agne; Wingqvist, Gunilla; Palisaitis, Justinas

    2012-05-01

    Piezoelectric wurtzite Sc{sub x}Al{sub 1-x}N (x = 0, 0.1, 0.2, 0.3) thin films were epitaxially grown by reactive magnetron co-sputtering from elemental Sc and Al targets. Al{sub 2}O{sub 3}(0001) wafers with TiN(111) seed and electrode layers were used as substrates. X-ray diffraction shows that an increase in the Sc content results in the degradation of the crystalline quality. Samples grown at 400 deg. C possess true dielectric behavior with quite low dielectric losses and the leakage current is negligible. For ScAlN samples grown at 800 deg. C, the crystal structure is poor and leakage current is high. Transmission electron microscopymore » with energy dispersive x-ray spectroscopy mapping shows a mass separation into ScN-rich and AlN-rich domains for x {>=} 0.2 when substrate temperature is increased from 400 to 800 deg. C. The piezoelectric response of epitaxial Sc{sub x}Al{sub 1-x}N films measured by piezoresponse force microscopy and double beam interferometry shows up to 180% increase by the addition of Sc up to x = 0.2 independent of substrate temperature, in good agreement with previous theoretical predictions based on density-functional theory.« less

  3. Process for growing epitaxial gallium nitride and composite wafers

    DOEpatents

    Weber, Eicke R.; Subramanya, Sudhir G.; Kim, Yihwan; Kruger, Joachim

    2003-05-13

    A novel growth procedure to grow epitaxial Group III metal nitride thin films on lattice-mismatched substrates is proposed. Demonstrated are the quality improvement of epitaxial GaN layers using a pure metallic Ga buffer layer on c-plane sapphire substrate. X-ray rocking curve results indicate that the layers had excellent structural properties. The electron Hall mobility increases to an outstandingly high value of .mu.>400 cm.sup.2 /Vs for an electron background concentration of 4.times.10.sup.17 cm.sup.-3.

  4. Functionalized Graphitic Carbon Nitride for Metal-free, Flexible and Rewritable Nonvolatile Memory Device via Direct Laser-Writing

    NASA Astrophysics Data System (ADS)

    Zhao, Fei; Cheng, Huhu; Hu, Yue; Song, Long; Zhang, Zhipan; Jiang, Lan; Qu, Liangti

    2014-07-01

    Graphitic carbon nitride nanosheet (g-C3N4-NS) has layered structure similar with graphene nanosheet and presents unusual physicochemical properties due to the s-triazine fragments. But their electronic and electrochemical applications are limited by the relatively poor conductivity. The current work provides the first example that atomically thick g-C3N4-NSs are the ideal candidate as the active insulator layer with tunable conductivity for achieving the high performance memory devices with electrical bistability. Unlike in conventional memory diodes, the g-C3N4-NSs based devices combined with graphene layer electrodes are flexible, metal-free and low cost. The functionalized g-C3N4-NSs exhibit desirable dispersibility and dielectricity which support the all-solution fabrication and high performance of the memory diodes. Moreover, the flexible memory diodes are conveniently fabricated through the fast laser writing process on graphene oxide/g-C3N4-NSs/graphene oxide thin film. The obtained devices not only have the nonvolatile electrical bistability with great retention and endurance, but also show the rewritable memory effect with a reliable ON/OFF ratio of up to 105, which is the highest among all the metal-free flexible memory diodes reported so far, and even higher than those of metal-containing devices.

  5. Numerical simulations for quantitative analysis of electrostatic interaction between atomic force microscopy probe and an embedded electrode within a thin dielectric: meshing optimization, sensitivity to potential distribution and impact of cantilever contribution

    NASA Astrophysics Data System (ADS)

    Azib, M.; Baudoin, F.; Binaud, N.; Villeneuve-Faure, C.; Bugarin, F.; Segonds, S.; Teyssedre, G.

    2018-04-01

    Recent experimental results demonstrated that an electrostatic force distance curve (EFDC) can be used for space charge probing in thin dielectric layers. A main advantage of the method is claimed to be its sensitivity to charge localization, which, however, needs to be substantiated by numerical simulations. In this paper, we have developed a model which permits us to compute an EFDC accurately by using the most sophisticated and accurate geometry for the atomic force microscopy probe. To avoid simplifications and in order to reproduce experimental conditions, the EFDC has been simulated for a system constituted of a polarized electrode embedded in a thin dielectric layer (SiN x ). The individual contributions of forces on the tip and on the cantilever have been analyzed separately to account for possible artefacts. The EFDC sensitivity to potential distribution is studied through the change in electrode shape, namely the width and the depth. Finally, the numerical results have been compared with experimental data.

  6. Charge injection in thin dielectric layers by atomic force microscopy: influence of geometry and material work function of the AFM tip on the injection process

    NASA Astrophysics Data System (ADS)

    Villeneuve-Faure, C.; Makasheva, K.; Boudou, L.; Teyssedre, G.

    2016-06-01

    Charge injection and retention in thin dielectric layers remain critical issues for the reliability of many electronic devices because of their association with a large number of failure mechanisms. To overcome this drawback, a deep understanding of the mechanisms leading to charge injection close to the injection area is needed. Even though the charge injection is extensively studied and reported in the literature to characterize the charge storage capability of dielectric materials, questions about charge injection mechanisms when using atomic force microscopy (AFM) remain open. In this paper, a thorough study of charge injection by using AFM in thin plasma-processed amorphous silicon oxynitride layers with properties close to that of thermal silica layers is presented. The study considers the impact of applied voltage polarity, work function of the AFM tip coating and tip curvature radius. A simple theoretical model was developed and used to analyze the obtained experimental results. The electric field distribution is computed as a function of tip geometry. The obtained experimental results highlight that after injection in the dielectric layer the charge lateral spreading is mainly controlled by the radial electric field component independently of the carrier polarity. The injected charge density is influenced by the nature of electrode metal coating (work function) and its geometry (tip curvature radius). The electron injection is mainly ruled by the Schottky injection barrier through the field electron emission mechanism enhanced by thermionic electron emission. The hole injection mechanism seems to differ from the electron one depending on the work function of the metal coating. Based on the performed analysis, it is suggested that for hole injection by AFM, pinning of the metal Fermi level with the metal-induced gap states in the studied silicon oxynitride layers starts playing a role in the injection mechanisms.

  7. Thin Film Transistors On Plastic Substrates

    DOEpatents

    Carey, Paul G.; Smith, Patrick M.; Sigmon, Thomas W.; Aceves, Randy C.

    2004-01-20

    A process for formation of thin film transistors (TFTs) on plastic substrates replaces standard thin film transistor fabrication techniques, and uses sufficiently lower processing temperatures so that inexpensive plastic substrates may be used in place of standard glass, quartz, and silicon wafer-based substrates. The silicon based thin film transistor produced by the process includes a low temperature substrate incapable of withstanding sustained processing temperatures greater than about 250.degree. C., an insulating layer on the substrate, a layer of silicon on the insulating layer having sections of doped silicon, undoped silicon, and poly-silicon, a gate dielectric layer on the layer of silicon, a layer of gate metal on the dielectric layer, a layer of oxide on sections of the layer of silicon and the layer of gate metal, and metal contacts on sections of the layer of silicon and layer of gate metal defining source, gate, and drain contacts, and interconnects.

  8. A system for measuring complex dielectric properties of thin films at submillimeter wavelengths using an open hemispherical cavity and a vector network analyzer.

    PubMed

    Rahman, Rezwanur; Taylor, P C; Scales, John A

    2013-08-01

    Quasi-optical (QO) methods of dielectric spectroscopy are well established in the millimeter and submillimeter frequency bands. These methods exploit standing wave structure in the sample produced by a transmitted Gaussian beam to achieve accurate, low-noise measurement of the complex permittivity of the sample [e.g., J. A. Scales and M. Batzle, Appl. Phys. Lett. 88, 062906 (2006); R. N. Clarke and C. B. Rosenberg, J. Phys. E 15, 9 (1982); T. M. Hirovnen, P. Vainikainen, A. Lozowski, and A. V. Raisanen, IEEE Trans. Instrum. Meas. 45, 780 (1996)]. In effect the sample itself becomes a low-Q cavity. On the other hand, for optically thin samples (films of thickness much less than a wavelength) or extremely low loss samples (loss tangents below 10(-5)) the QO approach tends to break down due to loss of signal. In such a case it is useful to put the sample in a high-Q cavity and measure the perturbation of the cavity modes. Provided that the average mode frequency divided by the shift in mode frequency is less than the Q (quality factor) of the mode, then the perturbation should be resolvable. Cavity perturbation techniques are not new, but there are technological difficulties in working in the millimeter/submillimeter wave region. In this paper we will show applications of cavity perturbation to the dielectric characterization of semi-conductor thin films of the type used in the manufacture of photovoltaics in the 100 and 350 GHz range. We measured the complex optical constants of hot-wire chemical deposition grown 1-μm thick amorphous silicon (a-Si:H) film on borosilicate glass substrate. The real part of the refractive index and dielectric constant of the glass-substrate varies from frequency-independent to linearly frequency-dependent. We also see power-law behavior of the frequency-dependent optical conductivity from 316 GHz (9.48 cm(-1)) down to 104 GHz (3.12 cm(-1)).

  9. A system for measuring complex dielectric properties of thin films at submillimeter wavelengths using an open hemispherical cavity and a vector network analyzer

    NASA Astrophysics Data System (ADS)

    Rahman, Rezwanur; Taylor, P. C.; Scales, John A.

    2013-08-01

    Quasi-optical (QO) methods of dielectric spectroscopy are well established in the millimeter and submillimeter frequency bands. These methods exploit standing wave structure in the sample produced by a transmitted Gaussian beam to achieve accurate, low-noise measurement of the complex permittivity of the sample [e.g., J. A. Scales and M. Batzle, Appl. Phys. Lett. 88, 062906 (2006);, 10.1063/1.2172403 R. N. Clarke and C. B. Rosenberg, J. Phys. E 15, 9 (1982);, 10.1088/0022-3735/15/1/002 T. M. Hirovnen, P. Vainikainen, A. Lozowski, and A. V. Raisanen, IEEE Trans. Instrum. Meas. 45, 780 (1996)], 10.1109/19.516996. In effect the sample itself becomes a low-Q cavity. On the other hand, for optically thin samples (films of thickness much less than a wavelength) or extremely low loss samples (loss tangents below 10-5) the QO approach tends to break down due to loss of signal. In such a case it is useful to put the sample in a high-Q cavity and measure the perturbation of the cavity modes. Provided that the average mode frequency divided by the shift in mode frequency is less than the Q (quality factor) of the mode, then the perturbation should be resolvable. Cavity perturbation techniques are not new, but there are technological difficulties in working in the millimeter/submillimeter wave region. In this paper we will show applications of cavity perturbation to the dielectric characterization of semi-conductor thin films of the type used in the manufacture of photovoltaics in the 100 and 350 GHz range. We measured the complex optical constants of hot-wire chemical deposition grown 1-μm thick amorphous silicon (a-Si:H) film on borosilicate glass substrate. The real part of the refractive index and dielectric constant of the glass-substrate varies from frequency-independent to linearly frequency-dependent. We also see power-law behavior of the frequency-dependent optical conductivity from 316 GHz (9.48 cm-1) down to 104 GHz (3.12 cm-1).

  10. Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics for metal-insulator-metal capacitor applications

    NASA Astrophysics Data System (ADS)

    Ding, Shi-Jin; Zhu, Chunxiang; Li, Ming-Fu; Zhang, David Wei

    2005-08-01

    Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics have been investigated to replace conventional silicon oxide and nitride for radio frequency and analog metal-insulator-metal capacitors applications. In the case of 1-nm-Al2O3, sufficiently good electrical performances are achieved, including a high dielectric constant of ˜17, a small dissipation factor of 0.018 at 100kHz, an extremely low leakage current of 7.8×10-9A/cm2 at 1MV/cm and 125°C, perfect voltage coefficients of capacitance (74ppm/V2 and 10ppm/V). The quadratic voltage coefficient of capacitance decreases with the applied frequency due to the change of relaxation time with different carrier mobility in insulator, and correlates with the dielectric composition and thickness, which is of intrinsic property owing to electric field polarization. Furthermore, the conduction mechanism of the AHA dielectrics is also discussed, indicating the Schottky emission dominated at room temperature.

  11. Behavior of pentacene initial nucleation on various dielectrics and its effect on carrier transport in organic field-effect transistor.

    PubMed

    Qi, Qiong; Yu, Aifang; Wang, Liangmin; Jiang, Chao

    2010-11-01

    The influence of dielectric surface energy on the initial nucleation and the growth of pentacene films as well as the electrical properties of the pentacene-based field-effect transistors are investigated. We have examined a range of organic and inorganic dielectrics with different surface energies, such as polycarbonate/SiO2, polystyrene/SiO2, and PMMA/SiO2 bi-layered dielectrics and also the bare SiO2 dielectric. Atomic force microscopy measurements of sub-monolayer and thick pentacene films indicated that the growth of pentacene film was in Stranski-Kranstanow growth mode on all the dielectrics. However, the initial nucleation density and the size of the first-layered pentacene islands deposited on different dielectrics are drastically influenced by the dielectric surface energy. With the increasing of the surface energy, the nucleation density increased and thus the average size of pentacene islands for the first mono-layer deposition decreased. The performance of fabricated pentacene-based thin film transistors was found to be highly related to nucleation density and the island size of deposited Pentacene film, and it had no relationship to the final particle size of the thick pentacene film. The field effect mobility of the thin film transistor could be achieved as high as 1.38 cm2Ns with on/off ratio over 3 x 10(7) on the PS/SiO2 where the lowest surface energy existed among all the dielectrics. For comparison, the values of mobility and on/off ratio were 0.42 cm2Ns and 1 x 10(6) for thin film transistor deposited directly on bare SiO2 having the highest surface energy.

  12. Molecular orientation of organic thin films on dielectric solid substrates: a phase-sensitive vibrational SFG study.

    PubMed

    Ge, Aimin; Peng, Qiling; Qiao, Lin; Yepuri, Nageshwar R; Darwish, Tamim A; Matsusaki, Michiya; Akashi, Mitsuru; Ye, Shen

    2015-07-21

    Broadband phase-sensitive vibrational sum frequency generation (SFG) spectroscopy was utilized to study the molecular orientation of molecules adsorbed on dielectric solid substrates. A gold thin film was employed to generate a SFG signal as a local oscillator (LO). To simplify the phase measurement, a self-assembled monolayer (SAM) of octadecyltrichlorosilane (OTS) was used as a standard sample for phase correction of the phase-sensitive SFG measurements on the solid/air interface. It was demonstrated that the absolute orientation of molecules in the LB films on a fused quartz surface can be clearly distinguished by phase-sensitive SFG measurement. In addition, the observation on the SAM of d35-OTS reveals that the two C-H stretching modes for α-CH2 group are in opposite phase. Furthermore, by using the present phase-sensitive SFG setup, the orientation flipping of water molecules on positively and negatively charged solid/liquid interface can be distinguished.

  13. Long-range dielectric-loaded surface plasmon polariton waveguides operating at telecommunication wavelengths.

    PubMed

    Volkov, Valentyn S; Han, Zhanghua; Nielsen, Michael G; Leosson, Kristjan; Keshmiri, Hamid; Gosciniak, Jacek; Albrektsen, Ole; Bozhevolnyi, Sergey I

    2011-11-01

    We report on the realization of long-range dielectric-loaded surface plasmon polariton waveguides (LR-DLSPPWs) consisting of straight and bent subwavelength dielectric ridges deposited on thin and narrow metal stripes supported by a dielectric buffer layer covering a low-index substrate. Using imaging with a near-field optical microscope and end-fire coupling with a tapered fiber connected to a tunable laser at telecommunication wavelengths (1425-1545 nm), we demonstrate low-loss (propagation length ∼500 μm) and well-confined (mode width ∼1 μm) LR-DLSPPW mode guiding and determine the propagation and bend loss.

  14. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    NASA Astrophysics Data System (ADS)

    Held, Martin; Schießl, Stefan P.; Miehler, Dominik; Gannott, Florentina; Zaumseil, Jana

    2015-08-01

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfOx) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100-300 nF/cm2) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfOx dielectrics.

  15. Stress effects in ferroelectric perovskite thin-films

    NASA Astrophysics Data System (ADS)

    Zednik, Ricardo Johann

    The exciting class of ferroelectric materials presents the engineer with an array of unique properties that offer promise in a variety of applications; these applications include infra-red detectors ("night-vision imaging", pyroelectricity), micro-electro-mechanical-systems (MEMS, piezoelectricity), and non-volatile memory (NVM, ferroelectricity). Realizing these modern devices often requires perovskite-based ferroelectric films thinner than 100 nm. Two such technologically important material systems are (Ba,Sr)TiO3 (BST), for tunable dielectric devices employed in wireless communications, and Pb(Zr,Ti)O3 (PZT), for ferroelectric non-volatile memory (FeRAM). In general, the material behavior is strongly influenced by the mechanical boundary conditions imposed by the substrate and surrounding layers and may vary considerably from the known bulk behavior. A better mechanistic understanding of these effects is essential for harnessing the full potential of ferroelectric thin-films and further optimizing existing devices. Both materials share a common crystal structure and similar properties, but face unique challenges due to the design parameters of these different applications. Tunable devices often require very low dielectric loss as well as large dielectric tunability. Present results show that the dielectric response of BST thin-films can either resemble a dipole-relaxor or follow the accepted empirical Universal Relaxation Law (Curie-von Schweidler), depending on temperature. These behaviors in a single ferroelectric thin-film system are often thought to be mutually exclusive. In state-of-the-art high density FeRAM, the ferroelectric polarization is at least as important as the dielectric response. It was found that these properties are significantly affected by moderate biaxial tensile and compressive stresses which reversibly alter the ferroelastic domain populations of PZT at room temperature. The 90-degree domain wall motion observed by high resolution

  16. Thin-film decoupling capacitors for multi-chip modules

    NASA Astrophysics Data System (ADS)

    Dimos, D.; Lockwood, S. J.; Schwartz, R. W.; Rogers, M. S.

    Thin-film decoupling capacitors based on ferroelectric lead lanthanum zirconate titanate (PLZT) films are being developed for use in advanced packages, such as multi-chip modules. These thin-film decoupling capacitors are intended to replace multi-layer ceramic capacitors for certain applications, since they can be more fully integrated into the packaging architecture. The increased integration that can be achieved should lead to decreased package volume and improved high-speed performance, due to a decrease in interconnect inductance. PLZT films are fabricated by spin coating using metal carboxylate/alkoxide solutions. These films exhibit very high dielectric constants ((var epsilon) greater than or equal to 900), low dielectric losses (tan(delta) = 0.01), excellent insulation resistances (rho greater than 10(exp 13) (Omega)-cm at 125 C), and good breakdown field strengths (E(sub B) = 900 kV/cm). For integrated circuit applications, the PLZT dielectric is less than 1 micron thick, which results in a large capacitance/area (8-9 nF/sq mm). The thin-film geometry and processing conditions also make these capacitors suitable for direct incorporation onto integrated circuits and for packages that require embedded components.

  17. Poly(methyl methacrylate) as a self-assembled gate dielectric for graphene field-effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sanne, A.; Movva, H. C. P.; Kang, S.

    We investigate poly(methyl methacrylate) (PMMA) as a low thermal budget organic gate dielectric for graphene field effect-transistors (GFETs) based on a simple process flow. We show that high temperature baking steps above the glass transition temperature (∼130 °C) can leave a self-assembled, thin PMMA film on graphene, where we get a gate dielectric almost for “free” without additional atomic layer deposition type steps. Electrical characterization of GFETs with PMMA as a gate dielectric yields a dielectric constant of k = 3.0. GFETs with thinner PMMA dielectrics have a lower dielectric constant due to decreased polarization arising from neutralization of dipoles and charged carriersmore » as baking temperatures increase. The leakage through PMMA gate dielectric increases with decreasing dielectric thickness and increasing electric field. Unlike conventional high-k gate dielectrics, such low-k organic gate dielectrics are potentially attractive for devices such as the proposed Bilayer pseudoSpin Field-Effect Transistor or flexible high speed graphene electronics.« less

  18. Chemical Interaction-Guided, Metal-Free Growth of Large-Area Hexagonal Boron Nitride on Silicon-Based Substrates.

    PubMed

    Behura, Sanjay; Nguyen, Phong; Debbarma, Rousan; Che, Songwei; Seacrist, Michael R; Berry, Vikas

    2017-05-23

    Hexagonal boron nitride (h-BN) is an ideal platform for interfacing with two-dimensional (2D) nanomaterials to reduce carrier scattering for high-quality 2D electronics. However, scalable, transfer-free growth of hexagonal boron nitride (h-BN) remains a challenge. Currently, h-BN-based 2D heterostructures require exfoliation or chemical transfer of h-BN grown on metals resulting in small areas or significant interfacial impurities. Here, we demonstrate a surface-chemistry-influenced transfer-free growth of large-area, uniform, and smooth h-BN directly on silicon (Si)-based substrates, including Si, silicon nitride (Si 3 N 4 ), and silicon dioxide (SiO 2 ), via low-pressure chemical vapor deposition. The growth rates increase with substrate electronegativity, Si < Si 3 N 4 < SiO 2 , consistent with the adsorption rates calculated for the precursor molecules via atomistic molecular dynamics simulations. Under graphene with high grain density, this h-BN film acts as a polymer-free, planar-dielectric interface increasing carrier mobility by 3.5-fold attributed to reduced surface roughness and charged impurities. This single-step, chemical interaction guided, metal-free growth mechanism of h-BN for graphene heterostructures establishes a potential pathway for the design of complex and integrated 2D-heterostructured circuitry.

  19. Packing C60 in Boron Nitride Nanotubes

    NASA Astrophysics Data System (ADS)

    Mickelson, W.; Aloni, S.; Han, Wei-Qiang; Cumings, John; Zettl, A.

    2003-04-01

    We have created insulated C60 nanowire by packing C60 molecules into the interior of insulating boron nitride nanotubes (BNNTs). For small-diameter BNNTs, the wire consists of a linear chain of C60 molecules. With increasing BNNT inner diameter, unusual C60 stacking configurations are obtained (including helical, hollow core, and incommensurate) that are unknown for bulk or thin-film forms of C60. C60 in BNNTs thus presents a model system for studying the properties of dimensionally constrained ``silo'' crystal structures. For the linear-chain case, we have fused the C60 molecules to form a single-walled carbon nanotube inside the insulating BNNT.

  20. Plasma enhanced ultrastable self-powered visible-blind deep ultraviolet photodetector based on atomically thin boron nitride sheets

    NASA Astrophysics Data System (ADS)

    Feng, Peter Xianping; Rivera, Manuel; Velazquez, Rafael; Aldalbahi, Ali

    We extend our work on the use of digitally controlled plasma deposition technique to synthesize high quality boron nitride nanosheets (BNNSs). The nanoscale morphologies and layered growth characteristics of the BNNSs were characterized using scanning electron microscope, transmission electron microscopy, and atomic force microscopy. The experimental data indicated each sample consists of multiple atomically thin, highly transparent BNNSs that overlap one another with certain orientations. Purity and structural properties were characterized by Raman scattering, XRD, FTIR and XPS. Based on these characterizations, 2D BNNSs based self-powered, visible blind deep UV detectors were designed, fabricated, and tested. The bias, temperature, and humidity effects on the photocurrent strength were investigated. A significant increase of signal-to-noise ratio after plasma treatment was observed. The fabricated photodetectors presented exceptional properties: a very stable baseline and a high sensitivity to weak intensities of radiation in both UVC and UVB range while remaining visible-blind, a high signal-to-noise ratio, and excellent repeatability even when the operating temperature was up to 400 0C. The shift in cutoff wavelength was also observed. This work is supported by the Army Research Office/DoD Grant (62826-RT-REP) and the ISPP#0058 at King Saud University.

  1. Low-loss and tunable near-zero-epsilon titanium nitride

    NASA Astrophysics Data System (ADS)

    Popović, M.; Novaković, M.; Schmidt, E.; Schöppe, P.; Bibić, N.; Ronning, C.; Rakočević, Z.

    2017-10-01

    Titanium nitride (TiN) has emerged as alternative plasmonic material in the visible and near-infrared spectral range due to its metallic properties. We studied the influence of silver ion implantation (fluence range from 0.5 × 1016-6 × 1016 ions/cm2) on the structural and optical properties of reactively sputtered 260 nm thick TiN films. The columnar structure was partially destroyed by the irradiation and up to 5 at.% of Ag was incorporated into the films within the projected ion range. The formation of cubic Ag nanoparticles with size of 1-2 nm was observed by high resolution transmission electron microscopy and subsequent fast Fourier transform analysis. This presence of Ag within the TiN matrix drastically changes both the real and imaginary component of the dielectric function and provides low optical losses. A Drude Lorentz dielectric analysis based on free electron and oscillator model are carried out to describe the silver influence on the optical behavior of TiN. With increasing ion fluence, the unscreened plasma frequency decreased and broadening increased. The energy, strength and broadening of the interband transitions were studied with respect to the silver ion fluence and correlated with the microstructural changes induced in TiN films.

  2. Exciton-phonon coupling in diindenoperylene thin films

    NASA Astrophysics Data System (ADS)

    Heinemeyer, U.; Scholz, R.; Gisslén, L.; Alonso, M. I.; Ossó, J. O.; Garriga, M.; Hinderhofer, A.; Kytka, M.; Kowarik, S.; Gerlach, A.; Schreiber, F.

    2008-08-01

    We investigate exciton-phonon coupling and exciton transfer in diindenoperylene (DIP) thin films on oxidized Si substrates by analyzing the dielectric function determined by variable-angle spectroscopic ellipsometry. Since the molecules in the thin-film phase form crystallites that are randomly oriented azimuthally and highly oriented along the surface normal, DIP films exhibit strongly anisotropic optical properties with uniaxial symmetry. This anisotropy can be determined by multiple sample analysis. The thin-film spectrum is compared with a monomer spectrum in solution, which reveals similar vibronic subbands and a Huang-Rhys parameter of S≈0.87 for an effective internal vibration at ℏωeff=0.17eV . However, employing these parameters the observed dielectric function of the DIP films cannot be described by a pure Frenkel exciton model, and the inclusion of charge-transfer (CT) states becomes mandatory. A model Hamiltonian is parametrized with density-functional theory calculations of single DIP molecules and molecule pairs in the stacking geometry of the thin-film phase, revealing the vibronic coupling constants of DIP in its excited and charged states together with electron and hole transfer integrals along the stack. From a fit of the model calculation to the observed dielectric tensor, we find the lowest CT transition E00CT at 0.26±0.05eV above the neutral molecular excitation energy E00F , which is an important parameter for device applications.

  3. Temperature-dependent field-effect carrier mobility in organic thin-film transistors with a gate SiO2 dielectric modified by H2O2 treatment

    NASA Astrophysics Data System (ADS)

    Lin, Yow-Jon; Hung, Cheng-Chun

    2018-02-01

    The effect of the modification of a gate SiO2 dielectric using an H2O2 solution on the temperature-dependent behavior of carrier transport for pentacene-based organic thin-film transistors (OTFTs) is studied. H2O2 treatment leads to the formation of Si(-OH) x (i.e., the formation of a hydroxylated layer) on the SiO2 surface that serves to reduce the SiO2 capacitance and weaken the pentacene-SiO2 interaction, thus increasing the field-effect carrier mobility ( µ) in OTFTs. The temperature-dependent behavior of carrier transport is dominated by the multiple trapping model. Note that H2O2 treatment leads to a reduction in the activation energy. The increased value of µ is also attributed to the weakening of the interactions of the charge carriers with the SiO2 dielectric that serves to reduce the activation energy.

  4. Corrosion resistance of monolayer hexagonal boron nitride on copper

    PubMed Central

    Mahvash, F.; Eissa, S.; Bordjiba, T.; Tavares, A. C.; Szkopek, T.; Siaj, M.

    2017-01-01

    Hexagonal boron nitride (hBN) is a layered material with high thermal and chemical stability ideal for ultrathin corrosion resistant coatings. Here, we report the corrosion resistance of Cu with hBN grown by chemical vapor deposition (CVD). Cyclic voltammetry measurements reveal that hBN layers inhibit Cu corrosion and oxygen reduction. We find that CVD grown hBN reduces the Cu corrosion rate by one order of magnitude compared to bare Cu, suggesting that this ultrathin layer can be employed as an atomically thin corrosion-inhibition coating. PMID:28191822

  5. Fabrication Process of Silicone-based Dielectric Elastomer Actuators

    PubMed Central

    Rosset, Samuel; Araromi, Oluwaseun A.; Schlatter, Samuel; Shea, Herbert R.

    2016-01-01

    This contribution demonstrates the fabrication process of dielectric elastomer transducers (DETs). DETs are stretchable capacitors consisting of an elastomeric dielectric membrane sandwiched between two compliant electrodes. The large actuation strains of these transducers when used as actuators (over 300% area strain) and their soft and compliant nature has been exploited for a wide range of applications, including electrically tunable optics, haptic feedback devices, wave-energy harvesting, deformable cell-culture devices, compliant grippers, and propulsion of a bio-inspired fish-like airship. In most cases, DETs are made with a commercial proprietary acrylic elastomer and with hand-applied electrodes of carbon powder or carbon grease. This combination leads to non-reproducible and slow actuators exhibiting viscoelastic creep and a short lifetime. We present here a complete process flow for the reproducible fabrication of DETs based on thin elastomeric silicone films, including casting of thin silicone membranes, membrane release and prestretching, patterning of robust compliant electrodes, assembly and testing. The membranes are cast on flexible polyethylene terephthalate (PET) substrates coated with a water-soluble sacrificial layer for ease of release. The electrodes consist of carbon black particles dispersed into a silicone matrix and patterned using a stamping technique, which leads to precisely-defined compliant electrodes that present a high adhesion to the dielectric membrane on which they are applied. PMID:26863283

  6. The elevated temperature mechanical properties of silicon nitride/boron nitride fibrous monoliths

    NASA Astrophysics Data System (ADS)

    Trice, Rodney Wayne

    A unique, all-ceramic material capable of non-brittle fracture via crack deflection has been characterized from 25sp°C through 1400sp°C. This material, called fibrous monoliths (FMs), was comprised of unidirectionally aligned 250 mum diameter cells of silicon nitride surrounded by 10 mum thick cell boundaries of boron nitride. Six weight percent yttria and two weight percent alumina were added to the silicon nitride to aid in densification. TEM experiments revealed that the sintering aids used to densify the silicon nitride cells were migrating into the boron nitride cell boundary during hot-pressing and that a fine network of micro-cracks existed between basal planes of boron nitride. Elevated temperature four point bending tests were performed on fibrous monolith ceramics from room temperature through 1400sp°C. Peak strengths of FMs averaged 510 MPa for specimens tested at room temperature through 176 MPa at 1400sp°C. Work of fractures ranged from 7300 J/msp2 to 3200 J/msp2 under the same temperature conditions. The interfacial fracture energy of boron nitride, GammasbBN, as a function of temperature has been determined using the Charalambides method. The fracture energy of boron nitride is approximately 40 J/msp2 and remained constant from 25sp°C through 950sp°C. A sharp increase in GammasbBN, to about 60 J/msp2, was observed at 1000sp°C-1050sp°C. This increase in GammasbBN was attributed to interactions of the crack tip with the cell boundary glassy phase. Subsequent measurements at 1075sp°C indicated a marked decrease in GammasbBN to near 40 J/msp2 before plateauing at 17-20 J/msp2 in the 1200sp°C-1300sp°C regime. The Mode I fracture toughness of silicon nitride was also determined using the single edge precracked beam method as a function of temperature. The He and Hutchinson model relating crack deflection at an interface to the Dundurs' parameter was applied to the current data set using the temperature dependent fracture energies of the boron

  7. Correlation between electrical direct current resistivity and plasmonic properties of CMOS compatible titanium nitride thin films.

    PubMed

    Viarbitskaya, S; Arocas, J; Heintz, O; Colas-Des-Francs, G; Rusakov, D; Koch, U; Leuthold, J; Markey, L; Dereux, A; Weeber, J-C

    2018-04-16

    Damping distances of surface plasmon polariton modes sustained by different thin titanium nitride (TiN) films are measured at the telecom wavelength of 1.55 μm. The damping distances are correlated to the electrical direct current resistivity of the films sustaining the surface plasmon modes. It is found that TiN/Air surface plasmon mode damping distances drop non-linearly from 40 to 16μm as the resistivity of the layers increases from 28 to 130μΩ.cm, respectively. The relevance of the direct current (dc) electrical resistivity for the characterization of TiN plasmonic properties is investigated in the framework of the Drude model, on the basis of parameters extracted from spectroscopic ellipsometry experiments. By probing a parametric space of realistic values for parameters of the Drude model, we obtain a nearly univocal dependence of the surface plasmon damping distance on the dc resistivity demonstrating the relevance of dc resistivity for the evaluation of the plasmonic performances of TiN at telecom frequencies. Finally, we show that better plasmonic performances are obtained for TiN films featuring a low content of oxygen. For low oxygen content and corresponding low resistivity, we attribute the increase of the surface plasmon damping distances to a lower confinement of the plasmon field into the metal and not to a decrease of the absorption of TiN.

  8. Effect of crystal orientation on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Huaping, E-mail: wuhuaping@gmail.com, E-mail: hpwu@zjut.edu.cn; State Key Laboratory of Structural Analysis for Industrial Equipment, Dalian University of Technology, Dalian 116024; Ma, Xuefu

    2016-01-15

    The influence of crystal orientations on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO{sub 3} thin films has been investigated using an expanded nonlinear thermodynamic theory. The calculations reveal that crystal orientation has significant influence on the phase stability and phase transitions in the misfit strain-temperature phase diagrams. In particular, the (110) orientation leads to a lower symmetry and more complicated phase transition than the (111) orientation in BaTiO{sub 3} films. The increase of compressive strain will dramatically enhance the Curie temperature T{sub C} of (110)-oriented BaTiO{sub 3} films, which matches well with previous experimental data. The polarizationmore » components experience a great change across the boundaries of different phases at room temperature in both (110)- and (111)-oriented films, which leads to the huge dielectric and piezoelectric responses. A good agreement is found between the present thermodynamics calculation and previous first-principles calculations. Our work provides an insight into how to use crystal orientation, epitaxial strain and temperature to tune the structure and properties of ferroelectrics.« less

  9. Ferroelectric thin-film active sensors for structural health monitoring

    NASA Astrophysics Data System (ADS)

    Lin, Bin; Giurgiutiu, Victor; Yuan, Zheng; Liu, Jian; Chen, Chonglin; Jiang, Jiechao; Bhalla, Amar S.; Guo, Ruyan

    2007-04-01

    Piezoelectric wafer active sensors (PWAS) have been proven a valuable tool in structural health monitoring. Piezoelectric wafer active sensors are able to send and receive guided Lamb/Rayleigh waves that scan the structure and detect the presence of incipient cracks and structural damage. In-situ thin-film active sensor deposition can eliminate the bonding layer to improve the durability issue and reduce the acoustic impedance mismatch. Ferroelectric thin films have been shown to have piezoelectric properties that are close to those of single-crystal ferroelectrics but the fabrication of ferroelectric thin films on structural materials (steel, aluminum, titanium, etc.) has not been yet attempted. In this work, in-situ fabrication method of piezoelectric thin-film active sensors arrays was developed using the nano technology approach. Specification for the piezoelectric thin-film active sensors arrays was based on electro-mechanical-acoustical model. Ferroelectric BaTiO3 (BTO) thin films were successfully deposited on Ni tapes by pulsed laser deposition under the optimal synthesis conditions. Microstructural studies by X-ray diffractometer and transmission electron microscopy reveal that the as-grown BTO thin films have the nanopillar structures with an average size of approximately 80 nm in diameter and the good interface structures with no inter-diffusion or reaction. The dielectric and ferroelectric property measurements exhibit that the BTO films have a relatively large dielectric constant, a small dielectric loss, and an extremely large piezoelectric response with a symmetric hysteresis loop. The research objective is to develop the fabrication and optimum design of thin-film active sensor arrays for structural health monitoring applications. The short wavelengths of the micro phased arrays will permit the phased-array imaging of smaller parts and smaller damage than is currently not possible with existing technology.

  10. Electrical in-situ characterisation of interface stabilised organic thin-film transistors

    PubMed Central

    Striedinger, Bernd; Fian, Alexander; Petritz, Andreas; Lassnig, Roman; Winkler, Adolf; Stadlober, Barbara

    2015-01-01

    We report on the electrical in-situ characterisation of organic thin film transistors under high vacuum conditions. Model devices in a bottom-gate/bottom-contact (coplanar) configuration are electrically characterised in-situ, monolayer by monolayer (ML), while the organic semiconductor (OSC) is evaporated by organic molecular beam epitaxy (OMBE). Thermal SiO2 with an optional polymer interface stabilisation layer serves as the gate dielectric and pentacene is chosen as the organic semiconductor. The evolution of transistor parameters is studied on a bi-layer dielectric of a 150 nm of SiO2 and 20 nm of poly((±)endo,exo-bicyclo[2.2.1]hept-5-ene-2,3-dicarboxylic acid, diphenylester) (PNDPE) and compared to the behaviour on a pure SiO2 dielectric. The thin layer of PNDPE, which is an intrinsically photo-patternable organic dielectric, shows an excellent stabilisation performance, significantly reducing the calculated interface trap density at the OSC/dielectric interface up to two orders of magnitude, and thus remarkably improving the transistor performance. PMID:26457122

  11. High-Performance Flexible Single-Crystalline Silicon Nanomembrane Thin-Film Transistors with High- k Nb2O5-Bi2O3-MgO Ceramics as Gate Dielectric on a Plastic Substrate.

    PubMed

    Qin, Guoxuan; Zhang, Yibo; Lan, Kuibo; Li, Lingxia; Ma, Jianguo; Yu, Shihui

    2018-04-18

    A novel method of fabricating flexible thin-film transistor based on single-crystalline Si nanomembrane (SiNM) with high- k Nb 2 O 5 -Bi 2 O 3 -MgO (BMN) ceramic gate dielectric on a plastic substrate is demonstrated in this paper. SiNMs are successfully transferred to a flexible polyethylene terephthalate substrate, which has been plated with indium-tin-oxide (ITO) conductive layer and high- k BMN ceramic gate dielectric layer by room-temperature magnetron sputtering. The BMN ceramic gate dielectric layer demonstrates as high as ∼109 dielectric constant, with only dozens of pA current leakage. The Si-BMN-ITO heterostructure has only ∼nA leakage current at the applied voltage of 3 V. The transistor is shown to work at a high current on/off ratio of above 10 4 , and the threshold voltage is ∼1.3 V, with over 200 cm 2 /(V s) effective channel electron mobility. Bending tests have been conducted and show that the flexible transistors have good tolerance on mechanical bending strains. These characteristics indicate that the flexible single-crystalline SiNM transistors with BMN ceramics as gate dielectric have great potential for applications in high-performance integrated flexible circuit.

  12. Ion-beam nitriding of steels

    NASA Technical Reports Server (NTRS)

    Salik, J.

    1984-01-01

    The application of the ion beam technique to the nitriding of steels is described. It is indicated that the technique can be successfully applied to nitriding. Some of the structural changes obtained by this technique are similar to those obtained by ion nitriding. The main difference is the absence of the iron nitride diffraction lines. It is found that the dependence of the resultant microhardness on beam voltage for super nitralloy is different from that of 304 stainless steel.

  13. Broadband and wide-angle light harvesting by ultra-thin silicon solar cells with partially embedded dielectric spheres.

    PubMed

    Yang, Zhenhai; Shang, Aixue; Qin, Linling; Zhan, Yaohui; Zhang, Cheng; Gao, Pingqi; Ye, Jichun; Li, Xiaofeng

    2016-04-01

    We propose a design of crystalline silicon thin-film solar cells (c-Si TFSCs, 2 μm-thick) configured with partially embedded dielectric spheres on the light-injecting side. The intrinsic light trapping and photoconversion are simulated by the complete optoelectronic simulation. It shows that the embedding depth of the spheres provides an effective way to modulate and significantly enhance the optical absorption. Compared to the conventional planar and front sphere systems, the optimized partially embedded sphere design enables a broadband, wide-angle, and strong optical absorption and efficient carrier transportation. Optoelectronic simulation predicts that a 2 μm-thick c-Si TFSC with half-embedded spheres shows an increment of more than 10  mA/cm2 in short-circuit current density and an enhancement ratio of more than 56% in light-conversion efficiency, compared to the conventional planar counterparts.

  14. A room temperature process for the fabrication of amorphous indium gallium zinc oxide thin-film transistors with co-sputtered Zr x Si1- x O2 Gate dielectric and improved electrical and hysteresis performance

    NASA Astrophysics Data System (ADS)

    Hung, Chien-Hsiung; Wang, Shui-Jinn; Liu, Pang-Yi; Wu, Chien-Hung; Wu, Nai-Sheng; Yan, Hao-Ping; Lin, Tseng-Hsing

    2017-04-01

    The use of co-sputtered zirconium silicon oxide (Zr x Si1- x O2) gate dielectrics to improve the gate controllability of amorphous indium gallium zinc oxide (α-IGZO) thin-film transistors (TFTs) through a room-temperature fabrication process is proposed and demonstrated. With the sputtering power of the SiO2 target in the range of 0-150 W and with that of the ZrO2 target kept at 100 W, a dielectric constant ranging from approximately 28.1 to 7.8 is obtained. The poly-structure formation immunity of the Zr x Si1- x O2 dielectrics, reduction of the interface trap density suppression, and gate leakage current are examined. Our experimental results reveal that the Zr0.85Si0.15O2 gate dielectric can lead to significantly improved TFT subthreshold swing performance (103 mV/dec) and field effect mobility (33.76 cm2 V-1 s-1).

  15. Devitrification and delayed crazing of SiO2 on single-crystal silicon and chemically vapor-deposited silicon nitride

    NASA Technical Reports Server (NTRS)

    Choi, Doo Jin; Scott, William D.

    1987-01-01

    The linear growth rate of cristobalite was measured in thin SiO2 films on silicon and chemically vapor-deposited silicon nitride. The presence of trace impurities from alumina furnace tubes greatly increased the crystal growth rate. Under clean conditions, the growth rate was still 1 order-of-magnitude greater than that for internally nucleated crystals in bulk silica. Crystallized films cracked and lifted from the surface after exposure to atmospheric water vapor. The crystallization and subsequent crazing and lifting of protective SiO2 films on silicon nitride should be considered in long-term applications.

  16. Nonthermal combined ultraviolet and vacuum-ultraviolet curing process for organosilicate dielectrics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, H.; Guo, X.; Pei, D.

    2016-06-13

    Porous SiCOH films are of great interest in semiconductor fabrication due to their low-dielectric constant properties. Post-deposition treatments using ultraviolet (UV) light on organosilicate thin films are required to decompose labile pore generators (porogens) and to ensure optimum network formation to improve the electrical and mechanical properties of low-k dielectrics. The goal of this work is to choose the best vacuum-ultraviolet photon energy in conjunction with vacuum ultraviolet (VUV) photons without the need for heating the dielectric to identify those wavelengths that will have the most beneficial effect on improving the dielectric properties and minimizing damage. VUV irradiation between 8.3more » and 8.9 eV was found to increase the hardness and elastic modulus of low-k dielectrics at room temperature. Combined with UV exposures of 6.2 eV, it was found that this “UV/VUV curing” process is improved compared with current UV curing. We show that UV/VUV curing can overcome drawbacks of UV curing and improve the properties of dielectrics more efficiently without the need for high-temperature heating of the dielectric.« less

  17. Fabrication of air-stable n-type carbon nanotube thin-film transistors on flexible substrates using bilayer dielectrics.

    PubMed

    Li, Guanhong; Li, Qunqing; Jin, Yuanhao; Zhao, Yudan; Xiao, Xiaoyang; Jiang, Kaili; Wang, Jiaping; Fan, Shoushan

    2015-11-14

    Single-walled carbon nanotube (SWNT) thin-film transistors hold great potential for flexible electronics. However, fabrication of air-stable n-type devices by methods compatible with standard photolithography on flexible substrates is challenging. Here, we demonstrated that by using a bilayer dielectric structure of MgO and atomic layer deposited (ALD) Al2O3 or HfO2, air-stable n-type devices can be obtained. The mechanism for conduction type conversion was elucidated and attributed to the hole depletion in SWNT, the decrease of the trap state density by MgO assimilating adsorbed water molecules in the vicinity of SWNT, and the energy band bending because of the positive fixed charges in the ALD layer. The key advantage of the method is the relatively low temperature (120 or 90 °C) required here for the ALD process because we need not employ this step to totally remove the absorbates on the SWNTs. This advantage facilitates the integration of both p-type and n-type transistors through a simple lift off process and compact CMOS inverters were demonstrated. We also demonstrated that the doping of SWNTs in the channel plays a more important role than the Schottky barriers at the metal contacts in carbon nanotube thin-film transistors, unlike the situation in individual SWNT-based transistors.

  18. Electronic structure and optical properties of boron nitride nanotube bundles from first principles

    NASA Astrophysics Data System (ADS)

    Behzad, Somayeh

    2015-06-01

    The electronic and optical properties of bundled armchair and zigzag boron nitride nanotubes (BNNTs) are investigated by using density functional theory. Owing to the inter-tube coupling, the dispersions along the tube axis and in the plane perpendicular to the tube axis of BNNT bundles are significantly varied, which are characterized by the decrease of band gap, the splitting of the doubly degenerated states, the expansions of valence and conduction bands. The calculated dielectric functions of the armchair and zigzag bundles are similar to that of the isolated tubes, except for the appearance of broadened peaks, small shifts of peak positions about 0.1 eV and increasing of peak intensities.

  19. Effects of crystallization on structural and dielectric properties of thin amorphous films of (1 - x)BaTiO3-xSrTiO3 (x=0-0.5, 1.0)

    NASA Astrophysics Data System (ADS)

    Kawano, H.; Morii, K.; Nakayama, Y.

    1993-05-01

    The possibilities for fabricating solid solutions of (Ba1-x,Srx)TiO3 (x≤0.5,1.0) by crystallization of amorphous films and for improving their dielectric properties by adjusting the Sr content were investigated. Thin amorphous films were prepared from powder targets consisting of mixtures of BaTiO3 and SrTiO3 by sputtering with a neutralized Ar-ion beam. The amorphous films crystallized into (Ba1-x, Srx)TiO3 solid solutions with a cubic perovskite-type structure after annealing in air at 923 K for more than 1 h. The Debye-type dielectric relaxation was observed for the amorphous films, whereas the crystallized films showed paraelectric behavior. The relative dielectric constants were of the order of 20 for the amorphous samples, but increased greatly after crystallization to about 60-200, depending on the composition; a larger increase in the dielectric constant was observed in the higher Sr content films, in the range x≤0.5, which could be correlated with an increase in the grain size of the crystallites. The crystallization processes responsible for the difference in the grain size are discussed based on the microstructural observations.

  20. Characterizing dielectric tensors of anisotropic materials from a single measurement

    NASA Astrophysics Data System (ADS)

    Smith, Paula Kay

    Ellipsometry techniques look at changes in polarization states to measure optical properties of thin film materials. A beam reflected from a substrate measures the real and imaginary parts of the index of the material represented as n and k, respectively. Measuring the substrate at several angles gives additional information that can be used to measure multilayer thin film stacks. However, the outstanding problem in standard ellipsometry is that it uses a limited number of incident polarization states (s and p). This limits the technique to isotropic materials. The technique discussed in this paper extends the standard process to measure anisotropic materials by using a larger set of incident polarization states. By using a polarimeter to generate several incident polarization states and measure the polarization properties of the sample, ellipsometry can be performed on biaxial materials. Use of an optimization algorithm in conjunction with biaxial ellipsometry can more accurately determine the dielectric tensor of individual layers in multilayer structures. Biaxial ellipsometry is a technique that measures the dielectric tensors of a biaxial substrate, single-layer thin film, or multi-layer structure. The dielectric tensor of a biaxial material consists of the real and imaginary parts of the three orthogonal principal indices (n x + ikx, ny +iky and nz + i kz) as well as three Euler angles (alpha, beta and gamma) to describe its orientation. The method utilized in this work measures an angle-of-incidence Mueller matrix from a Mueller matrix imaging polarimeter equipped with a pair of microscope objectives that have low polarization properties. To accurately determine the dielectric tensors for multilayer samples, the angle-of-incidence Mueller matrix images are collected for multiple wavelengths. This is done in either a transmission mode or a reflection mode, each incorporates an appropriate dispersion model. Given approximate a priori knowledge of the dielectric