Sample records for oxygen plasma processing

  1. Fluorophore-based sensor for oxygen radicals in processing plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhury, Faraz A.; Shohet, J. Leon, E-mail: shohet@engr.wisc.edu; Sabat, Grzegorz

    2015-11-15

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye thatmore » is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye.« less

  2. Sterilization by pure oxygen plasma and by oxygen-hydrogen peroxide plasma: an efficacy study.

    PubMed

    Boscariol, M R; Moreira, A J; Mansano, R D; Kikuchi, I S; Pinto, T J A

    2008-04-02

    Plasma is an innovative sterilization method characterized by a low toxicity to operators and patients, and also by its operation at temperatures close to room temperatures. The use of different parameters for this method of sterilization and the corresponding results were analyzed in this study. A low-pressure inductive discharge was used to study the plasma sterilization processes. Oxygen and a mixture of oxygen and hydrogen peroxide were used as plasma source gases. The efficacy of the processes using different combinations of parameters such as plasma-generation method, type of gas, pressure, gas flow rate, temperature, power, and exposure time was evaluated. Two phases were developed for the processes, one using pure oxygen and the other a mixture of gases. Bacillus subtilis var. niger ATCC 9372 (Bacillus atrophaeus) spores inoculated on glass coverslips were used as biological indicators to evaluate the efficacy of the processes. All cycles were carried out in triplicate for different sublethal exposure times to calculate the D value by the enumeration method. The pour-plate technique was used to quantify the spores. D values of between 8 and 3 min were obtained. Best results were achieved at high power levels (350 and 400 W) using pure oxygen, showing that plasma sterilization is a promising alternative to other sterilization methods.

  3. How plasma induced oxidation, oxygenation, and de-oxygenation influences viability of skin cells

    NASA Astrophysics Data System (ADS)

    Oh, Jun-Seok; Strudwick, Xanthe; Short, Robert D.; Ogawa, Kotaro; Hatta, Akimitsu; Furuta, Hiroshi; Gaur, Nishtha; Hong, Sung-Ha; Cowin, Allison J.; Fukuhara, Hideo; Inoue, Keiji; Ito, Masafumi; Charles, Christine; Boswell, Roderick W.; Bradley, James W.; Graves, David B.; Szili, Endre J.

    2016-11-01

    The effect of oxidation, oxygenation, and de-oxygenation arising from He gas jet and He plasma jet treatments on the viability of skin cells cultured in vitro has been investigated. He gas jet treatment de-oxygenated cell culture medium in a process referred to as "sparging." He plasma jet treatments oxidized, as well as oxygenated or de-oxygenated cell culture medium depending on the dissolved oxygen concentration at the time of treatment. He gas and plasma jets were shown to have beneficial or deleterious effects on skin cells depending on the concentration of dissolved oxygen and other oxidative molecules at the time of treatment. Different combinations of treatments with He gas and plasma jets can be used to modulate the concentrations of dissolved oxygen and other oxidative molecules to influence cell viability. This study highlights the importance of a priori knowledge of the concentration of dissolved oxygen at the time of plasma jet treatment, given the potential for significant impact on the biological or medical outcome. Monitoring and controlling the dynamic changes in dissolved oxygen is essential in order to develop effective strategies for the use of cold atmospheric plasma jets in biology and medicine.

  4. Cold plasma processing of local planetary ores for oxygen and metallurgically important metals

    NASA Technical Reports Server (NTRS)

    Lynch, D. C.; Bullard, D.; Ortega, R.

    1990-01-01

    The utilization of a cold plasma in chlorination processing is described. Essential equipment and instruments were received, the experimental apparatus assembled and tested, and preliminary experiments conducted. The results of the latter lend support to the original hypothesis: a cold plasma can both significantly enhance and bias chemical reactions. In two separate experiments, a cold plasma was used to reduce TiCl4 vapor and chlorinate ilmenite. The latter, reacted in an argon-chlorine plasma, yielded oxygen. The former experiment reveals that chlorine can be recovered as HCl vapor from metal chlorides in a hydrogen plasma. Furthermore, the success of the hydrogen experiments has lead to an analysis of the feasibility of direct hydrogen reduction of metal oxides in a cold plasma. That process would produce water vapor and numerous metal by-products.

  5. Sterilization by oxygen plasma

    NASA Astrophysics Data System (ADS)

    Moreira, Adir José; Mansano, Ronaldo Domingues; Andreoli Pinto, Terezinha de Jesus; Ruas, Ronaldo; Zambon, Luis da Silva; da Silva, Mônica Valero; Verdonck, Patrick Bernard

    2004-07-01

    The use of polymeric medical devices has stimulated the development of new sterilization methods. The traditional techniques rely on ethylene oxide, but there are many questions concerning the carcinogenic properties of the ethylene oxide residues adsorbed on the materials after processing. Another common technique is the gamma irradiation process, but it is costly, its safe operation requires an isolated site and it also affects the bulk properties of the polymers. The use of a gas plasma is an elegant alternative sterilization technique. The plasma promotes an efficient inactivation of the micro-organisms, minimises the damage to the materials and presents very little danger for personnel and the environment. Pure oxygen reactive ion etching type of plasmas were applied to inactivate a biologic indicator, the Bacillus stearothermophilus, to confirm the efficiency of this process. The sterilization processes took a short time, in a few minutes the mortality was complete. In situ analysis of the micro-organisms' inactivating time was possible using emission spectrophotometry. The increase in the intensity of the 777.5 nm oxygen line shows the end of the oxidation of the biologic materials. The results were also observed and corroborated by scanning electron microscopy.

  6. [Biocompatibility of poly-L-lactic acid/Bioglass-guided bone regeneration membranes processed with oxygen plasma].

    PubMed

    Fang, Wei; Zeng, Shu-Guang; Gao, Wen-Feng

    2015-04-01

    To prepare and characterize a nano-scale fibrous hydrophilic poly-L-lactic acid/ Bioglass (PLLA/BG) composite membrane and evaluate its biocompatibility as a composite membrane for guiding bone regeneration (GBR). PLLA/BG-guided bone regeneration membrane was treated by oxygen plasma to improved its hydrophilicity. The growth of MG-63 osteoblasts on the membrane was observed using Hoechst fluorescence staining, and the biocompatibility of the membrane was evaluated by calculating the cells adhesion rate and proliferation rate. Osteogenesis of MG-63 cells was assessed by detecting alkaline phosphatase (ALP), and the formation of calcified nodules and cell morphology changes were observed using scanning electron microscope (SEM). The cell adhesion rates of PLLA/BG-guided bone regeneration membrane treated with oxygen plasma were (30.570±0.96)%, (47.27±0.78)%, and (66.78±0.69)% at 1, 3, and 6 h, respectively, significantly higher than those on PLLA membrane and untreated PLLA/BG membrane (P<0.01). The cell proliferation rates on the 3 membranes increased with time, but highest on oxygen plasma-treated PLLA/BG membrane (P<0.01). Hoechst fluorescence staining revealed that oxygen plasma treatment of the PLLA/BG membrane promoted cell adhesion. The membranes with Bioglass promoted the matrix secretion of the osteoblasts. Under SEM, the formation of calcified nodules and spindle-shaped cell morphology were observed on oxygen plasma-treated PLLA/BG membrane. Oxygen plasma-treated PLLA/BG composite membrane has good biocompatibility and can promote adhesion, proliferation and osteogenesis of the osteoblasts.

  7. Investigation of the relevant kinetic processes in the initial stage of a double-arcing instability in oxygen plasmas

    NASA Astrophysics Data System (ADS)

    Mancinelli, B.; Prevosto, L.; Chamorro, J. C.; Minotti, F. O.; Kelly, H.

    2018-05-01

    A numerical investigation of the kinetic processes in the initial (nanosecond range) stage of the double-arcing instability was developed. The plasma-sheath boundary region of an oxygen-operated cutting torch was considered. The energy balance and chemistry processes in the discharge were described. It is shown that the double-arcing instability is a sudden transition from a diffuse (glow-like) discharge to a constricted (arc-like) discharge in the plasma-sheath boundary region arising from a field-emission instability. A critical electric field value of ˜107 V/m was found at the cathodic part of the nozzle wall under the conditions considered. The field-emission instability drives in turn a fast electronic-to-translational energy relaxation mechanism, giving rise to a very fast gas heating rate of at least ˜109 K/s, mainly due to reactions of preliminary dissociation of oxygen molecules via the highly excited electronic state O2(B3Σu-) populated by electron impact. It is expected that this fast oxygen heating rate further stimulates the discharge contraction through the thermal instability mechanism.

  8. Oxygen transport in the internal xenon plasma of a dispenser hollow cathode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Capece, Angela M., E-mail: acapece@pppl.gov; Shepherd, Joseph E.; Polk, James E.

    2014-04-21

    Reactive gases such as oxygen and water vapor modify the surface morphology of BaO dispenser cathodes and degrade the electron emission properties. For vacuum cathodes operating at fixed temperature, the emission current drops rapidly when oxygen adsorbs on top of the low work function surface. Previous experiments have shown that plasma cathodes are more resistant to oxygen poisoning and can operate with O{sub 2} partial pressures one to two orders of magnitude higher than vacuum cathodes before the onset of poisoning occurs. Plasma cathodes used for electric thrusters are typically operated with xenon; however, gas phase barium, oxygen, and tungstenmore » species may be found in small concentrations. The densities of these minor species are small compared with the plasma density, and thus, their presence in the discharge does not significantly alter the xenon plasma parameters. It is important, however, to consider the transport of these minor species as they may deposit on the emitter surface and affect the electron emission properties. In this work, we present the results of a material transport model used to predict oxygen fluxes to the cathode surface by solving the species conservation equations in a cathode with a 2.25 mm diameter orifice operated at a discharge current of 15 A, a Xe flow rate of 3.7 sccm, and 100 ppm of O{sub 2}. The dominant ionization process for O{sub 2} is resonant charge exchange with xenon ions. Ba is effectively recycled in the plasma; however, BaO and O{sub 2} are not. The model shows that the oxygen flux to the surface is not diffusion-limited; therefore, the high resistance to oxygen poisoning observed in plasma cathodes likely results from surface processes not considered here.« less

  9. Biochar activated by oxygen plasma for supercapacitors

    NASA Astrophysics Data System (ADS)

    Gupta, Rakesh Kumar; Dubey, Mukul; Kharel, Parashu; Gu, Zhengrong; Fan, Qi Hua

    2015-01-01

    Biochar, also known as black carbon, is a byproduct of biomass pyrolysis. As a low-cost, environmental-friendly material, biochar has the potential to replace more expensive synthesized carbon nanomaterials (e.g. carbon nanotubes) for use in future supercapacitors. To achieve high capacitance, biochar requires proper activation. A conventional approach involves mixing biochar with a strong base and baking at a high temperature. However, this process is time consuming and energy inefficient (requiring temperatures >900 °C). This work demonstrates a low-temperature (<150 °C) plasma treatment that efficiently activates a yellow pine biochar. Particularly, the effects of oxygen plasma on the biochar microstructure and supercapacitor characteristics are studied. Significant enhancement of the capacitance is achieved: 171.4 F g-1 for a 5-min oxygen plasma activation, in comparison to 99.5 F g-1 for a conventional chemical activation and 60.4 F g-1 for untreated biochar. This enhancement of the charge storage capacity is attributed to the creation of a broad distribution in pore size and a larger surface area. The plasma activation mechanisms in terms of the evolution of the biochar surface and microstructure are further discussed.

  10. Cleaning Carbon Nanotubes by Use of Mild Oxygen Plasmas

    NASA Technical Reports Server (NTRS)

    Petkov, Mihail

    2006-01-01

    Experiments have shown that it is feasible to use oxygen radicals (specifically, monatomic oxygen) from mild oxygen plasmas to remove organic contaminants and chemical fabrication residues from the surfaces of carbon nanotubes (CNTs) and metal/CNT interfaces. A capability for such cleaning is essential to the manufacture of reproducible CNT-based electronic devices. The use of oxygen radicals to clean surfaces of other materials is fairly well established. However, previously, cleaning of CNTs and of graphite by use of oxygen plasmas had not been attempted because both of these forms of carbon were known to be vulnerable to destruction by oxygen plasmas. The key to success of the present technique is, apparently, to ensure that the plasma is mild . that is to say, that the kinetic and internal energies of the oxygen radicals in the plasma are as low as possible. The plasma oxygen-radical source used in the experiments was a commercial one marketed for use in removing hydrocarbons and other organic contaminants from vacuum systems and from electron microscopes and other objects placed inside vacuum systems. In use, the source is installed in a vacuum system and air is leaked into the system at such a rate as to maintain a background pressure of .0.56 torr (.75 Pa). In the source, oxygen from the air is decomposed into monatomic oxygen by radio-frequency excitation of a resonance of the O2 molecule (N2 is not affected). Hence, what is produced is a mild (non-energetic) oxygen plasma. The oxygen radicals are transported along with the air molecules in the flow created by the vacuum pump. In the experiments, exposure to the oxygen plasma in this system was shown to remove organic contaminants and chemical fabrication residues from several specimens. Many high-magnification scanning electron microscope (SEM) images of CNTs were taken before and after exposure to the oxygen plasma. As in the example shown in the figure, none of these images showed evidence of degradation

  11. Computational study of sheath structure in oxygen containing plasmas at medium pressures

    NASA Astrophysics Data System (ADS)

    Hrach, Rudolf; Novak, Stanislav; Ibehej, Tomas; Hrachova, Vera

    2016-09-01

    Plasma mixtures containing active species are used in many plasma-assisted material treatment technologies. The analysis of such systems is rather difficult, as both physical and chemical processes affect plasma properties. A combination of experimental and computational approaches is the best suited, especially at higher pressures and/or in chemically active plasmas. The first part of our study of argon-oxygen mixtures was based on experimental results obtained in the positive column of DC glow discharge. The plasma was analysed by the macroscopic kinetic approach which is based on the set of chemical reactions in the discharge. The result of this model is a time evolution of the number densities of each species. In the second part of contribution the detailed analysis of processes taking place during the interaction of oxygen containing plasma with immersed substrates was performed, the results of the first model being the input parameters. The used method was the particle simulation technique applied to multicomponent plasma. The sheath structure and fluxes of charged particles to substrates were analysed in the dependence on plasma pressure, plasma composition and surface geometry.

  12. A study on the antimicrobial efficacy of RF oxygen plasma and neem extract treated cotton fabrics

    NASA Astrophysics Data System (ADS)

    Vaideki, K.; Jayakumar, S.; Thilagavathi, G.; Rajendran, R.

    2007-06-01

    The paper deals with a thorough investigation on the antimicrobial activity of RF oxygen plasma and Azadirachtin (neem extract) treated cotton fabric. The hydrophilicity of cotton fabric was found to improve when treated with RF oxygen plasma. The process parameters such as electrode gap, time of exposure and oxygen pressure have been varied to study their effect on improving the hydrophilicity of the cotton fabric. The static immersion test has been carried out to assess the hydrophilicity of the oxygen plasma treated samples and the process parameters were optimized based on these test results. The formation of carbonyl group during surface modification in the plasma treated sample was analysed using FTIR studies. The surface morphology has been studied using SEM micrographs. The antimicrobial activity was imparted to the RF oxygen plasma treated samples using methanolic extract of neem leaves containing Azadirachtin. The antimicrobial activity of these samples has been analysed and compared with the activity of the cotton fabric treated with neem extract alone. The investigation reveals that the surface modification due to RF oxygen plasma was found to increase the hydrophilicity and hence the antimicrobial activity of the cotton fabric when treated with Azadirachtin.

  13. Surface interaction of polyimide with oxygen ECR plasma

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P. S.; Bhoraskar, V. N.; Mandle, A. B.; Ganeshan, V.; Bhoraskar, S. V.

    2004-07-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis.

  14. Comparative analysis of polychlorinated biphenyl decomposition processes in air or argon (+oxygen) thermal plasma.

    PubMed

    Kostic, Z G; Stefanovic, P L; Pavlović, P B

    2000-07-10

    Thermal plasmas may solve one of the biggest toxic waste disposal problems. The disposal of polychlorinated biphenyls (PCBs) is a long standing problem which will get worse in the coming years, when 180000 tons of PCB-containing wastes are expected to accumulate in Europe (Hot ions break down toxic chemicals, New Scientist, 16 April 1987, p. 24.). The combustion of PCBs in ordinary incinerators (at temperature T approximately 1100 K, as measured near the inner wall of the combustion chamber (European Parliament and Council Directive on Incineration of Waste (COM/99/330), Europe energy, 543, Sept. 17, 1999, 1-23.)) can cause more problems than it solves, because highly toxic dioxins and dibenzofurans are formed if the combustion temperature is too low (T<1400 K). The paper presents a thermodynamic consideration and comparative analysis of PCB decomposition processes in air or argon (+oxygen) thermal plasmas.

  15. Methane Post-Processing for Oxygen Loop Closure

    NASA Technical Reports Server (NTRS)

    Greenwood, Zachary W.; Abney, Morgan B.; Miller, Lee

    2016-01-01

    State-of-the-art United States Atmospheric Revitalization carbon dioxide (CO2) reduction is based on the Sabatier reaction process, which recovers approximately 50% of the oxygen (O2) from crew metabolic CO2. Oxygen recovery from carbon dioxide is constrained by the limited availability of reactant hydrogen. Post-processing of methane to recover hydrogen with the Umpqua Research Company Plasma Pyrolysis Assembly (PPA) has the potential to further close the Atmospheric Revitalization oxygen loop. The PPA decomposes methane into hydrogen and hydrocarbons, predominantly acetylene, and a small amount of solid carbon. The hydrogen must then be purified before it can be recycled for additional oxygen recovery. Long duration testing and evaluation of a four crew-member sized PPA and a discussion of hydrogen recycling system architectures are presented.

  16. Fabrication of amorphous silica nanowires via oxygen plasma treatment of polymers on silicon

    NASA Astrophysics Data System (ADS)

    Chen, Zhuojie; She, Didi; Chen, Qinghua; Li, Yanmei; Wu, Wengang

    2018-02-01

    We demonstrate a facile non-catalytic method of fabricating silica nanowires at room temperature. Different polymers including photoresists, parylene C and polystyrene are patterned into pedestals on the silicon substrates. The silica nanowires are obtained via the oxygen plasma treatment on those pedestals. Compared to traditional strategies of silica nanowire fabrication, this method is much simpler and low-cost. Through designing the proper initial patterns and plasma process parameters, the method can be used to fabricate various regiment nano-scale silica structure arrays in any laboratory with a regular oxygen-plasma-based cleaner or reactive-ion-etching equipment.

  17. Tailoring properties of reduced graphene oxide by oxygen plasma treatment

    NASA Astrophysics Data System (ADS)

    Kondratowicz, Izabela; Nadolska, Małgorzata; Şahin, Samet; Łapiński, Marcin; Prześniak-Welenc, Marta; Sawczak, Mirosław; Yu, Eileen H.; Sadowski, Wojciech; Żelechowska, Kamila

    2018-05-01

    We report an easily controllable, eco-friendly method for tailoring the properties of reduced graphene oxide (rGO) by means of oxygen plasma. The effect of oxygen plasma treatment time (1, 5 and 10 min) on the surface properties of rGO was evaluated. Physicochemical characterization using microscopic, spectroscopic and thermal techniques was performed. The results revealed that different oxygen-containing groups (e.g. carboxyl, hydroxyl) were introduced on the rGO surface enhancing its wettability. Furthermore, upon longer treatment time, other functionalities were created (e.g. quinones, lactones). Moreover, external surface of rGO was partially etched resulting in an increase of the material surface area and porosity. Finally, the oxygen plasma-treated rGO electrodes with bilirubin oxidase were tested for oxygen reduction reaction. The study showed that rGO treated for 10 min exhibited twofold higher current density than untreated rGO. The oxygen plasma treatment may improve the enzyme adsorption on rGO electrodes by introduction of oxygen moieties and increasing the porosity.

  18. Chlorination processing of local planetary ores for oxygen and metallurgically important metals

    NASA Technical Reports Server (NTRS)

    Lynch, D. C.

    1989-01-01

    The use of chlorine to extract, reclaim, and purify metals has attractive possibilities for extraterrestrial processing of local planetary resources. While a complete cyclic process has been proposed for the recovery of metallurgically significant metals and oxygen, herein the chlorination step of the cycle is examined. An experimental apparatus for reacting refractory materials, such as ilmenite, in a microwave induced plasma is being built. Complex equilibria calculations reveal that stable refractory materials can, under the influence of a plasma, undergo chlorination and yield oxygen as a by-product. These issues and the potential advantages for plasma processing in space are reviewed. Also presented is a discussion of the complex equilibria program used in the analysis.

  19. Low-Temperature Sterilization with Surface-Wave-Excited Oxygen Plasma

    NASA Astrophysics Data System (ADS)

    Nagatsu, Masaaki; Terashita, Fumie; Koide, Yukio

    2003-07-01

    Low-temperature plasma sterilization has been experimentally demonstrated using surface-wave plasma excited by a 2.45 GHz microwave. With the spores of Bacillus stearothermophilus and Bacillus subtilis as biological indicators, we have carried out the plasma sterilization experiments by varying the irradiation period of oxygen plasma discharges. It was experimentally confirmed that the spores with a population of 1.5 × 106 were sterilized by irradiating them with oxygen plasma discharges generated with a microwave power of 700 W at a pressure of 60-80 mTorr for 3 min or longer. From the scanning electron microscopy (SEM) analysis of the spores, we found that the sterilized spores clearly had different sizes and shapes compared with those before the plasma irradiation. Furthermore, present experiments suggested that the changes of spore shapes were mainly attributed to the reactive interactions with oxygen radicals.

  20. Characterization of atomic oxygen from an ECR plasma source

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Bhoraskar, V. N.; Mandale, A. B.; Sainkar, S. R.; Bhoraskar, S. V.

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ~1×1020 to ~10×1020 atom m-3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  1. Plasma characterization studies for materials processing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pfender, E.; Heberlein, J.

    New applications for plasma processing of materials require a more detailed understanding of the fundamental processes occurring in the processing reactors. We have developed reactors offering specific advantages for materials processing, and we are using modeling and diagnostic techniques for the characterization of these reactors. The emphasis is in part set by the interest shown by industry pursuing specific plasma processing applications. In this paper we report on the modeling of radio frequency plasma reactors for use in materials synthesis, and on the characterization of the high rate diamond deposition process using liquid precursors. In the radio frequency plasma torchmore » model, the influence of specific design changes such as the location of the excitation coil on the enthalpy flow distribution is investigated for oxygen and air as plasma gases. The diamond deposition with liquid precursors has identified the efficient mass transport in form of liquid droplets into the boundary layer as responsible for high growth, and the chemical properties of the liquid for the film morphology.« less

  2. Effect of oxygen plasma on nanomechanical silicon nitride resonators

    NASA Astrophysics Data System (ADS)

    Luhmann, Niklas; Jachimowicz, Artur; Schalko, Johannes; Sadeghi, Pedram; Sauer, Markus; Foelske-Schmitz, Annette; Schmid, Silvan

    2017-08-01

    Precise control of tensile stress and intrinsic damping is crucial for the optimal design of nanomechanical systems for sensor applications and quantum optomechanics in particular. In this letter, we study the influence of oxygen plasma on the tensile stress and intrinsic damping of nanomechanical silicon nitride resonators. Oxygen plasma treatments are common steps in micro and nanofabrication. We show that oxygen plasma for only a few minutes oxidizes the silicon nitride surface, creating several nanometer thick silicon dioxide layers with a compressive stress of 1.30(16) GPa. Such oxide layers can cause a reduction in the effective tensile stress of a 50 nm thick stoichiometric silicon nitride membrane by almost 50%. Additionally, intrinsic damping linearly increases with the silicon dioxide film thickness. An oxide layer of 1.5 nm grown in just 10 s in a 50 W oxygen plasma almost doubled the intrinsic damping. The oxide surface layer can be efficiently removed in buffered hydrofluoric acid.

  3. Capillary-tube-based oxygen/argon micro-plasma system for the inactivation of bacteria suspended in aqueous solution.

    PubMed

    Weng, Chih-Chiang; Liao, Juinn-Der; Chen, Hsin-Hung; Lin, Tung-Yi; Huang, Chih-Ling

    2011-09-01

    An aqueous solution containing Escherichia coli can be completely inactivated within a short treatment time using a capillary-tube-based oxygen/argon micro-plasma source. A capillary-tube-based oxygen/argon micro-plasma system with a hollow inner electrode was ignited by a 13.56 MHz radio frequency power supply with a matching network and characterised by optical emission spectroscopy. An aqueous solution containing E. coli was then treated at various the working distances, plasma exposure durations, and oxygen ratios in argon micro-plasma. The treated bacteria were then assessed and qualitatively investigated. The morphologies of treated bacteria were examined using a scanning electron microscope (SEM). In the proposed oxygen/argon micro-plasma system, the intensities of the main emission lines of the excited species, nitric oxide (NO), hydrated oxide (OH), argon (Ar), and atomic oxygen (O), fluctuated with the addition of oxygen to argon micro-plasma. Under a steady state of micro-plasma generation, the complete inactivation of E. coli in aqueous solution was achieved within 90 s of argon micro-plasma exposure time with a working distance of 3 mm. SEM micrographs reveal obvious morphological damage to the treated E. coli. The addition of oxygen to argon micro-plasma increased the variety of O-containing excited species. At a given supply power, the relative intensities of the excited species, NO and OH, correlated with the ultraviolet (UV) intensity, decreased. For the proposed capillary-tube-based micro-plasma system with a hollow inner electrode, the oxygen/argon micro-plasma source is efficient in inactivating E. coli in aqueous solution. The treatment time required for the inactivation process decreases with decreasing working distance or the increasing synthesised effect of reactive species and UV intensity.

  4. Oxygen Plasma Effect on QCM Sensor Coated Polystyrene Film

    NASA Astrophysics Data System (ADS)

    Khusnah, N. F.; Sakti, S. P.; Santjojo, D. J. D. H.

    2018-05-01

    Hydrophobicity property of polystyrene (PS) thin film is one of the essential factors to be considered in the development of quartz crystal microbalance (QCM) biosensor using polystyrene as matrix layer. Many methods were developed to improve the immobilization rate of the biomolecule on the sensor surface without affecting the QCM essential works. Surface modification of the sensor surface aims to modify the physical and or chemical property of the surface. A straightforward method, the fast, environmentally-friendly, and low-cost solution to modify the sensor surface coated with polystyrene film is using oxygen plasma. In this experiment, the polystyrene film was spin-coated on both surface of QCM electrodes and then heated at 100 °C. The specimen is then placed for 5 min long in a chamber filled with oxygen plasma generated by 2 MHz RF-DC high-density plasma system. The relationship between DC-bias used and the changes in morphology properties of the coated film was characterized by Topography Measurement System (TMS) and Contact Angle Measurement. The electrical characteristic of QCM was also characterized using Impedance Analyzer. It was revealed that the contact angle of oxygen plasma treated film is changed and depicted the hydrophobic character. Also, there is an increasing resonance frequency of the sensor after oxygen plasma treatment indicates an etching mechanism occurs during plasma treatment.

  5. Oxygen production processes on the Moon: An overview

    NASA Technical Reports Server (NTRS)

    Taylor, Lawrence A.; Carrier, W. David, III

    1991-01-01

    The production of oxygen on the Moon utilizing indigenous material is paramount to a successful lunar colonization. Several processes were put forth to accomplish this. The lunar liquid oxygen (LLOX) generation schemes which have received the most study to date are those involving: (1) the reduction of ilmenite (FeTiO3) by H2, C, CO, CH4, CO-Cl2 plasma; (2) magma electrolysis, both unadulterated and fluoride-fluxed, and (3) several others, including carbo-chlorination, HF acid leaching, fluorine extraction, magma oxidation, and vapor pyrolysis. The H2 reduction of ilmenite and magma electrolysis processes have received the most study to date. At this stage of development, they both appear feasible schemes with various pros and cons. However, all processes should be addressed at least at the onset of the considerations. It is ultimatley the energy requirements of the entire process, including the acquisition of feedstock, which will determine the mode of oxygen productions. There is an obvious need for considerably more experimentation and study. Some of these requisite studies are in progress, and several of the most studied and feasible processes for winning oxygen from lunar materials are reviewed.

  6. Impact of oxygen plasma postoxidation process on Al2O3/n-In0.53Ga0.47As metal-oxide-semiconductor capacitors

    NASA Astrophysics Data System (ADS)

    Lechaux, Y.; Fadjie-Djomkam, A. B.; Bollaert, S.; Wichmann, N.

    2016-09-01

    Capacitance-voltage (C-V) measurements and x-ray photoelectron spectroscopy (XPS) analysis were performed in order to investigate the effect of a oxygen (O2) plasma after oxide deposition on the Al2O3/n-In0.53Ga0.47As metal-oxide-semiconductor structure passivated with ammonia NH4OH solution. From C-V measurements, an improvement of charge control is observed using the O2 plasma postoxidation process on In0.53Ga0.47As, while the minimum of interface trap density remains at a good value lower than 1 × 1012 cm-2 eV-1. From XPS measurements, we found that NH4OH passivation removes drastically the Ga and As native oxides on the In0.53Ga0.47As surface and the O2 plasma postoxidation process enables the reduction of interface re-oxidation after post deposition annealing (PDA) of the oxide. The advanced hypothesis is the formation of interfacial barrier between Al2O3 and In0.53Ga0.47As which prevents the diffusion of oxygen species into the semiconductor surface during PDA.

  7. Treatment Characteristics of Polysaccharides and Endotoxin Using Oxygen Plasma Produced by RF Discharge

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kitazaki, Satoshi; Hayashi, Nobuya; Goto, Masaaki

    Treatment of polysaccharides and endotoxin were attempted using oxygen plasma produced by RF discharge. Oxygen radicals observed by optical light emission spectra are factors of decomposition of polysaccharides and endotoxin. Fourier transform infrared spectra indicate that most of chemical bonds in the polysaccharides are dissociated after irradiation of the oxygen plasma. Also, the decomposition rate of endotoxin was approximately 90% after irradiation of the oxygen plasma for 180 min.

  8. Treatment Characteristics of Polysaccharides and Endotoxin Using Oxygen Plasma Produced by RF Discharge

    NASA Astrophysics Data System (ADS)

    Kitazaki, Satoshi; Hayashi, Nobuya; Goto, Masaaki

    2010-10-01

    Treatment of polysaccharides and endotoxin were attempted using oxygen plasma produced by RF discharge. Oxygen radicals observed by optical light emission spectra are factors of decomposition of polysaccharides and endotoxin. Fourier transform infrared spectra indicate that most of chemical bonds in the polysaccharides are dissociated after irradiation of the oxygen plasma. Also, the decomposition rate of endotoxin was approximately 90% after irradiation of the oxygen plasma for 180 min.

  9. Fluorine and oxygen plasma influence on nanoparticle formation and aggregation in metal oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    MÄ dzik, Mateusz; Elamurugu, Elangovan; Viegas, Jaime

    2017-03-01

    Despite recent advances in metal oxide thin-film transistor technology, there are no foundry processes available yet for large-scale deployment of metal oxide electronics and photonics, in a similar way as found for silicon based electronics and photonics. One of the biggest challenges of the metal oxide platform is the stability of the fabricated devices. Also, there is wide dispersion on the measured specifications of fabricated TFT, from lot-to-lot and from different research groups. This can be partially explained by the importance of the deposition method and its parameters, which determine thin film microstructure and thus its electrical properties. Furthermore, substrate pretreatment is an important factor, as it may act as a template for material growth. Not so often mentioned, plasma processes can also affect the morphology of deposited films on further deposition steps, such as inducing nanoparticle formation, which strongly impact the conduction mechanism in the channel layer of the TFT. In this study, molybdenum doped indium oxide is sputtered onto ALD deposited HfO2 with or without pattering, and etched by RIE chlorine based processing. Nanoparticle formation is observed when photoresist is removed by oxygen plasma ashing. HfO2 etching in CF4/Ar plasma prior to resist stripping in oxygen plasma promotes the aggregation of nanoparticles into nanosized branched structures. Such nanostructuring is absent when oxygen plasma steps are replaced by chemical wet processing with acetone. Finally, in order to understand the electronic transport effect of the nanoparticles on metal oxide thin film transistors, TFT have been fabricated and electrically characterized.

  10. Atomic Oxygen Durability Evaluation of Protected Polymers Using Thermal Energy Plasma Systems

    NASA Technical Reports Server (NTRS)

    Banks, Bruce A.; Rutledge, Sharon K.; Degroh, Kim K.; Stidham, Curtis R.; Gebauer, Linda; Lamoreaux, Cynthia M.

    1995-01-01

    The durability evaluation of protected polymers intended for use in low Earth orbit (LEO) has necessitated the use of large-area, high-fluence, atomic oxygen exposure systems. Two thermal energy atomic oxygen exposure systems which are frequently used for such evaluations are radio frequency (RF) plasma ashers and electron cyclotron resonance plasma sources. Plasma source testing practices such as ample preparation, effective fluence prediction, atomic oxygen flux determination, erosion measurement, operational considerations, and erosion yield measurements are presented. Issues which influence the prediction of in-space durability based on ground laboratory thermal energy plasma system testing are also addressed.

  11. Optical in situ monitoring of plasma-enhanced atomic layer deposition process

    NASA Astrophysics Data System (ADS)

    Zeeshan Arshad, Muhammad; Jo, Kyung Jae; Kim, Hyun Gi; Jeen Hong, Sang

    2018-06-01

    An optical in situ process monitoring method for the early detection of anomalies in plasma process equipment is presented. Cyclic process steps of precursor treatment and plasma reaction for the deposition of an angstrom-scale film increase their complexity to ensure the process quality. However, a small deviation in process parameters, for instance, gas flow rate, process temperature, or RF power, may jeopardize the deposited film quality. As a test vehicle for the process monitoring, we have investigated the aluminum-oxide (Al2O3) encapsulation process in plasma-enhanced atomic layer deposition (PEALD) to form a moisture and oxygen diffusion barrier in organic-light emitting diodes (OLEDs). By optical in situ monitoring, we successfully identified the reduction in oxygen flow rates in the reaction steps, which resulted in a 2.67 times increase in the water vapor transmission ratio (WVTR) of the deposited Al2O3 films. Therefore, we are convinced that the suggested in situ monitoring method is useful for the detection of process shifts or drifts that adversely affect PEALD film quality.

  12. Rapid-onset plasma leakage of extracorporeal oxygenation membranes possibly due to hyperbilirubinemia.

    PubMed

    Kida, Yoshiko; Ohshimo, Shinichiro; Kyo, Michihito; Tanabe, Yuko; Suzuki, Kei; Hosokawa, Koji; Shime, Nobuaki

    2018-06-02

    Extracorporeal membrane oxygenation (ECMO) is an emerging tool for supporting cardiopulmonary function in patients with cardiorespiratory failure or arrest. The oxygenator of the ECMO circuit requires effective oxygenation and removal of carbon dioxide from the blood. Major problems that can occur with the oxygenator include plasma leakage, one of the late-onset serious complications necessitating device replacement. However, the rapid onset of plasma leakage is rare. We present a 1-year-old boy with acute respiratory failure due to Pneumocystis and Aspergillus pneumonia. He presented with tachypnea, tachycardia, and hypoxemia despite the ventilatory support, and was therefore placed on venoarterial ECMO with a drainage catheter from the right internal jugular vein (12 Fr) and a return catheter to the right internal carotid artery (10 Fr). Extracorporeal circulation was initiated at a blood flow of 1 L/min (145 mL/kg/min) and a sweep gas flow of 1 L/min with FiO 2 of 0.7. Although he was successfully weaned from the venoarterial ECMO on day 15 with an improvement of cardiopulmonary function, he was later placed on venoarterial ECMO again because of the progression of pulmonary hypertension. Laboratory tests showed increased concentrations of hepatic enzymes and hyperbilirubinemia (total bilirubin 31.6 mg/dL). Six hours after starting ECMO circulation, plasma leakage from the oxygenator occurred. Although we replaced the oxygenator with a new one, the replacement showed plasma leakage after 6 h. Disassembly of the oxygenator revealed congestion from bilirubin in the membrane fibers. We described a case of repeated, rapid-onset plasma leakage after implementation of ECMO. Hyperbilirubinemia was likely associated with the plasma leakage of this patient.

  13. Importance of atomic oxygen in preheating zone in plasma-assisted combustion of a steady-state premixed burner flame

    NASA Astrophysics Data System (ADS)

    Zaima, K.; Akashi, H.; Sasaki, K.

    2015-09-01

    It is widely believed that electron impact processes play essential roles in plasma-assisted combustion. However, the concrete roles of high-energy electrons have not been fully understood yet. In this work, we examined the density of atomic oxygen in a premixed burner flame with the superposition of dielectric barrier discharge (DBD). The density of atomic oxygen in the reaction zone was not affected by the superposition of DBD, indicating that the amount of atomic oxygen produced by combustion reactions was much larger than that produced by electron impact processes. On the other hand, in the preheating zone, we observed high-frequency oscillation of the density of atomic oxygen at the timings of the pulsed current of DBD. The oscillation suggests the rapid consumption of additional atomic oxygen by combustion reactions. A numerical simulation using Chemkin indicates the shortened ignition delay time when adding additional atomic oxygen in the period of low-temperature oxidation. The present results reveals the importance of atomic oxygen, which is produced by the effect of high-energy electrons, in the preheating zone in plasma-assisted combustion of the steady-state premixed burner flame.

  14. Formation of Nanocones on Highly Oriented Pyrolytic Graphite by Oxygen Plasma

    PubMed Central

    Vesel, Alenka; Eleršič, Kristina; Modic, Martina; Junkar, Ita; Mozetič, Miran

    2014-01-01

    Improvement in hemocompatibility of highly oriented pyrolytic graphite (HOPG) by formation of nanostructured surface by oxygen plasma treatment is reported. We have showed that by appropriate fine tuning of plasma and discharge parameters we are able to create nanostructured surface which is densely covered with nanocones. The size of the nanocones strongly depended on treatment time. The optimal results in terms of material hemocompatibility were obtained after treatment with oxygen plasma for 15 s, when both the nanotopography and wettability were the most favorable, since marked reduction in adhesion and activation of platelets was observed on this surface. At prolonged treatment times, the rich surface topography was lost and thus also its antithrombogenic properties. Chemical composition of the surface was always more or less the same, regardless of its morphology and height of the nanocones. Namely, on all plasma treated samples, only a few atomic percent of oxygen was found, meaning that plasma caused mostly etching, leading to changes in the surface morphology. This indicates that the main preventing mechanism against platelets adhesion was the right surface morphology. PMID:28788553

  15. Effects of oxygen plasma treatment on domestic aramid fiber III reinforced bismaleimide composite interfacial properties

    NASA Astrophysics Data System (ADS)

    Shi, Chen; Wang, Jing; Chen, Ping; Feng, Jiayue; Cui, Jinyuan; Yang, Faze

    2017-12-01

    Domestic Aramid Fiber III (DAF III) was modified by oxygen plasma treatment. The fiber surface characteristics was observed by Scanning Electron Microscopy. The results showed that oxygen plasma treatment changed surface morphologies. The effects of oxygen plasma treatment on DAF III reinforced bismaleimides (BMI) composite bending and interfacial properties were investigated, respectively. The ILSS value increased from 49.3 MPa to 56.0 MPa (by 13.5%) after oxygen plasma treatment. The bending strength changed a little. Furthermore, the composite rupture mode changed from interfacial rupture to fiber or resin bulk rupture.

  16. Surface characterization and adhesion of oxygen plasma-modified LARC-TPI

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chin, J.W.; Wightman, J.P.

    1992-01-01

    LARC-TPI, an aromatic thermoplastic polyimide, was exposed to an oxygen plasma as a surface pretreatment of adhesive bonding. Chemical and physical changes which occurred in the polyimide surface as a result of the plasma treatment were investigated using X-ray photoelectron spectroscopy (XPS), infrared reflection-absorption spectroscopy (IR-RAS), contact angle analysis, ellipsometry and high resolution scanning electron microscopy (HR-SEM). A 180{degree} peel test with an acrylate-based pressure sensitive adhesive as a flexible adherend was utilized to study the interactions of the plasma-treated polyimide surface with other polymeric materials. The surface characterization and adhesion testing results showed that the oxygen plasma treatment, whilemore » creating a more hydrophilic, polar surface, also caused chain scission resulting in the formation of a weak boundary layer which inhibited adhesion.« less

  17. Upgrade of Long-chain Hydrocarbons by Low Pressure Oxygen Plasmas

    NASA Astrophysics Data System (ADS)

    Patiño, Pedro; Méndez, Bernardo; Gambús, Gloria

    1998-10-01

    Huge known heavy oil deposits in many countries remain largely untapped. The API gravity of crude oils has been decreasing by about 0.17% per year, this meaning that there will be an urgent need for economically viable new technologies to upgrade the heavy oil for the refineries. The same applies to the residues of several refineries processes. This work will present the results of the application of a plasma process to upgrade long-chain hydrocarbons, namely, tridecane, tetradecane, and squalane (shark oil). They are high boiling point alkanes, the latter being a C_30H_62 with six methyl groups attached to various carbon positions on the chain. An oxygen plasma, created by a high voltage glow discharge, reached the low vapor pressure surface of each liquid hydrocarbon. This (2 mL) was cooled down to temperatures close to its freezing point in a glass reactor. Applied power was 24 W for times of reaction between 30 and 60 minutes and oxygen pressures from 0.1 to 0.4 mbar. Products were analyzed by IR and NMR spectroscopies. The ^1H and ^13C NMR spectra showed that the most important products were secondary alcohols and the corresponding ketones, for tridecane and tetradecane. For squalane, tertiary alcohols were first. Total conversions are tipically 90 to 100%

  18. In-situ plasma processing to increase the accelerating gradients of SRF cavities

    DOE PAGES

    Doleans, Marc; Afanador, Ralph; Barnhart, Debra L.; ...

    2015-12-31

    A new in-situ plasma processing technique is being developed at the Spallation Neutron Source (SNS) to improve the performance of the cavities in operation. The technique utilizes a low-density reactive oxygen plasma at room temperature to remove top surface hydrocarbons. The plasma processing technique increases the work function of the cavity surface and reduces the overall amount of vacuum and electron activity during cavity operation; in particular it increases the field emission onset, which enables cavity operation at higher accelerating gradients. Experimental evidence also suggests that the SEY of the Nb surface decreases after plasma processing which helps mitigating multipactingmore » issues. This article discusses the main developments and results from the plasma processing R&D are presented and experimental results for in-situ plasma processing of dressed cavities in the SNS horizontal test apparatus.« less

  19. Effective utilization of ozone in plasma-based advanced oxidation process

    NASA Astrophysics Data System (ADS)

    Takeuchi, Nozomi; Ishibashi, Naoto; Sugiyama, Tsuyoshi; Kim, Hyun-Ha

    2018-05-01

    Decomposition of acetic acid in water was conducted using multiple plasmas generated within oxygen bubbles. Ballast capacitors were used to control the plasma input power, allowing hydrogen peroxide and ozone to be produced at different rates in each plasma by adjusting the capacitance. By using an ozone absorber connected to the plasma reactor, OH radicals, both generated by the plasmas directly and reproduced from hydrogen peroxide through reactions with ozone, could be effectively utilized for the reduction of total organic carbon (TOC). Under the condition with the highest ozone production rate, higher processing speed and energy efficiency for the TOC reduction were achieved compared with other plasma methods.

  20. Effects of oxygen radicals in low-pressure surface-wave plasma on sterilization

    NASA Astrophysics Data System (ADS)

    Nagatsu, Masaaki; Terashita, Fumie; Nonaka, Hiroyuki; Xu, Lei; Nagata, Toshi; Koide, Yukio

    2005-05-01

    The effects of oxygen radicals on sterilization were studied using a 2.45GHz surface-wave oxygen plasma. A population of 1.5×106 Bacillus stearothermophilus spores was irradiated for 3min or more with oxygen plasma, generated at pressures between 6 and 14Pa. The decimal reduction value (D value), a measure of the effectiveness of sterilization, was determined to be about 15-25s. Using only oxygen radicals, excluding all charged particles, the 1.5×106 spores were sterilized with a D value of 30-45s after 5min or more of irradiation. On scanning electron microscopy, the length and width of the spores changed significantly due to chemical etching by oxygen radicals.

  1. Treatment of enterococcus faecalis bacteria by a helium atmospheric cold plasma brush with oxygen addition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen Wei; Huang Jun; Wang Xingquan

    2012-07-01

    An atmospheric cold plasma brush suitable for large area and low-temperature plasma-based sterilization is designed. Results demonstrate that the He/O{sub 2} plasma more effectively kills Enterococcus faecalis than the pure He plasma. In addition, the sterilization efficiency values of the He/O{sub 2} plasma depend on the oxygen fraction in Helium gas. The atmospheric cold plasma brush using a proper ratio of He/O{sub 2} (2.5%) reaches the optimum sterilization efficiency. After plasma treatment, the cell structure and morphology changes can be observed by the scanning electron microscopy. Optical emission measurements indicate that reactive species such as O and OH play amore » significant role in the sterilization process.« less

  2. Oxygen plasma ashing effects on aluminum and titanium space protective coatings

    NASA Technical Reports Server (NTRS)

    Synowicki, R.; Kubik, R. D.; Hale, J. S.; Peterkin, Jane; Nafis, S.; Woollam, John A.; Zaat, S.

    1991-01-01

    Using variable angle spectroscopic ellipsometry and atomic force microscopy (AFM), the surface roughness and oxidation of aluminum and titanium thin films have been studied as a function of substrate deposition temperature and oxygen plasma exposure. Increasing substrate deposition temperatures affect film microstructure by greatly increasing grain size. Short exposures to an oxygen plasma environment produce sharp spikes rising rapidly above the surface as seen by AFM. Ellipsometric measurements were made over a wide range of plasma exposure times, and results at longer exposure times suggest that the surface is greater than 30% void. This is qualitatively verified by the AFM images.

  3. Non-thermal dielectric barrier discharge plasma induces angiogenesis through reactive oxygen species.

    PubMed

    Arjunan, Krishna P; Clyne, Alisa Morss

    2011-01-01

    Vascularization plays a key role in processes such as wound healing and tissue engineering. Non-thermal plasma, which primarily produces reactive oxygen species (ROS), recently emerged as an efficient tool in medical applications. Liquids and endothelial cells were treated with a non-thermal dielectric barrier discharge plasma. Plasma treatment of phosphate buffered saline (PBS) and serum-free medium increased ROS concentration in a dose-dependent manner, with a higher concentration in serum-free medium. ROS concentration in cells peaked 1 hour after treatment. 4.2 J/cm(2) increased cell proliferation, 2D and 3D migration, as well as tube formation. A fibroblast growth factor-2 (FGF-2) neutralizing antibody and ROS scavengers for hydrogen peroxide and hydroxyl radicals abrogated these angiogenic effects. Non-thermal plasma may be a potential tool for applying ROS in precise doses to enhance vascularization.

  4. The influence of surface properties on the plasma dynamics in radio-frequency driven oxygen plasmas: Measurements and simulations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Greb, Arthur; Niemi, Kari; O'Connell, Deborah

    2013-12-09

    Plasma parameters and dynamics in capacitively coupled oxygen plasmas are investigated for different surface conditions. Metastable species concentration, electronegativity, spatial distribution of particle densities as well as the ionization dynamics are significantly influenced by the surface loss probability of metastable singlet delta oxygen (SDO). Simulated surface conditions are compared to experiments in the plasma-surface interface region using phase resolved optical emission spectroscopy. It is demonstrated how in-situ measurements of excitation features can be used to determine SDO surface loss probabilities for different surface materials.

  5. Cold plasma processing of local planetary ores for oxygen and metallurgically important metals

    NASA Technical Reports Server (NTRS)

    Lynch, D. C.; Bullard, D.; Ortega, R.

    1991-01-01

    The utilization of a cold or nonequilibrium plasma in chlorination processing is discussed. Titanium dioxide (TiO2) was successfully chlorinated at temperatures between 700 and 900 C without the aid of carbon. In addition to these initial experiments, a technique was developed for determining the temperature of a specimen in a plasma. Development of that technique has required evaluating the emissivity of TiO2, ZrO2, and FeOTiO2 and analyzing the specimen temperature in a plasma as a function of both power absorbed by the plasma and the pressure of the plasma. The mass spectrometer was also calibrated with TiCl4 and CCl4 vapor.

  6. Femtosecond, two-photon laser-induced-fluorescence imaging of atomic oxygen in an atmospheric-pressure plasma jet

    NASA Astrophysics Data System (ADS)

    Schmidt, Jacob B.; Sands, Brian L.; Kulatilaka, Waruna D.; Roy, Sukesh; Scofield, James; Gord, James R.

    2015-06-01

    Femtosecond, two-photon-absorption laser-induced-fluorescence (fs-TALIF) spectroscopy is employed to measure space- and time-resolved atomic-oxygen distributions in a nanosecond, repetitively pulsed, externally grounded, atmospheric-pressure plasma jet flowing helium with a variable oxygen admixture. The high-peak-intensity, low-average-energy femtosecond pulses result in increased TALIF signal with reduced photolytic inferences. This allows 2D imaging of absolute atomic-oxygen number densities ranging from 5.8   ×   1015 to 2.0   ×   1012cm-3 using a cooled CCD with an external intensifier. Xenon is used for signal and imaging-system calibrations to quantify the atomic-oxygen fluorescence signal. Initial results highlight a transition in discharge morphology from annular to filamentary, corresponding with a change in plasma chemistry from ozone to atomic oxygen production, as the concentration of oxygen in the feed gas is changed at a fixed voltage-pulse-repetition rate. In this configuration, significant concentrations of reactive oxygen species may be remotely generated by sustaining an active discharge beyond the confines of the dielectric capillary, which may benefit applications that require large concentrations of reactive oxygen species such as material processing or biomedical devices.

  7. Method for determining the effects of oxygen plasma on a specimen

    NASA Technical Reports Server (NTRS)

    Whitaker, Ann F. (Inventor)

    1991-01-01

    A method for determining the effects of exposure of oxygen plasma on a specimen such as a thin film polymer or thin metals. The method includes providing an apparatus with a chamber having a holder supporting the polymer specimen in a plasma environment provided in the chamber. The chamber is regulated to a predetermined pressure and set temperature prior to the introduction of oxygen plasma therein. The specimen is then subjected to the plasma environment for a predetermined time during which time the temperature of the specimen is sensed and regulated to be maintained at the set temperature. Temperature sensing is accomplished by a probe which senses any changes in bulk sample temperature. Temperature regulation is provided by a thermoelectric module and by a coolant flow tube.

  8. Effects of oxygen radicals in low-pressure surface-wave plasma on sterilization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nagatsu, Masaaki; Terashita, Fumie; Nonaka, Hiroyuki

    2005-05-23

    The effects of oxygen radicals on sterilization were studied using a 2.45 GHz surface-wave oxygen plasma. A population of 1.5x10{sup 6} Bacillus stearothermophilus spores was irradiated for 3 min or more with oxygen plasma, generated at pressures between 6 and 14 Pa. The decimal reduction value (D value), a measure of the effectiveness of sterilization, was determined to be about 15-25 s. Using only oxygen radicals, excluding all charged particles, the 1.5x10{sup 6} spores were sterilized with a D value of 30-45 s after 5 min or more of irradiation. On scanning electron microscopy, the length and width of themore » spores changed significantly due to chemical etching by oxygen radicals.« less

  9. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    NASA Astrophysics Data System (ADS)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  10. Oxygen Plasma Treatment on 3D-Printed Chitosan/Gelatin/Hydroxyapatite Scaffolds for Bone Tissue Engineering.

    PubMed

    Lee, Chang-Min; Yang, Seong-Won; Jung, Sang-Chul; Kim, Byung-Hoon

    2017-04-01

    The 3D hydroxyapatite/gelatin/chitosan composite scaffolds were fabricated by 3D printing technique. The scaffolds were treated by oxygen plasma to improve the bioactivity and its surface characterization and in vitro cell culture were investigated. The scaffolds exhibited the good porosity and interconnectivity of pores. After oxygen plasma etching, roughness and wettability on the scaffolds surface are increased. Plasma treated scaffolds showed higher proliferation than that of untreated scaffolds. Oxygen plasma treatment could be used as potential tool to enhance the biocompatibility on the 3D composite scaffolds.

  11. Ionized gas (plasma) delivery of reactive oxygen species (ROS) into artificial cells

    NASA Astrophysics Data System (ADS)

    Hong, Sung-Ha; Szili, Endre J.; Jenkins, A. Toby A.; Short, Robert D.

    2014-09-01

    This study was designed to enhance our understanding of how reactive oxygen species (ROS), generated ex situ by ionized gas (plasma), can affect the regulation of signalling processes within cells. A model system, comprising of a suspension of phospholipid vesicles (cell mimics) encapsulating a ROS reporter, was developed to study the plasma delivery of ROS into cells. For the first time it was shown that plasma unequivocally delivers ROS into cells over a sustained period and without compromising cell membrane integrity. An important consideration in cell and biological assays is the presence of serum, which significantly reduced the transfer efficiency of ROS into the vesicles. These results are key to understanding how plasma treatments can be tailored for specific medical or biotechnology applications. Further, the phospholipid vesicle ROS reporter system may find use in other studies involving the application of free radicals in biology and medicine.

  12. Treatment Characteristics of Second Order Structure of Proteins Using Low-Pressure Oxygen RF Plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hayashi, Nobuya; Nakahigashi, Akari; Kawaguchi, Ryutaro

    2010-10-13

    Removal of proteins from the surface of medical equipments is attempted using oxygen plasma produced by RF discharge. FTIR spectra indicate that the bonding of C-H and N-H in the casein protein is reduced after irradiation of oxygen plasma. Also, the second order structure of a protein such as {alpha}-helix and {beta}-sheet are modified by the oxygen plasma. Complete removal of casein protein with the concentration of 0.016 mg/cm{sup 2} that is equivalent to remnants on the medical equipment requires two hours avoiding the damage to medical equipments.

  13. Plasma corticosteroid dynamics in channel catfish, Ictalurus punctatus (Rafinesque), during and after oxygen depletion

    USGS Publications Warehouse

    Tomasso J.R., Davis; Parker, N.C.

    1981-01-01

    Plasma corticosteroid concentrations in channel catfish, Ictalurus punctatus, (normally 1.0 ± 0.3 μg/100 ml) increased significantly (to 5.9 ± 1.2μg/100 ml) in response to acute oxygen depletion and then returned to control levels within 30 min after the dissolved oxygen concentration was increased; however, a secondary increase in plasma corticosteroid levels was observed 6 h after exposure. Corticosteroid levels also increased in fish exposed to dissolved oxygen concentration of <0.2 mg/1 for three days. Methylene blue was not effective in preventing interrenal response to low dissolved oxygen. No diurnal plasma corticosteroid rhythm was observed in fish exposed to diurnal chemical rhythms of culture ponds.

  14. The structural and electrical evolution of graphene by oxygen plasma-induced disorder.

    PubMed

    Kim, Dong Chul; Jeon, Dae-Young; Chung, Hyun-Jong; Woo, YunSung; Shin, Jai Kwang; Seo, Sunae

    2009-09-16

    Evolution of a single graphene layer with disorder generated by remote oxygen plasma irradiation is investigated using atomic force microscopy, Raman spectroscopy and electrical measurement. Gradual changes of surface morphology from planar graphene to isolated granular structure associated with a decrease of transconductance are accounted for by two-dimensional percolative conduction by disorder and the oxygen plasma-induced doping effect. The corresponding evolution of Raman spectra of graphene shows several peculiarities such as a sudden appearance of a saturated D peak followed by a linear decrease in its intensity, a relatively inert characteristic of a D' peak and a monotonic increase of a G peak position as the exposure time to oxygen plasma increases. These are discussed in terms of a disorder-induced change of Raman spectra in the graphite system.

  15. Analysis of structural transformation in wool fiber resulting from oxygen plasma treatment using vibrational spectroscopy

    NASA Astrophysics Data System (ADS)

    Barani, Hossein; Haji, Aminoddin

    2015-01-01

    The aim of this study was to investigate the influence of oxygen plasma procedure at different time treatments on wool fiber using the micro-Raman spectroscopy as a non-destructive vibrational spectroscopic technique and Fourier transform infrared spectroscopy. The amide I and III regions, Csbnd C skeletal vibration region, and Ssbnd S and Csbnd S bonds vibration regions were analyzed with the Raman microscope. The Fourier transform infrared spectroscope analysis was employed to find out the effect of oxygen plasma treatment on the cysteic acid residues content of the wool fiber sample. The results indicated that the α-helix structure was the highest component content of wool fiber. Moreover, the protein secondary structure of wool fibers was transformed from α-helical arrangement to the β-pleated sheet configuration during the oxygen plasma treatment. Also, the disulphide bonds content in the treated wool fiber reduced because they were fractured and oxidized during oxygen plasma treatment. The oxygen plasma treated samples presented higher cysteic acid compared to the untreated wool samples due to produce more cleavage of disulfide linkages.

  16. Influence of oxygen in atmospheric-pressure argon plasma jet on sterilization of Bacillus atrophaeous spores

    NASA Astrophysics Data System (ADS)

    Lim, Jin-Pyo; Uhm, Han S.; Li, Shou-Zhe

    2007-09-01

    A nonequilibrium Ar /O2 plasma discharge at atmospheric pressure was carried out in a coaxial cylindrical reactor with a stepped electrode configuration powered by a 13.56MHz rf power supplier. The argon glow discharge with high electron density produces oxygen reactive species in large quantities. Argon plasma jets penetrate deep into ambient air and create a path for oxygen radicals to sterilize microbes. A sterilization experiment with bacterial endospores indicates that an argon-oxygen plasma jet very effectively kills endospores of Bacillus atrophaeus (ATCC 9372), thereby demonstrating its capability to clean surfaces and its usefulness for reinstating contaminated equipment as free from toxic biological warfare agents. The decimal reduction time (D values) of the Ar /O2 plasma jet at an exposure distance of 0.5-1.5cm ranges from 5 to 57s. An actinometric comparison of the sterilization data shows that atomic oxygen radicals play a significant role in plasma sterilization. When observed under a scanning electron microscope, the average size of the spores appears to be greatly reduced due to chemical reactions with the oxygen radicals.

  17. Surface energy modification for biomedical material by corona streamer plasma processing to mitigate bacterial adhesion

    NASA Astrophysics Data System (ADS)

    Alhamarneh, Ibrahim; Pedrow, Patrick

    2011-10-01

    Bacterial adhesion initiates biofouling of biomedical material but the processes can be reduced by adjusting the material's surface energy. The surface of surgical-grade 316L stainless steel (316L SS) had its hydrophilic property enhanced by processing in a corona streamer plasma reactor using atmospheric pressure Ar mixed with O2. Reactor excitation was 60 Hz ac high-voltage (<= 10 kV RMS) applied to a multi-needle-to-grounded-torus electrode configuration. Applied voltage and streamer current pulses were monitored with a broadband sensor system. When Ar/O2 plasma was used, the surface energy was enhanced more than with Ar plasma alone. Composition of the surface before and after plasma treatment was characterized by XPS. As the hydrophilicity of the treated surface increased so did percent of oxygen on the surface thus we concluded that reduction in contact angle was mainly due to new oxygen-containing functionalities. FTIR was used to identify oxygen containing groups on the surface. The aging effect that accompanies surface free energy adjustments was also observed.

  18. Effect of oxygen atoms dissociated by non-equilibrium plasma on flame of methane oxygen and argon pre-mixture gas

    NASA Astrophysics Data System (ADS)

    Akashi, Haruaki; Yoshinaga, Tomokazu; Sasaki, Koichi

    2014-10-01

    For more efficient way of combustion, plasma-assisted combustion has been investigated by many researchers. But it is very difficult to clarify the effect of plasma even on the flame of methane. Because there are many complex chemical reactions in combustion system. Sasaki et al. has reported that the flame length of methane and air premixed burner shortened by irradiating microwave power. They also measured emission from Second Positive Band System of nitrogen during the irradiation. The emission indicates existence of high energy electrons which are accelerated by the microwave. The high energy electrons also dissociate oxygen molecules easily and oxygen atom would have some effects on the flame. But the dissociation ratio of oxygen molecules by the non-equilibrium plasma is significantly low, compared to that in the combustion reaction. To clarify the effect of dissociated oxygen atoms on the flame, dependence of dissociation ratio of oxygen on the flame has been examined using CHEMKIN. It is found that in the case of low dissociation ratio of 10-6, the ignition of the flame becomes slightly earlier. It is also found that in the case of high dissociation ratio of 10-3, the ignition time becomes significantly earlier by almost half. This work was supported by KAKENHI (22340170).

  19. Effect of oxygen plasma modification on refractive index sensing with micro-cavity in-line Mach-Zehnder interferometer

    NASA Astrophysics Data System (ADS)

    Debowska, Anna K.; Dominik, Magdalena; Koba, Marcin; Janik, Monika; Bock, Wojtek; Śmietana, Mateusz

    2016-12-01

    A micro-cavity in-line Mach-Zehnder interferometer (μIMZI) is an optical sensing structure fabricated in an optical fiber. Its design allows for refractive index sensing of liquid and gas in picoliter volumes, making it suitable for biochemical and medical sensing where measured material is often scarce. The fabricated structures show satisfactory levels of sensitivity, from about 400 nm/RIU in the near-water range of solutions (nD 1.336+/-0.003 RIU) to about 16 000 nm/RIU for solutions in approximate range from nD = 1.35 RIU to nD = 1.4 RIU. The structures were subjected to oxygen plasma, the process which was supposed to modify physical parameters of the structures, i.e., cavity surface wettability and roughness, and in consequence their sensitivity. As a result of the oxygen plasma modification we have observed a improved wettability of the structure surface, what makes it easier to introduce liquid into the cavity and simplifies the measurement process. In the case where the plasma processing is preceded by biological layer deposition, the bottom surface of the structure is smoothed and slightly deepened, causing a shift in the transmission spectrum and change in sensitivity.

  20. Oxygen removal during pathogen inactivation with riboflavin and UV light preserves protein function in plasma for transfusion.

    PubMed

    Feys, H B; Van Aelst, B; Devreese, K; Devloo, R; Coene, J; Vandekerckhove, P; Compernolle, V

    2014-05-01

    Photochemical pathogen inactivation technologies (PCT) for individual transfusion products act by inhibition of replication through irreversibly damaging nucleic acids. Concern on the collateral impact of PCT on the blood component's integrity has caused reluctance to introduce this technology in routine practice. This work aims to uncover the mechanism of damage to plasma constituents by riboflavin pathogen reduction technology (RF-PRT). Activity and antigen of plasma components were determined following RF-PRT in the presence or absence of dissolved molecular oxygen. Employing ADAMTS13 as a sentinel molecule in plasma, our data show that its activity and antigen are reduced by 23 ± 8% and 29 ± 9% (n = 24), respectively, which corroborates with a mean decrease of 25% observed for other coagulation factors. Western blotting of ADAMTS13 shows decreased molecular integrity, with no obvious indication of additional proteolysis nor is riboflavin able to directly inhibit the enzyme. However, physical removal of dissolved oxygen prior to RF-PRT protects ADAMTS13 as well as FVIII and fibrinogen from damage, indicating a direct role for reactive oxygen species. Redox dye measurements indicate that superoxide anions are specifically generated during RF-PRT. Protein carbonyl content as a marker of disseminated irreversible biomolecular damage was significantly increased (3·1 ± 0·8 vs. 1·6 ± 0·5 nmol/mg protein) following RF-PRT, but not in the absence of dissolved molecular oxygen (1·8 ± 0·4 nmol/mg). RF-PRT of single plasma units generates reactive oxygen species that adversely affect biomolecular integrity of relevant plasma constituents, a side-effect, which can be bypassed by applying hypoxic conditions during the pathogen inactivation process. © 2013 International Society of Blood Transfusion.

  1. Antioxidants may Attenuate Plasma Erythropoietin Decline after Hyperbaric Oxygen Diving.

    PubMed

    Mutzbauer, T S; Schneider, M; Neubauer, B; Weiss, M; Tetzlaff, K

    2015-11-01

    According to previous studies, plasma erythropoietin (EPO) may decrease after hyperbaric oxygen exposure due to oxidative stress. It is hypothesized that the decrease of EPO can be attenuated by oxygen free radical scavengers.The aim of the present study was to evaluate whether EPO plasma levels can be influenced by oral application of vitamin C and E before repeated hyperbaric oxygen exposure during diving. 16 healthy male police task force divers performed 3 morning dives on oxygen within a regular diving schedule on 3 consecutive days. They were randomized into either the placebo group or the vitamin group, receiving 1 g ascorbic acid and 600 IU D-α-tocopherol orally 60 min before the dive. Blood samples for EPO measurement were taken on days 1, 2, and 3 at T1, T3 and T5 60 min before and at T2, T4 and T6 60 min after each dive, respectively. A moderate decrease of EPO was observed beginning at T3 until T6 in the placebo group. The EPO concentrations in the vitamin group did not show relevant variations compared to baseline. Radical scavenging vitamins C and D may counteract hyperbaric oxygen related mechanisms reducing EPO production in hyperbaric oxygen exposure during diving. © Georg Thieme Verlag KG Stuttgart · New York.

  2. Influence of oxygen in atmospheric-pressure argon plasma jet on sterilization of Bacillus atrophaeous spores

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lim, Jin-Pyo; Uhm, Han S.; Li, Shou-Zhe

    2007-09-15

    A nonequilibrium Ar/O{sub 2} plasma discharge at atmospheric pressure was carried out in a coaxial cylindrical reactor with a stepped electrode configuration powered by a 13.56 MHz rf power supplier. The argon glow discharge with high electron density produces oxygen reactive species in large quantities. Argon plasma jets penetrate deep into ambient air and create a path for oxygen radicals to sterilize microbes. A sterilization experiment with bacterial endospores indicates that an argon-oxygen plasma jet very effectively kills endospores of Bacillus atrophaeus (ATCC 9372), thereby demonstrating its capability to clean surfaces and its usefulness for reinstating contaminated equipment as freemore » from toxic biological warfare agents. The decimal reduction time (D values) of the Ar/O{sub 2} plasma jet at an exposure distance of 0.5-1.5 cm ranges from 5 to 57 s. An actinometric comparison of the sterilization data shows that atomic oxygen radicals play a significant role in plasma sterilization. When observed under a scanning electron microscope, the average size of the spores appears to be greatly reduced due to chemical reactions with the oxygen radicals.« less

  3. Enhancing surface functionality of reduced graphene oxide biosensors by oxygen plasma treatment for Alzheimer's disease diagnosis.

    PubMed

    Chae, Myung-Sic; Kim, Jinsik; Jeong, Dahye; Kim, YoungSoo; Roh, Jee Hoon; Lee, Sung Min; Heo, Youhee; Kang, Ji Yoon; Lee, Jeong Hoon; Yoon, Dae Sung; Kim, Tae Geun; Chang, Suk Tai; Hwang, Kyo Seon

    2017-06-15

    We performed oxygen plasma treatment on reduced graphene oxide (rGO) to improve its surface reactivity with respect to biomolecular interactions. Oxygen-plasma-treated rGO surfaces were employed as reactive interfaces for the detection of amyloid-beta (Aβ) peptides, the pathological hallmarks of Alzheimer's disease (AD), as the target analytes. By measuring the changes in electrical characteristics and confirmation through topographic analysis, the oxygen-plasma-treated rGO sensors had enhanced surface functionality for better antibody immobilization and sensing performance, with a 3.33-fold steeper slope for the electrical responses versus analyte concentration curve (logarithmic scale) compared to the untreated. The elicited biomolecular reactivity of the rGO surfaces with the oxygen plasma treatment remained at 46-51% of the initial value even after aging for 6h in ambient conditions. This phenomenon was also confirmed by pretreating the rGO surfaces with a blocking agent and subsequently subjecting them to antibody immobilization. Finally, the feasibility of the oxygen-plasma-treated rGO sensors as a diagnostic tool was evaluated with clinical samples of neural-derived exosomal Aβ peptides extracted from apparent AD patients and normal controls (NC). In contrast to the untreated sensors (p=0.0460), the oxygen-plasma-treated rGO sensors showed a significant p-value in the identification of clinical samples of AD and NC subjects (p<0.001). These results suggest that oxygen plasma treatment improves sensor performance without complicated fabrication procedures and should aid in the development of novel diagnostic tools based on carbon nanomaterials. Copyright © 2016 Elsevier B.V. All rights reserved.

  4. Atomic oxygen production scaling in a nanosecond-pulsed externally grounded dielectric barrier plasma jet

    NASA Astrophysics Data System (ADS)

    Sands, Brian; Schmidt, Jacob; Ganguly, Biswa; Scofield, James

    2014-10-01

    Atomic oxygen production is studied in a capillary dielectric barrier plasma jet that is externally grounded and driven with a 20-ns risetime positive unipolar pulsed voltage at pulse repetition rates up to 25 kHz. The power coupled to the discharge can be easily increased by increasing the pulse repetition rate. At a critical turnover frequency, determined by the net energy density coupled to the discharge, the plasma chemistry abruptly changes. This is indicated by increased plasma conductance and a transition in reactive oxygen species production from an ozone-dominated production regime below the turnover frequency to atomic-oxygen-dominated production at higher pulse rates. Here, we characterize atomic oxygen production scaling using spatially- and temporally-resolved two-photon absorption laser-induced-fluorescence (TALIF). Quantitative results are obtained via calibration with xenon using a similar laser excitation and collection system. These results are compared with quantitative ozone and discharge power measurements using a helium gas flow with oxygen admixtures up to 3%.

  5. Dynamics of a pulsed laser generated tin plasma expanding in an oxygen atmosphere

    NASA Astrophysics Data System (ADS)

    Barreca, F.; Fazio, E.; Neri, F.; Barletta, E.; Trusso, S.; Fazio, B.

    2005-10-01

    Semiconducting tin oxide can be successfully deposited by means of the laser ablation technique. In particular by ablating metallic tin in a controlled oxygen atmosphere, thin films of SnOx have been deposited. The partial oxygen pressure at which the films are deposited strongly influences both the stoichiometry and the structural properties of the films. In this work, we present a study of the expansion dynamics of the plasma generated by ablating a tin target by means of a pulsed laser using time and space resolved optical emission spectroscopy and fast photography imaging of the expanding plasma. Both Sn I and Sn II optical emission lines have been observed from the time-integrated spectroscopy. Time resolved-measurements revealed the dynamics of the expanding plasma in the ambient oxygen atmosphere. Stoichiometry of the films has been determined by means of X-ray photoelectron spectroscopy and correlated to the expansion dynamics of the plasma.

  6. Numerical simulation of physicochemical interactions between oxygen atom and phosphatidylcholine due to direct irradiation of atmospheric pressure nonequilibrium plasma to biological membrane with quantum mechanical molecular dynamics

    NASA Astrophysics Data System (ADS)

    Uchida, Satoshi; Yoshida, Taketo; Tochikubo, Fumiyoshi

    2017-10-01

    Plasma medicine is one of the most attractive applications using atmospheric pressure nonequilibrium plasma. With respect to direct contact of the discharge plasma with a biological membrane, reactive oxygen species play an important role in induction of medical effects. However, complicated interactions between the plasma radicals and membrane have not been understood well. In the present work, we simulated elemental processes at the first stage of physicochemical interactions between oxygen atom and phosphatidylcholine using the quantum mechanical molecular dynamics code in a general software AMBER. The change in the above processes was classified according to the incident energy of oxygen atom. At an energy of 1 eV, the abstraction of a hydrogen atom and recombination to phosphatidylcholine were simultaneously occurred in chemical attachment of incident oxygen atom. The exothermal energy of the reaction was about 80% of estimated one based on the bond energies of ethane. An oxygen atom over 10 eV separated phosphatidylcholine partially. The behaviour became increasingly similar to physical sputtering. The reaction probability of oxygen atom was remarkably high in comparison with that of hydrogen peroxide. These results suggest that we can uniformly estimate various physicochemical dynamics of reactive oxygen species against membrane lipids.

  7. Methane Post-Processing and Hydrogen Separation for Spacecraft Oxygen Loop Closure

    NASA Technical Reports Server (NTRS)

    Greenwood, Zachary W.; Abeny, Morgan B.; Wall, Terry; Miller, Lee A.; Wheeler, Richard R., Jr.

    2017-01-01

    State-of-the-art life support oxygen recovery technology on the International Space Station is based on the Sabatier reaction where only about half of the oxygen required for the crew is recovered from metabolic carbon dioxide (CO2). The Sabatier reaction produces water as the primary product and methane as a byproduct. Oxygen recovery is constrained by both the limited availability of reactant hydrogen from water electrolysis and Sabatier methane (CH4) being vented as a waste product resulting in a continuous loss of reactant hydrogen. Post-processing methane with the Plasma Pyrolysis Assembly (PPA) to recover this hydrogen has the potential to substantially increase oxygen recovery and thus dramatically reduce the logistical challenges associated with oxygen resupply. The PPA decomposes methane into predominantly hydrogen and acetylene. A purification system is necessary to purify hydrogen before it is recycled back to the Sabatier reactor. Testing and evaluation of acetylene removal systems and PPA system architectures are presented and discussed.

  8. A spectral study of a radio-frequency plasma-generated flux of atomic oxygen

    NASA Technical Reports Server (NTRS)

    Batten, Carmen E.; Brown, Kenneth G.; Lewis, Beverley W.

    1994-01-01

    The active environment of a radio-frequency (RF) plasma generator, with and without low-pressure oxygen, has been characterized through the identification of emission lines in the spectral region from 250 to 900 nm. The environment is shown to be dependent on the partial pressure of oxygen and the power applied to the RF generator. Atomic oxygen has been found in significant amounts as well as atomic hydrogen and the molecular oxygen species O2((sup 1)Sigma). The only charged species observed was the singly charged molecular ion O2(+). With a polymer specimen in the plasma chamber, carbon monoxide was also observed. The significance of these observations with respect to previous studies using this type of generator to stimulate material degradation in space is discussed. The possibility of using these generators as atomic oxygen sources in the development of oxygen atom fluorescence sensors is explored.

  9. The effect of UV radiation from oxygen and argon plasma on the adhesion of organosilicon coatings on polypropylene

    NASA Astrophysics Data System (ADS)

    Jaritz, M.; Behm, H.; Hopmann, Ch; Kirchheim, D.; Mitschker, F.; Awakowicz, P.; Dahlmann, R.

    2017-01-01

    The influence of ultraviolet (UV) radiation from oxygen and argon pretreatment plasmas on a plastic substrate has not been fully understood yet. In particular, its influence on the adhesion properties has not been sufficiently researched so far. This paper addresses this issue by comparing the bond strength of a plasmapolymerized silicon organic coating (SiO x C y H z ) on polypropylene (PP) after oxygen and argon plasma pretreatment and pretreatment by UV radiation emitted by the same plasmas. The UV radiation is isolated from the other species from the plasma by means of a magnesium fluoride (MgF2) optical filter. It could be shown that UV radiation originating from an oxygen plasma has a significant impact on both substrate surface chemistry and coating adhesion. The same maximum bond strength enhancement can be reached by pretreating the polypropylene surface either with pulsed oxygen plasma, or with only the UV radiation from this oxygen plasma. Also, similar surface chemistry and topography modifications are induced. For argon plasma no significant influence of its UV radiation on the substrate could be observed in this study.

  10. Surface modification effects of fluorine-doped tin dioxide by oxygen plasma ion implantation

    NASA Astrophysics Data System (ADS)

    Tang, Peng; Liu, Cai; Zhang, Jingquan; Wu, Lili; Li, Wei; Feng, Lianghuan; Zeng, Guanggen; Wang, Wenwu

    2018-04-01

    SnO2:F (FTO), as a kind of transparent conductive oxide (TCO), exhibits excellent transmittance and conductivity and is widely used as transparency electrodes in solar cells. It's very important to modifying the surface of FTO for it plays a critical role in CdTe solar cells. In this study, modifying effects of oxygen plasma on FTO was investigated systematically. Oxygen plasma treatment on FTO surface with ion accelerating voltage ranged from 0.4 kV to 1.6 kV has been processed. The O proportion of surface was increased after ion implantation. The Fermi level of surface measurement by XPS valance band spectra was lowered as the ion accelerating voltage increased to 1.2 kV and then raised as accelerating voltage was elevated to 1.6 kV. The work function measured by Kelvin probe force microscopy increased after ion implanting, and it was consistent with the variation of Fermi level. The change of energy band structure of FTO surface mainly originated from the surface composition variation. As FTO conduction was primarily due to oxyanion hole, the carrier was electron and its concentration was reduced while O proportion was elevated at the surface of FTO, as a result, the Fermi level lowered and the work function was enlarged. It was proved that oxygen plasma treatment is an effective method to modulate the energy band structure of the surface as well as other properties of FTO, which provides much more space for interface and surface modification and then photoelectric device performance promotion.

  11. Distribution of energetic oxygen and hydrogen in the near-Earth plasma sheet

    NASA Astrophysics Data System (ADS)

    Kronberg, E. A.; Grigorenko, E. E.; Haaland, S. E.; Daly, P. W.; Delcourt, D. C.; Luo, H.; Kistler, L. M.; Dandouras, I.

    2015-05-01

    The spatial distributions of different ion species are useful indicators for plasma sheet dynamics. In this statistical study based on 7 years of Cluster observations, we establish the spatial distributions of oxygen ions and protons at energies from 274 to 955 keV, depending on geomagnetic and solar wind (SW) conditions. Compared with protons, the distribution of energetic oxygen has stronger dawn-dusk asymmetry in response to changes in the geomagnetic activity. When the interplanetary magnetic field (IMF) is directed southward, the oxygen ions show significant acceleration in the tail plasma sheet. Changes in the SW dynamic pressure (Pdyn) affect the oxygen and proton intensities in the same way. The energetic protons show significant intensity increases at the near-Earth duskside during disturbed geomagnetic conditions, enhanced SW Pdyn, and southward IMF, implying there location of effective inductive acceleration mechanisms and a strong duskward drift due to the increase of the magnetic field gradient in the near-Earth tail. Higher losses of energetic ions are observed in the dayside plasma sheet under disturbed geomagnetic conditions and enhanced SW Pdyn. These observations are in agreement with theoretical models.

  12. Reactive oxygen species in plasma against E. coli cells survival rate

    NASA Astrophysics Data System (ADS)

    Zhou, Ren-Wu; Zhang, Xian-Hui; Zong, Zi-Chao; Li, Jun-Xiong; Yang, Zhou-Bin; Liu, Dong-Ping; Yang, Si-Ze

    2015-08-01

    In this paper, we report on the contrastive analysis of inactivation efficiency of E. coli cells in solution with different disinfection methods. Compared with the hydrogen peroxide solution and the ozone gas, the atmospheric-pressure He plasma can completely kill the E. coli cells in the shortest time. The inactivation efficiency of E. coli cells in solution can be well described by using the chemical reaction rate model. X-ray photoelectron spectroscopy (XPS) analysis shows that the C-O or C=O content of the inactivated E. coli cell surface by plasma is predominantly increased, indicating the quantity of oxygen-containing species in plasma is more than those of two other methods, and then the C-C or C-H bonds can be broken, leading to the etching of organic compounds. Analysis also indicates that plasma-generated species can play a crucial role in the inactivation process by their direct reactions or the decompositions of reactive species, such as ozone into OH radicals in water, then reacting with E. coli cells. Project supported by the Natural Science Foundation of Fujian Province, China (Grant No. 2014J01025), the National Natural Science Foundation of China (Grant No. 11275261), and the Funds from the Fujian Provincial Key Laboratory for Plasma and Magnetic Resonance, China.

  13. Oxygen plasma resistant phosphine oxide containing imide/arylene copolymers

    NASA Technical Reports Server (NTRS)

    Jensen, Brian J.

    1993-01-01

    A series of oxygen plasma resistant imide/arylene ether copolymers were prepared by reacting anhydride-terminated poly(amide acids) and amine-terminated polyarylene ethers containing phosphine oxide units. Inherent viscosities for these copolymers ranged from 0.42 to 0.80 dL/g. After curing, the resulting copolymers had glass transition temperatures ranging from 224 C to 228 C. Solution cast films of the block copolymers were tough and flexible with tensile strength, tensile moduli, and elongation at break up to 16.1 ksi, 439 ksi, and 23 percent, respectively at 25 C and 9.1 ksi, 308 ksi and 97 percent, respectively at 150 C. The copolymers show a significant improvement in resistance to oxygen plasma when compared to the commercial polyimide Kapton. The imide/arylene ether copolymers containing phosphine oxide units are suitable as coatings, films, adhesives, and composite matrices.

  14. Inactivation of bacteria by a mixed argon and oxygen micro-plasma as a function of exposure time.

    PubMed

    Weng, Chih-Chiang; Wu, Yi-Te; Liao, Juinn-Der; Kao, Chi-Yuan; Chao, Chih-Cheng; Chang, Juu-En; Hsu, Bo-Wen

    2009-04-01

    A radio-frequency dielectric barrier discharge (DBD) was applied as a micro-plasma device for the inactivation of bacteria, e.g., Escherichia coli. The cultured bacteria were placed on a polydimethyl siloxane (PDMS) film and placed inside the DBD cavity. The bacteria were exposed to micro-plasmas of varying oxygen/argon ratios for different exposure times. The survival of the bacteria was measured by determining bacterial growth using optical methods. The excited oxygen species increased with the increase in the oxygen to argon ratio as measured by optical emission spectroscopy (OES), but the increase of excited oxygen species in argon micro-plasma did not enhance the inactivation of bacteria. In contrast, increases in the time the bacteria were exposed to the micro-plasma were of importance. The results show that a continuous plasma flow containing energetic and reactive species may result in electro-physical interactions with bacteria exposed to the plasma leading to their inactivation. For currently-employed DBD device, addition of 0.5% oxygen to the argon micro-plasma for an exposure time of 30 sec was optimum for the inactivation of E. coli.

  15. Removal of hydrocarbon contaminant film from spacecraft optical surfaces using a radiofrequency-excited oxygen plasma.

    NASA Technical Reports Server (NTRS)

    Beverly, W. D.; Gillete, R. B.; Cruz, G. A.

    1973-01-01

    Results of a study on the feasibility of removing contaminant films from optical surfaces in vacuum, using an oxygen plasma, are discussed. Contaminant films were deposited onto optical surfaces from butadiene and methane gases at a pressure of about 4 torr in the presence of ultraviolet radiation. Optical surfaces evaluated included ultraviolet-reflecting mirrors, gratings, quartz disks, and spacecraft thermal control surfaces. In general, it was found that contaminants could be removed successfully from surfaces using an oxygen plasma. Exceptions were the white-paint thermal control surfaces, which, when contaminated, degraded further during exposure to the oxygen plasma.

  16. Effect of additive oxygen gas on cellular response of lung cancer cells induced by atmospheric pressure helium plasma jet

    PubMed Central

    Joh, Hea Min; Choi, Ji Ye; Kim, Sun Ja; Chung, T. H.; Kang, Tae-Hong

    2014-01-01

    The atmospheric pressure helium plasma jet driven by pulsed dc voltage was utilized to treat human lung cancer cells in vitro. The properties of plasma plume were adjusted by the injection type and flow rate of additive oxygen gas in atmospheric pressure helium plasma jet. The plasma characteristics such as plume length, electric current and optical emission spectra (OES) were measured at different flow rates of additive oxygen to helium. The plasma plume length and total current decreased with an increase in the additive oxygen flow rate. The electron excitation temperature estimated by the Boltzmann plot from several excited helium emission lines increased slightly with the additive oxygen flow. The oxygen atom density in the gas phase estimated by actinometry utilizing argon was observed to increase with the additive oxygen flow. The concentration of intracellular reactive oxygen species (ROS) measured by fluorescence assay was found to be not exactly proportional to that of extracellular ROS (measured by OES), but both correlated considerably. It was also observed that the expression levels of p53 and the phospho-p53 were enhanced in the presence of additive oxygen flow compared with those from the pure helium plasma treatment. PMID:25319447

  17. Absolute atomic oxygen density measurements for nanosecond-pulsed atmospheric-pressure plasma jets using two-photon absorption laser-induced fluorescence spectroscopy

    NASA Astrophysics Data System (ADS)

    Jiang, C.; Carter, C.

    2014-12-01

    Nanosecond-pulsed plasma jets that are generated under ambient air conditions and free from confinement of electrodes have become of great interest in recent years due to their promising applications in medicine and dentistry. Reactive oxygen species that are generated by nanosecond-pulsed, room-temperature non-equilibrium He-O2 plasma jets among others are believed to play an important role during the bactericidal or sterilization processes. We report here absolute measurements of atomic oxygen density in a 1 mm-diameter He/(1%)O2 plasma jet at atmospheric pressure using two-photon absorption laser-induced fluorescence spectroscopy. Oxygen number density on the order of 1013 cm-3 was obtained in a 150 ns, 6 kV single-pulsed plasma jet for an axial distance up to 5 mm above the device nozzle. Temporally resolved O density measurements showed that there are two maxima, separated in time by 60-70 µs, and a total pulse duration of 260-300 µs. Electrostatic modeling indicated that there are high-electric-field regions near the nozzle exit that may be responsible for the observed temporal behavior of the O production. Both the field-distribution-based estimation of the time interval for the O number density profile and a pulse-energy-dependence study confirmed that electric-field-dependent, direct and indirect electron-induced processes play important roles for O production.

  18. Factors Determining the Oxygen Permeability of Biological Membranes: Oxygen Transport Across Eye Lens Fiber-Cell Plasma Membranes.

    PubMed

    Subczynski, Witold Karol; Widomska, Justyna; Mainali, Laxman

    2017-01-01

    Electron paramagnetic resonance (EPR) spin-label oximetry allows the oxygen permeability coefficient to be evaluated across homogeneous lipid bilayer membranes and, in some cases, across coexisting membrane domains without their physical separation. The most pronounced effect on oxygen permeability is observed for cholesterol, which additionally induces the formation of membrane domains. In intact biological membranes, integral proteins induce the formation of boundary and trapped lipid domains with a low oxygen permeability. The effective oxygen permeability coefficient across the intact biological membrane is affected not only by the oxygen permeability coefficients evaluated for each lipid domain but also by the surface area occupied by these domains in the membrane. All these factors observed in fiber cell plasma membranes of clear human eye lenses are reviewed here.

  19. The effect of dissolved oxygen on the relaxation rates of blood plasma: Implications for hyperoxia calibrated BOLD.

    PubMed

    Ma, Yuhan; Berman, Avery J L; Pike, G Bruce

    2016-12-01

    To determine the contribution of paramagnetic dissolved oxygen in blood plasma to blood-oxygenation-level-dependent (BOLD) signal changes in hyperoxic calibrated BOLD studies. Bovine blood plasma samples were prepared with partial pressures of oxygen (pO 2 ) ranging from 110 to 600 mmHg. R 1 , R 2 , and R 2 * of the plasma with dissolved oxygen were measured using quantitative MRI sequences at 3 Tesla. Simulations were performed to predict the relative effects of dissolved oxygen and deoxyhemoglobin changes in hyperoxia calibrated BOLD. The relaxivities of dissolved oxygen in plasma were found to be r 1, O2 =1.97 ± 0.09 ×10 -4 s -1 mmHg -1 , r 2, O2 =2.3 ± 0.7 ×10 -4 s -1 mmHg -1 , and r 2, O2 * = 2.3 ± 0.7 ×10 -4 s -1 mmHg -1 . Simulations predict that neither the transverse nor longitudinal relaxation rates of dissolved oxygen contribute significantly to the BOLD signal during hyperoxia. During hyperoxia, the increases in R 2 and R 2 * of blood from dissolved oxygen in plasma are considerably less than the decreases in R 2 and R 2 * from venous deoxyhemoglobin. R 1 effects due to dissolved oxygen are also predicted to be negligible. As a result, dissolved oxygen in arteries should not contribute significantly to the hyperoxic calibrated BOLD signal. Magn Reson Med 76:1905-1911, 2016. © 2015 International Society for Magnetic Resonance in Medicine. © 2015 International Society for Magnetic Resonance in Medicine.

  20. Non-thermal dielectric barrier discharge plasma induces angiogenesis through reactive oxygen species.

    PubMed

    Arjunan, Krishna Priya; Friedman, Gary; Fridman, Alexander; Clyne, Alisa Morss

    2012-01-07

    Vascularization plays a key role in processes such as wound healing and tissue engineering. Non-thermal plasma, which primarily produces reactive oxygen species (ROS), has recently emerged as an efficient tool in medical applications including blood coagulation, sterilization and malignant cell apoptosis. Liquids and porcine aortic endothelial cells were treated with a non-thermal dielectric barrier discharge plasma in vitro. Plasma treatment of phosphate-buffered saline (PBS) and serum-free medium increased ROS concentration in a dose-dependent manner, with a higher concentration observed in serum-free medium compared with PBS. Species concentration inside cells peaked 1 h after treatment, followed by a decrease 3 h post treatment. Endothelial cells treated with a plasma dose of 4.2 J cm(-2) had 1.7 times more cells than untreated samples 5 days after plasma treatment. The 4.2 J cm(-2) plasma dose increased two-dimensional migration distance by 40 per cent compared with untreated control, while the number of cells that migrated through a three-dimensional collagen gel increased by 15 per cent. Tube formation was also enhanced by plasma treatment, with tube lengths in plasma-treated samples measuring 2.6 times longer than control samples. A fibroblast growth factor-2 (FGF-2) neutralizing antibody and ROS scavengers abrogated these angiogenic effects. These data indicate that plasma enhanced proliferation, migration and tube formation is due to FGF-2 release induced by plasma-produced ROS. Non-thermal plasma may be used as a potential tool for applying ROS in precise doses to enhance vascularization.

  1. Non-thermal dielectric barrier discharge plasma induces angiogenesis through reactive oxygen species

    PubMed Central

    Arjunan, Krishna Priya; Friedman, Gary; Fridman, Alexander; Clyne, Alisa Morss

    2012-01-01

    Vascularization plays a key role in processes such as wound healing and tissue engineering. Non-thermal plasma, which primarily produces reactive oxygen species (ROS), has recently emerged as an efficient tool in medical applications including blood coagulation, sterilization and malignant cell apoptosis. Liquids and porcine aortic endothelial cells were treated with a non-thermal dielectric barrier discharge plasma in vitro. Plasma treatment of phosphate-buffered saline (PBS) and serum-free medium increased ROS concentration in a dose-dependent manner, with a higher concentration observed in serum-free medium compared with PBS. Species concentration inside cells peaked 1 h after treatment, followed by a decrease 3 h post treatment. Endothelial cells treated with a plasma dose of 4.2 J cm–2 had 1.7 times more cells than untreated samples 5 days after plasma treatment. The 4.2 J cm–2 plasma dose increased two-dimensional migration distance by 40 per cent compared with untreated control, while the number of cells that migrated through a three-dimensional collagen gel increased by 15 per cent. Tube formation was also enhanced by plasma treatment, with tube lengths in plasma-treated samples measuring 2.6 times longer than control samples. A fibroblast growth factor-2 (FGF-2) neutralizing antibody and ROS scavengers abrogated these angiogenic effects. These data indicate that plasma enhanced proliferation, migration and tube formation is due to FGF-2 release induced by plasma-produced ROS. Non-thermal plasma may be used as a potential tool for applying ROS in precise doses to enhance vascularization. PMID:21653568

  2. Improving the work function of the niobium surface of SRF cavities by plasma processing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tyagi, P. V.; Doleans, M.; Hannah, B.

    2016-01-01

    An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature was developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5₋1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  3. Plasma processes in water under effect of short duration pulse discharges

    NASA Astrophysics Data System (ADS)

    Gurbanov, Elchin

    2013-09-01

    It is very important to get a clear water without any impurities and bacteria by methods, that don't change the physical and chemical indicators of water now. In this article the plasma processes during the water treatment by strong electric fields and short duration pulse discharges are considered. The crown discharge around an electrode with a small radius of curvature consists of plasma leader channels with a high conductivity, where the thermo ionization processes and UV-radiation are taken place. Simultaneously the partial discharges around potential electrode lead to formation of atomic oxygen and ozone. The spark discharge arises, when plasma leader channels cross the all interelectrode gap, where the temperature and pressure are strongly grown. As a result the shock waves and dispersing liquid streams in all discharge gap are formed. The plasma channels extend, pressure inside it becomes less than hydrostatic one and the collapse and UV-radiation processes are started. The considered physical processes can be successfully used as a basis for development of pilot-industrial installations for conditioning of drinking water and to disinfecting of sewage.

  4. An in vitro investigation of bacteria-osteoblast competition on oxygen plasma-modified PEEK.

    PubMed

    Rochford, Edward T J; Subbiahdoss, Guruprakash; Moriarty, T Fintan; Poulsson, Alexandra H C; van der Mei, Henny C; Busscher, Henk J; Richards, R Geoff

    2014-12-01

    Polyetheretherketone (PEEK) films were oxygen plasma treated to increase surface free energy and characterized by X-ray photoelectron microscopy, atomic force microscopy, and water contact angles. A parallel plate flow chamber was used to measure Staphylococcus epidermidis, Staphylococcus aureus, and U-2 OS osteosarcomal cell-line adhesion to the PEEK films in separate monocultures. In addition, bacteria and U-2 OS cells were cocultured to model competition between osteoblasts and contaminating bacteria for the test surfaces. Plasma treatment of the surfaces increased surface oxygen content and decreased the hydrophobicity of the materials, but did not lead to a significant difference in bacterial or U-2 OS cell adhesion in the monocultures. In the S. epidermidis coculture experiments, the U-2 OS cells adhered in greater numbers on the treated surfaces compared to the untreated PEEK and spread to a similar extent. However, in the presence of S. aureus, cell death of the U-2 OS occurred within 10 h on all surfaces. The results of this study suggest that oxygen plasma treatment of PEEK may maintain the ability of osteoblast-like cells to adhere and spread, even in the presence of S. epidermidis contamination, without increasing the risk of preoperative bacterial adhesion. Therefore, oxygen plasma-treated PEEK remains a promising method to improve implant surface free energy for osseointegration. © 2014 Wiley Periodicals, Inc.

  5. Atomic Oxygen Energy in Low Frequency Hyperthermal Plasma Ashers

    NASA Technical Reports Server (NTRS)

    Banks, Bruce A.; Miller, Sharon K R.; Kneubel, Christian A.

    2014-01-01

    Experimental and analytical analysis of the atomic oxygen erosion of pyrolytic graphite as well as Monte Carlo computational modeling of the erosion of Kapton H (DuPont, Wilmington, DE) polyimide was performed to determine the hyperthermal energy of low frequency (30 to 35 kHz) plasma ashers operating on air. It was concluded that hyperthermal energies in the range of 0.3 to 0.9 eV are produced in the low frequency air plasmas which results in texturing similar to that in low Earth orbit (LEO). Monte Carlo computational modeling also indicated that such low energy directed ions are fully capable of producing the experimentally observed textured surfaces in low frequency plasmas.

  6. Potential Alternatives for Advanced Energy Material Processing in High Performance Li-ion Batteries (LIBs) via Atmospheric Pressure Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Duh, Jenq-Gong; Chuang, Shang-I.; Lan, Chun-Kai; Yang, Hao; Chen, Hsien-Wei

    2015-09-01

    A new processing technique by atmospheric pressure plasma (APP) jet treatment of LIBs was introduced. Ar/N2 plasma enhanced the high-rate anode performance of Li4Ti5O12. Oxygen vacancies were discovered and nitrogen doping were achieved by the surface reaction between pristine Li4Ti5O12 and plasma reactive species (N* and N2+). Electrochemical impedance spectra confirm that plasma modification increases Li ions diffusivity and reduces internal charge-transfer resistance, leading to a superior capacity (132 mAh/g) and excellent stability with negligible capacity decay over 100 cycles under 10C rate. Besides 2D material surface treatment, a specially designed APP generator that are feasible to modify 3D TiO2 powders is proposed. The rate capacity of 20 min plasma treated TiO2 exhibited 20% increment. Plasma diagnosis revealed that excited Ar and N2 was contributed to TiO2 surface reduction as companied by formation of oxygen vacancy. A higher amount of oxygen vacancy increased the chance for excited nitrogen doped onto surface of TiO2 particle. These findings promote the understanding of APP on processing anode materials in high performance LIBs.

  7. Specific Effects of Oxygen Molecule and Plasma on Thin-Film Growth of Y-Ba-Cu-O and Bi-Sr-(Ca)-Cu-O Systems

    NASA Astrophysics Data System (ADS)

    Endo, Tamio; Horie, Munehiro; Hirate, Naoki; Itoh, Katsutoshi; Yamada, Satoshi; Tada, Masaki; Itoh, Ken-ichi; Sugiyama, Morihiro; Sano, Shinji; Watabe, Kinji

    1998-07-01

    Thin films of a-oriented YBa2Cu3Ox (YBCO), Ca-doped c-oriented Bi2(Sr,Ca)2CuOx and nondoped c-oriented Bi2Sr2CuOx (Bi2201) were prepared at low temperatures by ion beam sputtering with supply of oxygen molecules or plasma. The plasma enhances crystal growth of the a-YBCO and Ca-doped Bi2201 phases. This can be interpreted in terms of their higher surface energies. The growth and quality of nondoped Bi2201 are improved with the supply of oxygen molecules. This particular result could be interpreted by the collision process between the oxygen molecules and the sputtered particles.

  8. Inductive plasmas for plasma processing

    NASA Astrophysics Data System (ADS)

    Keller, John H.

    1996-05-01

    With the need for high plasma density and low pressure in single wafer etching tools, a number of inductive etching systems have been and are being developed for commercial sale. This paper reviews some of the history of low-pressure inductive plasmas, gives features of inductive plasmas, limitations, corrections and presents uses for plasma processing. The theory for the skin depth, rf coil impedance and efficiency is also discussed.

  9. Irradiation of silver and agar/silver nanoparticles with argon, oxygen glow discharge plasma, and mercury lamp.

    PubMed

    Ahmad, Mahmoud M; Abdel-Wahab, Essam A; El-Maaref, A A; Rawway, Mohammed; Shaaban, Essam R

    2014-01-01

    The irradiation effect of argon, oxygen glow discharge plasma, and mercury lamp on silver and agar/silver nanoparticle samples is studied. The irradiation time dependence of the synthesized silver and agar/silver nanoparticle absorption spectra and their antibacterial effect are studied and compared. In the agar/silver nanoparticle sample, as the irradiation time of argon glow discharge plasma or mercury lamp increases, the peak intensity and the full width at half maximum, FWHM, of the surface plasmon resonance absorption band is increased, however a decrease of the peak intensity with oxygen glow plasma has been observed. In the silver nanoparticle sample, as the irradiation time of argon, oxygen glow discharge plasma or mercury lamp increases, the peak intensity of the surface plasmon resonance absorption band is increased, however, there is no significant change in the FWHM of the surface plasmon resonance absorption band. The SEM results for both samples showed nanoparticle formation with mean size about 50 nm and 40 nm respectively. Throughout the irradiation time with the argon, oxygen glow discharge plasma or mercury lamp, the antibacterial activity of several kinds of Gram-positive and Gram-negative bacteria has been examined.

  10. Targeting Cancer Cells with Reactive Oxygen and Nitrogen Species Generated by Atmospheric-Pressure Air Plasma

    PubMed Central

    Hoan, Nguyen Ngoc; Kim, Churl Ho; Moon, Eunpyo; Choi, Kyeong Sook; Yang, Sang Sik; Lee, Jong-Soo

    2014-01-01

    The plasma jet has been proposed as a novel therapeutic method for cancer. Anticancer activity of plasma has been reported to involve mitochondrial dysfunction. However, what constituents generated by plasma is linked to this anticancer process and its mechanism of action remain unclear. Here, we report that the therapeutic effects of air plasma result from generation of reactive oxygen/nitrogen species (ROS/RNS) including H2O2, Ox, OH−, •O2, NOx, leading to depolarization of mitochondrial membrane potential and mitochondrial ROS accumulation. Simultaneously, ROS/RNS activate c-Jun NH2-terminal kinase (JNK) and p38 kinase. As a consequence, treatment with air plasma jets induces apoptotic death in human cervical cancer HeLa cells. Pretreatment of the cells with antioxidants, JNK and p38 inhibitors, or JNK and p38 siRNA abrogates the depolarization of mitochondrial membrane potential and impairs the air plasma-induced apoptotic cell death, suggesting that the ROS/RNS generated by plasma trigger signaling pathways involving JNK and p38 and promote mitochondrial perturbation, leading to apoptosis. Therefore, administration of air plasma may be a feasible strategy to eliminate cancer cells. PMID:24465942

  11. Effect of Si on DC arc plasma generation from Al-Cr and Al-Cr-Si cathodes used in oxygen

    NASA Astrophysics Data System (ADS)

    Zhirkov, I.; Landälv, L.; Göthelid, E.; Ahlgren, M.; Eklund, P.; Rosen, J.

    2017-02-01

    Al2O3 alloyed with Cr is an important material for the tooling industry. It can be synthesized from an arc discharge using Al-Cr cathodes in an oxygen atmosphere. Due to formation of Al-rich oxide islands on the cathode surface, the arc process stability is highly sensitive to oxygen pressure. For improved stability, the use of Al0.70Cr0.25Si0.05 cathodes has previously been suggested, where Si may reduce island formation. Here, we have investigated the effect of Si by comparing plasma generation and thin film deposition from Al0.7Cr0.3 and Al0.7Cr0.25Si0.05 cathodes. Plasma ion composition, ion energies, ion charge states, neutral species, droplet formation, and film composition have been characterized at different O2 flow rates for arc currents of 60 and 90 A. Si and related compounds are detected in plasma ions and in plasma neutrals. Scanning electron microscopy and energy dispersive X-ray analysis show that the cathode composition and the film composition are the same, with Si present in droplets as well. The effect of Si on the process stability, ion energies, and ion charge states is found to be negligible compared to that of the arc current. The latter is identified as the most relevant parameter for tuning the properties of the reactive discharge. The present work increases the fundamental understanding of plasma generation in a reactive atmosphere, and provides input for the choice of cathode composition and process parameters in reactive DC arc synthesis.

  12. Plasma-chemical processes accompanying discharge in air excited by a microwave beam

    NASA Astrophysics Data System (ADS)

    Askar'ian, G. A.; Batanov, G. M.; Gritsinin, S. I.; Kossyi, I. A.; Kostinskii, A. Iu.

    1990-11-01

    Experimental results are presented on plasma-chemical processes of nitrogen oxidation and ozone production accompanying microwave discharge in dry air and in nitrogen-oxygen mixtures. The degree of nitrogen oxidation and the energy expenditure toward the formation of oxides as a function of discharge conditions are established. The experimental results can be explained by assuming oxidation reactions of electron-excited metastable nitrogen molecules by oxygen atoms. Low ozone concentrations in the discharge indicate a significant energy input into the gas.

  13. Effects of oxygen concentration on atmospheric pressure dielectric barrier discharge in Argon-Oxygen Mixture

    NASA Astrophysics Data System (ADS)

    Li, Xuechun; Li, Dian; Wang, Younian

    2016-09-01

    A dielectric barrier discharge (DBD) can generate a low-temperature plasma easily at atmospheric pressure and has been investigated for applications in trials in cancer therapy, sterilization, air pollution control, etc. It has been confirmed that reactive oxygen species (ROS) play a key role in the processes. In this work, we use a fluid model to simulate the plasma characteristics for DBD in argon-oxygen mixture. The effects of oxygen concentration on the plasma characteristics have been discussed. The evolution mechanism of ROS has been systematically analyzed. It was found that the ground state oxygen atoms and oxygen molecular ions are the dominated oxygen species under the considered oxygen concentrations. With the oxygen concentration increasing, the densities of electrons, argon atomic ions, resonance state argon atoms, metastable state argon atoms and excited state argon atoms all show a trend of decline. The oxygen molecular ions density is high and little influenced by the oxygen concentration. Ground state oxygen atoms density tends to increase before falling. The ozone density increases significantly. Increasing the oxygen concentration, the discharge mode begins to change gradually from the glow discharge mode to Townsend discharge mode. Project supported by the National Natural Science Foundation of China (Grant No. 11175034).

  14. Combined effect of protein and oxygen on reactive oxygen and nitrogen species in the plasma treatment of tissue

    NASA Astrophysics Data System (ADS)

    Gaur, Nishtha; Szili, Endre J.; Oh, Jun-Seok; Hong, Sung-Ha; Michelmore, Andrew; Graves, David B.; Hatta, Akimitsu; Short, Robert D.

    2015-09-01

    The influence of protein and molecular, ground state oxygen (O2) on the plasma generation, and transport of reactive oxygen and nitrogen species (RONS) in tissue are investigated. A tissue target, comprising a 1 mm thick gelatin film (a surrogate for real tissue), is placed on top of a 96-well plate; each well is filled with phosphate buffered saline (PBS, pH 7.4) containing one fluorescent or colorimetric reporter that is specific for one of three RONS (i.e., H2O2, NO2-, or OH•) or a broad spectrum reactive oxygen species reporter (2,7-dichlorodihydrofluorescein). A helium cold atmospheric plasma (CAP) jet contacts the top of the gelatin surface, and the concentrations of RONS generated in PBS are measured on a microplate reader. The data show that H2O2, NO2-, or OH• are generated in PBS underneath the target. Independently, measurements are made of the O2 concentration in the PBS with and without the gelatin target. Adding bovine serum albumin protein to the PBS or gelatin shows that protein either raises or inhibits RONS depending upon the O2 concentration. Our results are discussed in the context of plasma-soft tissue interactions that are important in the development of CAP technology for medicine, biology, and food manufacturing.

  15. Acute oxygen sensing by the carotid body: from mitochondria to plasma membrane.

    PubMed

    Chang, Andy J

    2017-11-01

    Maintaining oxygen homeostasis is crucial to the survival of animals. Mammals respond acutely to changes in blood oxygen levels by modulating cardiopulmonary function. The major sensor of blood oxygen that regulates breathing is the carotid body (CB), a small chemosensory organ located at the carotid bifurcation. When arterial blood oxygen levels drop in hypoxia, neuroendocrine cells in the CB called glomus cells are activated to signal to afferent nerves that project to the brain stem. The mechanism by which hypoxia stimulates CB sensory activity has been the subject of many studies over the past 90 years. Two discrete models emerged that argue for the seat of oxygen sensing to lie either in the plasma membrane or mitochondria of CB cells. Recent studies are bridging the gap between these models by identifying hypoxic signals generated by changes in mitochondrial function in the CB that can be sensed by plasma membrane proteins on glomus cells. The CB is important for physiological adaptation to hypoxia, and its dysfunction contributes to sympathetic hyperactivity in common conditions such as sleep-disordered breathing, chronic heart failure, and insulin resistance. Understanding the basic mechanism of oxygen sensing in the CB could allow us to develop strategies to target this organ for therapy. In this short review, I will describe two historical models of CB oxygen sensing and new findings that are integrating these models. Copyright © 2017 the American Physiological Society.

  16. Increased Oxygen Recovery from Sabatier Systems Using Plasma Pyrolysis Technology and Metal Hydride Separation

    NASA Technical Reports Server (NTRS)

    Greenwood, Zachary W.; Abney, Morgan B.; Perry, Jay L.; Miller, Lee A.; Dahl, Roger W.; Hadley, Neal M.; Wambolt, Spencer R.; Wheeler, Richard R.

    2015-01-01

    State-of-the-art life support carbon dioxide (CO2) reduction technology is based on the Sabatier reaction where less than 50% of the oxygen required for the crew is recovered from metabolic CO2. The reaction produces water as the primary product and methane as a byproduct. Oxygen recovery is constrained by the limited availability of reactant hydrogen. This is further exacerbated when Sabatier methane (CH4) is vented as a waste product resulting in a continuous loss of reactant hydrogen. Post-processing methane with the Plasma Pyrolysis Assembly (PPA) to recover hydrogen has the potential to dramatically increase oxygen recovery and thus drastically reduce the logistical challenges associated with oxygen resupply. The PPA decomposes methane into predominantly hydrogen and acetylene. Due to the highly unstable nature of acetylene, a separation system is necessary to purify hydrogen before it is recycled back to the Sabatier reactor. Testing and evaluation of a full-scale Third Generation PPA is reported and investigations into metal hydride hydrogen separation technology is discussed.

  17. The effect of oxygen plasma pretreatment and incubation in modified simulated body fluids on the formation of bone-like apatite on poly(lactide-co-glycolide) (70/30).

    PubMed

    Qu, Xue; Cui, Wenjin; Yang, Fei; Min, Changchun; Shen, Hong; Bei, Jianzhong; Wang, Shenguo

    2007-01-01

    In this study, biodegradable poly(lactide-co-glycolide) (PLGA) (70/30) films and scaffolds were first treated with oxygen plasma and then incubated in a modified simulated body fluid 1.5SBF0 to prepare a bone-like apatite layer. The formation of the apatite and its influence on osteoblast-like cells growth were investigated. It was found that the bone-like apatite formability of PLGA(70/30) was enhanced by plasma pretreatment. The changes of surface chemistry and surface topography induced by oxygen plasma treatment were both effective for apatite formation. The apatite formability increased with increasing plasma-treating time. Under a treating condition of 20 W for 30 min, oxygen plasma treatment could penetrate into the inner scaffold. After 6 days incubation, the apatite formed in plasma-treated scaffold was better distributed than in untreated scaffold, and the weight and mechanical strength of the plasma-treated scaffold were both enhanced. Compared with PLGA(70/30), the apatite layer formed on oxygen plasma-treated PLGA(70/30) surface enhanced adhesion and proliferation of OCT-1 osteoblast-like cell, but had no significant effect on cell's ALP activity at day 7. A prolonged investigation is being in process to further verify the bone-like apatite effects on osteogenic differentiation.

  18. The dependence of the sporicidal effects on the power and pressure of RF-generated plasma processes.

    PubMed

    Lassen, Klaus S; Nordby, Bolette; Grün, Reinar

    2005-07-01

    The sporicidal effect of 20 different radio-frequency plasma processes produced by combining five different gas mixtures [O(2), Ar/H(2) (50/50%), Ar/H(2) (5/95%), O(2)/H(2) (50/50%), O(2)/H(2) (95/5%)] with four power/pressure settings were tested. Sporicidal effects of oxygen-containing plasmas were dependent on power at low pressure settings but not at high pressure settings. In the absence of oxygen no power dependency was observed at either high or low pressure settings. Survivor curves obtained with the use of nonoxygen plasmas typically had a tailing tendency. Only a mixture-optimized Ar/H(2) (15/85%) plasma process was not encumbered by tailing, and produced a decimal reduction time (D value) below 2 min for Bacillus stearothermophilus spores. Scanning electron microscopy showed that a CF(4)/O(2) plasma did more damage to the substrate than the 15/85% Ar/H(2) plasma. The present results indicate that UV irradiation inactivation is swift and power and pressure independent. Additionally, it is produced at low energy. However, it is not complete. Inactivation through etching is highly power and pressure dependent; finally, inactivation by photodesorption is moderately power and pressure dependent. A sterilization process relying on this mechanism is very advantageous because it combines a highly sporicidal effect with low substrate damage. Copyright 2005 Wiley Periodicals, Inc.

  19. STUDENT AWARD FINALIST: Oxygen Pathways in Streamer Discharge for Transient Plasma Ignition

    NASA Astrophysics Data System (ADS)

    Pendleton, S. J.; Bowman, S.; Singleton, D.; Watrous, J.; Carter, C.; Lempert, W.; Gundersen, M. A.

    2011-10-01

    The use of streamers for the ignition of fuels, also known as transient plasma ignition (TPI), has been shown in a variety of engines to improve combustion through decreased ignition delay, increased lean burn capability and increased energy release relative to conventional spark ignition. The mechanisms behind these improvements, however, remain poorly understood. Temperature measurements by optical emission spectroscopy demonstrate that ignition by TPI is a nonthermal process, and thus is almost entirely dependent on the production and presence of electron impact-created active species in the discharge afterglow. Of particular interest are active oxygen species due to their relatively long lifetimes at high pressures and the pivotal role they play in combustion reactions. In order to elucidate the oxygen pathways, here we report the investigation of the temporal evolution of the populations of atomic oxygen and ozone by use of two-photon absorption laser induced fluorescence (TALIF) and UV absorption, respectively. Experimental results are presented and compared to kinetic modeling of the streamers. Future experiments are proposed to better understand the physics behind TPI. Supported by NSF, AFOSR, NumerEx-ONR, AFRL-WPAFB.

  20. Mechanistic study of plasma damage to porous low-k: Process development and dielectric recovery

    NASA Astrophysics Data System (ADS)

    Shi, Hualiang

    Low-k dielectrics with porosity are being introduced to reduce the RC delay of Cu/low-k interconnect. However, during the O2 plasma ashing process, the porous low-k dielectrics tend to degrade due to methyl depletion, moisture uptake, and densification, increasing the dielectric constant and leakage current. This dissertation presents a study of the mechanisms of plasma damage and dielectric recovery. The kinetics of plasma interaction with low-k dielectrics was investigated both experimentally and theoretically. By using a gap structure, the roles of ion, photon, and radical in producing damage on low-k dielectrics were differentiated. Oxidative plasma induced damage was proportional to the oxygen radical density, enhanced by VUV photon, and increased with substrate temperature. Ion bombardment induced surface densification, blocking radical diffusion. Two analytical models were derived to quantify the plasma damage. Based on the radical diffusion, reaction, and recombination inside porous low-k dielectrics, a plasma altered layer model was derived to interpret the chemical effect in the low ion energy region. It predicted that oxidative plasma induced damage can be reduced by decreasing pore radius, substrate temperature, and oxygen radical density and increasing carbon concentration and surface recombination rate inside low-k dielectrics. The model validity was verified by experiments and Monte-Carlo simulations. This model was also extended to the patterned low-k structure. Based on the ion collision cascade process, a sputtering yield model was introduced to interpret the physical effect in the high ion energy region. The model validity was verified by checking the ion angular and energy dependences of sputtering yield using O2/He/Ar plasma, low-k dielectrics with different k values, and a Faraday cage. Low-k dielectrics and plasma process were optimized to reduce plasma damage, including increasing carbon concentration in low-k dielectrics, switching plasma

  1. [Oxygen plasma-vulcanized deformable polydimethylsiloxane sheet culture substrates].

    PubMed

    Zhang, Yiyi; Tao, Zulai

    2003-06-01

    A method of preparing deformable polydimethylsiloxane sheet culture substrates by oxygen plasma vulcanization was developed. As compared with the traditional heating vulcanization method, the substrates prepared in this way have hydrophilic surfaces, the adhesion and spreading of cells both occur quickly, and the wrinkling deformation of substrates develops quickly, too. In addition, the changes of wrinkles during treatment of cytochalasin D were observed, and the result shows that this technique has high temporal resolution.

  2. Sterilization Effect of Wet Oxygen Plasma in the Bubbling Method.

    PubMed

    Tamazawa, Kaoru; Shintani, Hideharu; Tamazawa, Yoshinori; Shimauchi, Hidetoshi

    2015-01-01

    A new low-temperature sterilization method to replace the ethylene oxide gas sterilization is needed. Strong bactericidal effects of OH and O2H radicals are well known. The purpose of this study was to evaluate the sterilization effect of wet oxygen ("O2+H2O") plasma in the bubbling method, confirming the effect of humidity. Sterility assurance was confirmed by using a biological indicator (Geobacillus stearothermophilus ATCC7953, Namsa, USA). One hundred and eight samples (10(5) spores/carrier) were divided into three groups of 36 in each for treatment with a different type of gas (O2, O2+H2O, Air+H2O). Plasma processing was conducted using a plasma ashing apparatus (13.56 MHz, PACK-3(®), Y. A. C., Japan) under various gas pressures (13, 25, 50 Pa) and gas flows (50, 100, 200 sccm). Fixed plasma treatment parameters were power at 150 W, temperature of 60 ℃, treatment time of 10 min. The samples after treatment were incubated in trypticase soy broth at 58 ℃ for 72 h. The negative culture rate in the "O2+H2O" group was significantly (Mantel-Haenszel procedure, p<0.001) higher than in the other gas groups. It is suggested that the significant sterilization effect of the "O2+H2O" group depends on the bubbling method which is the method of introducing vapor into the chamber. The bubbling method seems able to generate OH and O2H radicals in a stable way.

  3. Effects of oxygen plasma treatment power on Aramid fiber III/BMI composite humidity resistance properties

    NASA Astrophysics Data System (ADS)

    Wang, Jing; Shi, Chen; Feng, Jiayue; Long, Xi; Meng, Lingzhi; Ren, Hang

    2018-01-01

    The effects of oxygen plasma treatment power on Aramid Fiber III chemical structure and its reinforced bismaleimides (BMI) composite humidity resistance properties were investigated in this work. The aramid fiber III chemical structure under different plasma treatment power were measured by FTIR. The composite bending strength and interlinear shear strength with different plasma treatment power before and after absorption water were tested respectively. The composite rupture morphology was observed by SEM. The FTIR results showed that oxygen plasma treatment do not change the fiber bulk chemical structure. The composite humidity resistance of bending strength and interlinear shear strength are similar for untreated and plasma treated samples. The retention rate of composite bending strength and interlinear shear strength are about 75% and 94%, respectively. The composite rupture mode turns to be the fiber failure after water absorption.

  4. Sterilization of Long Tube Inner Surface Using Oxygen and Water Vapor Plasmas Produced by AC HV Discharge

    NASA Astrophysics Data System (ADS)

    Kitazaki, Satoshi; Hayashi, Nobuya

    2009-10-01

    Oxygen and water vapor plasmas inside a narrow long tube were produced using an AC HV glow discharge at low pressure in order to sterilize the inner surface of a tube. In order to produce plasma inside a narrow tube, an AC high voltage was adopted. The material of the tube used in this experiment was silicon rubber. The length and diameter of the tubes ranged from 300 to 1,000 mm and from 1 to 4 mm, respectively. The tube was placed in a stainless steel vacuum chamber and was evacuated to 10 Pa using a rotary pump. The material gas for plasma and radical productions was pure oxygen or water vapor, which was introduced to the chamber from a gas cylinder or water reservoir. Light emission spectral lines of oxygen and OH radicals were observed at 777 nm and 306 nm, respectively. The chemical indicator was inserted into the tube and turned to a yellowish color (from the original red) after a treatment, which indicates the generation of sufficient oxygen on OH radicals for sterilization. A tube with the length of 500 mm and diameter of 4 mm is sterilized using oxygen plasma by 10 minutes treatment. Also a tube with the length of 300 mm and diameter of 2 mm is sterilized using water vapor plasma by 5 minutes treatment.

  5. Ignition and monitoring technique for plasma processing of multicell superconducting radio-frequency cavities

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Doleans, Marc

    In this study, an in-situ plasma processing technique has been developed at the Spallation Neutron Source (SNS) to improve the performance of the superconducting radio-frequency (SRF) cavities in operation. The technique uses a low-density reactive neon-oxygen plasma at room-temperature to improve the surface work function, to help remove adsorbed gases on the RF surface and to reduce its secondary emission yield. SNS SRF cavities are six-cell elliptical cavities and the plasma typically ignites in the cell where the electric field is the highest. This article will detail a technique that was developed to ignite and monitor the plasma in eachmore » cell of the SNS cavities.« less

  6. Ignition and monitoring technique for plasma processing of multicell superconducting radio-frequency cavities

    DOE PAGES

    Doleans, Marc

    2016-12-27

    In this study, an in-situ plasma processing technique has been developed at the Spallation Neutron Source (SNS) to improve the performance of the superconducting radio-frequency (SRF) cavities in operation. The technique uses a low-density reactive neon-oxygen plasma at room-temperature to improve the surface work function, to help remove adsorbed gases on the RF surface and to reduce its secondary emission yield. SNS SRF cavities are six-cell elliptical cavities and the plasma typically ignites in the cell where the electric field is the highest. This article will detail a technique that was developed to ignite and monitor the plasma in eachmore » cell of the SNS cavities.« less

  7. Combined effects of Ag nanoparticles and oxygen plasma treatment on PLGA morphological, chemical, and antibacterial properties.

    PubMed

    Fortunati, Elena; Mattioli, Samantha; Visai, Livia; Imbriani, Marcello; Fierro, Josè Luis G; Kenny, Josè Maria; Armentano, Ilaria

    2013-03-11

    The purpose of this study is to investigate the combined effects of oxygen plasma treatments and silver nanoparticles (Ag) on PLGA in order to modulate the surface antimicrobial properties through tunable bacteria adhesion mechanisms. PLGA nanocomposite films, produced by solvent casting with 1 wt % and 7 wt % of Ag nanoparticles were investigated. The PLGA and PLGA/Ag nanocomposite surfaces were treated with oxygen plasma. Surface properties of PLGA were investigated by field emission scanning electron microscopy (FESEM), atomic force microscopy (AFM), static contact angle (CA), and high resolution X-ray photoelectron spectroscopy (XPS). Antibacterial tests were performed using an Escherichia coli RB (a Gram negative) and Staphylococcus aureus 8325-4 (a Gram positive). The PLGA surface becomes hydrophilic after the oxygen treatment and its roughness increases with the treatment time. The surface treatment and the Ag nanoparticle introduction have a dominant influence on the bacteria adhesion and growth. Oxygen-treated PLGA/Ag systems promote higher reduction of the bacteria viability in comparison to the untreated samples and neat PLGA. The combination of Ag nanoparticles with the oxygen plasma treatment opens new perspectives for the studied biodegradable systems in biomedical applications.

  8. Innovative non-thermal plasma disinfection process inside sealed bags: Assessment of bactericidal and sporicidal effectiveness in regard to current sterilization norms

    PubMed Central

    Charpentier, Emilie; Le-Bras, Florian; Maho, Thomas; Robert, Eric; Pouvesle, Jean-Michel; Polidor, Franck; Gangloff, Sophie C.; Boudifa, Mohamed

    2017-01-01

    In this work, we developed a device capable to generate a non-thermal plasma discharge inside a sealed bag. The aim of this study was to assess the effectiveness of the oxygen, nitrogen and argon plasma sterilization on Pseudomonas aeruginosa, Staphylococcus aureus and Bacillus subtilis spores according to the NF EN 556 Norm. Moreover the bag integrity which is a critical key to maintain the sterile state of items after the end of the process was verified by Fourier Transform Infrared (FTIR) and X-ray Photoelectron Spectrometry (XPS) analyses. After plasma treatments, the bacterial counting showed a 6 log reduction of P. aeruginosa and S. aureus in 45 min and 120 min respectively whatever the gas used and a 4 log reduction of B. subtilis spores in 120 min with only oxygen plasma. These results were confirmed by Scanning Electron Microscopy (SEM) observations showing altered bacteria or spores and numerous debris. Taking into account the studied microorganisms, the oxygen plasma treatment showed the highest efficiency. FTIR and XPS analyses showed that this treatment induced no significant modification of the bags. To conclude this non-thermal plasma sterilization technique could be an opportunity to sterilize heat and chemical-sensitive medical devices and to preserve their sterile state after the end of the process. PMID:28662202

  9. The effect of oxygen-plasma treatment on Kevlar fibers and the properties of Kevlar fibers/bismaleimide composites

    NASA Astrophysics Data System (ADS)

    Su, Min; Gu, Aijuan; Liang, Guozheng; Yuan, Li

    2011-02-01

    The effect of oxygen-plasma treatment for Kevlar fibers on the interfacial adhesion and typical macro-properties of Kevlar fiber/bismaleimide composites was intensively studied. It is found that oxygen-plasma treatment significantly affects the interfacial adhesion by changing the chemistry and morphology of the surfaces of the fibers, and thus leading to improved interlaminar shear strength, water resistance and dielectric properties of the composites. However, the improvement is closely related to the treatment power and time. The best condition for treating Kevlar fiber is 70 W for 5 min. Oxygen-plasma treatment provides an effective technique for overcoming the poor interfacial adhesion of Kevlar fiber based composites, and thus showing great potential in fabricating high performance copper clad laminates.

  10. Oxygen Plasma Modification of Poss-Coated Kapton(Registered TradeMark) HN Films

    NASA Technical Reports Server (NTRS)

    Wohl, C. J.; Belcher, M. A.; Ghose, S.; Connell, J. W.

    2008-01-01

    The surface energy of a material depends on both surface composition and topographic features. In an effort to modify the surface topography of Kapton(Registered TradeMark) HN film, organic solutions of a polyhedral oligomeric silsesquioxane, octakis(dimethylsilyloxy)silsesquioxane (POSS), were spray-coated onto the Kapton(Registered TradeMark) HN surface. Prior to POSS application, the Kapton(Registered TradeMark) HN film was activated by exposure to radio frequency (RF)-generated oxygen plasma. After POSS deposition and solvent evaporation, the films were exposed to various durations of RF-generated oxygen plasma to create a topographically rich surface. The modified films were characterized using optical microscopy, attenuated total reflection infrared (ATR-IR) spectroscopy, and high-resolution scanning electron microscopy (HRSEM). The physical properties of the modified films will be presented.

  11. Determination of the neutral oxygen atom density in a plasma reactor loaded with metal samples

    NASA Astrophysics Data System (ADS)

    Mozetic, Miran; Cvelbar, Uros

    2009-08-01

    The density of neutral oxygen atoms was determined during processing of metal samples in a plasma reactor. The reactor was a Pyrex tube with an inner diameter of 11 cm and a length of 30 cm. Plasma was created by an inductively coupled radiofrequency generator operating at a frequency of 27.12 MHz and output power up to 500 W. The O density was measured at the edge of the glass tube with a copper fiber optics catalytic probe. The O atom density in the empty tube depended on pressure and was between 4 and 7 × 1021 m-3. The maximum O density was at a pressure of about 150 Pa, while the dissociation fraction of O2 molecules was maximal at the lowest pressure and decreased with increasing pressure. At about 300 Pa it dropped below 10%. The measurements were repeated in the chamber loaded with different metallic samples. In these cases, the density of oxygen atoms was lower than that in the empty chamber. The results were explained by a drain of O atoms caused by heterogeneous recombination on the samples.

  12. Evaluation of fatty acid oxidation by reactive oxygen species induced in liquids using atmospheric-pressure nonthermal plasma jets

    NASA Astrophysics Data System (ADS)

    Tani, Atsushi; Fukui, Satoshi; Ikawa, Satoshi; Kitano, Katsuhisa

    2015-10-01

    We investigated fatty acid oxidation by atmospheric-pressure nonthermal helium plasma using linoleic acid, an unsaturated fatty acid, together with evaluating active species induced in liquids. If the ambient gas contains oxygen, direct plasma such as plasma jets coming into contact with the liquid surface supplies various active species, such as singlet oxygen, ozone, and superoxide anion radicals, to the liquid. The direct plasma easily oxidizes linoleic acid, indicating that fatty acid oxidation will occur in the direct plasma. In contrast, afterglow flow, where the plasma is terminated in a glass tube and does not touch the surface of the liquid sample, supplies mainly superoxide anion radicals. The fact that there was no clear observation of linoleic acid oxidation using the afterglow reveals that it may not affect lipids, even in an atmosphere containing oxygen. The afterglow flow can potentially be used for the sterilization of aqueous solutions using the reduced pH method, in medical and dental applications, because it provides bactericidal activity in the aqueous solution despite containing a smaller amount of active species.

  13. Plasma Processes for Semiconductor Fabrication

    NASA Astrophysics Data System (ADS)

    Hitchon, W. N. G.

    1999-01-01

    Plasma processing is a central technique in the fabrication of semiconductor devices. This self-contained book provides an up-to-date description of plasma etching and deposition in semiconductor fabrication. It presents the basic physics and chemistry of these processes, and shows how they can be accurately modeled. The author begins with an overview of plasma reactors and discusses the various models for understanding plasma processes. He then covers plasma chemistry, addressing the effects of different chemicals on the features being etched. Having presented the relevant background material, he then describes in detail the modeling of complex plasma systems, with reference to experimental results. The book closes with a useful glossary of technical terms. No prior knowledge of plasma physics is assumed in the book. It contains many homework exercises and serves as an ideal introduction to plasma processing and technology for graduate students of electrical engineering and materials science. It will also be a useful reference for practicing engineers in the semiconductor industry.

  14. Oxygen and nitrogen plasma etching of three-dimensional hydroxyapatite/chitosan scaffolds fabricated by additive manufacturing

    NASA Astrophysics Data System (ADS)

    Myung, Sung-Woon; Kim, Byung-Hoon

    2016-01-01

    Three-dimensional (3D) chitosan and hydroxyapatite (HAp)/chitosan (CH) scaffolds were fabricated by additive manufacturing, then their surfaces were etched with oxygen (O2) and nitrogen (N2) plasma. O2 and N2 plasma etching was performed to increase surface properties such as hydrophilicity, roughness, and surface chemistry on the scaffolds. After etching, hydroxyapatite was exposed on the surface of 3D HAp/CH scaffolds. The surface morphology and chemical properties were characterized by contact angle measurement, scanning electron microscopy, X-ray diffraction, and attenuated total reflection Fourier infrared spectroscopy. The cell viability of 3D chitosan scaffolds was examined by 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide assay. The differentiation of preosteoblast cells was evaluated by alkaline phosphatase assay. The cell viability was improved by O2 and N2 plasma etching of 3D chitosan scaffolds. The present fabrication process for 3D scaffolds might be applied to a potential tool for preparing biocompatible scaffolds.

  15. ZnO synthesis by high vacuum plasma-assisted chemical vapor deposition using dimethylzinc and atomic oxygen

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Hand, Steve; Leaf, Jackie; Wolden, Colin A.

    2004-09-01

    Zinc oxide thin films were produced by high vacuum plasma-assisted chemical vapor deposition (HVP-CVD) from dimethylzinc (DMZn) and atomic oxygen. HVP-CVD is differentiated from conventional remote plasma-enhanced CVD in that the operating pressures of the inductively coupled plasma (ICP) source and the deposition chamber are decoupled. Both DMZn and atomic oxygen effuse into the deposition chamber under near collisionless conditions. The deposition rate was measured as a function of DMZn and atomic oxygen flux on glass and silicon substrates. Optical emission spectroscopy and quadrupole mass spectrometry (QMS) were used to provide real time analysis of the ICP source and the deposition chamber. The deposition rate was found to be first order in DMZn pressure and zero order in atomic oxygen density. All films demonstrated excellent transparency and were preferentially orientated along the c-axis. The deposition chemistry occurs exclusively through surface-mediated reactions, since the collisionless transport environment eliminates gas-phase chemistry. QMS analysis revealed that DMZn was almost completely consumed, and desorption of unreacted methyl radicals was greatly accelerated in the presence of atomic oxygen. Negligible zinc was detected in the gas phase, suggesting that Zn was efficiently consumed on the substrate and walls of the reactor.

  16. Method for minimizing decarburization and other high temperature oxygen reactions in a plasma sprayed material

    DOEpatents

    Lenling, William J.; Henfling, Joseph A.; Smith, Mark F.

    1993-06-08

    A method is disclosed for spray coating material which employs a plasma gun that has a cathode, an anode, an arc gas inlet, a first powder injection port, and a second powder injection port. A suitable arc gas is introduced through the arc gas inlet, and ionization of the arc gas between the cathode and the anode forms a plasma. The plasma is directed to emenate from an open-ended chamber defined by the boundary of the anode. A coating is deposited upon a base metal part by suspending a binder powder within a carrier gas that is fed into the plasma through the first powder injection port; a material subject to degradation by high temperature oxygen reactions is suspended within a carrier gas that is fed into the plasma through the second injection port. The material fed through the second injection port experiences a cooler portion of the plasma and has a shorter dwell time within the plasma to minimize high temperature oxygen reactions. The material of the first port and the material of the second port intermingle within the plasma to form a uniform coating having constituent percentages related to the powder-feed rates of the materials through the respective ports.

  17. Oxygen plasma treatment and deposition of CN{sub x} on a fluorinated polymer matrix composite for improved erosion resistance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Muratore, C.; Korenyi-Both, A.; Bultman, J. E.

    2007-07-15

    The use of polymer matrix composites in aerospace propulsion applications is currently limited by insufficient resistance to erosion by abrasive media. Erosion resistant coatings may provide necessary protection; however, adhesion to many high temperature polymer matrix composite (PMC) materials is poor. A low pressure oxygen plasma treatment process was developed to improve adhesion of CN{sub x} coatings to a carbon reinforced, fluorinated polymer matrix composite. Fullerene-like CN{sub x} was selected as an erosion resistant coating for its high hardness-to-elastic modulus ratio and elastic resilience which were expected to reduce erosion from media incident at different angles (normal or glancing) relativemore » to the surface. In situ x-ray photoelectron spectroscopy was used to evaluate the effect of the plasma treatment on surface chemistry, and electron microscopy was used to identify changes in the surface morphology of the PMC substrate after plasma exposure. The fluorine concentration at the surface was significantly reduced and the carbon fibers were exposed after plasma treatment. CN{sub x} coatings were then deposited on oxygen treated PMC substrates. Qualitative tests demonstrated that plasma treatment improved coating adhesion resulting in an erosion resistance improvement of a factor of 2 compared to untreated coated composite substrates. The combination of PMC pretreatment and coating with CN{sub x} reduced the erosion rate by an order of magnitude for normally incident particles.« less

  18. Treatment of Second-Order Structures of Proteins Using Oxygen Radio Frequency Plasma

    NASA Astrophysics Data System (ADS)

    Hayashi, Nobuya; Nakahigashi, Akari; Liu, Hao; Goto, Masaaki

    2010-08-01

    Decomposition characteristics of second-order structures of proteins are determined using an oxygen radio frequency (RF) plasma sterilizer in order to prevent infectious proteins from contaminating medical equipment in hospitals. The removal of casein protein as a test protein with a concentration of 50 mg/cm2 on the plane substrate requires approximately 8 h when singlet atomic oxygen is irradiated. The peak intensity of Fourier transform infrared spectroscopy (FTIR) spectra of the β-sheet structures decreases at approximately the same rate as those of the α-helix and first-order structures of proteins. Active oxygen has a sufficient oxidation energy to dissociate hydrogen bonds within the β-sheet structure.

  19. DNA combing on low-pressure oxygen plasma modified polysilsesquioxane substrates for single-molecule studies

    PubMed Central

    Sriram, K. K.; Chang, Chun-Ling; Rajesh Kumar, U.; Chou, Chia-Fu

    2014-01-01

    Molecular combing and flow-induced stretching are the most commonly used methods to immobilize and stretch DNA molecules. While both approaches require functionalization steps for the substrate surface and the molecules, conventionally the former does not take advantage of, as the latter, the versatility of microfluidics regarding robustness, buffer exchange capability, and molecule manipulation using external forces for single molecule studies. Here, we demonstrate a simple one-step combing process involving only low-pressure oxygen (O2) plasma modified polysilsesquioxane (PSQ) polymer layer to facilitate both room temperature microfluidic device bonding and immobilization of stretched single DNA molecules without molecular functionalization step. Atomic force microscopy and Kelvin probe force microscopy experiments revealed a significant increase in surface roughness and surface potential on low-pressure O2 plasma treated PSQ, in contrast to that with high-pressure O2 plasma treatment, which are proposed to be responsible for enabling effective DNA immobilization. We further demonstrate the use of our platform to observe DNA-RNA polymerase complexes and cancer drug cisplatin induced DNA condensation using wide-field fluorescence imaging. PMID:25332730

  20. Influence of Oxygenated Compounds on Reaction Products in a Microwave Plasma Methane Pyrolysis Assembly for Post-Processing of Sabatier Methane

    NASA Technical Reports Server (NTRS)

    Mansell, J. Matthew; Abney, Morgan B.; Miller, Lee A.

    2011-01-01

    The state-of-the-art Carbon Dioxide Reduction Assembly (CRA) was delivered to the International Space Station (ISS) in April 2010. The system is designed to accept carbon dioxide from the Carbon Dioxide Removal Assembly and hydrogen from the Oxygen Generation Assembly. The two gases are reacted in the CRA in a Sabatier reactor to produce water and methane. Venting of methane results in an oxygen resupply requirement of about 378 lbs per crew member per year. If the oxygen is supplied as water, the total weight for resupply is about 476 lb per crew member per year. For long-term missions beyond low Earth orbit, during which resupply capabilities will be further limited, recovery of hydrogen from methane is highly desirable. For this purpose, NASA is pursuing development of a Plasma Pyrolysis Assembly (PPA) capable of recovering hydrogen from methane. Under certain conditions, water vapor and carbon dioxide (nominally intended to be separated from the CRA outlet stream) may be present in the PPA feed stream. Thus, testing was conducted in 2010 to determine the effect of these "oxygenated" compounds on PPA performance, particularly the effect of inlet carbon dioxide and water variations on the PPA product stream. This paper discusses the test set-up, analysis, and results of this testing

  1. Influence of Oxygenated Compounds on Reaction Products in a Microwave Plasma Methane Pyrolysis Assembly for Post-Processing of Sabatier Methane

    NASA Technical Reports Server (NTRS)

    Mansell, J. Matthew; Abney, Morgan B.

    2012-01-01

    The state-of-the-art Carbon Dioxide Reduction Assembly (CRA) was delivered to the International Space Station (ISS) in April 2010. The system is designed to accept carbon dioxide from the Carbon Dioxide Removal Assembly and hydrogen from the Oxygen Generation Assembly. The two gases are reacted in the CRA in a Sabatier reactor to produce water and methane. Venting of methane results in an oxygen resupply requirement of about 378 lbs per crew member per year. If the oxygen is supplied as water, the total weight for resupply is about 476 lb per crew member per year. For long-term missions beyond low Earth orbit, during which resupply capabilities will be further limited, recovery of hydrogen from methane is highly desirable. For this purpose, NASA is pursuing development of a Plasma Pyrolysis Assembly (PPA) capable of recovering hydrogen from methane. Under certain conditions, water vapor and carbon dioxide (nominally intended to be separated from the CRA outlet stream) may be present in the PPA feed stream. Thus, testing was conducted in 2010 to determine the effect of these oxygenated compounds on PPA performance, particularly the effect of inlet carbon dioxide and water variations on the PPA product stream. This paper discusses the test set-up, analysis, and results of this testing.

  2. Impact of plasma jet vacuum ultraviolet radiation on reactive oxygen species generation in bio-relevant liquids

    NASA Astrophysics Data System (ADS)

    Jablonowski, H.; Bussiahn, R.; Hammer, M. U.; Weltmann, K.-D.; von Woedtke, Th.; Reuter, S.

    2015-12-01

    Plasma medicine utilizes the combined interaction of plasma produced reactive components. These are reactive atoms, molecules, ions, metastable species, and radiation. Here, ultraviolet (UV, 100-400 nm) and, in particular, vacuum ultraviolet (VUV, 10-200 nm) radiation generated by an atmospheric pressure argon plasma jet were investigated regarding plasma emission, absorption in a humidified atmosphere and in solutions relevant for plasma medicine. The energy absorption was obtained for simple solutions like distilled water (dH2O) or ultrapure water and sodium chloride (NaCl) solution as well as for more complex ones, for example, Rosewell Park Memorial Institute (RPMI 1640) cell culture media. As moderate stable reactive oxygen species, hydrogen peroxide (H2O2) was studied. Highly reactive oxygen radicals, namely, superoxide anion (O2•-) and hydroxyl radicals (•OH), were investigated by the use of electron paramagnetic resonance spectroscopy. All species amounts were detected for three different treatment cases: Plasma jet generated VUV and UV radiation, plasma jet generated UV radiation without VUV part, and complete plasma jet including all reactive components additionally to VUV and UV radiation. It was found that a considerable amount of radicals are generated by the plasma generated photoemission. From the experiments, estimation on the low hazard potential of plasma generated VUV radiation is discussed.

  3. Atomic Precision Plasma Processing - Modeling Investigations

    NASA Astrophysics Data System (ADS)

    Rauf, Shahid

    2016-09-01

    Sub-nanometer precision is increasingly being required of many critical plasma processes in the semiconductor industry. Some of these critical processes include atomic layer etch and plasma enhanced atomic layer deposition. Accurate control over ion energy and ion / radical composition is needed during plasma processing to meet the demanding atomic-precision requirements. While improvements in mainstream inductively and capacitively coupled plasmas can help achieve some of these goals, newer plasma technologies can expand the breadth of problems addressable by plasma processing. Computational modeling is used to examine issues relevant to atomic precision plasma processing in this paper. First, a molecular dynamics model is used to investigate atomic layer etch of Si and SiO2 in Cl2 and fluorocarbon plasmas. Both planar surfaces and nanoscale structures are considered. It is shown that accurate control of ion energy in the sub-50 eV range is necessary for atomic scale precision. In particular, if the ion energy is greater than 10 eV during plasma processing, several atomic layers get damaged near the surface. Low electron temperature (Te) plasmas are particularly attractive for atomic precision plasma processing due to their low plasma potential. One of the most attractive options in this regard is energetic-electron beam generated plasma, where Te <0.5 eV has been achieved in plasmas of molecular gases. These low Te plasmas are computationally examined in this paper using a hybrid fluid-kinetic model. It is shown that such plasmas not only allow for sub-5 eV ion energies, but also enable wider range of ion / radical composition. Coauthors: Jun-Chieh Wang, Jason Kenney, Ankur Agarwal, Leonid Dorf, and Ken Collins.

  4. Anisotropic etching of amorphous perfluoropolymer films in oxygen-based inductively coupled plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ono, Takao; Akagi, Takanori; Center for NanoBio Integration, University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656

    2009-01-01

    An amorphous perfluoropolymer, 'Cytop' (Asahi Glass Co., Ltd.), is a preferable material for the fabrication of micro total analysis system devices because of its superior optical transparency over a wide wavelength range and low refractive index of 1.34, which is almost the same as that of water, as well as excellent chemical stability. To establish the precise microfabrication technology for this unique resin, the dry etching of the amorphous perfluoropolymer in Ar/O{sub 2} low-pressure inductively coupled plasma has been studied. A relatively high etch rate of approximately 6.3 {mu}m/min at maximum and highly anisotropic etched features was attained. Plasma measurementsmore » by a single Langmuir probe technique and actinometry revealed that etching is dominated by ion-assisted surface desorption above a 10%O{sub 2} mixing ratio, whereas the supply of active oxygen species is the rate-limiting process below 10%. Moreover, angled x-ray photoelectron spectroscopy measurements of an etched trench pattern revealed that a high anisotropy is attributed to the formation of a carbon-rich sidewall protection layer.« less

  5. ESCA study of several fluorocarbon polymers exposed to atomic oxygen in low earth orbit or within or downstream from a radio-frequency oxygen plasma

    NASA Technical Reports Server (NTRS)

    Golub, Morton A.; Wydeven, Theodore; Cormia, Robert D.

    1989-01-01

    The ESCA (electron spectroscopy for chemical analysis) spectra of films of Tedlar, tetrafluoroethylene-hexafluoropropylene copolymer (in the form of a Teflon FEP coating on Kapton H, i.e., Kapton F), and polytetrafluoroethylene (Teflon or Teflon TFE), exposed to atomic oxygen O(3P) either in LEO on the STS-8 Space Shuttle or within or downstream from a radio-frequency oxygen plasma, were compared. The major difference in surface chemistry of Tedlar induced by the various exposures to O(3P) was a much larger uptake of oxygen when etched either in or out of the glow of an O2 plasma than when etched in LEO. In contrast, Kapton F exhibited very little surface oxidation during any of the three different exposures to O(3P), while Teflon was scarcely oxidized.

  6. Effects of topographical and mechanical property alterations induced by oxygen plasma modification on stem cell behavior.

    PubMed

    Yang, Yong; Kulangara, Karina; Lam, Ruby T S; Dharmawan, Rena; Leong, Kam W

    2012-10-23

    Polymeric substrates intended for cell culture and tissue engineering are often surface-modified to facilitate cell attachment of most anchorage-dependent cell types. The modification alters the surface chemistry and possibly topography. However, scant attention has been paid to other surface property alterations. In studying oxygen plasma treatment of polydimethylsiloxane (PDMS), we show that oxygen plasma treatment alters the surface chemistry and, consequently, the topography and elasticity of PDMS at the nanoscale level. The elasticity factor has the predominant effect, compared with the chemical and topographical factors, on cell adhesions of human mesenchymal stem cells (hMSCs). The enhanced focal adhesions favor cell spreading and osteogenesis of hMSCs. Given the prevalent use of PDMS in biomedical device construction and cell culture experiments, this study highlights the importance of understanding how oxygen plasma treatment would impact subsequent cell-substrate interactions. It helps explain inconsistency in the literature and guides preparation of PDMS-based biomedical devices in the future.

  7. Modelling chemical reactions in dc plasma inside oxygen bubbles in water

    NASA Astrophysics Data System (ADS)

    Takeuchi, N.; Ishii, Y.; Yasuoka, K.

    2012-02-01

    Plasmas generated inside oxygen bubbles in water have been developed for water purification. Zero-dimensional numerical simulations were used to investigate the chemical reactions in plasmas driven by dc voltage. The numerical and experimental results of the concentrations of hydrogen peroxide and ozone in the solution were compared with a discharge current between 1 and 7 mA. Upon increasing the water vapour concentration inside bubbles, we saw from the numerical results that the concentration of hydrogen peroxide increased with discharge current, whereas the concentration of ozone decreased. This finding agreed with the experimental results. With an increase in the discharge current, the heat flux from the plasma to the solution increased, and a large amount of water was probably vaporized into the bubbles.

  8. Measurement of singlet delta oxygen in an atmospheric-pressure helium-oxygen plasma jet

    NASA Astrophysics Data System (ADS)

    Inoue, Yuki; Ono, Ryo

    2017-06-01

    The density of singlet delta oxygen {{\\text{O}}2}≤ft({{a}1}{{ Δ }g}\\right) is measured in situ in a He/O2 atmospheric-pressure plasma jet using optical emission spectroscopy at 1.27 μm. A sinusoidal high voltage of 1-10 kHz is applied between two electrodes wrapped around a quartz tube, in which the working gas flows. The two-dimensional distribution of the {{\\text{O}}2}≤ft({{a}1}{{ Δ }g}\\right) density shows that {{\\text{O}}2}≤ft({{a}1}{{ Δ }g}\\right) is primarily produced in the dielectric barrier discharge inside the quartz tube and that the {{\\text{O}}2}≤ft({{a}1}{{ Δ }g}\\right) production in the plasma jet plume is negligibly small. Typically, 100 ppm of {{\\text{O}}2}≤ft({{a}1}{{ Δ }g}\\right) is produced. The {{\\text{O}}2}≤ft({{a}1}{{ Δ }g}\\right) density increases with O2 concentration and is proportional to the specific input energy (SIE; J/l) irrespective of the discharge voltage, frequency, and gas flow rate. The {{\\text{O}}2}≤ft({{a}1}{{ Δ }g}\\right) production per SIE was 10 ppm/(J/l). The loss processes of {{\\text{O}}2}≤ft({{a}1}{{ Δ }g}\\right) via reactions with O3 and O2 are discussed. The {{\\text{O}}2}≤ft({{a}1}{{ Δ }g}\\right) density decreases when the working gas is humidified. An addition of 200 ppm of H2O decreases the {{\\text{O}}2}≤ft({{a}1}{{ Δ }g}\\right) density by half.

  9. Plasma reactivity in high-power impulse magnetron sputtering through oxygen kinetics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vitelaru, Catalin; National Institute for Optoelectronics, Magurele-Bucharest, RO 077125; Lundin, Daniel

    2013-09-02

    The atomic oxygen metastable dynamics in a Reactive High-Power Impulse Magnetron Sputtering (R-HiPIMS) discharge has been characterized using time-resolved diode laser absorption in an Ar/O{sub 2} gas mixture with a Ti target. Two plasma regions are identified: the ionization region (IR) close to the target and further out the diffusion region (DR), separated by a transition region. The μs temporal resolution allows identifying the main atomic oxygen production and destruction routes, which are found to be very different during the pulse as compared to the afterglow as deduced from their evolution in space and time.

  10. Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls

    NASA Astrophysics Data System (ADS)

    Shimoeda, Hironao; Kondo, Hiroki; Ishikawa, Kenji; Hiramatsu, Mineo; Sekine, Makoto; Hori, Masaru

    2014-04-01

    Cauliflorous nanographene sheets were hierarchically regrown on the spearlike structures of carbon nanowalls (CNWs) produced by O2-plasma etching. The spears on the CNWs acted as a stem for the growth of flowerlike flaky nanographene sheets, where the root of the nanoflower was located at a defect or disordered site. The defects on the graphitic structures were induced by irradiation with oxygen-related radicals and ions in the O2-based plasmas and acted as sites for the nucleation of flowerlike nanographene. The porous carbon nanostructures regrown after O2-plasma treatment have a relatively higher surface area and are thus promising materials for electrochemical applications.

  11. Atmospheric pressure plasma jet for bacterial decontamination and property improvement of fruit and vegetable processing wastewater

    NASA Astrophysics Data System (ADS)

    Mohamed, Abdel-Aleam H.; Shariff, Samir M. Al; Ouf, Salama A.; Benghanem, Mohamed

    2016-05-01

    An atmospheric pressure plasma jet was tested for decontaminating and improving the characteristics of wastewater derived from blackberry, date palm, tomato and beetroot processing industries. The jet was generated by blowing argon gas through a cylindrical alumina tube while a high voltage was applied between two electrodes surrounding the tube. Oxygen gas was mixed with argon at the rate of 0.2% and the argon mass flow was fixed at 4.5 slm. Images show that the generated plasma jet penetrated the treated wastewater samples. Plasma emission spectra show the presence of O and OH radicals as well as excited molecular nitrogen and argon. Complete decontamination of wastewater derived from date palm and tomato processing was achieved after 120 and 150 s exposure to the plasma jet, respectively. The bacterial count of wastewater from blackberry and beetroot was reduced by 0.41 and 2.24 log10 colony-forming units (CFU) per ml, respectively, after 180 s. Escherichia coli was the most susceptible bacterial species to the cold plasma while Shigella boydii had the minimum susceptibility, recording 1.30 and 3.34 log10 CFU ml-1, respectively, as compared to the 7.00 log10 initial count. The chemical oxygen demands of wastewater were improved by 57.5-93.3% after 180 s exposure to the plasma jet being tested. The endotoxins in the wastewater were reduced by up to 90.22%. The variation in plasma effectiveness is probably related to the antioxidant concentration of the different investigated wastewaters.

  12. Analysis by oxygen atom number density measurement of high-speed hydrophilic treatment of polyimide using atmospheric pressure microwave plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ono, S.

    2015-03-30

    This paper describes the fundamental experimental data of the plasma surface modification of the polyimide using atmospheric pressure microwave plasma source. The experimental results were discussed from the point of view of the radical’s behavior, which significantly affects the modification mechanism. The purpose of the study is to examine how the value of the oxygen atom density will affect the hydrophilic treatment in the upstream region of the plasma where gas temperature is very high. The surface modification experiments were performed by setting the polyimide film sample in the downstream region of the plasma. The degree of the modification wasmore » measured by a water contact angle measurement. The water contact angle decreased less than 30 degrees within 1 second treatment time in the upstream region. Very high speed modification was observed. The reason of this high speed modification seems that the high density radical which contributes the surface modification exist in the upstream region of the plasma. This tendency is supposed to the measured relatively high electron density (~10{sup 15}cm{sup −3}) at the center of the plasma. We used the electric heating catalytic probe method for oxygen radical measurement. An absolute value of oxygen radical density was determined by catalytic probe measurement and the results show that ~10{sup 15}cm{sup −3} of the oxygen radical density in the upstream region and decreases toward downstream region. The experimental results of the relation of the oxygen radical density and hydrophilic modification of polyimide was discussed.« less

  13. Optimization of a Solution-Processed SiO2 Gate Insulator by Plasma Treatment for Zinc Oxide Thin Film Transistors.

    PubMed

    Jeong, Yesul; Pearson, Christopher; Kim, Hyun-Gwan; Park, Man-Young; Kim, Hongdoo; Do, Lee-Mi; Petty, Michael C

    2016-01-27

    We report on the optimization of the plasma treatment conditions for a solution-processed silicon dioxide gate insulator for application in zinc oxide thin film transistors (TFTs). The SiO2 layer was formed by spin coating a perhydropolysilazane (PHPS) precursor. This thin film was subsequently thermally annealed, followed by exposure to an oxygen plasma, to form an insulating (leakage current density of ∼10(-7) A/cm(2)) SiO2 layer. Optimized ZnO TFTs (40 W plasma treatment of the gate insulator for 10 s) possessed a carrier mobility of 3.2 cm(2)/(V s), an on/off ratio of ∼10(7), a threshold voltage of -1.3 V, and a subthreshold swing of 0.2 V/decade. In addition, long-term exposure (150 min) of the pre-annealed PHPS to the oxygen plasma enabled the maximum processing temperature to be reduced from 180 to 150 °C. The resulting ZnO TFT exhibited a carrier mobility of 1.3 cm(2)/(V s) and on/off ratio of ∼10(7).

  14. Abatement of sulfur hexafluoride emissions from the semiconductor manufacturing process by atmospheric-pressure plasmas.

    PubMed

    Lee, How Ming; Chang, Moo Been; Wu, Kuan Yu

    2004-08-01

    Sulfur hexafluoride (SF6) is an important gas for plasma etching processes in the semiconductor industry. SF6 intensely absorbs infrared radiation and, consequently, aggravates global warming. This study investigates SF6 abatement by nonthermal plasma technologies under atmospheric pressure. Two kinds of nonthermal plasma processes--dielectric barrier discharge (DBD) and combined plasma catalysis (CPC)--were employed and evaluated. Experimental results indicated that as much as 91% of SF6 was removed with DBDs at 20 kV of applied voltage and 150 Hz of discharge frequency for the gas stream containing 300 ppm SF6, 12% oxygen (O2), and 40% argon (Ar), with nitrogen (N2) as the carrier gas. Four additives, including Ar, O2, ethylene (C2H4), and H2O(g), are effective in enhancing SF6 abatement in the range of conditions studied. DBD achieves a higher SF6 removal efficiency than does CPC at the same operation condition. But CPC achieves a higher electrical energy utilization compared with DBD. However, poisoning of catalysts by sulfur (S)-containing species needs further investigation. SF6 is mainly converted to SOF2, SO2F4, sulfur dioxide (SO2), oxygen difluoride (OF2), and fluoride (F2). They do not cause global warming and can be captured by either wet scrubbing or adsorption. This study indicates that DBD and CPC are feasible control technologies for reducing SF6 emissions.

  15. Impact of plasma jet vacuum ultraviolet radiation on reactive oxygen species generation in bio-relevant liquids

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jablonowski, H.; Hammer, M. U.; Reuter, S.

    Plasma medicine utilizes the combined interaction of plasma produced reactive components. These are reactive atoms, molecules, ions, metastable species, and radiation. Here, ultraviolet (UV, 100–400 nm) and, in particular, vacuum ultraviolet (VUV, 10–200 nm) radiation generated by an atmospheric pressure argon plasma jet were investigated regarding plasma emission, absorption in a humidified atmosphere and in solutions relevant for plasma medicine. The energy absorption was obtained for simple solutions like distilled water (dH{sub 2}O) or ultrapure water and sodium chloride (NaCl) solution as well as for more complex ones, for example, Rosewell Park Memorial Institute (RPMI 1640) cell culture media. As moderate stablemore » reactive oxygen species, hydrogen peroxide (H{sub 2}O{sub 2}) was studied. Highly reactive oxygen radicals, namely, superoxide anion (O{sub 2}{sup •−}) and hydroxyl radicals ({sup •}OH), were investigated by the use of electron paramagnetic resonance spectroscopy. All species amounts were detected for three different treatment cases: Plasma jet generated VUV and UV radiation, plasma jet generated UV radiation without VUV part, and complete plasma jet including all reactive components additionally to VUV and UV radiation. It was found that a considerable amount of radicals are generated by the plasma generated photoemission. From the experiments, estimation on the low hazard potential of plasma generated VUV radiation is discussed.« less

  16. Method & apparatus for monitoring plasma processing operations

    DOEpatents

    Smith, Jr., Michael Lane; Ward, Pamela Denise; Stevenson, Joel O'Don

    2004-10-19

    The invention generally relates to various aspects of a plasma process and, more specifically, to the monitoring of such plasma processes. One aspect relates to a plasma monitoring module that may be adjusted in at least some manner so as to re-evaluate a previously monitored plasma process. For instance, optical emissions data on a plasma process that was previously monitored by the plasma monitoring module may be replayed through the plasma monitoring module after making at least one adjustment in relation to the plasma monitoring module.

  17. Oxygen depth profiling by resonant RBS in NiTi after plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Mändl, S.; Lindner, J. K. N.

    2006-08-01

    NiTi exhibits super-elastic as well as shape-memory properties, which results in a large potential application field in biomedical technology. Using oxygen ion implantation at elevated temperatures, it is possible to improve the biocompatibility. Resonant Rutherford backscattering spectroscopy (RRBS) is used to investigate the oxygen depth profile obtained after oxygen plasma immersion ion implantation (PIII) at 25 kV and 400-600 °C. At all temperatures, a layered structure consisting of TiO2/Ni3Ti/NiTi was found with sharp interfaces while no discernible content of oxygen inside Ni3Ti or nickel in TiO2 was found. These data are compatible with a titanium diffusion from the bulk towards the implanted oxygen.

  18. Modification of the Surface Properties of Polyimide Films using POSS Deposition and Oxygen Plasma Exposure

    NASA Technical Reports Server (NTRS)

    Wohl, Christopher J.; Belcher, Marcus A.; Ghose, Sayata; Connell, John W.

    2008-01-01

    Topographically rich surfaces were generated by spray-coating organic solutions of a polyhedral oligomeric silsesquioxane, octakis (dimethylsilyloxy) silsesquioxane (POSS), on Kapton HN films and exposing them to radio frequency generated oxygen plasma. Changes in both surface chemistry and topography were observed. High-resolution scanning electron microscopy indicated substantial modification of the POSS-coated polyimide surface topographies as a result of oxygen plasma exposure. Water contact angles varied from 104 deg for unexposed POSS-coated surfaces to approximately 5 deg, for samples exposed for 5 h. Modulation of the dispersive and polar contributions to the surface energy was determined using van Oss Good Chaudhury theory.

  19. Effect of Coulomb Collisions on Low Gas Pressure Plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nanbu, K.; Furubayashi, T.

    2006-05-05

    A recent trend in material processing plasmas is the use of a low gas pressure and high plasma density. In such plasmas, Coulomb collisions among charged particles has been considered to have a significant effect on plasma structure. By use of Bobylev and Nanbu's theory [Phy. Rev. E, 61(2000), 4576], this effect on argon plasmas and oxygen plasmas generated by a capacitive discharge is examined. It is found that the effect is appreciable only for oxygen plasmas.

  20. Improvement and mechanism of interfacial adhesion in PBO fiber/bismaleimide composite by oxygen plasma treatment

    NASA Astrophysics Data System (ADS)

    Liu, Dong; Chen, Ping; Mu, Jujie; Yu, Qi; Lu, Chun

    2011-05-01

    The improved interfacial adhesion of PBO fiber-reinforced bismaleimide composite by oxygen plasma processing was investigated in this paper. After treatment, the maximum value of interlaminar shear strength was 57.5 MPa, with an increase of 28.9%. The oxygen concentration of the fiber surface increased, as did the surface roughness, resulting in improvement of the surface wettability. The cleavage and rearrangement of surface bonds created new functional groups O dbnd C sbnd O, N sbnd C dbnd O and N sbnd O, thereby activating the fiber surface. And long-time treatment increased the reaction degree of surface groups while destroyed the newly-created physical structures. The enhancement of adhesion relied primarily on the strengthening of chemical bonding and mechanical interlocking between the fiber and the matrix. The composite rupture planes indicated that the fracture failure shifted from the interface to the matrix or the fiber.

  1. Oxygen plasma immersion ion implantation treatment to enhance data retention of tungsten nanocrystal nonvolatile memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Jer-Chyi, E-mail: jcwang@mail.cgu.edu.tw; Chang, Wei-Cheng; Lai, Chao-Sung, E-mail: cslai@mail.cgu.edu.tw

    Data retention characteristics of tungsten nanocrystal (W-NC) memory devices using an oxygen plasma immersion ion implantation (PIII) treatment are investigated. With an increase of oxygen PIII bias voltage and treatment time, the capacitance–voltage hysteresis memory window is increased but the data retention characteristics become degraded. High-resolution transmission electron microscopy images show that this poor data retention is a result of plasma damage on the tunneling oxide layer, which can be prevented by lowering the bias voltage to 7 kV. In addition, by using the elevated temperature retention measurement technique, the effective charge trapping level of the WO{sub 3} film surrounding themore » W-NCs can be extracted. This measurement reveals that a higher oxygen PIII bias voltage and treatment time induces more shallow traps within the WO{sub 3} film, degrading the retention behavior of the W-NC memory.« less

  2. Cl atom recombination on silicon oxy-chloride layers deposited on chamber walls in chlorine-oxygen plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khare, Rohit; Srivastava, Ashutosh; Donnelly, Vincent M.

    2012-09-15

    Chlorine atom recombination coefficients were measured on silicon oxy-chloride surfaces deposited in a chlorine inductively coupled plasma (ICP) with varying oxygen concentrations, using the spinning wall technique. A small cylinder embedded in the walls of the plasma reactor chamber was rapidly rotated, repetitively exposing its surface to the plasma chamber and a differentially pumped analysis chamber housing a quadruple mass spectrometer for line-of-sight desorbing species detection, or an Auger electron spectrometer for in situ surface analysis. The spinning wall frequency was varied from 800 to 30 000 rpm resulting in a detection time, t (the time a point on themore » surface takes to rotate from plasma chamber to the position facing the mass or Auger spectrometer), of {approx}1-40 ms. Desorbing Cl{sub 2}, due to Langmuir-Hinshelwood (LH) Cl atom recombination on the reactor wall surfaces, was detected by the mass spectrometer and also by a pressure rise in one of the differentially pumped chambers. LH Cl recombination coefficients were calculated by extrapolating time-resolved desorption decay curves to t = 0. A silicon-covered electrode immersed in the plasma was either powered at 13 MHz, creating a dc bias of -119 V, or allowed to electrically float with no bias power. After long exposure to a Cl{sub 2} ICP without substrate bias, slow etching of the Si wafer coats the chamber and spinning wall surfaces with an Si-chloride layer with a relatively small amount of oxygen (due to a slow erosion of the quartz discharge tube) with a stoichiometry of Si:O:Cl = 1:0.38:0.38. On this low-oxygen-coverage surface, any Cl{sub 2} desorption after LH recombination of Cl was below the detection limit. Adding 5% O{sub 2} to the Cl{sub 2} feed gas stopped etching of the Si wafer (with no rf bias) and increased the oxygen content of the wall deposits, while decreasing the Cl content (Si:O:Cl = 1:1.09:0.08). Cl{sub 2} desorption was detectable for Cl recombination on the

  3. A Comparison of Atomic Oxygen Degradation in Low Earth Orbit and in a Plasma Etcher

    NASA Technical Reports Server (NTRS)

    Townsend, Jacqueline A.; Park, Gloria

    1997-01-01

    In low Earth orbit (LEO) significant degradation of certain materials occurs from exposure to atomic oxygen (AO). Orbital opportunities to study this degradation for specific materials are limited and expensive. While plasma etchers are commonly used in ground-based studies because of their low cost and convenience, the environment produced in an etcher chamber differs greatly from the LEO environment. Because of the differences in environment, the validity of using etcher data has remained an open question. In this paper, degradation data for 22 materials from the orbital experiment Evaluation of Oxygen Interaction with Materials (EOIM-3) are compared with data from EOIM-3 control specimens exposed in a typical plasma etcher. This comparison indicates that, when carefully considered, plasma etcher results can produce order-of-magnitude estimates of orbital degradation. This allows the etcher to be used to screen unacceptable materials from further, more expensive tests.

  4. Deposition of Lanthanum Strontium Cobalt Ferrite (LSCF) Using Suspension Plasma Spraying for Oxygen Transport Membrane Applications

    NASA Astrophysics Data System (ADS)

    Fan, E. S. C.; Kesler, O.

    2015-08-01

    Suspension plasma spray deposition was utilized to fabricate dense lanthanum strontium cobalt ferrite oxygen separation membranes (OSMs) on porous metal substrates for mechanical support. The as-sprayed membranes had negligible and/or reversible material decomposition. At the longer stand-off distance (80 mm), smooth and dense membranes could be manufactured using a plasma with power below approximately 81 kW. Moreover, a membrane of 55 μm was observed to have very low gas leakage rates desirable for OSM applications. This thickness could potentially be decreased further to improve oxygen diffusion by using metal substrates with finer surface pores.

  5. COMPARISON OF FEMTOSECOND AND NANOSECOND TWO PHOTON ABSORPTION LASER INDUCED FLUORESCENCE (TALIF) OF ATOMIC OXYGEN IN ATMOSPHERIC PRESSURE PLASMAS

    DTIC Science & Technology

    2016-08-01

    OXYGEN IN ATMOSPHERIC PRESSURE PLASMAS James D. Scofield (AFRL/RQQE) and James R. Gord (AFRL/RQTC) Electrical Systems Branch, Power and Control...Division (AFRL/RQQE) Combustion Branch, Turbine Engine Division (AFRL/RQTC) Jacob B. Schmidt and Sukesh Roy Spectral Energies LLC Brian Sands...LASER-INDUCED FLUORESCENCE (TALIF) OF ATOMIC OXYGEN IN ATMOSPHERIC PRESSURE PLASMAS 5a. CONTRACT NUMBER In-house 5b. GRANT NUMBER 5c. PROGRAM

  6. Changes in sevoflurane plasma concentration with delivery through the oxygenator during on-pump cardiac surgery.

    PubMed

    Nitzschke, R; Wilgusch, J; Kersten, J F; Trepte, C J; Haas, S A; Reuter, D A; Goetz, A E; Goepfert, M S

    2013-06-01

    It is unclear what factors affect the uptake of sevoflurane administered through the membrane oxygenator during cardiopulmonary bypass (CPB) and whether this can be monitored via the oxygenator exhaust gas. Stable delivery of sevoflurane was administered to 30 elective cardiac surgery patients at 1.8 vol% (inspiratory) via the anaesthetic circuit and ventilator. During CPB, sevoflurane was administered in the oxygenator fresh gas supply (Compactflo Evolution™; Sorin Group, Milano, Italy). Sevoflurane plasma concentration (SPC) was measured using gas chromatography. Changes were correlated with bispectral index (BIS), patient temperature, haematocrit, plasma albumin concentration, oxygenator fresh gas flow, and the sevoflurane concentration in the oxygenator exhaust at predefined time points. The mean SPC pre-bypass was 54.9 µg ml(-1) [95% confidence interval (CI): 50.6-59.1]. SPC decreased to 43.2 µg ml(-1) (95% CI: 40.3-46.1; P<0.001) after initiation of CPB, and was lower still during rewarming and weaning from bypass, 39.4 µg ml(-1) (95% CI: 36.6-42.3; P<0.001). BIS did not exceed a value of 55. SPCs were higher during hypothermia (P<0.001) and with an increase in oxygenator fresh gas flow (P=0.015), and lower with haemodilution (P=0.027). No correlation was found between SPC and the concentration of sevoflurane in the oxygenator exhaust gas (r=-0.04; 95% CI: -0.18 to 0.09; P=0.53). The uptake of sevoflurane delivered via the membrane oxygenator during CPB seems to be affected by hypothermia, haemodilution, and changes in the oxygenator fresh gas supply flow. Measuring the concentration of sevoflurane in the exhaust from the oxygenator is not useful for monitoring sevoflurane administration during bypass.

  7. Plasma-Enhanced Deposition and Processing of Transition Metals and Transition Metal Silicides for VLSI.

    DTIC Science & Technology

    1986-05-20

    molybdenum trifluoride in the deposited material. Titanium silicide films formed from a discharge of titanium tetrachlotide, silane, and hydrogen...displayed resistivities of -150 /4-cm, due to small amounts of oxygen and chlorine incorporated during deposition. Plasma etching studies of tungsten films...material, thereby reducing speed, and aluminum is a low melting material, thereby limiting processing latitude. As a result, mmition metals and

  8. Plasma chemistry study of PLAD processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qin Shu; Brumfield, Kyle; Liu, Lequn Jennifer

    2012-11-06

    Plasma doping (PLAD) shows very different impurity profiles compared to the conventional beam-line-based ion implantations due to its non-mass separation property and plasma environment. There is no simulation for PLAD process so far due to a lack of a dopant profile model. Several factors determine impurity profiles of PLAD process. The most significant factors are: plasma chemistry and deposition/etching characteristics of multi-ion species plasmas. In this paper, we present plasma chemistry and deposition/etching characteristics of PLAD processes versus co-gas dilutions. Four dopant plasmas including B{sub 2}H{sub 6}, BF{sub 3}, AsH{sub 3}, and PH{sub 3}, and two non-dopant plasmas including CH{submore » 4} and GeH{sub 4} are studied and demonstrated.« less

  9. Absorption process for producing oxygen and nitrogen and solution therefor

    DOEpatents

    Roman, Ian C. [Wilmington, DE; Baker, Richard W. [Palo Alto, CA

    1990-09-25

    Process for the separation and purification of oxygen and nitrogen is disclosed which utilizes solutions of oxygen carriers to selectively absorb oxygen from a gaseous stream, leaving nitrogen as a byproduct. In the process, an oxygen carrier capable of reversibly binding molecular oxygen is dissolved in a solvent solution, which absorbs oxygen from an oxygen-containing gaseous feed stream such as atmospheric air and desorbs oxygen to a gaseous product stream. The feed stream is maintained at a sufficiently high oxygen pressure to keep the oxygen carrier in its oxygenated form during absorption, while the product stream is maintained at a sufficiently low oxygen pressure to keep the carrier in its deoxygenated form during desorption. In an alternate mode of operation, the carrier solution is maintained at a sufficiently low temperature and high oxygen pressure to keep the oxygen carrier in its oxygenated form during absorption, and at a sufficiently high temperature to keep the carrier in its deoxygenated form during desorption. Under such conditions, exceptionally high oxygen concentrations on the order of 95% to 99% are obtained, as well as a long carrier lifetime in excess of 3 months, making the process commercially feasible.

  10. Absorption process for producing oxygen and nitrogen and solution therefor

    DOEpatents

    Roman, I.C.; Baker, R.W.

    1990-09-25

    Process for the separation and purification of oxygen and nitrogen is disclosed which utilizes solutions of oxygen carriers to selectively absorb oxygen from a gaseous stream, leaving nitrogen as a byproduct. In the process, an oxygen carrier capable of reversibly binding molecular oxygen is dissolved in a solvent solution, which absorbs oxygen from an oxygen-containing gaseous feed stream such as atmospheric air and desorbs oxygen to a gaseous product stream. The feed stream is maintained at a sufficiently high oxygen pressure to keep the oxygen carrier in its oxygenated form during absorption, while the product stream is maintained at a sufficiently low oxygen pressure to keep the carrier in its deoxygenated form during desorption. In an alternate mode of operation, the carrier solution is maintained at a sufficiently low temperature and high oxygen pressure to keep the oxygen carrier in its oxygenated form during absorption, and at a sufficiently high temperature to keep the carrier in its deoxygenated form during desorption. Under such conditions, exceptionally high oxygen concentrations on the order of 95% to 99% are obtained, as well as a long carrier lifetime in excess of 3 months, making the process commercially feasible. 1 figure

  11. Absorption process for producing oxygen and nitrogen and solution therefor

    DOEpatents

    Roman, Ian C.

    1984-01-01

    Process for the separation and purification of oxygen and nitrogen is disclosed which utilizes solutions of oxygen carriers to selectively absorb oxygen from a gaseous stream, leaving nitrogen as a byproduct. In the process, an oxygen carrier capable of reversibly binding molecular oxygen is dissolved in a solvent solution, which absorbs oxygen from an oxygen-containing gaseous feed stream such as atmospheric air and desorbs oxygen to a gaseous product stream. The feed stream is maintained at a sufficiently high oxygen pressure to keep the oxygen carrier in its oxygenated form during absorption, while the product stream is maintained at a sufficiently low oxygen pressure to keep the carrier in its deoxygenated form during desorption. In an alternate mode of operation, the carrier solution is maintained at a sufficiently low temperature and high oxygen pressure to keep the oxygen carrier in its oxygenated form during absorption, and at a sufficiently high temperature to keep the carrier in its deoxygenated form during desorption. Under such conditions, exceptionally high oxygen concentrations on the order of 95% to 99% are obtained, as well as a long carrier lifetime in excess of 3 months, making the process commercially feasible.

  12. The Variable Polarity Plasma Arc Welding Process: Its Application to the Space Shuttle External Tank

    NASA Technical Reports Server (NTRS)

    Nunes, A. C., Jr.; Bayless, E. O., Jr.; Wilson, W. A.

    1984-01-01

    This report describes progress in the implementation of the Variable Polarity Plasma Arc Welding (VPPAW) process at the External Tank (ET) assembly facility. Design allowable data has been developed for thicknesses up to 1.00 in. More than 24,000 in. of welding on liquid oxygen and liquid hydrogen cylinders has been made without an internal defect.

  13. Thermodynamic approach to oxygen delivery in vivo by natural and artificial oxygen carriers.

    PubMed

    Bucci, Enrico

    2009-06-01

    Oxygen is a toxic gas, still indispensable to aerobic life. This paper explores how normal physiology uses the physico-chemical and thermodynamic characteristics of oxygen for transforming a toxic gas into a non toxic indispensable metabolite. Plasma oxygen concentration is in the range of 10(-5) M, insufficient to sustain metabolism. Oxygen carriers, present in blood, release oxygen into plasma, thereby replacing consumed oxygen and buffering PO(2) near their P(50). They are the natural cell-bound carriers, like hemoglobin inside red cells, myoglobin inside myocytes, and artificial cell-free hemoglobin-based oxygen carriers (HBOC) dissolved in plasma. Metabolic oxygen replacement can be defined as cell-bound and cell-free delivery. Cell-bound delivery is retarded by the slow diffusion of oxygen in plasma and interstitial fluids. The 40% hematocrit of normal blood compensates for the delay, coping with the fast oxygen consumption by mitochondria. Facilitated oxygen diffusion by HBOCs corrects for the slow diffusion, making cell-free delivery relatively independent from P(50). At all oxygen affinities, HBOCs produce hyperoxygenations that are compensated by vasoconstrictions. There is a strict direct correlation between the rate of oxygen replacement and hemoglobin content of blood. The free energy loss of the gradient adds a relevant regulation of tissues oxygenation. Oxygen is retained intravascularly by the limited permeability to gases of vessel walls.

  14. Oxygen production on the Lunar materials processing frontier

    NASA Technical Reports Server (NTRS)

    Altenberg, Barbara H.

    1992-01-01

    During the pre-conceptual design phase of an initial lunar oxygen processing facility, it is essential to identify and compare the available processes and evaluate them in order to ensure the success of such an endeavor. The focus of this paper is to provide an overview of materials processing to produce lunar oxygen as one part of a given scenario of a developing lunar occupation. More than twenty-five techniques to produce oxygen from lunar materials have been identified. While it is important to continue research on any feasible method, not all methods can be implemented at the initial lunar facility. Hence, it is necessary during the pre-conceptual design phase to evaluate all methods and determine the leading processes for initial focus. Researchers have developed techniques for evaluating the numerous proposed methods in order to suggest which processes would be best to go to the Moon first. As one section in this paper, the recent evaluation procedures that have been presented in the literature are compared and contrasted. In general, the production methods for lunar oxygen fall into four categories: thermochemical, reactive solvent, pyrolytic, and electrochemical. Examples from two of the four categories are described, operating characteristics are contrasted, and terrestrial analogs are presented when possible. In addition to producing oxygen for use as a propellant and for life support, valuable co-products can be derived from some of the processes. This information is also highlighted in the description of a given process.

  15. Numerical Modeling of the Work Piece Region in the Plasma Arc Cutting Process

    NASA Astrophysics Data System (ADS)

    Osterhouse, David

    The plasma arc cutting process is widely used for the cutting of metals. The process, however, is not fully understood and further understanding will lead to further improvements. This work aims to elucidate the fundamental physical phenomena in the region where the plasma interacts with the work piece through the use of numerical modeling techniques. This model follows standard computational fluid dynamic methods that have been suitably modified to include plasma effects, assuming either local thermodynamic equilibrium or a slight non-equilibrium captured by the two-temperature assumption. This is implemented in the general purpose, open source CFD package, OpenFOAM. The model is applied to a plasma flow through a geometry that extends from inside the plasma torch to the bottom of the slot cut in the work piece. The shape of the kerf is taken from experimental measurements. The results of this model include the temperature, velocity, and electrical current distribution throughout the plasma. From this, the heat flux to and drag force on the work piece are calculated. The location of the arc attachment in the cut slot is also noted because it is a matter of interest in the published literature as well as significantly effecting the dynamics of the heat flux and drag force. The results of this model show that the LTE formulation is not sufficient to capture the physics present due to unphysical fluid dynamic instabilities and numerical problems with the arc attachment. The two-temperature formulation, however, captures a large part of the physics present. Of particular note, it is found that an additional inelastic collision factor is necessary to describe the increased energy transfer between electrons and diatomic molecules, which is widely neglected in published literature. It is also found that inclusion of the oxygen molecular ion is necessary to accurately describe the plasma flow, which has been neglected in all published two-temperature oxygen calculations

  16. Effect of an oxygen plasma on the physical and chemical properties of several fluids for the liquid droplet radiator

    NASA Technical Reports Server (NTRS)

    Gulino, D. A.; Coles, C. E.

    1986-01-01

    The Liquid Droplet Radiator is one of several radiator systems currently under investigation by NASA Lewis Research Center. It involves the direct exposure of the radiator working fluid to the space environment. An area of concern is the potential harmful effects of the low-Earth-orbit atomic oxygen environment on the radiator working fluid. To address this issue, seven candidate fluids were exposed to an oxygen plasma environment in a laboratory plasma asher. The fluids studied included Dow Corning 705 Diffusion Pump Fluid, polymethylphenylsiloxane and polydimethlsiloxane, both of which are experimental fluids made by Dow Corning, Fomblin Z25, made by Montedison, and three fluids from the Krytox family of fluids, Krytox 143AB, 1502, and 16256, which are made by DuPont. The fluids were characterized by noting changes in visual appearance, physical state, mass, and infrared spectra. Of the fluids tested, the Fomblin and the three Krytoxes were the least affected by the oxygen plasma. The only effect noted was a change in mass, which was most likely due to an oxygen-catalyzed deploymerization of the fluid molecule.

  17. Surface modification of argon/oxygen plasma treated vulcanized ethylene propylene diene polymethylene surfaces for improved adhesion with natural rubber

    NASA Astrophysics Data System (ADS)

    Basak, Ganesh C.; Bandyopadhyay, Abhijit; Neogi, Sudarsan; Bhowmick, Anil K.

    2011-01-01

    Vulcanized ethylene propylene diene polymethylene (EPDM) rubber surface was treated in a radio frequency capacitatively coupled low pressure argon/oxygen plasma to improve adhesion with compounded natural rubber (NR) during co-vulcanization. The plasma modified surfaces were analyzed by means of contact angle measurement, surface energy, attenuated total reflection-infrared spectroscopy, X-ray photoelectron spectroscopy, scanning electron microscopy, energy dispersive X-ray sulfur mapping and atomic force microscopy. Several experimental variables such as plasma power, length of exposure time and composition of the argon-oxygen gas mixture were considered. It was delineated that plasma treatment changed both surface composition and roughness, and consequently increased peel strength. The change in surface composition was mainly ascribed to the formation of C-O and -Cdbnd O functional groups on the vulcanized surfaces. A maximum of 98% improvement in peel strength was observed after plasma treatment.

  18. Plasma generating apparatus for large area plasma processing

    DOEpatents

    Tsai, C.C.; Gorbatkin, S.M.; Berry, L.A.

    1991-07-16

    A plasma generating apparatus for plasma processing applications is based on a permanent magnet line-cusp plasma confinement chamber coupled to a compact single-coil microwave waveguide launcher. The device creates an electron cyclotron resonance (ECR) plasma in the launcher and a second ECR plasma is created in the line cusps due to a 0.0875 tesla magnetic field in that region. Additional special magnetic field configuring reduces the magnetic field at the substrate to below 0.001 tesla. The resulting plasma source is capable of producing large-area (20-cm diam), highly uniform (.+-.5%) ion beams with current densities above 5 mA/cm[sup 2]. The source has been used to etch photoresist on 5-inch diam silicon wafers with good uniformity. 3 figures.

  19. Plasma generating apparatus for large area plasma processing

    DOEpatents

    Tsai, Chin-Chi; Gorbatkin, Steven M.; Berry, Lee A.

    1991-01-01

    A plasma generating apparatus for plasma processing applications is based on a permanent magnet line-cusp plasma confinement chamber coupled to a compact single-coil microwave waveguide launcher. The device creates an electron cyclotron resonance (ECR) plasma in the launcher and a second ECR plasma is created in the line cusps due to a 0.0875 tesla magnetic field in that region. Additional special magnetic field configuring reduces the magnetic field at the substrate to below 0.001 tesla. The resulting plasma source is capable of producing large-area (20-cm diam), highly uniform (.+-.5%) ion beams with current densities above 5 mA/cm.sup.2. The source has been used to etch photoresist on 5-inch diam silicon wafers with good uniformity.

  20. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    NASA Technical Reports Server (NTRS)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  1. In situ oxygen plasma cleaning of microswitch surfaces—comparison of Ti and graphite electrodes

    NASA Astrophysics Data System (ADS)

    Oh, Changho; Streller, Frank; Ashurst, W. Robert; Carpick, Robert W.; de Boer, Maarten P.

    2016-11-01

    Ohmic micro- and nanoswitches are of interest for a wide variety of applications including radio frequency communications and as low power complements to transistors. In these switches, it is of paramount importance to maintain surface cleanliness in order to prevent frequent failure by tribopolymer growth. To prepare surfaces, an oxygen plasma clean is expected to be beneficial compared to a high temperature vacuum bakeout because of shorter cleaning time (<5 min compared to ~24 h) and active removal of organic contaminants. We demonstrate that sputtering of the electrode material during oxygen plasma cleaning is a critical consideration for effective cleaning of switch surfaces. With Ti electrodes, a TiO x layer forms that increases electrical contact resistance. When plasma-cleaned using graphite electrodes, the resistance of Pt-coated microswitches exhibit a long lifetime with consistently low resistance (<0.5 Ω variation over 300 million cycles) if the test chamber is refilled with ultra-high purity nitrogen and if the devices are not exposed to laboratory air. Their current-voltage characteristic is also linear at the millivolt level. This is important for nanoswitches which will be operated in that range.

  2. Osmotic phenomena in application for hyperbaric oxygen treatment.

    PubMed

    Babchin, A; Levich, E; Melamed M D, Y; Sivashinsky, G

    2011-03-01

    Hyperbaric oxygen (HBO) treatment defines the medical procedure when the patient inhales pure oxygen at elevated pressure conditions. Many diseases and all injuries are associated with a lack of oxygen in tissues, known as hypoxia. HBO provides an effective method for fast oxygen delivery in medical practice. The exact mechanism of the oxygen transport under HBO conditions is not fully identified. The objective of this article is to extend the colloid and surface science basis for the oxygen transport in HBO conditions beyond the molecular diffusion transport mechanism. At a pressure in the hyperbaric chamber of two atmospheres, the partial pressure of oxygen in the blood plasma increases 10 times. The sharp increase of oxygen concentration in the blood plasma creates a considerable concentration gradient between the oxygen dissolved in the plasma and in the tissue. The concentration gradient of oxygen as a non-electrolyte solute causes an osmotic flow of blood plasma with dissolved oxygen. In other words, the molecular diffusion transport of oxygen is supplemented by the convective diffusion raised due to the osmotic flow, accelerating the oxygen delivery from blood to tissue. A non steady state equation for non-electrolyte osmosis is solved asymptotically. The solution clearly demonstrates two modes of osmotic flow: normal osmosis, directed from lower to higher solute concentrations, and anomalous osmosis, directed from higher to lower solute concentrations. The fast delivery of oxygen from blood to tissue is explained on the basis of the strong molecular interaction between the oxygen and the tissue, causing an influx of oxygen into the tissue by convective diffusion in the anomalous osmosis process. The transport of the second gas, nitrogen, dissolved in the blood plasma, is also taken into the consideration. As the patient does not inhale nitrogen during HBO treatment, but exhales it along with oxygen and carbon dioxide, the concentration of nitrogen in blood

  3. Radicals and Non-Equilibrium Processes in Low-Temperature Plasmas

    NASA Astrophysics Data System (ADS)

    Petrović, Zoran; Mason, Nigel; Hamaguchi, Satoshi; Radmilović-Radjenović, Marija

    2007-06-01

    discharges, plasma chemistry - particularly in oxygen containing plasmas, modeling of plasmas and plasma surface interactions in complex comprehensive plasma codes, modeling of the development of surface profiles and kinetics of surface collisions, plasma treatment of materials, plasma processing and applications in thin film deposition, nanoscale device production and many other applications. Yet all the papers, one way or the other, address the key issues of the next generation of plasma technologies in the micro and nano electronic industry. The issue of radicals and also of electron molecule collisions is addressed by J Tennyson who presents a guide into using a code for R-matrix calculations of electron-molecule collisions at low and intermediate energies. Related experimental results are presented by T Field who showed recent measurements of electron radical attachment cross sections, by T Märk who discusses electron impact ionization/dissociation of molecules and subsequent production of energetic radicals, and by M Kimura and his coauthors who discuss productions of radicals and ions by electron and photon impacts on CH4. Finally T Maddern and M Brunger share with us the first results from the new very complex system for comprehensive measurements of electron radical cross sections, the first example being CF2. B Marinković mainly focuses on recent results of his group having in mind the data needs for plasma modeling. Collisions at surfaces are addressed by P Tegeder and more specifically she presents here the evolution of negative ion resonances at surfaces. The electron swarm data as projected onto gaseous dielectrics but also having application in plasma processing is covered by J De Urquijo who attempted to answer the question whether CF3I is a better dielectric than SF6. The basic processes leading to the gas breakdown have been addressed by D Marić and Z Lj Petrović who focus on the transition from Townsend to constricted-normal glow regime while trying to

  4. Surface Evaluation by X-Ray Photoelectron Spectroscopy of High Performance Polyimide Foams After Exposure to Oxygen Plasma

    NASA Technical Reports Server (NTRS)

    Melendez, Orlando; Hampton, Michael D.; Williams, Martha K.; Brown, Sylvia F.; Nelson, Gordon L.; Weiser, Erik S.

    2002-01-01

    Aromatic polyimides have been attractive in the aerospace and electronics industries for applications such as cryogenic insulation, flame retardant panels and structural subcomponents. Newer to the arena of polyimides is the synthesis of polyimide foams and their applications. In the present work, three different, closely related, polyimide foams developed by NASA Langley Research Center (LaRC) are studied by X-ray Photoelectron Spectroscopy (XPS) after exposure to radio frequency generated Oxygen Plasma. Although polyimide films exposure to atomic oxygen and plasma have been studied previously and reported, the data relate to films and not foams. Foams have much more surface area and thus present new information to be explored. Understanding degradation mechanisms and properties versus structure, foam versus solid is of interest and fundamental to the application and protection of foams exposed to atomic oxygen in Low Earth Orbit (LEO).

  5. Cold plasma processing technology makes advances

    USDA-ARS?s Scientific Manuscript database

    Cold plasma (AKA nonthermal plasma, cool plasma, gas plasma, etc.) is a rapidly maturing antimicrobial process being developed for applications in the food industry. A wide array of devices can be used to create cold plasma, but the defining characteristic is that they operate at or near room temper...

  6. In Vitro Comparative Study of Oxygen Plasma Treated Poly(Lactic⁻Co⁻Glycolic) (PLGA) Membranes and Supported Nanostructured Oxides for Guided Bone Regeneration Processes.

    PubMed

    Torres-Lagares, Daniel; Castellanos-Cosano, Lizett; Serrera-Figallo, Maria-Angeles; López-Santos, Carmen; Barranco, Angel; Rodríguez-González-Elipe, Agustín; Gutierrez-Perez, Jose-Luis

    2018-05-08

    (1) Background: The use of physical barriers to prevent the invasion of gingival and connective tissue cells into bone cavities during the healing process is called guided bone regeneration. The objective of this in-vitro study was to compare the growth of human osteoblasts on Poly(Lactic⁻co⁻Glycolic) (PLGA) membranes modified with oxygen plasma and Hydroxyapatite (HA), silicon dioxide (SiO₂), and titanium dioxide (TiO₂) composite nanoparticles, respectively. (2) Methods: All the membranes received a common treatment with oxygen plasma and were subsequently treated with HA nanostructured coatings (n = 10), SiO₂ (n = 10) and TiO₂ (n = 10), respectively and a PLGA control membrane (n = 10). The assays were performed using the human osteoblast line MG-63 acquired from the Center for Scientific Instrumentation (CIC) from the University of Granada. The cell adhesion and the viability of the osteoblasts were analyzed by means of light-field microphotographs of each condition with the inverted microscope Axio Observer A1 (Carl Zeiss). For the determination of the mitochondrial energy balance, the MitoProbe™ JC-1 Assay Kit was employed. For the determination of cell growth and the morphology of adherent osteoblasts, two techniques were employed: staining with phalloidin-TRITC and staining with DAPI. (3) Results: The modified membranes that show osteoblasts with a morphology more similar to the control osteoblasts follow the order: PLGA/PO₂/HA > PLGA/PO₂/SiO₂ > PLGA/PO₂/TiO₂ > PLGA ( p < 0.05). When analysing the cell viability, a higher percentage of viable cells bound to the membranes was observed as follows: PLGA/PO₂/SiO₂ > PLGA/PO₂/HA > PLGA/PO₂/TiO₂ > PLGA ( p < 0.05), with a better energy balance of the cells adhered to the membranes PLGA/PO₂/HA and PLGA/PO₂/SiO₂. (4) Conclusion: The membrane in which osteoblasts show characteristics more similar to the control osteoblasts is the PLGA/PO₂/HA, followed by the PLGA/PO₂/SiO₂.

  7. Deviation from Normal Boltzmann Distribution of High-lying Energy Levels of Iron Atom Excited by Okamoto-cavity Microwave-induced Plasmas Using Pure Nitrogen and Nitrogen-Oxygen Gases.

    PubMed

    Wagatsuma, Kazuaki

    2015-01-01

    This paper describes several interesting excitation phenomena occurring in a microwave-induced plasma (MIP) excited with Okamoto-cavity, especially when a small amount of oxygen was mixed with nitrogen matrix in the composition of the plasma gas. An ion-to-atom ratio of iron, which was estimated from the intensity ratio of ion to atomic lines having almost the same excitation energy, was reduced by adding oxygen gas to the nitrogen MIP, eventually contributing to an enhancement in the emission intensities of the atomic lines. Furthermore, Boltzmann plots for iron atomic lines were observed in a wide range of the excitation energy from 3.4 to 6.9 eV, indicating that plots of the atomic lines having lower excitation energies (3.4 to 4.8 eV) were well fitted on a straight line while those having more than 5.5 eV deviated upwards from the linear relationship. This overpopulation would result from any other excitation process in addition to the thermal excitation that principally determines the Boltzmann distribution. A Penning-type collision with excited species of nitrogen molecules probably explains this additional excitation mechanism, in which the resulting iron ions recombine with captured electrons, followed by cascade de-excitations between closely-spaced excited levels just below the ionization limit. As a result, these high-lying levels might be more populated than the low-lying levels of iron atom. The ionization of iron would be caused less actively in the nitrogen-oxygen plasma than in a pure nitrogen plasma, because excited species of nitrogen molecule, which can provide the ionization energy in a collision with iron atom, are consumed through collisions with oxygen molecules to cause their dissociation. It was also observed that the overpopulation occurred to a lesser extent when oxygen gas was added to the nitrogen plasma. The reason for this was also attributed to decreased number density of the excited nitrogen species due to collisions with oxygen

  8. Plasma spray processing of TiC-based coatings for sliding wear resistance

    NASA Astrophysics Data System (ADS)

    Mohanty, Mahesh

    achieved by spraying under vacuum plasma spray conditions. VPS coating microstructures of synthesized 40, 60 and 80 v/o TiC in Ti10Ni10Cr5Al and 80 v/o TiC in Fe30Cr alloy matrices exhibited fine and uniform distributions of spheroidal carbides. High volume fraction carbides were also obtained with no segregation effects. It was also shown that coatings produced from mechanically blended powders of 50, 70 and 90 vol. % TiC and commercially pure (C.P.) Ti, using low pressure plasma spray process (VPS), had densities >98% and were well bonded to steel, aluminum alloy or titanium alloy substrates. Reductions in jet oxygen contents by the use of an inert gas shroud enabled Ti and TiC-based coatings to be produced which were cleaner and denser than air plasma sprayed and comparable to vacuum plasma sprayed coatings. Direct oxygen concentration measurements in shrouded plasma jets made using an enthalpy probe and a gas analyzer also showed significant reductions in the entrainment of atmospheric oxygen. VPS and shrouded plasma spraying minimized carbide-matrix interface oxidation and improved coating wear resistance. The sliding wear resistance of synthesized coatings was very high and comparable with standard HVOF sprayed WC/Co and Crsb3Csb2/NiCr coatings. Shrouded plasma spray deposits of Crsb3Csb2/NiCr also performed much better than similar air plasma sprayed coatings, as result of reduced oxidation.

  9. Optical emission spectroscopic diagnostics of a non-thermal atmospheric pressure helium-oxygen plasma jet for biomedical applications

    NASA Astrophysics Data System (ADS)

    Thiyagarajan, Magesh; Sarani, Abdollah; Nicula, Cosmina

    2013-06-01

    In this work, we have applied optical emission spectroscopy diagnostics to investigate the characteristics of a non-thermal atmospheric pressure helium plasma jet. The discharge characteristics in the active and afterglow region of the plasma jet, that are critical for biomedical applications, have been investigated. The voltage-current characteristics of the plasma discharge were analyzed and the average plasma power was measured to be around 18 W. The effect of addition of small fractions of oxygen at 0.1%-0.5% on the plasma jet characteristics was studied. The addition of oxygen resulted in a decrease in plasma plume length due to the electronegativity property of oxygen. Atomic and molecular lines of selected reactive plasma species that are considered to be useful to induce biochemical reactions such as OH transitions A2Σ+(ν=0,1)→X2Π(Δν =0) at 308 nm and A2Σ+(ν=0,1)→X2Π(Δν =1) at 287 nm, O I transitions 3p5P→3s5S0 at 777.41 nm, and 3p3P→3s3S0 at 844.6 nm, N2(C-B) second positive system with electronic transition C3Πu→B3Πg in the range of 300-450 nm and N2+(B-X) first negative system with electronic transition B2Σu+→X2Σg+(Δν =0) at 391.4 nm have been studied. The atomic emission lines of helium were identified, including the He I transitions 3p3P0→2s3S at 388.8 nm, 3p1P0→ 2s1S at 501.6 nm, 3d3D→2p3P0 at 587.6 nm, 3d1D→2p1P0 at 667.8 nm, 3s3S1→2p3P0 at 706.5 nm, 3s1S0→2p1P0 at 728.1 nm, and Hα transition 2p-3d at 656.3 nm. Using a spectral fitting method, the OH radicals at 306-312 nm, the rotational and vibrational temperatures equivalent to gas temperatures of the discharge was measured and the effective non-equilibrium nature of the plasma jet was demonstrated. Our results show that, in the entire active plasma region, the gas temperature remains at 310 ± 25 K and 340 ± 25 K and it increases to 320 ± 25 K and 360 ± 25 K in the afterglow region of the plasma jet for pure helium and helium/oxygen (0.1%) mixture

  10. Optical emission spectroscopic diagnostics of a non-thermal atmospheric pressure helium-oxygen plasma jet for biomedical applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Thiyagarajan, Magesh; Sarani, Abdollah; Nicula, Cosmina

    In this work, we have applied optical emission spectroscopy diagnostics to investigate the characteristics of a non-thermal atmospheric pressure helium plasma jet. The discharge characteristics in the active and afterglow region of the plasma jet, that are critical for biomedical applications, have been investigated. The voltage-current characteristics of the plasma discharge were analyzed and the average plasma power was measured to be around 18 W. The effect of addition of small fractions of oxygen at 0.1%-0.5% on the plasma jet characteristics was studied. The addition of oxygen resulted in a decrease in plasma plume length due to the electronegativity propertymore » of oxygen. Atomic and molecular lines of selected reactive plasma species that are considered to be useful to induce biochemical reactions such as OH transitions A{sup 2}{Sigma}{sup +}({nu}=0,1){yields}X{sup 2}{Pi}({Delta}{nu}=0) at 308 nm and A{sup 2}{Sigma}{sup +}({nu}=0,1){yields}X{sup 2}{Pi}({Delta}{nu}=1) at 287 nm, O I transitions 3p{sup 5}P{yields}3s{sup 5}S{sup 0} at 777.41 nm, and 3p{sup 3}P{yields}3s{sup 3}S{sup 0} at 844.6 nm, N{sub 2}(C-B) second positive system with electronic transition C{sup 3}{Pi}{sub u}{sup {yields}}B{sup 3}{Pi}{sub g}'' in the range of 300-450 nm and N{sub 2}{sup +}(B-X) first negative system with electronic transition B{sup 2}{Sigma}{sub u}{sup +}{yields}X{sup 2}{Sigma}{sub g}{sup +}({Delta}{nu}=0) at 391.4 nm have been studied. The atomic emission lines of helium were identified, including the He I transitions 3p{sup 3}P{sup 0}{yields}2s{sup 3}S at 388.8 nm, 3p{sup 1}P{sup 0}{yields} 2s{sup 1}S at 501.6 nm, 3d{sup 3}D{yields}2p{sup 3}P{sup 0} at 587.6 nm, 3d{sup 1}D{yields}2p{sup 1}P{sup 0} at 667.8 nm, 3s{sup 3}S{sup 1}{yields}2p{sup 3}P{sup 0} at 706.5 nm, 3s{sup 1}S{sup 0}{yields}2p{sup 1}P{sup 0} at 728.1 nm, and H{sub {alpha}} transition 2p-3d at 656.3 nm. Using a spectral fitting method, the OH radicals at 306-312 nm, the rotational and vibrational

  11. Atomic oxygen behavior at downstream of AC excited atmospheric pressure He plasma jet

    NASA Astrophysics Data System (ADS)

    Takeda, Keigo; Ishikawa, Kenji; Tanaka, Hiromasa; Sekine, Makoto; Hori, Masaru

    2016-09-01

    Applications of atmospheric pressure plasma jets (APPJ) have been investigated in the plasma medical fields such as cancer therapy, blood coagulation, etc. Reactive species generated by the plasma jet interacts with the biological surface. Therefore, the issue attracts much attentions to investigate the plasma effects on targets. In our group, a spot-size AC excited He APPJ have been used for the plasma medicine. From diagnostics of the APPJ using optical emission spectroscopy, the gas temperature and the electron density was estimated to be 299 K and 3.4 ×1015 cm-3. The AC excited He APPJ which affords high density plasma at room temperature is considered to be a powerful tool for the medical applications. In this study, by using vacuum ultraviolet absorption spectroscopy, the density of atomic oxygen on a floating copper as a target irradiated by the He APPJ was measured as a function of the distance between the plasma source and the copper wire. The measured density became a maximum value around 8 ×1013 cm-3 at 12 mm distance, and then decreased over the distance. It is considered that the behavior was due to the changes in the plasma density on the copper wire and influence of ambient air.

  12. Characteristics of ITO films with oxygen plasma treatment for thin film solar cell applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Yong Seob; Kim, Eungkwon; Hong, Byungyou

    2013-12-15

    Graphical abstract: The effect of O{sub 2} plasma treatment on the surface and the work function of ITO films. - Highlights: • ITO films were prepared on the glass substrate by RF magnetron sputtering method. • Effects of O{sub 2} plasma treatment on the properties of ITO films were investigated. • The work function of ITO film was changed from 4.67 to 5.66 eV by plasma treatment. - Abstract: The influence of oxygen plasma treatment on the electro-optical and structural properties of indium-tin-oxide films deposited by radio frequency magnetron sputtering method were investigated. The films were exposed at different O{submore » 2} plasma powers and for various durations by using the plasma enhanced chemical vapor deposition (PECVD) system. The resistivity of the ITO films was almost constant, regardless of the plasma treatment conditions. Although the optical transmittance of ITO films was little changed by the plasma power, the prolonged treatment slightly increased the transmittance. The work function of ITO film was changed from 4.67 eV to 5.66 eV at the plasma treatment conditions of 300 W and 60 min.« less

  13. Effect of plasma-induced surface charging on catalytic processes: application to CO2 activation

    NASA Astrophysics Data System (ADS)

    Bal, Kristof M.; Huygh, Stijn; Bogaerts, Annemie; Neyts, Erik C.

    2018-02-01

    Understanding the nature and effect of the multitude of plasma-surface interactions in plasma catalysis is a crucial requirement for further process development and improvement. A particularly intriguing and rather unique property of a plasma-catalytic setup is the ability of the plasma to modify the electronic structure, and hence chemical properties, of the catalyst through charging, i.e. the absorption of excess electrons. In this work, we develop a quantum chemical model based on density functional theory to study excess negative surface charges in a heterogeneous catalyst exposed to a plasma. This method is specifically applied to investigate plasma-catalytic CO2 activation on supported M/Al2O3 (M = Ti, Ni, Cu) single atom catalysts. We find that (1) the presence of a negative surface charge dramatically improves the reductive power of the catalyst, strongly promoting the splitting of CO2 to CO and oxygen, and (2) the relative activity of the investigated transition metals is also changed upon charging, suggesting that controlled surface charging is a powerful additional parameter to tune catalyst activity and selectivity. These results strongly point to plasma-induced surface charging of the catalyst as an important factor contributing to the plasma-catalyst synergistic effects frequently reported for plasma catalysis.

  14. Effects of oxygen plasma etching on Sb{sub 2}Te{sub 3} explored by torque detected quantum oscillations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yan, Yuan, E-mail: yuan.yan@pi1.physik.uni-stuttgart.de, E-mail: martin.dressel@pi1.physik.uni-stuttgart.de; Heintze, Eric; Pracht, Uwe S.

    2016-04-25

    De Haas–van Alphen measurements evidence that oxygen plasma etching strongly affects the properties of the three-dimensional topological insulator Sb{sub 2}Te{sub 3}. The quantum oscillations in magnetization down to low temperature (T ≥ 2 K) and high magnetic field (B ≤ 7 T) have been systematically investigated using a high-sensitive cantilever torque magnetometer. The effective mass and the oscillation frequency obtained from de Haas–van Alphen measurements first increase and then decrease as the oxygen plasma etching time increases from 0 to 12 min, corresponding to an up- and down-shift of the Dirac point. We establish the cantilever torque magnetometer as a powerful contactless tool to investigate themore » oxygen sensitivity of the surface state in topological insulators.« less

  15. Synthesis of Pt nanoparticles as catalysts of oxygen reduction with microbubble-assisted low-voltage and low-frequency solution plasma processing

    NASA Astrophysics Data System (ADS)

    Horiguchi, Genki; Chikaoka, Yu; Shiroishi, Hidenobu; Kosaka, Shinpei; Saito, Morihiro; Kameta, Naohiro; Matsuda, Naoki

    2018-04-01

    In the preparation of metallic nanoparticles by conventional solution plasma (SP) techniques, unstable plasma emission becomes an issue when the voltage and frequency of the waves applied between two electrodes placed in solution are lowered to avoid the boiling of the solution. In this study, we confirm that, in the presence of microbubbles, plasma is generated stably at low voltage (440 V) and low frequency (50-100 Hz) and small-size (≤10 nm) Pt nanoparticles (PtNPs) are synthesized in succession using a flow cell. The smallest PtNPs, ∼3.3 nm in diameter, are obtained using half-wave rectification, a tungsten wire anode, and a platinum wire cathode. The PtNPs are characterized by X-ray diffraction, X-ray photoelectron spectroscopy, transmission electron microscopy, and thermogravimeter-differential thermal analysis. The oxygen reduction reaction (ORR) is investigated in 0.1 M HClO4 solution on carbon-supported PtNPs using a rotating ring-disk electrode. The catalytic activities per initial electrochemical active surface area of the carbon-supported PtNPs synthesized employing the low-voltage, low-frequency (LVLF)-SP technique is higher than that of the commercially available 20 wt% Pt on Vulcan XC-72R. These results indicate that the LVLF-SP technique is a promising approach to producing carbon-supported PtNPs that catalyze ORR with low energy consumption.

  16. Patterned Well-Aligned ZnO Nanorods Assisted with Polystyrene Monolayer by Oxygen Plasma Treatment.

    PubMed

    Choi, Hyun Ji; Lee, Yong-Min; Yu, Jung-Hoon; Hwang, Ki-Hwan; Boo, Jin-Hyo

    2016-08-05

    Zinc oxide is known as a promising material for sensing devices due to its piezoelectric properties. In particular, the alignment of ZnO nanostructures into ordered nanoarrays is expected to improve the device sensitivity due to the large surface area which can be utilized to capture significant quantities of gas particles. However, ZnO nanorods are difficult to grow on the quartz substrate with well-ordered shape. So, we investigated nanostructures by adjusting the interval distance of the arranged ZnO nanorods using polystyrene (PS) spheres of various sizes (800 nm, 1300 nm and 1600 nm). In addition, oxygen plasma treatment was used to specify the nucleation site of round, patterned ZnO nanorod growth. Therefore, ZnO nanorods were grown on a quartz substrate with a patterned polystyrene monolayer by the hydrothermal method after oxygen plasma treatment. The obtained ZnO nanostructures were characterized by X-ray diffraction (XRD) and field-emission scanning electron microscope (FE-SEM).

  17. Plasma process control with optical emission spectroscopy

    NASA Astrophysics Data System (ADS)

    Ward, P. P.

    Plasma processes for cleaning, etching and desmear of electronic components and printed wiring boards (PWB) are difficult to predict and control. Non-uniformity of most plasma processes and sensitivity to environmental changes make it difficult to maintain process stability from day to day. To assure plasma process performance, weight loss coupons or post-plasma destructive testing must be used. The problem with these techniques is that they are not real-time methods and do not allow for immediate diagnosis and process correction. These methods often require scrapping some fraction of a batch to insure the integrity of the rest. Since these methods verify a successful cycle with post-plasma diagnostics, poor test results often determine that a batch is substandard and the resulting parts unusable. Both of these methods are a costly part of the overall fabrication cost. A more efficient method of testing would allow for constant monitoring of plasma conditions and process control. Process failures should be detected before the parts being treated. are damaged. Real time monitoring would allow for instantaneous corrections. Multiple site monitoring would allow for process mapping within one system or simultaneous monitoring of multiple systems. Optical emission spectroscopy conducted external to the plasma apparatus would allow for this sort of multifunctional analysis without perturbing the glow discharge. In this paper, optical emission spectroscopy for non-intrusive, in situ process control will be explored. A discussion of this technique as it applies towards process control, failure analysis and endpoint determination will be conducted. Methods for identifying process failures, progress and end of etch back and desmear processes will be discussed.

  18. Experimental study of the visible-light photocatalytic activity of oxygen-deficient TiO2 prepared with Ar/H2 plasma surface treatment

    NASA Astrophysics Data System (ADS)

    Nakano, Takuma; Yazawa, Shota; Araki, Shota; Kogoshi, Sumio; Katayama, Noboru; Kudo, Yusuke; Nakanishi, Tetsuya

    2015-01-01

    Oxygen-deficient TiO2 (TiO2-x) has been proposed as a visible-light-responsive photocatalyst. TiO2-x thin films were prepared by Ar/H2 plasma surface treatment, applying varying levels of microwave input power and processing times. The highest visible light photocatalytic activity was observed when using an input power of 200 W, a plasma processing time of 10 min, and a 1:1 \\text{Ar}:\\text{H}2 ratio, conditions that generate an electron temperature of 5.7(±1.0) eV and an electron density of 8.5 × 1010 cm-3. The maximum formaldehyde (HCHO) removal rate of the TiO2-x film was 2.6 times higher than that obtained from a TiO2-xNx film under the same test conditions.

  19. The Role of Helium Metastable States in Radio-Frequency Helium-Oxygen Atmospheric Pressure Plasma Jets: Measurement and Numerical Simulation

    NASA Astrophysics Data System (ADS)

    Niemi, Kari; Waskoenig, Jochen; Sadeghi, Nader; Gans, Timo; O'Connell, Deborah

    2011-10-01

    Absolute densities of metastable He atoms were measured line-of sight integrated along the plasma channel of a capacitively-coupled radio-frequency driven atmospheric pressure plasma jet operated in helium oxygen mixtures by tunable diode-laser absorption spectroscopy. Dependencies of the He metastable density with oxygen admixtures up to 1 percent were investigated. Results are compared to a 1-d numerical simulation, which includes a semi-kinetical treatment of the electron dynamics and the complex plasma chemistry (20 species, 184 reactions), and very good agreement is found. The main formation mechanisms for the helium metastables are identified and analyzed, including their pronounced spatio-temporal dynamics. Penning ionization through helium metastables is found to be significant for plasma sustainment, while it is revealed that helium metastables are not an important energy carrying species into the jet effluent and therefore will not play a direct role in remote surface treatments.

  20. Effect of cathode cooling efficiency and oxygen plasma gas pressure on the hafnium cathode wall temperature

    NASA Astrophysics Data System (ADS)

    Ashtekar, Koustubh; Diehl, Gregory; Hamer, John

    2012-10-01

    The hafnium cathode is widely used in DC plasma arc cutting (PAC) under an oxygen gas environment to cut iron and iron alloys. The hafnium erosion is always a concern which is controlled by the surface temperature. In this study, the effect of cathode cooling efficiency and oxygen gas pressure on the hafnium surface temperature are quantified. The two layer cathode sheath model is applied on the refractive hafnium surface while oxygen species (O2, O, O+, O++, e-) are considered within the thermal dis-equilibrium regime. The system of non-linear equations comprising of current density balance, heat flux balance at both the cathode surface and the sheath-ionization layer is coupled with the plasma gas composition solver. Using cooling heat flux, gas pressure and current density as inputs; the cathode wall temperature, electron temperature, and sheath voltage drop are calculated. Additionally, contribution of emitted electron current (Je) and ions current (Ji) to the total current flux are estimated. Higher gas pressure usually reduces Ji and increases Je that reduces the surface temperature by thermionic cooling.

  1. Physicochemical processes in the indirect interaction between surface air plasma and deionized water

    NASA Astrophysics Data System (ADS)

    Liu, Z. C.; Liu, D. X.; Chen, C.; Li, D.; Yang, A. J.; Rong, M. Z.; Chen, H. L.; Kong, M. G.

    2015-12-01

    One of the most central scientific questions for plasma applications in healthcare and environmental remediation is the chemical identity and the dose profile of plasma-induced reactive oxygen and nitrogen species (ROS/RNS) that can act on an object inside a liquid. A logical focus is on aqueous physicochemical processes near a sample with a direct link to their upstream gaseous processes in the plasma region and a separation gap from the liquid bulk. Here, a system-level modeling framework is developed for indirect interactions of surface air plasma and a deionized water bulk and its predictions are found to be in good agreement with the measurement of gas-phase ozone and aqueous long-living ROS/RNS concentrations. The plasma region is described with a global model, whereas the air gap and the liquid region are simulated with a 1D fluid model. All three regions are treated as one integrated entity and computed simultaneously. With experimental validation, the system-level modeling shows that the dominant aqueous ROS/RNS are long-living species (e.g. H2O2 aq, O3 aq, nitrite/nitrate, H+ aq). While most short-living gaseous species could hardly survive their passage to the liquid, aqueous short-living ROS/RNS are generated in situ through reactions among long-living plasma species and with water molecules. This plasma-mediated remote production of aqueous ROS/RNS is important for the abundance of aqueous HO2 aq, HO3 aq, OHaq and \\text{O}2- aq as well as NO2 aq and NO3 aq. Aqueous plasma chemistry offers a novel and significant pathway to activate a given biological outcome, as exemplified here for bacterial deactivation in plasma-activated water. Additional factors that may synergistically broaden the usefulness of aqueous plasma chemistry include an electric field by aqueous ions and liquid acidification. The system-modeling framework will be useful in assisting designs and analyses of future investigations of plasma-liquid and plasma-cell interactions.

  2. Hydrogen Plasma Processing of Iron Ore

    NASA Astrophysics Data System (ADS)

    Sabat, Kali Charan; Murphy, Anthony B.

    2017-06-01

    Iron is currently produced by carbothermic reduction of oxide ores. This is a multiple-stage process that requires large-scale equipment and high capital investment, and produces large amounts of CO2. An alternative to carbothermic reduction is reduction using a hydrogen plasma, which comprises vibrationally excited molecular, atomic, and ionic states of hydrogen, all of which can reduce iron oxides, even at low temperatures. Besides the thermodynamic and kinetic advantages of a hydrogen plasma, the byproduct of the reaction is water, which does not pose any environmental problems. A review of the theory and practice of iron ore reduction using a hydrogen plasma is presented. The thermodynamic and kinetic aspects are considered, with molecular, atomic and ionic hydrogen considered separately. The importance of vibrationally excited hydrogen molecules in overcoming the activation energy barriers, and in transferring energy to the iron oxide, is emphasized. Both thermal and nonthermal plasmas are considered. The thermophysical properties of hydrogen and argon-hydrogen plasmas are discussed, and their influence on the constriction and flow in the of arc plasmas is considered. The published R&D on hydrogen plasma reduction of iron oxide is reviewed, with both the reduction of molten iron ore and in-flight reduction of iron ore particles being considered. Finally, the technical and economic feasibility of the process are discussed. It is shown that hydrogen plasma processing requires less energy than carbothermic reduction, mainly because pelletization, sintering, and cokemaking are not required. Moreover, the formation of the greenhouse gas CO2 as a byproduct is avoided. In-flight reduction has the potential for a throughput at least equivalent to the blast furnace process. It is concluded that hydrogen plasma reduction of iron ore is a potentially attractive alternative to standard methods.

  3. Method and apparatus for monitoring plasma processing operations

    DOEpatents

    Smith, Jr., Michael Lane; Stevenson, Joel O'Don; Ward, Pamela Peardon Denise

    2001-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). A final aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system.

  4. Method and apparatus for monitoring plasma processing operations

    DOEpatents

    Smith, Jr., Michael Lane; Stevenson, Joel O'Don; Ward, Pamela Peardon Denise

    2001-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discemible portion thereof (e.g., a plasma step of a multiple step plasma recipe). A final aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system.

  5. Method and apparatus for monitoring plasma processing operations

    DOEpatents

    Smith, Jr., Michael Lane; Stevenson, Joel O'Don; Ward, Pamela Peardon Denise

    2000-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). A final aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system.

  6. Method and apparatus for monitoring plasma processing operations

    DOEpatents

    Smith, Jr., Michael Lane; Stevenson, Joel O'Don; Ward, Pamela Peardon Denise

    2002-07-16

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). A final aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system.

  7. Method and apparatus for monitoring plasma processing operations

    DOEpatents

    Smith, Jr., Michael Lane; Ward, Pamela Denise Peardon; Stevenson, Joel O'Don

    2002-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). Another aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system. A final aspect of the present invention relates to a network a plurality of plasma monitoring systems, including with remote capabilities (i.e., outside of the clean room).

  8. Dependence of the quality of adhesion between poly(dimethylsiloxane) and glass surfaces on the conditions of treatment with oxygen plasma.

    PubMed

    Millare, Brent; Thomas, Marlon; Ferreira, Amy; Xu, Hong; Holesinger, Madison; Vullev, Valentine I

    2008-11-18

    Treatment with oxygen-containing plasma is an essential step for the fabrication of devices containing components of polydimethylsiloxane (PDMS). Such oxidative treatment chemically modifies the surface of PDMS allowing it to permanently adhere to glass, quartz, PDMS and other silica-based substrates. Overexposure of PDMS to oxidative gas plasma, however, compromises its adhesiveness. Therefore, regulation of the duration and the conditions of the plasma treatment is crucial for achieving sufficient surface activation without overoxidation. Using a semiquantitative ternary approach, we evaluated the quality of adhesion ( QA) between flat PDMS and glass substrates pretreated with oxygen plasma under a range of different conditions. The quality of adhesion manifested good correlation trends with the surface properties of the pretreated PDMS. Examination of the QA dependence on the treatment duration and on the pressure and the RF power of the plasma revealed a range of oxidative conditions that allowed for permanent adhesion with quantitative yields.

  9. Sub-ppb Oxygen Contaminant Detection in Semi-Conductor Processing

    NASA Technical Reports Server (NTRS)

    Man, K. F.

    1995-01-01

    Gaseous contaminants such as oxygen, water vapor, nitrogen and hydrocarbons are often present in the processing environment in semiconductor device fabrication and in containerless materials processing. The contaminants arise as a result of outgassing from hot surfaces or they may be part of the impurities in commercial ultra-high purity gases. Among these gaseous contaminants, oxygen is the most reactive and, therefore, has the most adverse effects on the end product. There has been an intense effort at the Jet Propulsion Laboratory to develop different types of oxygen sorbents to reduce oxygen concentration in a microgravity processing environment to sub-ppb (parts-per-billion) levels. Higher concentrations can lead to rapid surface oxide formation, hence reducing the quality of semiconductor devices. If the concentration of oxygen in a processing chamber at 1000oC is in the ppb level, it will only take approximately 10 seconds for an oxide layer to form on the surface of a sample. The interaction of oxygen with the water surface can lead to the formation of localized defects in semi-conductor devices, hence decreasing the manufacturing yield. For example, efficient production of 64 Mb RAM chips requires contaminations below ppb levels. This paper describes a technique for measuring trace quantities of oxygen contaminants by recording the monoatomic negative ions, O-, using mass spectrometry. The O- formation from the e--O2 interaction utilizes the electron dissociative attachment method that is greatly enhanced at the resonant energy (6.8 eV). The device combines a small gridded electron ionizer with a compact mass spectrometer. The concentrations of oxygen have been measured using the method of standard additions by diluting O2 in N2. The lowest detection limit obtained was 1.2 kHz (O- count rate) at a concentration of 10-10, corresponding to 0.1 ppb.

  10. Study on structural, morphological and thermal properties of surface modified polyvinylchloride (PVC) film under air, argon and oxygen discharge plasma

    NASA Astrophysics Data System (ADS)

    Suganya, Arjunan; Shanmugavelayutham, Gurusamy; Serra Rodríguez, Carmen

    2016-09-01

    The effect of air, argon, oxygen DC glow discharge plasma on the polyvinylchloride (PVC) film synthesized by solution casting technique, were evaluated via changes in physio-chemical properties such as structural, morphological, crystalline, thermal properties. The PVC film was plasma treated as a function of exposure time and different plasma forming gases, while other operating parameters such as power and pressure remained constant at 100 W and 2 Pa respectively. The plasma treated PVC were characterized by static contact angle, ATR-FTIR, XPS, AFM and T-peel analysis. It was found that various gaseous plasma treatments have improved the polar components, surface roughness on the surface of PVC which was confirmed by XPS, AFM, resulting in highly enhanced wettability and adhesion. X-ray diffraction study showed that plasma treatment does not persuade considerable change, even though it vaguely induces the crystallinity. The thermal properties of plasma treated PVC were evaluated by Differential Scanning Calorimetry and it was observed that O2 plasma treatment gives higher glass transition temperature of 87.21 °C compared with the untreated one. The glass transition temperature slightly increased for Oxygen plasma treated material due to the presence of higher concentration of the polar functional groups on the PVC surface due to strong intramolecular bonding.

  11. System to continuously produce carbon fiber via microwave assisted plasma processing

    DOEpatents

    White, Terry L [Knoxville, TN; Paulauskas, Felix L [Knoxville, TN; Bigelow, Timothy S [Knoxville, TN

    2010-11-02

    A system to continuously produce fully carbonized or graphitized carbon fibers using microwave-assisted plasma (MAP) processing comprises an elongated chamber in which a microwave plasma is excited in a selected gas atmosphere. Fiber is drawn continuously through the chamber, entering and exiting through openings designed to minimize in-leakage of air. There is a gradient of microwave power within the chamber with generally higher power near where the fiber exits and lower power near where the fiber enters. Polyacrylonitrile (PAN), pitch, or any other suitable organic/polymeric precursor fibers can be used as a feedstock for the inventive system. Oxidized or partially oxidized PAN or pitch or other polymeric fiber precursors are run continuously through a MAP reactor in an inert, non-oxidizing atmosphere to heat the fibers, drive off the unwanted elements such as oxygen, nitrogen, and hydrogen, and produce carbon or graphite fibers faster than conventionally produced carbon fibers.

  12. Plasma separation

    NASA Technical Reports Server (NTRS)

    Steurer, Wolfgang

    1992-01-01

    This process employs a thermal plasma for the separation and production of oxygen and metals. It is a continuous process that requires no consumables and relies entirely on space resources. The almost complete absence of waste renders it relatively clean. It can be turned on or off without any undesirable side effects or residues. The prime disadvantage is its high power consumption.

  13. Surface monofunctionalized polymethyl pentene hollow fiber membranes by plasma treatment and hemocompatibility modification for membrane oxygenators

    NASA Astrophysics Data System (ADS)

    Huang, Xin; Wang, Weiping; Zheng, Zhi; Fan, Wenling; Mao, Chun; Shi, Jialiang; Li, Lei

    2016-01-01

    The hemocompatibility of polymethyl pentene (PMP) hollow fiber membranes (HFMs) was improved through surface modification for membrane oxygenator applications. The modification was performed stepwise with the following: (1) oxygen plasma treatment, (2) functionalization of monosort hydroxyl groups through NaBH4 reduction, and (3) grafting 2-methacryloyloxyethyl phosphorylcholine (MPC) or heparin. SEM, ATR-FTIR, and XPS analyses were conducted to confirm successful grafting during the modification. The hemocompatibility of PMP HFMs was analyzed and compared through protein adsorption, platelet adhesion, and coagulation tests. Pure CO2 and O2 permeation rates, as well as in vitro gas exchange rates, were determined to evaluate the mass transfer properties of PMP HFMs. SEM results showed that different nanofibril topographies were introduced on the HFM surface. ATR-FTIR and XPS spectra indicated the presence of functionalization of monosort hydroxyl group and the grafting of MPC and heparin. Hemocompatibility evaluation results showed that the modified PMP HFMs presented optimal hemocompatibility compared with pristine HFMs. Gas permeation results revealed that gas permeation flux increased in the modified HFMs because of dense surface etching during the plasma treatment. The results of in vitro gas exchange rates showed that all modified PMP HFMs presented decreased gas exchange rates because of potential surface fluid wetting. The proposed strategy exhibits a potential for fabricating membrane oxygenators for biomedical applications to prevent coagulation formation and alter plasma-induced surface topology and composition.

  14. Real-Time Fault Classification for Plasma Processes

    PubMed Central

    Yang, Ryan; Chen, Rongshun

    2011-01-01

    Plasma process tools, which usually cost several millions of US dollars, are often used in the semiconductor fabrication etching process. If the plasma process is halted due to some process fault, the productivity will be reduced and the cost will increase. In order to maximize the product/wafer yield and tool productivity, a timely and effective fault process detection is required in a plasma reactor. The classification of fault events can help the users to quickly identify fault processes, and thus can save downtime of the plasma tool. In this work, optical emission spectroscopy (OES) is employed as the metrology sensor for in-situ process monitoring. Splitting into twelve different match rates by spectrum bands, the matching rate indicator in our previous work (Yang, R.; Chen, R.S. Sensors 2010, 10, 5703–5723) is used to detect the fault process. Based on the match data, a real-time classification of plasma faults is achieved by a novel method, developed in this study. Experiments were conducted to validate the novel fault classification. From the experimental results, we may conclude that the proposed method is feasible inasmuch that the overall accuracy rate of the classification for fault event shifts is 27 out of 28 or about 96.4% in success. PMID:22164001

  15. Rapid assessment of singlet oxygen-induced plasma lipid oxidation and its inhibition by antioxidants with diphenyl-1-pyrenylphosphine (DPPP).

    PubMed

    Morita, Mayuko; Naito, Yuji; Yoshikawa, Toshikazu; Niki, Etsuo

    2016-01-01

    Recent studies suggesting the involvement of singlet oxygen in the pathogenesis of multiple diseases have attracted renewed attention to lipid oxidation mediated by singlet oxygen. Although the rate constants for singlet oxygen quenching by antioxidants have been measured extensively, the inhibition of lipid oxidation mediated by singlet oxygen has received relatively less attention, partly because a convenient method for measuring the rate of lipid oxidation is not available. The objective of this study was to develop a convenient method to measure plasma lipid oxidation mediated by singlet oxygen which may be applied to a rapid assessment of the antioxidant capacity to inhibit this oxidation using a conventional microplate reader. Singlet oxygen was produced from naphthalene endoperoxide, and lipid hydroperoxide production was followed by using diphenyl-1-pyrenylphosphine (DPPP). Non-fluorescent DPPP reacts stoichiometrically with lipid hydroperoxides to give highly fluorescent DPPP oxide. It was found that plasma oxidation by singlet oxygen increased the fluorescence intensity of DPPP oxide, which was suppressed by antioxidants. Fucoxanthin suppressed the oxidation more efficiently than β-carotene and α-tocopherol, while ascorbic acid and Trolox were not effective. The present method may be useful for monitoring lipid oxidation and also for rapid screening of the capacity of dietary antioxidants and natural products to inhibit lipid oxidation in a biologically relevant system.

  16. Plasma Processing with a One Atmosphere Uniform Glow Discharge Plasma (OAUGDP)

    NASA Astrophysics Data System (ADS)

    Reece Roth, J.

    2000-10-01

    The vast majority of all industrial plasma processing is conducted with glow discharges at pressures below 10 torr. This has limited applications to high value workpieces as a result of the large capital cost of vacuum systems and the production constraints of batch processing. It has long been recognized that glow discharges would play a much larger industrial role if they could be operated at one atmosphere. The One Atmosphere Uniform Glow Discharge Plasma (OAUGDP) has been developed at the University of Tennessee Plasma Sciences Laboratory. The OAUGDP is non-thermal RF plasma with the time-resolved characteristics of a classical low pressure DC normal glow discharge. An interdisciplinary team was formed to conduct exploratory investigations of the physics and applications of the OAUGDP. This team includes collaborators from the UTK Textiles and Nonwovens Development Center (TANDEC) and the Departments of Electrical and Computer Engineering, Microbiology, Food Science and Technology, and Mechanical and Aerospace Engineering and Engineering Science. Exploratory tests were conducted on a variety of potential plasma processing and other applications. These include the use of OAUGDP to sterilize medical and dental equipment and air filters; diesel soot removal; plasma aerodynamic effects; electrohydrodynamic (EDH) flow control of the neutral working gas; increasing the surface energy of materials; increasing the wettability and wickability of fabrics; and plasma deposition and directional etching. A general overview of these topics will be presented.

  17. Process for conversion of lignin to reformulated, partially oxygenated gasoline

    DOEpatents

    Shabtai, Joseph S.; Zmierczak, Wlodzimierz W.; Chornet, Esteban

    2001-01-09

    A high-yield process for converting lignin into reformulated, partially oxygenated gasoline compositions of high quality is provided. The process is a two-stage catalytic reaction process that produces a reformulated, partially oxygenated gasoline product with a controlled amount of aromatics. In the first stage of the process, a lignin feed material is subjected to a base-catalyzed depolymerization reaction, followed by a selective hydrocracking reaction which utilizes a superacid catalyst to produce a high oxygen-content depolymerized lignin product mainly composed of alkylated phenols, alkylated alkoxyphenols, and alkylbenzenes. In the second stage of the process, the depolymerized lignin product is subjected to an exhaustive etherification reaction, optionally followed by a partial ring hydrogenation reaction, to produce a reformulated, partially oxygenated/etherified gasoline product, which includes a mixture of substituted phenyl/methyl ethers, cycloalkyl methyl ethers, C.sub.7 -C.sub.10 alkylbenzenes, C.sub.6 -C.sub.10 branched and multibranched paraffins, and alkylated and polyalkylated cycloalkanes.

  18. Electromagnetic dip and hump solitary structures in oxygen-hydrogen dissipative plasmas

    NASA Astrophysics Data System (ADS)

    Hussain, S.; Haseeb, Mahnaz Q.; Hasnain, H.

    2017-10-01

    The excitation of low frequency magnetosonic waves in O + - H + - e - and O + - H - - e - collisional plasmas is studied. The light ions (hydrogen) may be positive as well as negative and are warm, and the heavy ions (oxygen) are considered as the cold species. The inertia of isothermal electrons is also considered. The collisions of ions and electrons with neutrals are taken into account. The hydrodynamic equations represent the dynamics of positive ions, negative ions, and isothermal electrons along with Maxwell's equations. The damped Korteweg de Vries equation is derived by employing the reductive perturbation technique and its time dependent solution is presented. Dip magnetosonic solitary structures are observed when both ions are positive and hump structures are seen in the presence of negative ions. The effects of variations of different plasma parameters on magnetosonic solitary structures in the presence of collisions are discussed.

  19. Plasma cell treatment device Plasma-on-Chip: Monitoring plasma-generated reactive species in microwells

    PubMed Central

    Oh, Jun-Seok; Kojima, Shinya; Sasaki, Minoru; Hatta, Akimitsu; Kumagai, Shinya

    2017-01-01

    We have developed a plasma cell treatment device called Plasma-on-Chip that enables the real-time monitoring of a single cell culture during plasma treatment. The device consists of three parts: 1) microwells for cell culture, 2) a microplasma device for generating reactive oxygen and nitrogen species (RONS) for use in cell treatment, and 3) through-holes (microchannels) that connect each microwell with the microplasma region for RONS delivery. Here, we analysed the delivery of the RONS to the liquid culture medium stored in the microwells. We developed a simple experimental set-up using a microdevice and applied in situ ultraviolet absorption spectroscopy with high sensitivity for detecting RONS in liquid. The plasma-generated RONS were delivered into the liquid culture medium via the through-holes fabricated into the microdevice. The RONS concentrations were on the order of 10–100 μM depending on the size of the through-holes. In contrast, we found that the amount of dissolved oxygen was almost constant. To investigate the process of RONS generation, we numerically analysed the gas flow in the through-holes. We suggest that the circulating gas flow in the through-holes promotes the interaction between the plasma (ionised gas) and the liquid, resulting in enhanced RONS concentrations. PMID:28176800

  20. Mechanism of virus inactivation by cold atmospheric-pressure plasma and plasma-activated water.

    PubMed

    Guo, Li; Xu, Ruobing; Gou, Lu; Liu, Zhichao; Zhao, Yiming; Liu, Dingxin; Zhang, Lei; Chen, Hailan; Kong, Michael G

    2018-06-18

    Viruses are serious pathogenic contamination that severely affect the environment and human health. Cold atmospheric-pressure plasma efficiently inactivates pathogenic bacteria, however, the mechanism of virus inactivation by plasma is not fully understood. In this study, surface plasma in argon mixed with 1% air and plasma-activated water were used to treat water containing bacteriophages. Both agents efficiently inactivated bacteriophages T4, Φ174, and MS2 in a time-dependent manner. Prolonged storage had marginal effects on the anti-viral activity of plasma-activated water. DNA and protein analysis revealed that the reactive species generated by plasma damaged both nucleic acid and proteins, in consistent with the morphological examination showing that plasma treatment caused the aggregation of bacteriophages. The inactivation of bacteriophages was alleviated by the singlet oxygen scavengers, demonstrating that singlet oxygen played a primary role in this process. Our findings provide a potentially effective disinfecting strategy to combat the environmental viruses using cold atmospheric-pressure plasma and plasma-activated water. Importance Contamination with pathogenic and infectious viruses severely threaten human health and animal husbandry. Current methods for disinfection have different disadvantages, such as inconvenience and contamination of disinfection by-products (e.g. chlorine disinfection). In this study, atmospheric surface plasma in argon mixed with air and plasma-activated water were found to efficiently inactivate bacteriophages, and plasma-activated water still had strong anti-viral activity after prolonged storage. Furthermore, it was shown that bacteriophage inactivation was associated with the damage to nucleic acid and proteins by singlet oxygen. The understanding of the biological effects of plasma-based treatment is useful to inform the development of plasma into a novel disinfecting strategy with convenience and no by-product. Copyright

  1. Oxygen plasma etching of graphene: A first-principles dynamical inspection of the reaction mechanisms and related activation barriers

    NASA Astrophysics Data System (ADS)

    Koizumi, Kenichi; Boero, Mauro; Shigeta, Yasuteru; Oshiyama, Atsushi; Dept. of Applied Physics Team; Institute of Physics and Chemistry of Strasbourg (IPCMS) Collaboration; Department Of Materials Engineering Science Collaboration

    2013-03-01

    Oxygen plasma etching is a crucial step in the fabrication of electronic circuits and has recently received a renovated interest in view of the realization of carbon-based nanodevices. In an attempt at unraveling the atomic-scale details and to provide guidelines for the control of the etching processes mechanisms, we inspected the possible reaction pathways via reactive first principles simulations. These processes involve breaking and formation of several chemical bonds and are characterized by different free-energy barriers. Free-energy sampling techniques (metadynamics and blue moon), used to enhance the standard Car-Parrinello molecular dynamics, provide us a detailed microscopic picture of the etching of graphene surfaces and a comprehensive scenario of the activation barriers involved in the various steps. MEXT, Japan - contract N. 22104005

  2. Plasma processing of superconducting radio frequency cavities

    NASA Astrophysics Data System (ADS)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  3. Innovative techniques for the production of energetic radicals for lunar processing including cold plasma processing of local planetary ores

    NASA Technical Reports Server (NTRS)

    Bullard, D.; Lynch, D. C.

    1992-01-01

    Hydrogen reduction of ilmenite has been studied by a number of investigators as a potential means for recovery of oxygen from lunar soil. Interest in this process has always rested with the simplicity of the flow diagram and the utilization of established technology. Effective utilization of hydrogen in the reduction process at temperatures of 1200 C and below has always been disappointing and, as such, has led other investigators to focus attention on other systems. Effective utilization of hydrogen in the reduction of ilmenite can be significantly enhanced in the presence of a non-equilibrium hydrogen plasma. Ilmenite at solid specimen temperatures of 600 C to 970 C were reacted in a hydrogen plasma. Those experiments revealed that hydrogen utilization can be significantly enhanced. At a specimen temperature of 850 C the fraction of H2 reacted was 24 percent compared to the 7 percent theoretical limit calculated with thermodynamic theory for the same temperature. An added advantage for a hydrogen plasma involves further reduction of TiO2. Reduction of the iron oxide in ilmenite yields TiO2 and metallic iron as by products. Titanium forms a number of oxides including TiO, Ti2O3, Ti3O5 and the Magneli oxides (Ti4O7 to Ti50O99). In conventional processing of ilmenite with hydrogen it is possible to reduce TiO2 to Ti7O13 within approximately an hour, but with poor utilization of hydrogen on the order of one mole of H2 per thousand. In the cold or non-equilibrium plasma TiO2 can be rapidly reduced to Ti2O3 with hydrogen utilization exceeding 10 percent. Based on design considerations of the plasma reactor greater utilization of the hydrogen in the reduction of TiO2 is possible.

  4. Mechanisms of polymer degradation using an oxygen plasma generator

    NASA Technical Reports Server (NTRS)

    Colony, Joe A.; Sanford, Edward L.

    1987-01-01

    An RF oxygen plasma generator was used to produce polymer degradation which appears to be similar to that which has been observed in low Earth orbit. Mechanisms of this type of degradation were studied by collecting the reaction products in a cryogenic trap and identifying the molecular species using infrared, mass spectral, and X-ray diffraction techniques. No structurally dependent species were found from Kapton, Teflon, or Saran polymers. However, very reactive free radical entities are produced during the polymer degradation, as well as carbon dioxide and water. Reactions of the free radicals with the glass reaction vessel, with copper metal in the cold trap, and with a triphenyl phosphate scavenger in the cold trap, demonstrated the reactivity of the primary products.

  5. Forming-free performance of a-SiN x :H-based resistive switching memory obtained by oxygen plasma treatment.

    PubMed

    Zhang, Xinxin; Ma, Zhongyuan; Zhang, Hui; Liu, Jian; Yang, Huafeng; Sun, Yang; Tan, Dinwen; Li, Wei; Xu, Ling; Chen, Kuiji; Feng, Duan

    2018-06-15

    An a-SiN x -based resistive random access memory (RRAM) device with a forming-free characteristic has significant potentials for the industrialization of the next-generation memories. We demonstrate that a forming-free a-SiN x O y RRAM device can be achieved by an oxygen plasma treatment of ultra-thin a-SiN x :H films. Electron spin resonance spectroscopy reveals that Si dangling bonds with a high density (10 19 cm -3 ) are distributed in the initial state, which exist in the forms of Si 2 N≡Si·, SiO 2 ≡Si·, O 3 ≡Si·, and N 3 ≡Si·. X-ray photoelectron spectroscopy and temperature-dependent current analyses reveal that the silicon dangling bonds induced by the oxygen plasma treatment and external electric field contribute to the low resistance state (LRS). For the high resistance state (HRS), the rupture of the silicon dangling bond pathway is attributed to the partial passivation of Si dangling bonds by H + and O 2- . Both LRS and HRS transmissions obey the hopping conduction model. The proposed oxygen plasma treatment, introduced to generate a high density of Si dangling bonds in the SiN x O y :H films, provides a new approach to forming-free RRAM devices.

  6. Forming-free performance of a-SiN x :H-based resistive switching memory obtained by oxygen plasma treatment

    NASA Astrophysics Data System (ADS)

    Zhang, Xinxin; Ma, Zhongyuan; Zhang, Hui; Liu, Jian; Yang, Huafeng; Sun, Yang; Tan, Dinwen; Li, Wei; Xu, Ling; Chen, Kuiji; Feng, Duan

    2018-06-01

    An a-SiN x -based resistive random access memory (RRAM) device with a forming-free characteristic has significant potentials for the industrialization of the next-generation memories. We demonstrate that a forming-free a-SiN x O y RRAM device can be achieved by an oxygen plasma treatment of ultra-thin a-SiN x :H films. Electron spin resonance spectroscopy reveals that Si dangling bonds with a high density (1019 cm‑3) are distributed in the initial state, which exist in the forms of Si2N≡Si·, SiO2≡Si·, O3≡Si·, and N3≡Si·. X-ray photoelectron spectroscopy and temperature-dependent current analyses reveal that the silicon dangling bonds induced by the oxygen plasma treatment and external electric field contribute to the low resistance state (LRS). For the high resistance state (HRS), the rupture of the silicon dangling bond pathway is attributed to the partial passivation of Si dangling bonds by H+ and O2‑. Both LRS and HRS transmissions obey the hopping conduction model. The proposed oxygen plasma treatment, introduced to generate a high density of Si dangling bonds in the SiN x O y :H films, provides a new approach to forming-free RRAM devices.

  7. Evaluation of oxygen species during E-H transition in inductively coupled RF plasmas: combination of experimental results with global model

    NASA Astrophysics Data System (ADS)

    Meichsner, Jürgen; Wegner, Thomas

    2018-05-01

    Inductively coupled RF plasmas (ICP) in oxygen at low pressure have been intensively studied as a molecular and electronegative model system in the last funding period of the Collaborative Research Centre 24 "Fundamentals of Complex Plasmas". The ICP configuration consists of a planar coil inside a quartz cylinder as dielectric barrier which is immersed in a large stainless steel vacuum chamber. In particular, the E-H mode transition has been investigated, combining experimental results from comprehensive plasma diagnostics as input for analytical rate equation calculation of a volume averaged global model. The averaged density was determined for electrons, negative ions O-, molecular oxygen ground state O2(X3 Σg-) and singlet metastable state O2(a1 Δg) from line-integrated measurements using 160 GHz Gaussian beam microwave interferometry coupled with laser photodetachment experiment and VUV absorption spectroscopy, respectively. Taking into account the relevant elementary processes and rate coefficients from literature together with the measured temperatures and averaged density of electrons, O2(X3 Σg-) and O2(a1 Δg) the steady state density was calculated for O(3P), O2(b1 Σg+), O(1D), O(1S), O3, O-, O2-, and O3-, respectively. The averaged density of negative ions O- from the rate equation calculation is compared with the measured one. The normalized source and loss rates are discussed for O(3P), O2(b1 Σg+) and O-. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  8. Mirror-field confined compact plasma source using permanent magnet for plasma processings.

    PubMed

    Goto, Tetsuya; Sato, Kei-Ichiro; Yabuta, Yuki; Sugawa, Shigetoshi

    2016-12-01

    A mirror-field confined compact electron cyclotron resonance (ECR) plasma source using permanent magnets was developed, aiming for the realization of high-quality plasma processings where high-density reactive species are supplied to a substrate with minimizing the ion bombardment damages. The ECR position was located between a microwave transmissive window and a quartz limiter, and plasmas were transported from the ECR position to a midplane of the magnetic mirror field through the quartz limiter. Thus, a radius of core plasma could be determined by the limiter, which was 15 mm in this study. Plasma parameters were investigated by the Langmuir probe measurement. High-density plasma larger than 10 11 cm -3 could be produced by applying 5.85-GHz microwave power of 10 W or more. For the outside region of the core plasma where a wafer for plasma processings will be set at, the ion current density was decreased dramatically with distance from the core plasma and became smaller by approximately two orders of magnitude that in the core plasma region for the radial position of 40 mm, suggesting the realization of reduction in ion bombardment damages.

  9. Real-Time Plasma Process Condition Sensing and Abnormal Process Detection

    PubMed Central

    Yang, Ryan; Chen, Rongshun

    2010-01-01

    The plasma process is often used in the fabrication of semiconductor wafers. However, due to the lack of real-time etching control, this may result in some unacceptable process performances and thus leads to significant waste and lower wafer yield. In order to maximize the product wafer yield, a timely and accurately process fault or abnormal detection in a plasma reactor is needed. Optical emission spectroscopy (OES) is one of the most frequently used metrologies in in-situ process monitoring. Even though OES has the advantage of non-invasiveness, it is required to provide a huge amount of information. As a result, the data analysis of OES becomes a big challenge. To accomplish real-time detection, this work employed the sigma matching method technique, which is the time series of OES full spectrum intensity. First, the response model of a healthy plasma spectrum was developed. Then, we defined a matching rate as an indictor for comparing the difference between the tested wafers response and the health sigma model. The experimental results showed that this proposal method can detect process faults in real-time, even in plasma etching tools. PMID:22219683

  10. Automated Plasma Spray (APS) process feasibility study: Plasma spray process development and evaluation

    NASA Technical Reports Server (NTRS)

    Fetheroff, C. W.; Derkacs, T.; Matay, I. M.

    1979-01-01

    An automated plasma spray (APS) process was developed to apply two layer (NiCrAlY and ZrO2-12Y2O3) thermal-barrier coatings to aircraft gas turbine engine blade airfoils. The APS process hardware consists of four subsystems: a mechanical blade positioner incorporating two interlaced six-degree-of-freedom assemblies; a noncoherent optical metrology subsystem; a microprocessor-based adaptive system controller; and commercial plasma spray equipment. Over fifty JT9D first stage turbine blades specimens were coated with the APS process in preliminary checkout and evaluation studies. The best of the preliminary specimens achieved an overall coating thickness uniformity of + or - 53 micrometers, much better than is achievable manually. Factors limiting this performance were identified and process modifications were initiated accordingly. Comparative evaluations of coating thickness uniformity for manually sprayed and APS coated specimens were initiated. One of the preliminary evaluation specimens was subjected to a torch test and metallographic evaluation.

  11. Numerical Study of the Reduction Process in an Oxygen Blast Furnace

    NASA Astrophysics Data System (ADS)

    Zhang, Zongliang; Meng, Jiale; Guo, Lei; Guo, Zhancheng

    2016-02-01

    Based on computational fluid dynamics, chemical reaction kinetics, principles of transfer in metallurgy, and other principles, a multi-fluid model for a traditional blast furnace was established. The furnace conditions were simulated with this multi-fluid mathematical model, and the model was verified with the comparison of calculation and measurement. Then a multi-fluid model for an oxygen blast furnace in the gasifier-full oxygen blast furnace process was established based on this traditional blast furnace model. With the established multi-fluid model for an oxygen blast furnace, the basic characteristics of iron ore reduction process in the oxygen blast furnace were summarized, including the changing process of the iron ore reduction degree and the compositions of the burden, etc. The study found that compared to the traditional blast furnace, the magnetite reserve zone in the furnace shaft under oxygen blast furnace condition was significantly reduced, which is conducive to the efficient operation of blast furnace. In order to optimize the oxygen blast furnace design and operating parameters, the iron ore reduction process in the oxygen blast furnace was researched under different shaft tuyere positions, different recycling gas temperatures, and different allocation ratios of recycling gas between the hearth tuyere and the shaft tuyere. The results indicate that these three factors all have a substantial impact on the ore reduction process in the oxygen blast furnace. Moderate shaft tuyere position, high recycling gas temperature, and high recycling gas allocation ratio between hearth and shaft could significantly promote the reduction of iron ore, reduce the scope of the magnetite reserve zone, and improve the performance of oxygen blast furnace. Based on the above findings, the recommendations for improvement of the oxygen blast furnace design and operation were proposed.

  12. Process Performances of 2 ns Pulsed Discharge Plasma

    NASA Astrophysics Data System (ADS)

    Matsumoto, Takao; Wang, Douyan; Namihira, Takao; Akiyama, Hidenori

    2011-08-01

    Pulsed discharge plasmas have been used to treat exhaust gases. Since pulse duration and the rise time of applied voltage to the discharge electrode has a strong influence on the energy efficiency of pollutant removal, the development of a short-pulse generator is of paramount importance for practical applications. In this work, it is demonstrated that the non thermal plasma produced by the 2 ns pulsed discharge has a higher energy efficiency than the 5 ns pulsed discharge plasma for NO removal and ozone generation. Typically, the NO removal efficiency was 1.0 mol kW-1 h-1 for 70% NO removal (initial NO concentration = 200 ppm, gas flow = 10 L/min). Meanwhile, the ozone yield was 500 g kW-1 h-1 for 20 g/m3 ozone concentration in the case of oxygen feeding. These energy efficiencies are the highest in the literature.

  13. Plasma Processing of Model Residential Solid Waste

    NASA Astrophysics Data System (ADS)

    Messerle, V. E.; Mossé, A. L.; Nikonchuk, A. N.; Ustimenko, A. B.; Baimuldin, R. V.

    2017-09-01

    The authors have tested the technology of processing of model residential solid waste. They have developed and created a pilot plasma unit based on a plasma chamber incinerator. The waste processing technology has been tested and prepared for commercialization.

  14. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    NASA Astrophysics Data System (ADS)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  15. Plasma Methane Pyrolysis for Spacecraft Oxygen Loop Closure

    NASA Technical Reports Server (NTRS)

    Greenwood, Z. W.

    2018-01-01

    Life support is a critical function of any crewed space vehicle or habitat. Human life support systems on the International Space Station (ISS) include a number of atmosphere revitalization (AR) technologies to provide breathable air and a comfortable living environment to the crew. The Trace Contaminant Control System removes harmful volatile organic compounds and other trace contaminants from the circulating air. The Carbon Dioxide Removal Assembly (CDRA) removes metabolic carbon dioxide (CO2) and returns air to the cabin. Humidity is kept at comfortable levels by a number of condensing heat exchangers. The Oxygen Generation Assembly (OGA) electrolyzes water to produce oxygen for the crew and hydrogen (H2) as a byproduct. A Sabatier reaction-based CO2 Reduction Assembly (CRA) was launched to the ISS in 2009 and became fully operational in June 2011.The CRA interfaces with both the OGA and CDRA. Carbon dioxide from the CDRA is compressed and stored in tanks until hydrogen is available from OGA water electrolysis. When the OGA is operational and there is CO2 available, the CRA is activated and produces methane and water via the Sabatier reaction shown in Equation 1... One approach to achieve these higher recovery rates builds upon the ISS AR architecture and includes adding a methane post-processor to recover H2 from CRA methane. NASA has been developing the Plasma Pyrolysis Assembly (PPA) to fill the role of a methane post-processor.

  16. Effect of oxygen plasma on field emission characteristics of single-wall carbon nanotubes grown by plasma enhanced chemical vapour deposition system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Avshish; Parveen, Shama; Husain, Samina

    2014-02-28

    Field emission properties of single wall carbon nanotubes (SWCNTs) grown on iron catalyst film by plasma enhanced chemical vapour deposition system were studied in diode configuration. The results were analysed in the framework of Fowler-Nordheim theory. The grown SWCNTs were found to be excellent field emitters, having emission current density higher than 20 mA/cm{sup 2} at a turn-on field of 1.3 V/μm. The as grown SWCNTs were further treated with Oxygen (O{sub 2}) plasma for 5 min and again field emission characteristics were measured. The O{sub 2} plasma treated SWCNTs have shown dramatic improvement in their field emission properties with emission current densitymore » of 111 mA/cm{sup 2} at a much lower turn on field of 0.8 V/μm. The as grown as well as plasma treated SWCNTs were also characterized by various techniques, such as scanning electron microscopy, high resolution transmission electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy before and after O{sub 2} plasma treatment and the findings are being reported in this paper.« less

  17. Experiments with Plasmas Produced by Potassium-Seeded Cyanogen Oxygen Flames for Study of Radio Transmission at Simulated Reentry Vehicle Plasma Conditions

    NASA Technical Reports Server (NTRS)

    Huber, Paul W.; Gooderum, Paul B.

    1961-01-01

    A method for the chemical production of an ionized gas stream for application to radio transmission studies is described. Involved is the combustion of gaseous cyanogen and oxygen with the addition of vaporized potassium in some cases to further increase the ionization. Experiments are described in which a 3-inch-diameter subsonic free jet at atmospheric pressure is used, and the results are presented. The plasma obtained by using this method is sufficient to simulate plasma conditions expected for reentering hypersonic vehicles. The unseeded plasma stream temperature is indicated to be about 4,200 K, with the degree of ionization indicated to be that expected from thermal equilibrium considerations. Measurements of radio-signal loss due to the unseeded flame plasma are presented for microwaves of 8 to 20 kmc transmitted through the stream and for a dipole transmitting model of 219.5 mc immersed in the stream. Favorable comparison of these results with the simple plane-wave signal-attenuation theory was obtained. In the case of a 9.4-kmc microwave signal of 30-kw peak power, the preliminary indication is that the plasma characteristics were not changed due to this strong signal. Comparison of a simplified concept of radio-signal attenuation due to plasmas is made with some hypersonic reentry vehicle signal-loss data. Other areas of plasma research using this method for the transmission problem are indicated.

  18. The fabrication of diversiform nanostructure forests based on residue nanomasks synthesized by oxygen plasma removal of photoresist

    NASA Astrophysics Data System (ADS)

    Mao, Haiyang; Wu, Di; Wu, Wengang; Xu, Jun; Hao, Yilong

    2009-11-01

    A simple lithography-free approach for fabricating diversiform nanostructure forests is presented. The key technique of the approach is that randomly distributed nanoscale residues can be synthesized on substrates simply by removing photoresist with oxygen plasma bombardment. These nanoresidues can function as masks in the subsequent etching process for nanopillars. By further spacer and then deep etching processes, a variety of forests composed of regular, tulip-like or hollow-head nanopillars as well as nanoneedles are successfully achieved in different etching conditions. The pillars have diameters of 30-200 nm and heights of 400 nm-3 µm. The needles reach several microns in height, with their tips less than 10 nm in diameter. Moreover, microstructures containing these nanostructure forests, such as surface microchannels, have also been fabricated. This approach is compatible with conventional micro/nano-electromechanical system (MEMS/NEMS) fabrication.

  19. Element- and charge-state-resolved ion energies in the cathodic arc plasma from composite AlCr cathodes in argon, nitrogen and oxygen atmospheres

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Franz, Robert; Polcik, Peter; Anders, André

    The energy distribution functions of ions in the cathodic arc plasma using composite AlCr cathodes were measured as a function of the background gas pressure in the range 0.5 to 3.5 Pa for different cathode compositions and gas atmospheres. The most abundant aluminium ions were Al+ regardless of the background gas species, whereas Cr 2+ ions were dominating in Ar and N 2 and Cr + in O 2 atmospheres. The energy distributions of the aluminium and chromium ions typically consisted of a high-energy fraction due to acceleration in the expanding plasma plume from the cathode spot and thermalised ionsmore » that were subjected to collisions in the plasma cloud. The fraction of the latter increased with increasing background gas pressure. Atomic nitrogen and oxygen ions showed similar energy distributions as the aluminium and chromium ions, whereas the argon and molecular nitrogen and oxygen ions were formed at greater distance from the cathode spot and thus less subject to accelerating gradients. In addition to the positively charged metal and gas ions, negatively charged oxygen and oxygen-containing ions were observed in O 2 atmosphere. The obtained results are intended to provide a comprehensive overview of the ion energies and charge states in the arc plasma of AlCr composite cathodes in different gas atmospheres as such plasmas are frequently used to deposit thin films and coatings.« less

  20. Element- and charge-state-resolved ion energies in the cathodic arc plasma from composite AlCr cathodes in argon, nitrogen and oxygen atmospheres

    DOE PAGES

    Franz, Robert; Polcik, Peter; Anders, André

    2015-06-01

    The energy distribution functions of ions in the cathodic arc plasma using composite AlCr cathodes were measured as a function of the background gas pressure in the range 0.5 to 3.5 Pa for different cathode compositions and gas atmospheres. The most abundant aluminium ions were Al+ regardless of the background gas species, whereas Cr 2+ ions were dominating in Ar and N 2 and Cr + in O 2 atmospheres. The energy distributions of the aluminium and chromium ions typically consisted of a high-energy fraction due to acceleration in the expanding plasma plume from the cathode spot and thermalised ionsmore » that were subjected to collisions in the plasma cloud. The fraction of the latter increased with increasing background gas pressure. Atomic nitrogen and oxygen ions showed similar energy distributions as the aluminium and chromium ions, whereas the argon and molecular nitrogen and oxygen ions were formed at greater distance from the cathode spot and thus less subject to accelerating gradients. In addition to the positively charged metal and gas ions, negatively charged oxygen and oxygen-containing ions were observed in O 2 atmosphere. The obtained results are intended to provide a comprehensive overview of the ion energies and charge states in the arc plasma of AlCr composite cathodes in different gas atmospheres as such plasmas are frequently used to deposit thin films and coatings.« less

  1. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    NASA Astrophysics Data System (ADS)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  2. Cold atmospheric-pressure plasma induces DNA-protein crosslinks through protein oxidation.

    PubMed

    Guo, Li; Zhao, Yiming; Liu, Dingxin; Liu, Zhichao; Chen, Chen; Xu, Ruobing; Tian, Miao; Wang, Xiaohua; Chen, Hailan; Kong, Michael G

    2018-05-03

    Reactive oxygen and nitrogen species (ROS and RNS) generated by cold atmospheric-pressure plasma could damage genomic DNA, although the precise type of these DNA damage induced by plasma are poorly characterized. Understanding plasma-induced DNA damage will help to elucidate the biological effect of plasma and guide the application of plasma in ROS-based therapy. In this study, it was shown that ROS and RNS generated by physical plasma could efficiently induce DNA-protein crosslinks (DPCs) in bacteria, yeast, and human cells. An in vitro assay showed that plasma treatment resulted in the formation of covalent DPCs by activating proteins to crosslink with DNA. Mass spectrometry and hydroperoxide analysis detected oxidation products induced by plasma. DPC formation were alleviated by singlet oxygen scavenger, demonstrating the importance of singlet oxygen in this process. These results suggested the roles of DPC formation in DNA damage induced by plasma, which could improve the understanding of the biological effect of plasma and help to develop a new strategy in plasma-based therapy including infection and cancer therapy.

  3. A bifractal nature of reticular patterns induced by oxygen plasma on polymer films

    NASA Astrophysics Data System (ADS)

    Bae, Junwan; Lee, I. J.

    2015-05-01

    Plasma etching was demonstrated to be a promising tool for generating self-organized nano-patterns on various commercial films. Unfortunately, dynamic scaling approach toward fundamental understanding of the formation and growth of the plasma-induced nano-structure has not always been straightforward. The temporal evolution of self-aligned nano-patterns may often evolve with an additional scale-invariance, which leads to breakdown of the well-established dynamic scaling law. The concept of a bifractal interface is successfully applied to reticular patterns induced by oxygen plasma on the surface of polymer films. The reticular pattern, composed of nano-size self-aligned protuberances and underlying structure, develops two types of anomalous dynamic scaling characterized by super-roughening and intrinsic anomalous scaling, respectively. The diffusion and aggregation of short-cleaved chains under the plasma environment are responsible for the regular distribution of the nano-size protuberances. Remarkably, it is uncovered that the dynamic roughening of the underlying structure is governed by a relaxation mechanism described by the Edwards-Wilkinson universality class with a conservative noise. The evidence for the basic phase, characterized by the negative roughness and growth exponents, has been elusive since its first theoretical consideration more than two decades ago.

  4. Effect of actuating voltage and discharge gap on plasma assisted detonation initiation process

    NASA Astrophysics Data System (ADS)

    Siyin, ZHOU; Xueke, CHE; Wansheng, NIE; Di, WANG

    2018-06-01

    The influence of actuating voltage and discharge gap on plasma assisted detonation initiation by alternating current dielectric barrier discharge was studied in detail. A loose coupling method was used to simulate the detonation initiation process of a hydrogen–oxygen mixture in a detonation tube under different actuating voltage amplitudes and discharge gap sizes. Both the discharge products and the detonation forming process assisted by the plasma were analyzed. It was found that the patterns of the temporal and spatial distributions of discharge products in one cycle keep unchanged as changing the two discharge operating parameters. However, the adoption of a higher actuating voltage leads to a higher active species concentration within the discharge zone, and atom H is the most sensitive to the variations of the actuating voltage amplitude among the given species. Adopting a larger discharge gap results in a lower concentration of the active species, and all species have the same sensitivity to the variations of the gap. With respect to the reaction flow of the detonation tube, the corresponding deflagration to detonation transition (DDT) time and distance become slightly longer when a higher actuating voltage is chosen. The acceleration effect of plasma is more prominent with a smaller discharge gap, and the benefit builds gradually throughout the DDT process. Generally, these two control parameters have little effect on the amplitude of the flow field parameters, and they do not alter the combustion degree within the reaction zone.

  5. Monitoring non-thermal plasma processes for nanoparticle synthesis

    NASA Astrophysics Data System (ADS)

    Mangolini, Lorenzo

    2017-09-01

    Process characterization tools have played a crucial role in the investigation of dusty plasmas. The presence of dust in certain non-thermal plasma processes was first detected by laser light scattering measurements. Techniques like laser induced particle explosive evaporation and ion mass spectrometry have provided the experimental evidence necessary for the development of the theory of particle nucleation in silane-containing non-thermal plasmas. This review provides first a summary of these early efforts, and then discusses recent investigations using in situ characterization techniques to understand the interaction between nanoparticles and plasmas. The advancement of such monitoring techniques is necessary to fully develop the potential of non-thermal plasmas as unique materials synthesis and processing platforms. At the same time, the strong coupling between materials and plasma properties suggest that it is also necessary to advance techniques for the measurement of plasma properties while in presence of dust. Recent progress in this area will be discussed.

  6. Plasma-assisted microwave processing of materials

    NASA Technical Reports Server (NTRS)

    Barmatz, Martin (Inventor); Jackson, Henry (Inventor); Ylin, Tzu-yuan (Inventor)

    1998-01-01

    A microwave plasma assisted method and system for heating and joining materials. The invention uses a microwave induced plasma to controllably preheat workpiece materials that are poorly microwave absorbing. The plasma preheats the workpiece to a temperature that improves the materials' ability to absorb microwave energy. The plasma is extinguished and microwave energy is able to volumetrically heat the workpiece. Localized heating of good microwave absorbing materials is done by shielding certain parts of the workpiece and igniting the plasma in the areas not shielded. Microwave induced plasma is also used to induce self-propagating high temperature synthesis (SHS) process for the joining of materials. Preferably, a microwave induced plasma preheats the material and then microwave energy ignites the center of the material, thereby causing a high temperature spherical wave front from the center outward.

  7. Plasma gasification of municipal solid waste

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carter, G.W.; Tsangaris, A.V.

    1995-12-31

    Resorption Canada Limited (RCL) has conducted extensive operational testing with plasma technology in their plasma facility near Ottawa, Ontario, Canada to develop an environmentally friendly waste disposal process. Plasma technology, when utilized in a reactor vessel with the exclusion of oxygen, provides for the complete gasification of all combustibles in source materials with non-combustibles being converted to a non-hazardous slag. The energy and environmental characteristics of the plasma gasification of carbonaceous waste materials were studied over a period of eight years during which RCL completed extensive experimentation with MSW. A plasma processing system capable of processing 200--400 lbs/hr of MSWmore » was designed and built. The experimentation on MSW concentrated on establishing the optimum operating parameters and determining the energy and environmental characteristics at these operating parameters.« less

  8. Nanosecond-Pulsed DBD Plasma-Generated Reactive Oxygen Species Trigger Immunogenic Cell Death in A549 Lung Carcinoma Cells through Intracellular Oxidative Stress

    PubMed Central

    Lin, Abraham; Truong, Billy; Patel, Sohil; Kaushik, Nagendra; Choi, Eun Ha; Fridman, Gregory; Fridman, Alexander; Miller, Vandana

    2017-01-01

    A novel application for non-thermal plasma is the induction of immunogenic cancer cell death for cancer immunotherapy. Cells undergoing immunogenic death emit danger signals which facilitate anti-tumor immune responses. Although pathways leading to immunogenic cell death are not fully understood; oxidative stress is considered to be part of the underlying mechanism. Here; we studied the interaction between dielectric barrier discharge plasma and cancer cells for oxidative stress-mediated immunogenic cell death. We assessed changes to the intracellular oxidative environment after plasma treatment and correlated it to emission of two danger signals: surface-exposed calreticulin and secreted adenosine triphosphate. Plasma-generated reactive oxygen and charged species were recognized as the major effectors of immunogenic cell death. Chemical attenuators of intracellular reactive oxygen species successfully abrogated oxidative stress following plasma treatment and modulated the emission of surface-exposed calreticulin. Secreted danger signals from cells undergoing immunogenic death enhanced the anti-tumor activity of macrophages. This study demonstrated that plasma triggers immunogenic cell death through oxidative stress pathways and highlights its potential development for cancer immunotherapy. PMID:28467380

  9. Atomic Oxygen Textured Polymers

    NASA Technical Reports Server (NTRS)

    Banks, Bruce A.; Rutledge, Sharon K.; Hunt, Jason D.; Drobotij, Erin; Cales, Michael R.; Cantrell, Gidget

    1995-01-01

    Atomic oxygen can be used to microscopically alter the surface morphology of polymeric materials in space or in ground laboratory facilities. For polymeric materials whose sole oxidation products are volatile species, directed atomic oxygen reactions produce surfaces of microscopic cones. However, isotropic atomic oxygen exposure results in polymer surfaces covered with lower aspect ratio sharp-edged craters. Isotropic atomic oxygen plasma exposure of polymers typically causes a significant decrease in water contact angle as well as altered coefficient of static friction. Such surface alterations may be of benefit for industrial and biomedical applications. The results of atomic oxygen plasma exposure of thirty-three (33) different polymers are presented, including typical morphology changes, effects on water contact angle, and coefficient of static friction.

  10. In vitro study of 3D PLGA/n-HAp/β-TCP composite scaffolds with etched oxygen plasma surface modification in bone tissue engineering

    NASA Astrophysics Data System (ADS)

    Roh, Hee-Sang; Jung, Sang-Chul; Kook, Min-Suk; Kim, Byung-Hoon

    2016-12-01

    Three-dimensional (3D) scaffolds have many advantageous properties for bone tissue engineering application, due to its controllable properties such as pore size, structural shape and interconnectivity. In this study, effects on oxygen plasma surface modification and adding of nano-hydroxyapatite (n-HAp) and β-tricalcium phosphate (β-TCP) on the 3D PLGA/n-HAp/β-TCP scaffolds for improving preosteoblast cell (MC3T3-E1) adhesion, proliferation and differentiation were investigated. The 3D PLGA/n-HAp/β-TCP scaffolds were fabricated by 3D Bio-Extruder equipment. The 3D scaffolds were prepared with 0°/90° architecture and pore size of approximately 300 μm. In addition 3D scaffolds surface were etched by oxygen plasma to enhance the hydrophilic property and surface roughness. After oxygen plasma treatment, the surface chemistry and morphology were investigated by Fourier transform infrared spectroscopy, scanning electron microscopy, and atomic force microscopy. And also hydrophilic property was measured by contact angle. The MC3T3-E1 cell proliferation and differentiation were investigated by MTT assay and ALP activity. In present work, the 3D PLGA/HAp/beta-TCP composite scaffold with suitable structure for the growth of osteoblast cells was successfully fabricated by 3D rapid prototyping technique. The surface hydrophilicity and roughness of 3D scaffold increased by oxygen plasma treatment had a positive effect on cell adhesion, proliferation, and differentiation. Furthermore, the differentiation of MC3T3-E1 cell was significantly enhanced by adding of n-HAp and β-TCP on 3D PLGA scaffold. As a result, combination of bioceramics and oxygen plasma treatment showed a synergistic effect on biocompatibility of 3D scaffolds. This result confirms that this technique was useful tool for improving the biocompatibility in bone tissue engineering application.

  11. Cold plasma processing to improve food safety

    USDA-ARS?s Scientific Manuscript database

    Cold plasma is an antimicrobial process being developed for application as a food processing technology. This novel intervention is the subject of an expanding research effort by groups around the world. A variety of devices can be used to generate cold plasma and apply it to the food commodity bein...

  12. Investigation of air gasification of micronized coal, mechanically activated using the plasma control of the process

    NASA Astrophysics Data System (ADS)

    Butakov, Evgenii; Burdukov, Anatoly; Chernetskiy, Mikhail; Kuznetsov, Victor

    2017-10-01

    Combination of the processes of coal combustion and gasification into a single technology of mechano-chemical and plasma-chemical activation is of a considerable scientific and technological interest. Enhancement of coal reactivity at their grinding with mechanical activation is associated with an increase in the reaction rate of carbon material, and at plasma-chemical effect, the main is an increase in reactivity of the oxidizing agent caused by the high plasma temperatures of atomic oxygen. The process of gasification was studied on the 1-MW setup with tangential scroll supply of pulverized coal-air mixture and cylindrical reaction chamber. Coal ground by the standard boiler mill is fed to the disintegrator, then, it is sent to the scroll inlet of the burner-reactor with the transport air. Pulverized coal is ignited by the plasmatron of 10-kW power. In experiments on air gasification of micronized coal, carried out at the temperature in the reaction chamber of 1000-1200°C and air excess α = 0.3-1, the data on CO concentration of 11% and H2 concentration of up to 6% were obtained. Air and air-steam gasification of mechanically-activated micronized coals with plasma control was calculated using SigmaFlow software package.

  13. The role of helium metastable states in radio-frequency driven helium-oxygen atmospheric pressure plasma jets: measurement and numerical simulation

    NASA Astrophysics Data System (ADS)

    Niemi, K.; Waskoenig, J.; Sadeghi, N.; Gans, T.; O'Connell, D.

    2011-10-01

    Absolute densities of metastable He(23S1) atoms were measured line-of-sight integrated along the discharge channel of a capacitively coupled radio-frequency driven atmospheric pressure plasma jet operated in technologically relevant helium-oxygen mixtures by tunable diode-laser absorption spectroscopy. The dependences of the He(23S1) density in the homogeneous-glow-like α-mode plasma with oxygen admixtures up to 1% were investigated. The results are compared with a one-dimensional numerical simulation, which includes a semi-kinetical treatment of the pronounced electron dynamics and the complex plasma chemistry (in total 20 species and 184 reactions). Very good agreement between measurement and simulation is found. The main formation mechanisms for metastable helium atoms are identified and analyzed, including their pronounced spatio-temporal dynamics. Penning ionization through helium metastables is found to be significant for plasma sustainment, while it is revealed that helium metastables are not an important energy carrying species into the jet effluent and therefore will not play a direct role in remote surface treatments.

  14. Oxidation of Inconel 625 superalloy upon treatment with oxygen or hydrogen plasma at high temperature

    NASA Astrophysics Data System (ADS)

    Vesel, Alenka; Drenik, Aleksander; Elersic, Kristina; Mozetic, Miran; Kovac, Janez; Gyergyek, Tomaz; Stockel, Jan; Varju, Jozef; Panek, Radomir; Balat-Pichelin, Marianne

    2014-06-01

    Initial stages of Inconel 625 superalloy (Ni60Cr30Mo10Ni4Nb1) oxidation upon short treatment with gaseous plasma at different temperatures up to about 1600 K were studied. Samples were treated for different periods up to a minute by oxygen or hydrogen plasma created with a microwave discharge in the standing-wave mode at a pressure of 40 Pa and a power 500 W. Simultaneous heating of the samples was realized by focusing concentrated solar radiation from a 5 kW solar furnace directly onto the samples. The morphological changes upon treatment were monitored using scanning electron microscopy, compositional depth profiling was performed using Auger electron spectroscopy, while structural changes were determined by X-ray diffraction. The treatment in oxygen plasma caused formation of metal oxide clusters of three dimensional crystallites initially rich in nickel oxide with the increasing chromium oxide content as the temperature was increasing. At about 1100 K iron and niobium oxides prevailed on the surface causing a drop of the material emissivity at 5 μm. Simultaneously the NiCr2O4 compound started growing at the interface between the oxide film and bulk alloy and the compound persisted up to temperatures close to the Inconel melting point. Intensive migration of minority alloying elements such as Fe and Ti was observed at 1600 K forming mixed surface oxides of sub-micrometer dimensions. The treatment in hydrogen plasma with small admixture of water vapor did not cause much modification unless the temperature was close to the melting point. At such conditions aluminum segregated on the surface and formed well-defined Al2O3 crystals.

  15. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    PubMed

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  16. Atomic oxygen recombination on the ODS PM 1000 at high temperature under air plasma

    NASA Astrophysics Data System (ADS)

    Balat-Pichelin, M.; Bêche, E.

    2010-06-01

    High temperature materials are necessary for the design of primary heat shields for future reusable space vehicles re-entering atmospheric planet at hypersonic velocity. During the re-entry phase on earth, one of the most important phenomena occurring on the heat shield is the recombination of atomic oxygen and this phenomenon is more or less catalyzed by the material of the heat shield. PM 1000 is planned to be use on the EXPERT capsule to study in real conditions its catalycity. Before the flight, it is necessary to perform measurements on ground test facility. Experimental data of the recombination coefficient of atomic oxygen under air plasma flow were obtained in the diffusion reactor MESOX on pre-oxidized PM 1000, for two total pressures 300 and 1000 Pa in the temperature range (850-1650 K) using actinometry and optical emission spectroscopy. In this investigation, the evolution of the recombination coefficient is dependent of temperature, pressure level and also of the chemical composition of the surface leading to one order of magnitude for a given temperature. The recombination coefficient is increasing with temperature and also dependent on the static pressure. The surface change due to the plasma exposure is inspected with SEM, XRD and XPS. As chromium oxide is the main part of the oxide layer formed during the oxidation in air plasma conditions, a sintered Cr 2O 3 sample was elaborated from powders to compare the data of the recombination coefficient obtained on PM 1000. Pre- and post-test analyses on the several materials were carried out using SEM, WDS, XRD and XPS.

  17. The effect of processing conditions on the GaAs/plasma-grown insulator interface

    NASA Technical Reports Server (NTRS)

    Hshieh, F. I.; Borrego, J. M.; Ghandhi, S. K.

    1986-01-01

    The effect of processing conditions on the interface state density was evaluated from C-V measurements on metal-oxide-semiconductor capacitors. The optimum processing conditions for the minimum surface state density was found to be related to the postoxidation annealing temperature and time, and was independent of chemical treatments prior to oxidation. Annealing at the optimum condition (i.e., at 350 C for 1 h in either nitrogen or hydrogen gas, with or without an aluminum pattern on the oxide) reduces the fast surface state density by about one order of magnitude. By using a nitrogen/oxygen plasma, the static dielectric constant of the oxide decreased as the N/O ratio was increased, and nitrogen was incorporated into the oxide. In addition, the fast surface state density was reduced as a result of this nitridation process.

  18. Measurement of ozone production scaling in a helium plasma jet with oxygen admixture

    NASA Astrophysics Data System (ADS)

    Sands, Brian; Ganguly, Biswa

    2012-10-01

    Capillary dielectric barrier plasma jet devices that generate confined streamer-like discharges along a rare gas flow can produce significant quantities of reactive oxygen species with average input powers ranging from 100 mW to >1 W. We have measured spatially-resolved ozone production in a He plasma jet with O2 admixture concentrations up to 5% using absorption spectroscopy of the O3 Hartley band system. A 20-ns risetime, 10-13 kV positive unipolar voltage pulse train was used to power the discharge, with pulse repetition rates varied from 1-20 kHz. The discharge was operated in a transient glow mode to scale the input power by adjusting the gap width between the anode and downstream cathodic plane. Peak ozone number densities in the range of 10^16 - 10^17 cm-3 were measured. At a given voltage, the density of ozone increased monotonically up to 3% O2 admixture (6 mm gap) as the peak discharge current decreased by an order of magnitude. Ozone production increased with distance from the capillary, consistent with observations by other groups. Atomic oxygen production inferred from O-atom 777 nm emission intensity did not scale with ozone as the input power was increased. The spatial distribution of ozone and scaling with input power will be presented.

  19. Image-guided plasma therapy of cutaneous wound

    NASA Astrophysics Data System (ADS)

    Zhang, Zhiwu; Ren, Wenqi; Yu, Zelin; Zhang, Shiwu; Yue, Ting; Xu, Ronald

    2014-02-01

    The wound healing process involves the reparative phases of inflammation, proliferation, and remodeling. Interrupting any of these phases may result in chronically unhealed wounds, amputation, or even patient death. Despite the clinical significance in chronic wound management, no effective methods have been developed for quantitative image-guided treatment. We integrated a multimodal imaging system with a cold atmospheric plasma probe for image-guided treatment of chronic wound. Multimodal imaging system offers a non-invasive, painless, simultaneous and quantitative assessment of cutaneous wound healing. Cold atmospheric plasma accelerates the wound healing process through many mechanisms including decontamination, coagulation and stimulation of the wound healing. The therapeutic effect of cold atmospheric plasma is studied in vivo under the guidance of a multimodal imaging system. Cutaneous wounds are created on the dorsal skin of the nude mice. During the healing process, the sample wound is treated by cold atmospheric plasma at different controlled dosage, while the control wound is healed naturally. The multimodal imaging system integrating a multispectral imaging module and a laser speckle imaging module is used to collect the information of cutaneous tissue oxygenation (i.e. oxygen saturation, StO2) and blood perfusion simultaneously to assess and guide the plasma therapy. Our preliminary tests show that cold atmospheric plasma in combination with multimodal imaging guidance has the potential to facilitate the healing of chronic wounds.

  20. Plasma Free Hemoglobin Generation Using the EOS PMP™ Oxygenator and the CentriMag® Blood Pump.

    PubMed

    Hodge, Ashley B; Deitemyer, Matthew A; Duffy, Victoria L; Tumin, Dmitry; Garbin, Dorothy A; Nicol, Kathleen K; Hayes, Don; Cismowski, Mary J; Yates, Andrew R

    2018-06-01

    Hemolysis is a known consequence of extracorporeal membrane oxygenation (ECMO) resulting from shear force within the different components of the extracorporeal circuit. The primary aim of this study was to evaluate the EOS PMP ™ oxygenator for generation of plasma free hemoglobin (PfHg) over 24 hours at nominal operating range flow rates. The EOS ECMO ™ (LivaNova, Inc.; formerly Sorin, Arvada, CO) is equipped with a plasma tight polymethylpentene (PMP) hollow fiber oxygenator. We hypothesized that PfHg generation would be elevated in circuits with higher flow rates, because of the significant pressure drop across the oxygenator according to manufacturer provided flow charts. Generated PfHg concentrations were compared with PfHg concentrations from blood not exposed to an ECMO circuit. The secondary aim was to evaluate circuit flow-rate-induced changes in platelet count and platelet function over 24 hours. Circuits contained a CentriMag ® (St. Jude Medical, St. Paul, MN) blood pump and an EOS ECMO PMP ™ oxygenator. Circuits in triplicate were run continuously for 24 hours at three flow rates [1, 3, and 5 liters per minute {LPM}]. PfHg was analyzed at baseline, 6, 12, 18, and 24 hours. Platelet count and function were measured at baseline and 24 hours. Concentrations of PfHg at baseline for circuits operating at 1, 3, and 5 LPM were 24.4 ± 4.0, 38.4 ± 28.6, and 26.7 ± 6.9 mg/dL, respectively. PfHg concentrations after 24 hours were statistically compared for the three flow rates using analysis of variance; PfHg concentrations at 1 LPM (181.4 ± 29.1 mg/dL), 3 LPM (145.9 ± 8.7 mg/dL), and 5 LPM (100.1 ± 111.3 mg/dL) circuits. The F -test was not statistically significant ( p = .632), indicating that PfHg generation at 24 hours was similar among the three flow rates. Excessive hemolysis using PfHg levels in the EOS PMP ™ membrane oxygenator was not observed.

  1. Surface cleaning for enhanced adhesion to packaging surfaces: Effect of oxygen and ammonia plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gaddam, Sneha; Dong, Bin; Driver, Marcus

    2015-03-15

    The effects of direct plasma chemistries on carbon removal from silicon nitride (SiN{sub x}) and oxynitride (SiO{sub x}N{sub y}) surfaces have been studied by in-situ x-ray photoelectron spectroscopy (XPS) and ex-situ contact angle measurements. The data indicate that O{sub 2} and NH{sub 3} capacitively coupled plasmas are effective at removing adventitious carbon from silicon nitride (SiN{sub x}) and Si oxynitride (SiO{sub x}N{sub y}) surfaces. O{sub 2} plasma treatment results in the formation of a silica overlayer. In contrast, the exposure to NH{sub 3} plasma results in negligible additional oxidation of the SiN{sub x} or SiO{sub x}N{sub y} surface. Ex-situ contactmore » angle measurements show that SiN{sub x} and SiO{sub x}N{sub y} surfaces exposed to oxygen plasma are initially more hydrophilic than surfaces exposed to NH{sub 3} plasma, indicating that the O{sub 2} plasma-induced SiO{sub 2} overlayer is highly reactive toward ambient. At longer ambient exposures (≳10 h), however, surfaces treated by either O{sub 2} or NH{sub 3} plasma exhibit similar steady state contact angles, correlated with rapid uptake of adventitious carbon, as determined by XPS. Surface passivation by exposure to molecular hydrogen prior to ambient exposure significantly retards the increase in contact angle upon exposure to ambient. The results suggest a practical route to enhancing the time available for effective bonding to surfaces in microelectronics packaging applications.« less

  2. Microwave induced plasma for solid fuels and waste processing: A review on affecting factors and performance criteria.

    PubMed

    Ho, Guan Sem; Faizal, Hasan Mohd; Ani, Farid Nasir

    2017-11-01

    High temperature thermal plasma has a major drawback which consumes high energy. Therefore, non-thermal plasma which uses comparatively lower energy, for instance, microwave plasma is more attractive to be applied in gasification process. Microwave-induced plasma gasification also carries the advantages in terms of simplicity, compactness, lightweight, uniform heating and the ability to operate under atmospheric pressure that gains attention from researchers. The present paper synthesizes the current knowledge available for microwave plasma gasification on solid fuels and waste, specifically on affecting parameters and their performance. The review starts with a brief outline on microwave plasma setup in general, and followed by the effect of various operating parameters on resulting output. Operating parameters including fuel characteristics, fuel injection position, microwave power, addition of steam, oxygen/fuel ratio and plasma working gas flow rate are discussed along with several performance criteria such as resulting syngas composition, efficiency, carbon conversion, and hydrogen production rate. Based on the present review, fuel retention time is found to be the key parameter that influences the gasification performance. Therefore, emphasis on retention time is necessary in order to improve the performance of microwave plasma gasification of solid fuels and wastes. Copyright © 2017 Elsevier Ltd. All rights reserved.

  3. Submillimeter Spectroscopic Diagnostics in Semiconductor Processing Plasmas

    NASA Astrophysics Data System (ADS)

    Helal, Yaser H.; Neese, Christopher F.; De Lucia, Frank C.; Ewing, Paul R.; Stout, Phillip J.; Walker, Quentin; Armacost, Michael D.

    2014-06-01

    Submillimeter absorption spectroscopy was used to study semiconductor processing plasmas. Abundances and temperatures of molecules, radicals, and ions can be determined without altering any of the properties of the plasma. The behavior of these measurements provides useful applications in monitoring process steps. A summary of such applications will be presented, including etching and cleaning endpoint detection.

  4. Two-and-one-half-dimensional magnetohydrodynamic simulations of the plasma sheet in the presence of oxygen ions: The plasma sheet oscillation and compressional Pc 5 waves

    NASA Astrophysics Data System (ADS)

    Lu, Li; Liu, Zhen-Xing; Cao, Jin-Bin

    2002-02-01

    Two-and-one-half-dimensional magnetohydrodynamic simulations of the multicomponent plasma sheet with the velocity curl term in the magnetic equation are represented. The simulation results can be summarized as follows: (1) There is an oscillation of the plasma sheet with the period on the order of 400 s (Pc 5 range); (2) the magnetic equator is a node of the magnetic field disturbance; (3) the magnetic energy integral varies antiphase with the internal energy integral; (4) disturbed waves have a propagating speed on the order of 10 km/s earthward; (5) the abundance of oxygen ions influences amplitude, period, and dissipation of the plasma sheet oscillation. It is suggested that the compressional Pc 5 waves, which are observed in the plasma sheet close to the magnetic equator, may be caused by the plasma sheet oscillation, or may be generated from the resonance of the plasma sheet oscillation with some Pc 5 perturbation waves coming from the outer magnetosphere.

  5. Patterning of Thick Parylene Films by Oxygen Plasma for Application as Exploding Foil Initiator Flyer Material

    DTIC Science & Technology

    2009-09-01

    exploding foil initiator ( EFI ) type fuzes are being explored to...Acronyms Au gold Cr chromium Cu copper EFI exploding foil initiator BOE buffered oxide etch MEMS microelectromechanical systems RIE reactive ion...Patterning of Thick Parylene Films by Oxygen Plasma for Application as Exploding Foil Initiator Flyer Material by Eugene Zakar and Michael

  6. Plasma Discharge Process in a Pulsed Diaphragm Discharge System

    NASA Astrophysics Data System (ADS)

    Duan, Jianjin; Hu, Jue; Zhang, Chao; Wen, Yuanbin; Meng, Yuedong; Zhang, Chengxu

    2014-12-01

    As one of the most important steps in wastewater treatment, limited study on plasma discharge process is a key challenge in the development of plasma applications. In this study, we focus on the plasma discharge process of a pulsed diaphragm discharge system. According to the analysis, the pulsed diaphragm discharge proceeds in seven stages: (1) Joule heating and heat exchange stage; (2) nucleated site formation; (3) plasma generation (initiation of the breakdown stage); (4) avalanche growth and plasma expansion; (5) plasma contraction; (6) termination of the plasma discharge; and (7) heat exchange stage. From this analysis, a critical voltage criterion for breakdown is obtained. We anticipate this finding will provide guidance for a better application of plasma discharges, especially diaphragm plasma discharges.

  7. Non-thermal Plasma Induces Apoptosis in Melanoma Cells via Production of Intracellular Reactive Oxygen Species

    PubMed Central

    Sensenig, Rachel; Kalghatgi, Sameer; Cerchar, Ekaterina; Fridman, Gregory; Shereshevsky, Alexey; Torabi, Behzad; Arjunan, Krishna Priya; Podolsky, Erica; Fridman, Alexander; Friedman, Gary; Azizkhan-Clifford, Jane; Brooks, Ari D.

    2012-01-01

    Non-thermal atmospheric pressure dielectric barrier discharge (DBD) plasma may provide a novel approach to treat malignancies via induction of apoptosis. The purpose of this study was to evaluate the potential of DBD plasma to induce apoptosis in melanoma cells. Melanoma cells were exposed to plasma at doses that did not induce necrosis, and cell viability and apoptotic activity were evaluated by Trypan blue exclusion test, Annexin-V/PI staining, caspase-3 cleavage, and TUNEL® analysis. Trypan blue staining revealed that non-thermal plasma treatment significantly decreased the viability of cells in a dose-dependent manner 3 and 24 h after plasma treatment. Annexin-V/PI staining revealed a significant increase in apoptosis in plasma-treated cells at 24, 48, and 72 h post-treatment (p<0.001). Caspase-3 cleavage was observed 48 h post-plasma treatment at a dose of 15 J/cm2. TUNEL® analysis of plasma-treated cells demonstrated an increase in apoptosis at 48 and 72 h post-treatment (p<0.001) at a dose of 15 J/cm2. Pre-treatment with N-acetyl-L-cysteine (NAC), an intracellular reactive oxygen species (ROS) scavenger, significantly decreased apoptosis in plasma-treated cells at 5 and 15 J/cm2. Plasma treatment induces apoptosis in melanoma cells through a pathway that appears to be dependent on production of intracellular ROS. DBD plasma production of intracellular ROS leads to dose-dependent DNA damage in melanoma cells, detected by γ-H2AX, which was completely abrogated by pre-treating cells with ROS scavenger, NAC. Plasma-induced DNA damage in turn may lead to the observed plasma-induced apoptosis. Since plasma is non-thermal, it may be used to selectively treat malignancies. PMID:21046465

  8. Plasma sprayed manganese-cobalt spinel coatings: Process sensitivity on phase, electrical and protective performance

    NASA Astrophysics Data System (ADS)

    Han, Su Jung; Pala, Zdenek; Sampath, Sanjay

    2016-02-01

    Manganese cobalt spinel (Mn1.5Co1.5O4, MCO) coatings are prepared by the air plasma spray (APS) process to examine their efficacy in serving as protective coatings from Cr-poisoning of the cathode side in intermediate temperature-solid oxide fuel cells (IT-SOFCs). These complex oxides are susceptible to process induced stoichiometric and phase changes which affect their functional performance. To critically examine these effects, MCO coatings are produced with deliberate modifications to the spray process parameters to explore relationship among process conditions, microstructure and functional properties. The resultant interplay among particle thermal and kinetic energies are captured through process maps, which serve to characterize the parametric effects on properties. The results show significant changes to the chemistry and phase composition of the deposited material resulting from preferential evaporation of oxygen. Post deposition annealing recovers oxygen in the coatings and allows partial recovery of the spinel phase, which is confirmed through thermo-gravimetric analysis (TGA)/differential scanning calorimetry (DSC), X-ray Diffraction (XRD), and magnetic hysteresis measurements. In addition, coatings with high density after sintering show excellent electrical conductivity of 40 S cm-1 at 800 °C while simultaneously providing requisite protection characteristics against Cr-poisoning. This study provides a framework for optimal evaluation of MCO coatings in intermediate temperature SOFCs.

  9. Oxygen plasma surface modification augments poly(L-lactide-co-glycolide) cytocompatibility toward osteoblasts and minimizes immune activation of macrophages.

    PubMed

    Scislowska-Czarnecka, Anna; Szmigiel, Dariusz; Genet, Michel; Dupont-Gillain, Christine; Pamula, Elzbieta; Kolaczkowska, Elzbieta

    2015-12-01

    Here, we report on modification of one of the model biomedical polymers, poly L-lactide-co-glycolide (PLGA; 85:15), by reactive ion etching (RIE) oxygen plasma treatment. PLGA's major disadvantage is high hydrophobicity which restrains binding of cell-adhesive proteins and host cells. In the current approach, we aimed to answer two questions: (1) will only short (10 s) and moderate (20-200 mTorr, 45-90 W) RIE oxygen plasma treatment, leading to decrease of water contact angle by only up to 10°, sufficiently improve PLGA adherence to cells, and (2) how will this affect osteoblasts and activation of the immune system? All obtained modified PLGAs had improved hydrophilicity but unaltered roughness (as revealed by water contact angle measurements, X-ray photoelectron spectroscopy, and atomic force microscopy) resulting in significantly improved adhesion of osteoblasts (MG-63) and their low activation. Importantly, macrophages (RAW 264.7), one of the key cells initiating inflammation and bone resorption, responded significantly less vigorously to the modified polymers, expressing/releasing lower amounts of nitric oxide, matrix metalloproteinases (MMP-9), and pro-inflammatory cytokines (TNF-α, IL-6, IL-12p70, IFN-γ, IL-10). We conclude that already slight RIE oxygen plasma modification of PLGA is sufficient to improve its surface properties, and enhance cytocompatibility. Most importantly, this type of modification prevents excessive immune response. © 2015 Wiley Periodicals, Inc.

  10. Plasma interactions determine the composition in pulsed laser deposited thin films

    NASA Astrophysics Data System (ADS)

    Chen, Jikun; Döbeli, Max; Stender, Dieter; Conder, Kazimierz; Wokaun, Alexander; Schneider, Christof W.; Lippert, Thomas

    2014-09-01

    Plasma chemistry and scattering strongly affect the congruent, elemental transfer during pulsed laser deposition of target metal species in an oxygen atmosphere. Studying the plasma properties of La0.6Sr0.4MnO3, we demonstrate for as grown La0.6Sr0.4MnO3-δ films that a congruent transfer of metallic species is achieved in two pressure windows: ˜10-3 mbar and ˜2 × 10-1 mbar. In the intermediate pressure range, La0.6Sr0.4MnO3-δ becomes cation deficient and simultaneously almost fully stoichiometric in oxygen. Important for thin film growth is the presence of negative atomic oxygen and under which conditions positive metal-oxygen ions are created in the plasma. This insight into the plasma chemistry shows why the pressure window to obtain films with a desired composition and crystalline structure is narrow and requires a careful adjustment of the process parameters.

  11. Cold atmospheric-pressure air plasma treatment of C6 glioma cells: effects of reactive oxygen species in the medium produced by the plasma on cell death

    NASA Astrophysics Data System (ADS)

    Wang, Yuyang; Cheng, Cheng; Gao, Peng; Li, Shaopeng; Shen, Jie; Lan, Yan; Yu, Yongqiang; Chu, Paul K.

    2017-02-01

    An atmospheric-pressure air plasma is employed to treat C6 glioma cells in vitro. To elucidate on the mechanism causing cell death and role of reactive species (RS) in the medium produced by the plasma, the concentration of the long-lived RS such as hydrogen peroxide, nitrate, and ozone in the plasma-treated liquid (phosphate-buffered saline solution) is measured. When vitamin C is added to the medium as a ROS quencher, the viability of C6 glioma cells after the plasma treatment is different from that without vitamin C. The results demonstrate that reactive oxygen species (ROS) such as H2O2, and O3 constitute the main factors for inactivation of C6 glioma cells and the reactive nitrogen species (RNS) may only play an auxiliary role in cell death.

  12. Carbon fiber manufacturing via plasma technology

    DOEpatents

    Paulauskas, Felix L.; Yarborough, Kenneth D.; Meek, Thomas T.

    2002-01-01

    The disclosed invention introduces a novel method of manufacturing carbon and/or graphite fibers that avoids the high costs associated with conventional carbonization processes. The method of the present invention avoids these costs by utilizing plasma technology in connection with electromagnetic radiation to produce carbon and/or graphite fibers from fully or partially stabilized carbon fiber precursors. In general, the stabilized or partially stabilized carbon fiber precursors are placed under slight tension, in an oxygen-free atmosphere, and carbonized using a plasma and electromagnetic radiation having a power input which is increased as the fibers become more carbonized and progress towards a final carbon or graphite product. In an additional step, the final carbon or graphite product may be surface treated with an oxygen-plasma treatment to enhance adhesion to matrix materials.

  13. Plasma surface cleaning using microwave plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tsai, C.C.; Haselton, H.H.; Nelson, W.D.

    1993-11-01

    In a microwave electron cyclotron resonance (ECR) plasma source, reactive plasmas of oxygen and its mixture with argon are used for plasma-cleaning experiments. Aluminum test samples (0.95 {times} 1.9 cm) were coated with thin films ({le} 20 {mu}m in thickness) of Shell Vitrea oil and cleaned by using such reactive plasmas. The plasma cleaning was done in various discharge conditions with fixed microwave power, rf power, biased potential, gas pressures (0.5 and 5 mtorr), and operating time up to 35 min. The status of plasma cleaning has been monitored by using mass spectroscopy. Mass loss of the samples after plasmamore » cleaning was measured to estimate cleaning rates. Measured clean rates of low pressure (0.5 mtorr) argon/oxygen plasmas were as high as 2.7 {mu}/min. X-ray photoelectron spectroscopy was used to determine cleanliness of the sample surfaces and confirm the effectiveness of plasma cleaning in achieving atomic levels of surface cleanliness. In this paper, significant results are reported and discussed.« less

  14. Chitin and Cellulose Processing in Low-Temperature Electron Beam Plasma.

    PubMed

    Vasilieva, Tatiana; Chuhchin, Dmitry; Lopatin, Sergey; Varlamov, Valery; Sigarev, Andrey; Vasiliev, Michael

    2017-11-06

    Polysaccharide processing by means of low-temperature Electron Beam Plasma (EBP) is a promising alternative to the time-consuming and environmentally hazardous chemical hydrolysis in oligosaccharide production. The present paper considers mechanisms of the EBP-stimulated destruction of crab shell chitin, cellulose sulfate, and microcrystalline cellulose, as well as characterization of the produced oligosaccharides. The polysaccharide powders were treated in oxygen EBP for 1-20 min at 40 °C in a mixing reactor placed in the zone of the EBP generation. The chemical structure and molecular mass of the oligosaccharides were analyzed by size exclusion and the reversed phase chromatography, FTIR-spectroscopy, XRD-, and NMR-techniques. The EBP action on original polysaccharides reduces their crystallinity index and polymerization degree. Water-soluble products with lower molecular weight chitooligosaccharides (weight-average molecular mass, M w = 1000-2000 Da and polydispersity index 2.2) and cellulose oligosaccharides with polymerization degrees 3-10 were obtained. The ¹H-NMR analysis revealed 25-40% deacetylation of the EBP-treated chitin and FTIR-spectroscopy detected an increase of carbonyl- and carboxyl-groups in the oligosaccharides produced. Possible reactions of β-1,4-glycosidic bonds' destruction due to active oxygen species and high-energy electrons are given.

  15. Preparation of zeolite nanorods by corona discharge plasma for degradation of phenazopyridine by heterogeneous sono-Fenton-like process.

    PubMed

    Khataee, Alireza; Rad, Tannaz Sadeghi; Vahid, Behrouz; Khorram, Sirous

    2016-11-01

    The plasma-modified clinoptilolite (PMC) nanorods were prepared from natural clinoptilolite (NC) utilizing environmentally-friendly corona discharge plasma. The PMC and NC were characterized by XRD, FT-IR, SEM, EDX, XPS and BET, which confirmed the nanocatalyst formation. The catalytic performance of the PMC in the heterogeneous sono-Fenton-like process was greater than the NC for treatment of phenazopyridine (PhP). The desired amounts were obtained for experimental parameters including initial pH (5), PMC dosage (2g/L), K2S2O8 concentration (2mmol/L), ultrasonic power (300W) and PhP concentration (10mg/L). Reactive oxygen species scavengers decreased the removal efficiency of the PhP. The treatment process followed pseudo-first order kinetic and seven degradation intermediates were identified by the GC-MS technique. Copyright © 2016 Elsevier B.V. All rights reserved.

  16. Decomposition of poly(amide-imide) film enameled on solid copper wire using atmospheric pressure non-equilibrium plasma.

    PubMed

    Sugiyama, Kazuo; Suzuki, Katsunori; Kuwasima, Shusuke; Aoki, Yosuke; Yajima, Tatsuhiko

    2009-01-01

    The decomposition of a poly(amide-imide) thin film coated on a solid copper wire was attempted using atmospheric pressure non-equilibrium plasma. The plasma was produced by applying microwave power to an electrically conductive material in a gas mixture of argon, oxygen, and hydrogen. The poly(amide-imide) thin film was easily decomposed by argon-oxygen mixed gas plasma and an oxidized copper surface was obtained. The reduction of the oxidized surface with argon-hydrogen mixed gas plasma rapidly yielded a metallic copper surface. A continuous plasma heat-treatment process using a combination of both the argon-oxygen plasma and argon-hydrogen plasma was found to be suitable for the decomposition of the poly(amide-imide) thin film coated on the solid copper wire.

  17. Pulsed Plasma Processing of Diesel Engine Exhaust Final Report CRADA No. TC-0336-92-1-C

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Merritt, Bernard T.; Broering, Louis

    The goal was to develop an exhaust-gas treatment process for the reduction of NO x and hydrocarbon from diesel engines. The project began believing that direct chemical reduction on NO x was possible through the use of non-thermal plasmas. The original CRADA began in 1993 and was scheduled to finish in 1996. It had as its goals three metrics: 1) remove two grams/brake-horse-power-hour of NOx, 2) have no more than five percent energy penalty, and 3) cost no more than ten percent of the engine cost. These goals were all aimed at heavy-duty diesel trucks. This CRADA had its Defensemore » Program funding eliminated by DOE prior to completion in 1995. Prior to loss of funding from DOE, LLNL discovered that due to the large oxygen content in diesel exhaust, direct chemical reduction was not possible. In understanding why, a breakthrough was achieved that combined the use of a non-thermal plasma and a catalyst. This process was named Plasma Assisted Catalytic Reduction (P ACR). Because of this breakthrough, the CRADA became a funds-in only CRADA, once DOE DP funding ended. As a result, the funding decreased from about 1M dollars per year to about $400k per year. Subsequently, progress slowed as well. The CRADA was amended several times to reflect the funds-in nature. At each amendment, the deliverables were modified; the goals remained the same but the focus changed from heavy-duty to lightduty to SUVs. The diesel-engine NO x problem is similar to the furnace and boiler NO x emission problem with the added constraint that ammonia-like additives are impractical for a mobile source. Lean-burning gasoline engines are an additional area of application because the standard three-way catalyst is rendered ineffective by the presence of oxygen. In the P ACR process an electrical discharge is used to create a non-thermal plasma that contains oxidative radicals O and OH. These oxidative radicals convert NO to NO 2. Selective catalytic reduction using a readily available catalyst

  18. A modified sulfate process to lunar oxygen

    NASA Technical Reports Server (NTRS)

    Sullivan, Thomas A.

    1992-01-01

    A modified sulfate process which produces oxygen from iron oxide-bearing minerals in lunar soil is under development. Reaction rates of ilmenite in varying strength sulfuric acid have been determined. Quantitative conversion of ilmenite to ferrous sulfate was observed over a range of temperatures and concentrations. Data has also been developed on the calcination of by-product sulfates. System engineering for overall operability and simplicity has begun, suggesting that a process separating the digestion and sulfate dissolution steps may offer an optimum process.

  19. Cold plasma decontamination of foods

    USDA-ARS?s Scientific Manuscript database

    Cold plasma is a novel nonthermal food processing technology which uses energetic, reactive gases to inactivate contaminating microbes on meats, poultry and fruits and vegetables. This flexible sanitizing method uses electricity and a carrier gas such as air, oxygen, nitrogen or helium; antimicrobi...

  20. Fermentation process using specific oxygen uptake rates as a process control

    DOEpatents

    Van Hoek, Pim; Aristidou, Aristos; Rush, Brian J.

    2016-08-30

    Specific oxygen uptake (OUR) is used as a process control parameter in fermentation processes. OUR is determined during at least the production phase of a fermentation process, and process parameters are adjusted to maintain the OUR within desired ranges. The invention is particularly applicable when the fermentation is conducted using a microorganism having a natural PDC pathway that has been disrupted so that it no longer functions. Microorganisms of this sort often produce poorly under strictly anaerobic conditions. Microaeration controlled by monitoring OUR allows the performance of the microorganism to be optimized.

  1. Fermentation process using specific oxygen uptake rates as a process control

    DOEpatents

    Van Hoek, Pim [Minnetonka, MN; Aristidou, Aristos [Maple Grove, MN; Rush, Brian [Minneapolis, MN

    2011-05-10

    Specific oxygen uptake (OUR) is used as a process control parameter in fermentation processes. OUR is determined during at least the production phase of a fermentation process, and process parameters are adjusted to maintain the OUR within desired ranges. The invention is particularly applicable when the fermentation is conducted using a microorganism having a natural PDC pathway that has been disrupted so that it no longer functions. Microorganisms of this sort often produce poorly under strictly anaerobic conditions. Microaeration controlled by monitoring OUR allows the performance of the microorganism to be optimized.

  2. Fermentation process using specific oxygen uptake rates as a process control

    DOEpatents

    Hoek, Van; Pim, Aristidou [Minnetonka, MN; Aristos, Rush [Maple Grove, MN; Brian, [Minneapolis, MN

    2007-06-19

    Specific oxygen uptake (OUR) is used as a process control parameter in fermentation processes. OUR is determined during at least the production phase of a fermentation process, and process parameters are adjusted to maintain the OUR within desired ranges. The invention is particularly applicable when the fermentation is conducted using a microorganism having a natural PDC pathway that has been disrupted so that it no longer functions. Microorganisms of this sort often produce poorly under strictly anaerobic conditions. Microaeration controlled by monitoring OUR allows the performance of the microorganism to be optimized.

  3. Fermentation process using specific oxygen uptake rates as a process control

    DOEpatents

    Van Hoek, Pim; Aristidou, Aristos; Rush, Brian

    2014-09-09

    Specific oxygen uptake (OUR) is used as a process control parameter in fermentation processes. OUR is determined during at least the production phase of a fermentation process, and process parameters are adjusted to maintain the OUR within desired ranges. The invention is particularly applicable when the fermentation is conducted using a microorganism having a natural PDC pathway that has been disrupted so that it no longer functions. Microorganisms of this sort often produce poorly under strictly anaerobic conditions. Microaeration controlled by monitoring OUR allows the performance of the microorganism to be optimized.

  4. Effect of bottom water oxygenation on oxygen consumption and benthic biogeochemical processes at the Crimean Shelf (Black Sea)

    NASA Astrophysics Data System (ADS)

    Lichtschlag, A.; Janssen, F.; Wenzhöfer, F.; Holtappels, M.; Struck, U.; Jessen, G.; Boetius, A.

    2012-04-01

    Hypoxia occurs where oxygen concentrations fall below a physiological threshold of many animals, usually defined as <63 µmol L-1. Oxygen depletion can be caused by anthropogenic influences, such as global warming and eutrophication, but as well occurs naturally due to restricted water exchange in combination with high nutrient loads (e.g. upwelling). Bottom-water oxygen availability not only influences the composition of faunal communities, but is also one of the main factors controlling sediment-water exchange fluxes and organic carbon degradation in the sediment, usually shifting processes towards anaerobic mineralization pathways mediated by microorganisms. The Black Sea is one of the world's largest meromictic marine basins with an anoxic water column below 180m. The outer shelf edge, where anoxic waters meet the seafloor, is an ideal natural laboratory to study the response of benthic ecosystems to hypoxia, including benthic biogeochemical processes. During the MSM 15/1 expedition with the German research vessel MARIA S. MERIAN, the NW area of the Black Sea (Crimean Shelf) was studied. The study was set up to investigate the influence of bottom water oxygenation on, (1) the respective share of fauna-mediated oxygen uptake, microbial respiration, or re-oxidation of reduced compounds formed in the deeper sediments for the total oxygen flux and (2) on the efficiency of benthic biogeochemical cycles. During our study, oxygen consumption and pathways of organic carbon degradation were estimated from benthic chamber incubations, oxygen microprofiles measured in situ, and pore water and solid phase profiles measured on retrieved cores under oxic, hypoxic, and anoxic water column conditions. Benthic oxygen fluxes measured in Crimean Shelf sediments in this study were comparable to fluxes from previous in situ and laboratory measurements at similar oxygen concentrations (total fluxes -8 to -12 mmol m-2 d-1; diffusive fluxes: -2 to -5 mmol m-2 d-1) with oxygen

  5. Role of oxygen free radicals in patients with acute pancreatitis

    PubMed Central

    Park, Byung Kyu; Chung, Jae Bock; Lee, Jin Heon; Suh, Jeong Hun; Park, Seung Woo; Song, Si Young; Kim, Hyeyoung; Kim, Kyung Hwan; Kang, Jin Kyung

    2003-01-01

    AIM: The generation of oxygen free radicals has been implicated in the pathogenesis of experimental pancreatitis. The aim of this study was to determine the role of oxygen free radicals in patients with acute pancreatitis. METHODS: The plasma levels of C-reactive protein (CRP), lipid peroxide (LPO), myeloperoxidase (MPO) and superoxide dismutase (SOD) were measured in 13 patients with acute pancreatitis and 14 healthy volunteers. RESULTS: Among the patients with acute pancreatitis, there were higher plasma levels of LPO and MPO and lower SOD activity in patients with severe pancreatitis than in those with mild pancreatitis. However, there was no significant difference in the serum marker of oxidative stress no matter what the etiology was. The LPO level was especially correlated with the concentration of serum CRP and CT severity index. CONCLUSION: The oxygen free radicals may be closely associated with inflammatory process and the severity of acute pancreatitis. Especially, the concentration of plasma LPO is a meaningful index for determining the severity of the disease. PMID:14562390

  6. Effective enhancement of hydrophilicity of solution indium zinc oxide-based thin-film transistors by oxygen plasma treatment of deposition layer surface

    NASA Astrophysics Data System (ADS)

    You, Hsin-Chiang; Wang, Yu-Chih

    2016-06-01

    In this paper, we describe the use of a simple and efficient sol-gel solution method for synthesizing indium zinc oxide (IZO) films for use as semiconductor channel layers in thin-film transistors (TFTs) on p-type silicon substrates. The performance of IZO-based TFTs was investigated, and the effect of oxygen plasma treatment on the surface of dielectric SiN x was observed. Oxygen plasma treatment effectively enhanced the electron mobility in IZO-based TFT devices from 0.005 to 1.56 cm2 V-1 s-1, an increase of more than 312 times, and effectively enhanced device performance. X-ray photoelectron spectroscopy analysis of the IZO film was performed to clarify element bonding.

  7. Consequences of plasma oxidation and vacuum annealing on the chemical properties and electron accumulation of In2O3 surfaces

    NASA Astrophysics Data System (ADS)

    Berthold, Theresa; Rombach, Julius; Stauden, Thomas; Polyakov, Vladimir; Cimalla, Volker; Krischok, Stefan; Bierwagen, Oliver; Himmerlich, Marcel

    2016-12-01

    The influence of oxygen plasma treatments on the surface chemistry and electronic properties of unintentionally doped and Mg-doped In2O3(111) films grown by plasma-assisted molecular beam epitaxy or metal-organic chemical vapor deposition is studied by photoelectron spectroscopy. We evaluate the impact of semiconductor processing technology relevant treatments by an inductively coupled oxygen plasma on the electronic surface properties. In order to determine the underlying reaction processes and chemical changes during film surface-oxygen plasma interaction and to identify reasons for the induced electron depletion, in situ characterization was performed implementing a dielectric barrier discharge oxygen plasma as well as vacuum annealing. The strong depletion of the initial surface electron accumulation layer is identified to be caused by adsorption of reactive oxygen species, which induce an electron transfer from the semiconductor to localized adsorbate states. The chemical modification is found to be restricted to the topmost surface and adsorbate layers. The change in band bending mainly depends on the amount of attached oxygen adatoms and the film bulk electron concentration as confirmed by calculations of the influence of surface state density on the electron concentration and band edge profile using coupled Schrödinger-Poisson calculations. During plasma oxidation, hydrocarbon surface impurities are effectively removed and surface defect states, attributed to oxygen vacancies, vanish. The recurring surface electron accumulation after subsequent vacuum annealing can be consequently explained by surface oxygen vacancies.

  8. Understanding the mechanisms of interfacial reactions during TiO{sub 2} layer growth on RuO{sub 2} by atomic layer deposition with O{sub 2} plasma or H{sub 2}O as oxygen source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chaker, A.; Szkutnik, P. D.; Pointet, J.

    2016-08-28

    In this paper, TiO{sub 2} layers grown on RuO{sub 2} by atomic layer deposition (ALD) using tetrakis (dimethyla-mino) titanium (TDMAT) and either oxygen plasma or H{sub 2}O as oxygen source were analyzed using X-ray diffraction (XRD), Raman spectroscopy, and depth-resolved X-ray Photoelectron spectroscopy (XPS). The main objective is to investigate the surface chemical reactions mechanisms and their influence on the TiO{sub 2} film properties. The experimental results using XRD show that ALD deposition using H{sub 2}O leads to anatase TiO{sub 2} whereas a rutile TiO{sub 2} is obtained when oxygen-plasma is used as oxygen source. Depth-resolved XPS analysis allows tomore » determine the reaction mechanisms at the RuO{sub 2} substrate surface after growth of thin TiO{sub 2} layers. Indeed, the XPS analysis shows that when H{sub 2}O assisted ALD process is used, intermediate Ti{sub 2}O{sub 3} layer is obtained and RuO{sub 2} is reduced into Ru as evidenced by high resolution transmission electron microscopy. In this case, there is no possibility to re-oxidize the Ru surface into RuO{sub 2} due to the weak oxidation character of H{sub 2}O and an anatase TiO{sub 2} layer is therefore grown on Ti{sub 2}O{sub 3}. In contrast, when oxygen plasma is used in the ALD process, its strong oxidation character leads to the re-oxidation of the partially reduced RuO{sub 2} following the first Ti deposition step. Consequently, the RuO{sub 2} surface is regenerated, allowing the growth of rutile TiO{sub 2}. A surface chemical reaction scheme is proposed that well accounts for the observed experimental results.« less

  9. Resonant- and avalanche-ionization amplification of laser-induced plasma in air

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Yue; Zhang, Zhili, E-mail: zzhang24@utk.edu; Jiang, Naibo

    2014-10-14

    Amplification of laser-induced plasma in air is demonstrated utilizing resonant laser ionization and avalanche ionization. Molecular oxygen in air is ionized by a low-energy laser pulse employing (2 + 1) resonance-enhanced multi-photon ionization (REMPI) to generate seed electrons. Subsequent avalanche ionization of molecular oxygen and nitrogen significantly amplifies the laser-induced plasma. In this plasma-amplification effect, three-body attachments to molecular oxygen dominate the electron-generation and -loss processes, while either nitrogen or argon acts as the third body with low electron affinity. Contour maps of the electron density within the plasma obtained in O₂/N₂ and O₂/Ar gas mixtures are provided to showmore » relative degrees of plasma amplification with respect to gas pressure and to verify that the seed electrons generated by O₂ 2 + 1 REMPI are selectively amplified by avalanche ionization of molecular nitrogen in a relatively low-pressure condition (≤100 Torr). Such plasma amplification occurring in air could be useful in aerospace applications at high altitude.« less

  10. Plasma Spraying of Ceramics with Particular Difficulties in Processing

    NASA Astrophysics Data System (ADS)

    Mauer, G.; Schlegel, N.; Guignard, A.; Jarligo, M. O.; Rezanka, S.; Hospach, A.; Vaßen, R.

    2015-01-01

    Emerging new applications and growing demands of plasma-sprayed coatings initiate the development of new materials. Regarding ceramics, often complex compositions are employed to achieve advanced material properties, e.g., high thermal stability, low thermal conductivity, high electronic and ionic conductivity as well as specific thermo-mechanical properties and microstructures. Such materials however, often involve particular difficulties in processing by plasma spraying. The inhomogeneous dissociation and evaporation behavior of individual constituents can lead to changes of the chemical composition and the formation of secondary phases in the deposited coatings. Hence, undesired effects on the coating characteristics are encountered. In this work, examples of such challenging materials are investigated, namely pyrochlores applied for thermal barrier coatings as well as perovskites for gas separation membranes. In particular, new plasma spray processes like suspension plasma spraying and plasma spray-physical vapor deposition are considered. In some cases, plasma diagnostics are applied to analyze the processing conditions.

  11. Feasibility Study for a Plasma Dynamo Facility to Investigate Fundamental Processes in Plasma Astrophysics. Final report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Forest, Cary B.

    The scientific equipment purchased on this grant was used on the Plasma Dynamo Prototype Experiment as part of Professor Forest's feasibility study for determining if it would be worthwhile to propose building a larger plasma physics experiment to investigate various fundamental processes in plasma astrophysics. The initial research on the Plasma Dynamo Prototype Experiment was successful so Professor Forest and Professor Ellen Zweibel at UW-Madison submitted an NSF Major Research Instrumentation proposal titled "ARRA MRI: Development of a Plasma Dynamo Facility for Experimental Investigations of Fundamental Processes in Plasma Astrophysics." They received funding for this project and the Plasma Dynamomore » Facility also known as the "Madison Plasma Dynamo Experiment" was constructed. This experiment achieved its first plasma in the fall of 2012 and U.S. Dept. of Energy Grant No. DE-SC0008709 "Experimental Studies of Plasma Dynamos," now supports the research.« less

  12. Examination of the physical processes associated with the keyhole region of variable polarity plasma arc welds in aluminum alloy 2219

    NASA Technical Reports Server (NTRS)

    Walsh, Daniel W.

    1987-01-01

    The morphology and properties of the Variable Polarity Plasma Arc (VPPA) weld composite zone are intimately related to the physical processes associated with the keyhole. This study examined the effects of oxide, halide, and sulfate additions to the weld plate on the keyhole and the weld pool. Changes in both the arc plasma character and the bead morphology were correlated to the chemical environment of the weld. Pool behavior was observed by adding flow markers to actual VPPA welds. A low temperature analog to the welding process was developed. The results of the study indicate that oxygen, even at low partial pressures, can disrupt the stable keyhole and weld pool. The results also indicate that the Marangoni surface tension driven flows dominate the weld pool over the range of welding currents studied.

  13. Investigation of Coatings for Langmuir Probes in an Oxygen-Rich Space Environment

    NASA Astrophysics Data System (ADS)

    Samaniego, J. I.; Wang, X.; Andersson, L.; Malaspina, D.; Ergun, R.; Horanyi, M.

    2017-12-01

    The surface properties of the Langmuir probes, such as the one on the MAVEN mission, will change after exposure to upper planetary atmospheres where high concentrations of atomic oxygen and other oxidizing compounds are present. TiN (Titanium Nitride) or DAG (a resin based graphite dispersion) are the most common coatings for current Langmuir probes, yet both of these coatings pose issues when exposed to oxygen-rich space environment. TiN showed reduced surface conductivity while the DAG layers erode with exposure to oxygen. It is known that Iridium (Ir) and Rhenium (Rh) are difficult to oxidize and maintain high conductivity even in their oxidized forms, suggesting them to be good candidates for probe coatings. Oxidation of most metals creates a resistive layer on the surface of the probe that will affect the amount of current being collected at a given voltage during the probe sweep and therefore affect the accuracy of plasma parameters determined by the Langmuir probe (e.g. density, temperature). We present the results of the oxidation effect on the current-voltage curves (I-V curves) and therefore the resulting measured plasma parameters of Ir and Rh wire probes compared with other control metals and coatings (Cu, Ni, TiN) in controlled plasma environments. The oxidation process is performed in an oxygen plasma chamber in which both O+ and O2+ are created and accelerated toward the probes with energies < 10 eV. An argon plasma chamber is used to compare the probe's I-V curves before and after the oxidation process. Our preliminary results indicate that iridium shows the least effect of oxidation on the probe measurements. The second objective of this study is to identify methods that can be used in orbit to clean the surface of Langmuir probes to minimize the effect of exposure to oxidizing compounds.

  14. Reliability of new poly (lactic-co-glycolic acid) membranes treated with oxygen plasma plus silicon dioxide layers for pre-prosthetic guided bone regeneration processes.

    PubMed

    Castillo-Dalí, G; Castillo-Oyagüe, R; Batista-Cruzado, A; López-Santos, C; Rodríguez-González-Elipe, A; Saffar, J-L; Lynch, C-D; Gutiérrez-Pérez, J-L; Torres-Lagares, D

    2017-03-01

    The use of cold plasmas may improve the surface roughness of poly(lactic-co-glycolic) acid (PLGA) membranes, which may stimulate the adhesion of osteogenic mediators and cells, thus accelerating the biodegradation of the barriers. Moreover, the incorporation of metallic-oxide particles to the surface of these membranes may enhance their osteoinductive capacity. Therefore, the aim of this paper was to evaluate the reliability of a new PLGA membrane after being treated with oxygen plasma (PO2) plus silicon dioxide (SiO2) layers for guided bone regeneration (GBR) processes. Circumferential bone defects (diameter: 11 mm; depth: 3 mm) were created on the top of eight experimentation rabbits' skulls and were randomly covered with: (1) PLGA membranes (control), or (2) PLGA/PO2/SiO2 barriers. The animals were euthanized two months afterwards. A micromorphologic study was then performed using ROI (region of interest) colour analysis. Percentage of new bone formation, length of mineralised bone, concentration of osteoclasts, and intensity of ostheosynthetic activity were assessed and compared with those of the original bone tissue. The Kruskal-Wallis test was applied for between-group com Asignificance level of a=0.05 was considered. The PLGA/PO2/SiO2 membranes achieved the significantly highest new bone formation, length of mineralised bone, concentration of osteoclasts, and ostheosynthetic activity. The percentage of regenerated bone supplied by the new membranes was similar to that of the original bone tissue. Unlike what happened in the control group, PLGA/PO2/SiO2 membranes predominantly showed bone layers in advanced stages of formation. The addition of SiO2 layers to PLGA membranes pre-treated with PO2 improves their bone-regeneration potential. Although further research is necessary to corroborate these conclusions in humans, this could be a promising strategy to rebuild the bone architecture prior to rehabilitate edentulous areas.

  15. Annular vortex merging processes in non-neutral electron plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaga, Chikato, E-mail: d146073@hiroshima-u.ac.jp; Ito, Kiyokazu; Higaki, Hiroyuki

    2015-06-29

    Non-neutral electron plasmas in a uniform magnetic field are investigated experimentally as a two dimensional (2D) fluid. Previously, it was reported that 2D phase space volume increases during a vortex merging process with viscosity. However, the measurement was restricted to a plasma with a high density. Here, an alternative method is introduced to evaluate a similar process for a plasma with a low density.

  16. Inhibition of plasma lipid oxidation induced by peroxyl radicals, peroxynitrite, hypochlorite, 15-lipoxygenase, and singlet oxygen by clinical drugs.

    PubMed

    Morita, Mayuko; Naito, Yuji; Yoshikawa, Toshikazu; Niki, Etsuo

    2016-11-15

    With increasing evidence showing the involvement of oxidative stress in the pathogenesis of various diseases, the effects of clinical drugs possessing antioxidant functions have received much attention. The unregulated oxidative modification of biological molecules leading to diseases is mediated by multiple oxidants including free radicals, peroxynitrite, hypochlorite, lipoxygenase, and singlet oxygen. The capacity of antioxidants to scavenge or quench oxidants depends on the nature of oxidants. In the present study, the antioxidant effects of several clinical drugs against plasma lipid oxidation induced by the aforementioned five kinds of oxidants were investigated from the production of lipid hydroperoxides, which have been implicated in the pathogenesis of various diseases. Troglitazone acted as a potent peroxyl radical scavenger, whereas probucol and edaravone showed only moderate reactivity and carvedilol, pentoxifylline, and ebselen did not act as radical scavenger. Probucol and edaravone suppressed plasma oxidation mediated by peroxynitrite and hypochlorite. Troglitazone and edaravone inhibited 15-lipoxygenase mediated plasma lipid oxidation, the IC 50 being 20 and 34μM respectively. None of the drugs used in this study suppressed plasma lipid oxidation by singlet oxygen. This study shows that the antioxidant effects of drugs depend on the nature of oxidants and that antioxidants against multiple oxidants are required to cope with oxidative stress in vivo. Copyright © 2016 Elsevier Ltd. All rights reserved.

  17. Effect of oxygen plasma and thermal oxidation on shallow nitrogen-vacancy centers in diamond

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, M.; Rugar, D., E-mail: rugar@us.ibm.com; Center for Probing the Nanoscale, Stanford University, Stanford, California 94305

    2014-07-28

    We investigate the effect of two different surface treatments on shallow nitrogen-vacancy (NV) centers in diamond. Short duration oxygen plasma exposure is found to damage near-surface NV centers, resulting in their disappearance in fluorescence images. Subsequent annealing creates large numbers of new NV centers, attributed to plasma-induced vacancy creation. By tracking individual NV centers during thermal oxidation, we show that oxidation at 550 °C results in modest improvement of spin coherence. Higher temperature oxidations correlate with gradual decline in spin coherence and eventual instability of NV centers before ultimate disappearance. This is indicative of a reduction of the NV-to-surface distance duemore » to oxidative etching. Thermal oxidation can offer controlled access to near-surface NV spins at the nanometer scale, an important requirement for many applications of NV-based nanomagnetometry.« less

  18. Processing lunar soils for oxygen and other materials

    NASA Technical Reports Server (NTRS)

    Knudsen, Christian W.; Gibson, Michael A.

    1992-01-01

    Two types of lunar materials are excellent candidates for lunar oxygen production: ilmenite and silicates such as anorthite. Both are lunar surface minable, occurring in soils, breccias, and basalts. Because silicates are considerably more abundant than ilmenite, they may be preferred as source materials. Depending on the processing method chosen for oxygen production and the feedstock material, various useful metals and bulk materials can be produced as byproducts. Available processing techniques include hydrogen reduction of ilmenite and electrochemical and chemical reductions of silicates. Processes in these categories are generally in preliminary development stages and need significant research and development support to carry them to practical deployment, particularly as a lunar-based operation. The goal of beginning lunar processing operations by 2010 requires that planning and research and development emphasize the simplest processing schemes. However, more complex schemes that now appear to present difficult technical challenges may offer more valuable metal byproducts later. While they require more time and effort to perfect, the more complex or difficult schemes may provide important processing and product improvements with which to extend and elaborate the initial lunar processing facilities. A balanced R&D program should take this into account. The following topics are discussed: (1) ilmenite--semi-continuous process; (2) ilmenite--continuous fluid-bed reduction; (3) utilization of spent ilmenite to produce bulk materials; (4) silicates--electrochemical reduction; and (5) silicates--chemical reduction.

  19. Characterization of plasma processing induced charging damage to MOS devices

    NASA Astrophysics Data System (ADS)

    Ma, Shawming

    1997-12-01

    Plasma processing has become an integral part of the fabrication of integrated circuits and takes at least 30% of whole process steps since it offers advantages in terms of directionality, low temperature and process convenience. However, wafer charging during plasma processes is a significant concern for both thin oxide damage and profile distortion. In this work, the factors affecting this damage will be explained by plasma issues, device structure and oxide quality. The SPORT (Stanford Plasma On-wafer Real Time) charging probe was developed to investigate the charging mechanism of different plasma processes including poly-Si etching, resist ashing and PECVD. The basic idea of this probe is that it simulates a real device structure in the plasma environment and allows measurement of plasma induced charging voltages and currents directly in real time. This measurement is fully compatible with other charging voltage measurement but it is the only one to do in real-time. Effect of magnetic field induced plasma nonuniformity on spatial dependent charging is well understood by this measurement. In addition, the plasma parameters including ion current density and electron temperature can also be extracted from the probe's plasma I-V characteristics using a dc Langmuir probe like theory. It will be shown that the MOS device tunneling current from charging, the dependence on antenna ratio and the etch uniformity can all be predicted by using this measurement. Moreover, the real-time measurement reveals transient and electrode edge effect during processing. Furthermore, high aspect ratio pattern induced electron shading effects can also be characterized by the probe. On the oxide quality issue, wafer temperature during plasma processing has been experimentally shown to be critical to charging damage. Finally, different MOS capacitor testing methods including breakdown voltage, charge-to-breakdown, gate leakage current and voltage-time at constant current bias were

  20. Overview of Research for Lunar Oxygen Processing at Carbotek Development Laboratories

    NASA Astrophysics Data System (ADS)

    Ortego, J. D., Jr.; Sorge, L. L.; Guo-Murray, M.; Gibson, M. A.; Knudsen, C. W.

    1997-01-01

    Oxygen production from indigenous lunar material is considered an enabling technology for future solar system exploration. Lunar derived oxygen provides many lunar base program enhancements. A great mass benefit can be derived when Earth return propellant oxidizer is not manifested for transit vehicles traveling to the moon. This results in substantial cost savings to the overall space transportation infrastructure. In addition, lunar produced oxygen can be used to supplement life support systems. Finally, many of the lunar oxygen processes under development produce by-products which are excellent construction materials, rich in iron and titanium, for shielding habitats and lunar surface equipment from cosmic radiation and more lethal solar flares. As a result of the apparent benefits of lunar derived oxygen, NASA has funded research for the development of promising techniques since the mid- 1980's in order for the technology to be available for lunar return missions. Carbotek, with funding and technical assistance f om NASA Johnson Space Center and the Shimizu Corporation, Space Systems Division, has been developing oxygen producing technology since 1984. This paper describes past and future work by Carbotek on two processes, hydrogen reduction of ilmenite and magma electrolysis.

  1. Insensitivity of cerebral oxygen transport to oxygen affinity of hemoglobin-based oxygen carriers

    PubMed Central

    Koehler, Raymond C.; Fronticelli, Clara; Bucci, Enrico

    2008-01-01

    The cerebrovascular effects of exchange transfusion of various cell-free hemoglobins that possess different oxygen affinities are reviewed. Reducing hematocrit by transfusion of a non-oxygen-carrying solution dilates pial arterioles on the brain surface and increases cerebral blood flow to maintain a constant bulk oxygen transport to the brain. In contrast, transfusion of hemoglobins with P50 of 4–34 Torr causes constriction of pial arterioles that offsets the decrease in blood viscosity to maintain cerebral blood flow and oxygen transport. The autoregulatory constriction is dependent on synthesis of 20-HETE from arachidonic acid. This oxygen-dependent reaction is apparently enhanced by facilitated oxygen diffusion from the red cell to the endothelium arising from increased plasma oxygen solubility in the presence of low or high-affinity hemoglobin. Exchange transfusion of recombinant hemoglobin polymers with P50 of 3 and 18 Torr reduces infarct volume from experimental stroke. Cell-free hemoglobins do not require a P50 as high as red blood cell hemoglobin to facilitate oxygen delivery. PMID:18230370

  2. Insensitivity of cerebral oxygen transport to oxygen affinity of hemoglobin-based oxygen carriers.

    PubMed

    Koehler, Raymond C; Fronticelli, Clara; Bucci, Enrico

    2008-10-01

    The cerebrovascular effects of exchange transfusion of various cell-free hemoglobins that possess different oxygen affinities are reviewed. Reducing hematocrit by transfusion of a non-oxygen-carrying solution dilates pial arterioles on the brain surface and increases cerebral blood flow to maintain a constant bulk oxygen transport to the brain. In contrast, transfusion of hemoglobins with P50 of 4-34 Torr causes constriction of pial arterioles that offsets the decrease in blood viscosity to maintain cerebral blood flow and oxygen transport. The autoregulatory constriction is dependent on synthesis of 20-HETE from arachidonic acid. This oxygen-dependent reaction is apparently enhanced by facilitated oxygen diffusion from the red cell to the endothelium arising from increased plasma oxygen solubility in the presence of low or high-affinity hemoglobin. Exchange transfusion of recombinant hemoglobin polymers with P50 of 3 and 18 Torr reduces infarct volume from experimental stroke. Cell-free hemoglobins do not require a P50 as high as red blood cell hemoglobin to facilitate oxygen delivery.

  3. Plasma Processing of Lunar Regolith Simulant for Diverse Applications

    NASA Technical Reports Server (NTRS)

    Schofield, Elizabeth C.; Sen, Subhayu; O'Dell, J. Scott

    2008-01-01

    Versatile manufacturing technologies for extracting resources from the moon are needed to support future space missions. Of particular interest is the production of gases and metals from lunar resources for life support, propulsion, and in-space fabrication. Deposits made from lunar regolith could yield highly emissive coatings and near-net shaped parts for replacement or repair of critical components. Equally important is development of high fidelity lunar simulants for ground based validation of potential lunar surface operations. Described herein is an innovative plasma processing technique for insitu production of gases, metals, coatings, and deposits from lunar regolith, and synthesis of high fidelity lunar simulant from NASA issued lunar simulant JSC-1. Initial plasma reduction trials of JSC-1 lunar simulant have indicated production of metallic iron and magnesium. Evolution of carbon monoxide has been detected subsequent to reduction of the simulant using the plasma process. Plasma processing of the simulant has also resulted in glassy phases resembling the volcanic glass and agglutinates found in lunar regolith. Complete and partial glassy phase deposits have been obtained by varying the plasma process variables. Experimental techniques, product characterization, and process gas analysis will be discussed.

  4. Processing of metal and oxygen from lunar deposits

    NASA Technical Reports Server (NTRS)

    Acton, Constance F.

    1992-01-01

    On the moon, some whole rocks may be ores for abundant elements, such as oxygen, but beneficiation will be important if metallic elements are sought from raw lunar dirt. In the extraction process, a beneficiated metallic ore, such as an oxide, sulfide, carbonate, or silicate mineral, is converted to reduced metal. A variety of plausible processing technologies, which includes recovery of meteoritic iron, and processing of lunar ilmenite, are described in this report.

  5. Two-Step Plasma Process for Cleaning Indium Bonding Bumps

    NASA Technical Reports Server (NTRS)

    Greer, Harold F.; Vasquez, Richard P.; Jones, Todd J.; Hoenk, Michael E.; Dickie, Matthew R.; Nikzad, Shouleh

    2009-01-01

    A two-step plasma process has been developed as a means of removing surface oxide layers from indium bumps used in flip-chip hybridization (bump bonding) of integrated circuits. The two-step plasma process makes it possible to remove surface indium oxide, without incurring the adverse effects of the acid etching process.

  6. Decontamination of foods by cold plasma

    USDA-ARS?s Scientific Manuscript database

    Cold plasma is a novel nonthermal food processing technology for meats, poultry, fruits, and vegetables. This flexible sanitizing method uses electricity and a carrier gas, such as air, oxygen, nitrogen, or helium to inactivate microbes without the use of conventional antimicrobial chemical agents. ...

  7. Anxiety-induced plasma norepinephrine augmentation increases reactive oxygen species formation by monocytes in essential hypertension.

    PubMed

    Yasunari, Kenichi; Matsui, Tokuzo; Maeda, Kensaku; Nakamura, Munehiro; Watanabe, Takanori; Kiriike, Nobuo

    2006-06-01

    An association between anxiety and depression and increased blood pressure (BP) and cardiovascular disease risk has not been firmly established. We examined the hypothesis that anxiety and depression lead to increased plasma catecholamines and to production of reactive oxygen species (ROS) by mononuclear cells (MNC) in hypertensive individuals. We also studied the role of BP in this effect. In Protocol 1, a cross-sectional study was performed in 146 hypertensive patients to evaluate whether anxiety and depression affect BP and ROS formation by MNC through increasing plasma catecholamines. In Protocol 2, a 6-month randomized controlled trial using a subtherapeutic dose of the alpha(1)-adrenergic receptor antagonist doxazosin (1 mg/day) versus placebo in 86 patients with essential hypertension was performed to determine whether the increase in ROS formation by MNC was independent of BP. In Protocol 1, a significant relationship was observed between the following: trait anxiety and plasma norepinephrine (r = 0.32, P < .01); plasma norepinephrine and ROS formation by MNC (r = 0.36, P < .01); and plasma norepinephrine and systolic, diastolic, and mean BP (r = 0.17, P = .04; r = 0.26, P = .02; r = 0.23, P < .01, respectively). In Protocol 2, subtherapeutic doxazosin treatment (1 mg/day) had no significant effect on BP. However doxazosin significantly decreased ROS formation by MNC compared with placebo (P < .01). Trait anxiety may increase plasma norepinephrine and increase ROS formation by MNC independent of BP in hypertensive patients.

  8. Sapphire substrate-induced effects in VO2 thin films grown by oxygen plasma-assisted pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Skuza, J. R.; Scott, D. W.; Pradhan, A. K.

    2015-11-01

    We investigate the structural and electronic properties of VO2 thin films on c-plane sapphire substrates with three different surface morphologies to control the strain at the substrate-film interface. Only non-annealed substrates with no discernible surface features (terraces) provided a suitable template for VO2 film growth with a semiconductor-metal transition (SMT), which was much lower than the bulk transition temperature. In addition to strain, oxygen vacancy concentration also affects the properties of VO2, which can be controlled through deposition conditions. Oxygen plasma-assisted pulsed laser deposition allows favorable conditions for VO2 film growth with SMTs that can be easily tailored for device applications.

  9. Hemocompatibility and oxygenation performance of polysulfone membranes grafted with polyethylene glycol and heparin by plasma-induced surface modification.

    PubMed

    Wang, Weiping; Zheng, Zhi; Huang, Xin; Fan, Wenling; Yu, Wenkui; Zhang, Zhibing; Li, Lei; Mao, Chun

    2017-10-01

    Polyethylene glycol (PEG) and heparin (Hep) were grafted onto polysulfone (PSF) membrane by plasma-induced surface modification to prepare PSF-PEG-Hep membranes used for artificial lung. The effects of plasma treatment parameters, including power, gas type, gas flow rate, and treatment time, were investigated, and different PEG chains were bonded covalently onto the surface in the postplasma grafting process. Membrane surfaces were characterized by water contact angle, PEG grafting degree, attenuated total reflectance-Fourier transform infrared spectroscopy, ultraviolet-visible spectrophotometry, X-ray photoelectron spectroscopy, critical water permeability pressure, and scanning electron microscopy. Protein adsorption, platelet adhesion, and coagulation tests showed significant improvement in the hemocompatibility of PSF-PEG-Hep membranes compared to pristine PSF membrane. Gas exchange tests through PSF-PEG6000-Hep membrane showed that when the flow rate of porcine blood reached 5.0 L/min, the permeation fluxes of O 2 and CO 2 reached 192.6 and 166.9 mL/min, respectively, which were close to the gas exchange capacity of a commercial membrane oxygenator. © 2016 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 105B: 1737-1746, 2017. © 2016 Wiley Periodicals, Inc.

  10. Disposal of olive mill wastewater with DC arc plasma method.

    PubMed

    Ibrahimoglu, Beycan; Yilmazoglu, M Zeki

    2018-07-01

    Olive mill wastewater is an industrial waste, generated as a byproduct of olive oil production process and generally contains components such as organic matter, suspended solids, oil, and grease. Although various methods have been developed to achieve the disposal of this industrial wastewater, due to the low cost, the most common disposal application is the passive storage in the lagoons. The main objective of this study is to reduce pollution parameters in olive mill wastewater and draw water to discharge limits by using plasma technology. Plasma-assisted disposal of olive mill wastewater method could be an alternative disposal technique when considering potential utilization of treated water in agricultural areas and economic value of flammable plasma gas which is the byproduct of disposal process. According to the experimental results, the rates of COD (chemical oxygen demand) and BOD (biological oxygen demand) of olive mill wastewater are decreased by 94.42% and 95.37%, respectively. The dissolved oxygen amount is increased from 0.36 to 6.97 mg/l. In addition, plasma gas with high H 2 content and treated water that can be used in agricultural areas for irrigation are obtained from non-dischargeable wastewater. Copyright © 2018 Elsevier Ltd. All rights reserved.

  11. Study of ND3-enhanced MAR processes in D2-N2 plasmas to induce plasma detachment

    NASA Astrophysics Data System (ADS)

    Abe, Shota; Chakraborty Thakur, Saikat; Doerner, Russ; Tynan, George

    2017-10-01

    The Molecular Assisted Recombination (MAR) process is thought to be a main channel of volumetric recombination to induce the plasma detachment operation. Authors have focused on a new plasma recombination process supported by ammonia molecules, which will be formed by impurity seeding of N2 for controlling divertor plasma temperature and heat loads in ITER. This ammonia-enhanced MAR process would occur throughout two steps. In this study, the first step of the new MAR process is investigated in low density plasmas (Ne 1016 m-3, Te 4 eV) fueled by D2 and N2. Ion and neutral densities are measured by a calibrated Electrostatic Quadrupole Plasma (EQP) analyzer, combination of an ion energy analyzer and mass spectrometer. The EQP shows formation of ND3 during discharges. Ion densities calculated by a rate equation model are compared with experimental results. We find that the model can reproduce the observed ion densities in the plasma. The model calculation shows that the dominant neutralization channel of Dx+(x =1-3) ions in the volume is the formation of NDy+(y =3 or 4) throughout charge/D+ exchange reactions with ND3. Furthermore, high density plasmas (Ne 1016 m-3) have been achieved to investigate electron-impact dissociative recombination processes of formed NDy+,which is the second step of this MAR process.

  12. The Basic Plasma Science Facility: a platform for studying plasma processes relevant to space and astrophysical settings

    NASA Astrophysics Data System (ADS)

    Carter, T. A.

    2017-10-01

    The Basic Plasma Science Facility at UCLA is a national user facility for studies of fundamental processes in magnetized plasmas. The centerpiece is the Large Plasma Device, a 20 m, magnetized linear plasma device. Two hot cathode plasma sources are available. A Barium Oxide coated cathode produces plasmas with n 1012 cm-3, Te 5 eV, Ti < 1 eV with magnetic field from 400G-2kG. This low- β plasma has been used to study fundamental processes, including: dispersion and damping of kinetic and inertial Alfvén waves, flux ropes and magnetic reconnection, three-wave interactions and parametric instabilities of Alfvén waves, turbulence and transport, and interactions of energetic ions and electrons with plasma waves. A new Lanthanum Hexaboride (LaB6) cathode is now available which produces significantly higher densities and temperatures: n < 5 ×1013 cm-3, Te 12 eV, Ti 6 eV. This higher pressure plasma source enabled the observation of laser-driven collisionless magnetized shocks and, with lowered magnetic field, provides magnetized plasmas with β approaching or possibly exceeding unity. This opens up opportunities for investigating processes relevant to the solar wind and astrophysical plasmas. BaPSF is jointly supported by US DOE and NSF.

  13. Surface recombination of oxygen atoms in O2 plasma at increased pressure: II. Vibrational temperature and surface production of ozone

    NASA Astrophysics Data System (ADS)

    Lopaev, D. V.; Malykhin, E. M.; Zyryanov, S. M.

    2011-01-01

    Ozone production in an oxygen glow discharge in a quartz tube was studied in the pressure range of 10-50 Torr. The O3 density distribution along the tube diameter was measured by UV absorption spectroscopy, and ozone vibrational temperature TV was found comparing the calculated ab initio absorption spectra with the experimental ones. It has been shown that the O3 production mainly occurs on a tube surface whereas ozone is lost in the tube centre where in contrast the electron and oxygen atom densities are maximal. Two models were used to analyse the obtained results. The first one is a kinetic 1D model for the processes occurring near the tube walls with the participation of the main particles: O(3P), O2, O2(1Δg) and O3 molecules in different vibrational states. The agreement of O3 and O(3P) density profiles and TV calculated in the model with observed ones was reached by varying the single model parameter—ozone production probability (\\gamma_{O_{3}}) on the quartz tube surface on the assumption that O3 production occurs mainly in the surface recombination of physisorbed O(3P) and O2. The phenomenological model of the surface processes with the participation of oxygen atoms and molecules including singlet oxygen molecules was also considered to analyse \\gamma_{O_{3}} data obtained in the kinetic model. A good agreement between the experimental data and the data of both models—the kinetic 1D model and the phenomenological surface model—was obtained in the full range of the studied conditions that allowed consideration of the ozone surface production mechanism in more detail. The important role of singlet oxygen in ozone surface production was shown. The O3 surface production rate directly depends on the density of physisorbed oxygen atoms and molecules and can be high with increasing pressure and energy inputted into plasma while simultaneously keeping the surface temperature low enough. Using the special discharge cell design, such an approach opens up the

  14. Plasma Processes of Cutting and Welding

    DTIC Science & Technology

    1976-02-01

    TIG process. 2.2.2 Keyhole Welding In plasma arc welding , the term...Cutting 3 3 4 4 4 2.2 Plasma Arc Welding 5 2.2.1 Needle Arc Welding 2.2.2 Keyhole Welding 5 6 3. Applications 8 93.1 Economics 4. Environmental Aspects of...Arc Lengths III. Needle Arc Welding Conditions IV. Keyhole Welding Conditions v. Chemical Analyses of Plates Used - vii - 1. 2. 3. 4. 5. 6. 7. 8.

  15. Cold plasma decontamination of foods.

    PubMed

    Niemira, Brendan A

    2012-01-01

    Cold plasma is a novel nonthermal food processing technology that uses energetic, reactive gases to inactivate contaminating microbes on meats, poultry, fruits, and vegetables. This flexible sanitizing method uses electricity and a carrier gas, such as air, oxygen, nitrogen, or helium; antimicrobial chemical agents are not required. The primary modes of action are due to UV light and reactive chemical products of the cold plasma ionization process. A wide array of cold plasma systems that operate at atmospheric pressures or in low pressure treatment chambers are under development. Reductions of greater than 5 logs can be obtained for pathogens such as Salmonella, Escherichia coli O157:H7, Listeria monocytogenes, and Staphylococcus aureus. Effective treatment times can range from 120 s to as little as 3 s, depending on the food treated and the processing conditions. Key limitations for cold plasma are the relatively early state of technology development, the variety and complexity of the necessary equipment, and the largely unexplored impacts of cold plasma treatment on the sensory and nutritional qualities of treated foods. Also, the antimicrobial modes of action for various cold plasma systems vary depending on the type of cold plasma generated. Optimization and scale up to commercial treatment levels require a more complete understanding of these chemical processes. Nevertheless, this area of technology shows promise and is the subject of active research to enhance efficacy.

  16. Plasma-Assisted Synthesis of Monodispersed and Robust Ruthenium Ultrafine Nanocatalysts for Organosilane Oxidation and Oxygen Evolution Reactions.

    PubMed

    Gnanakumar, Edwin S; Ng, Wesley; Coşkuner Filiz, Bilge; Rothenberg, Gadi; Wang, Sheng; Xu, Hualong; Pastor-Pérez, Laura; Pastor-Blas, M Mercedes; Sepúlveda-Escribano, Antonio; Yan, Ning; Shiju, N Raveendran

    2017-11-23

    We report a facile and general approach for preparing ultrafine ruthenium nanocatalysts by using a plasma-assisted synthesis at <100 °C. The resulting Ru nanoparticles are monodispersed (typical size 2 nm) and remain that way upon loading onto carbon and TiO 2 supports. This gives robust catalysts with excellent activities in both organosilane oxidation and the oxygen evolution reaction.

  17. Plasma heating for containerless and microgravity materials processing

    NASA Technical Reports Server (NTRS)

    Leung, Emily W. (Inventor); Man, Kin F. (Inventor)

    1994-01-01

    A method for plasma heating of levitated samples to be used in containerless microgravity processing is disclosed. A sample is levitated by electrostatic, electromagnetic, aerodynamic, or acoustic systems, as is appropriate for the physical properties of the particular sample. The sample is heated by a plasma torch at atmospheric pressure. A ground plate is provided to help direct the plasma towards the sample. In addition, Helmholtz coils are provided to produce a magnetic field that can be used to spiral the plasma around the sample. The plasma heating system is oriented such that it does not interfere with the levitation system.

  18. Plasma surface cleaning in a microwave plasma source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tsai, C.C.; Nelson, W.D.; Haselton, H.H.

    1994-03-01

    A microwave electron cyclotron resonance (ECR) plasma source has been operated to produce reactive plasmas of oxygen and its mixture with argon. Aluminum samples (0.95 cm by 1.9 cm) were coated with thin films (<20 {mu}m in thickness) of Shell Vitrea oil and cleaned by using such reactive plasmas. The plasma cleaning was done in discharge conditions of microwave power up to 1300 W, radio frequency power up to 200 W, biased potential up to 400 V, gas pressures up to 5 mtorr, and operating time up to 35 min. The surface texture of the postcleaned samples has been examinedmore » visually. Mass loss of the samples after plasma cleaning was measured to estimate cleaning rates. Measured clean rates of low-pressure (0.5-mtorr) argon/oxygen plasmas were as high as 2.7 {mu}m/min. X-ray photoelectron spectroscopy (XPS) was used to determine cleanliness of the sample surfaces after plasma cleaning. The XPS study on polished samples confirmed the effectiveness of plasma cleaning in achieving atomic level of surface cleanliness. In this technical memorandum plasma properties, cleaning phenomena, and significant results are reported and discussed.« less

  19. Development of atomic radical monitoring probe and its application to spatial distribution measurements of H and O atomic radical densities in radical-based plasma processing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takahashi, Shunji; Katagiri Engineering Co., Ltd., 3-5-34 Shitte Tsurumi-ku, Yokohama 230-0003; Takashima, Seigo

    2009-09-01

    Atomic radicals such as hydrogen (H) and oxygen (O) play important roles in process plasmas. In a previous study, we developed a system for measuring the absolute density of H, O, nitrogen, and carbon atoms in plasmas using vacuum ultraviolet absorption spectroscopy (VUVAS) with a compact light source using an atmospheric pressure microplasma [microdischarge hollow cathode lamp (MHCL)]. In this study, we developed a monitoring probe for atomic radicals employing the VUVAS with the MHCL. The probe size was 2.7 mm in diameter. Using this probe, only a single port needs to be accessed for radical density measurements. We successfullymore » measured the spatial distribution of the absolute densities of H and O atomic radicals in a radical-based plasma processing system by moving the probe along the radial direction of the chamber. This probe allows convenient analysis of atomic radical densities to be carried out for any type of process plasma at any time. We refer to this probe as a ubiquitous monitoring probe for atomic radicals.« less

  20. The oxycoal process with cryogenic oxygen supply.

    PubMed

    Kather, Alfons; Scheffknecht, Günter

    2009-09-01

    Due to its large reserves, coal is expected to continue to play an important role in the future. However, specific and absolute CO2 emissions are among the highest when burning coal for power generation. Therefore, the capture of CO2 from power plants may contribute significantly in reducing global CO2 emissions. This review deals with the oxyfuel process, where pure oxygen is used for burning coal, resulting in a flue gas with high CO2 concentrations. After further conditioning, the highly concentrated CO2 is compressed and transported in the liquid state to, for example, geological storages. The enormous oxygen demand is generated in an air-separation unit by a cryogenic process, which is the only available state-of-the-art technology. The generation of oxygen and the purification and liquefaction of the CO2-enriched flue gas consumes significant auxiliary power. Therefore, the overall net efficiency is expected to be lowered by 8 to 12 percentage points, corresponding to a 21 to 36% increase in fuel consumption. Oxygen combustion is associated with higher temperatures compared with conventional air combustion. Both the fuel properties as well as limitations of steam and metal temperatures of the various heat exchanger sections of the steam generator require a moderation of the temperatures during combustion and in the subsequent heat-transfer sections. This is done by means of flue gas recirculation. The interdependencies among fuel properties, the amount and the temperature of the recycled flue gas, and the resulting oxygen concentration in the combustion atmosphere are investigated. Expected effects of the modified flue gas composition in comparison with the air-fired case are studied theoretically and experimentally. The different atmosphere resulting from oxygen-fired combustion gives rise to various questions related to firing, in particular, with regard to the combustion mechanism, pollutant reduction, the risk of corrosion, and the properties of the fly

  1. The oxycoal process with cryogenic oxygen supply

    NASA Astrophysics Data System (ADS)

    Kather, Alfons; Scheffknecht, Günter

    2009-09-01

    Due to its large reserves, coal is expected to continue to play an important role in the future. However, specific and absolute CO2 emissions are among the highest when burning coal for power generation. Therefore, the capture of CO2 from power plants may contribute significantly in reducing global CO2 emissions. This review deals with the oxyfuel process, where pure oxygen is used for burning coal, resulting in a flue gas with high CO2 concentrations. After further conditioning, the highly concentrated CO2 is compressed and transported in the liquid state to, for example, geological storages. The enormous oxygen demand is generated in an air-separation unit by a cryogenic process, which is the only available state-of-the-art technology. The generation of oxygen and the purification and liquefaction of the CO2-enriched flue gas consumes significant auxiliary power. Therefore, the overall net efficiency is expected to be lowered by 8 to 12 percentage points, corresponding to a 21 to 36% increase in fuel consumption. Oxygen combustion is associated with higher temperatures compared with conventional air combustion. Both the fuel properties as well as limitations of steam and metal temperatures of the various heat exchanger sections of the steam generator require a moderation of the temperatures during combustion and in the subsequent heat-transfer sections. This is done by means of flue gas recirculation. The interdependencies among fuel properties, the amount and the temperature of the recycled flue gas, and the resulting oxygen concentration in the combustion atmosphere are investigated. Expected effects of the modified flue gas composition in comparison with the air-fired case are studied theoretically and experimentally. The different atmosphere resulting from oxygen-fired combustion gives rise to various questions related to firing, in particular, with regard to the combustion mechanism, pollutant reduction, the risk of corrosion, and the properties of the fly

  2. Influence of oxygen concentration on ethylene removal using dielectric barrier discharge

    NASA Astrophysics Data System (ADS)

    Takahashi, Katsuyuki; Motodate, Takuma; Takaki, Koichi; Koide, Shoji

    2018-01-01

    Ethylene gas is decomposed using a dielectric barrier discharge plasma reactor for long-period preservation of fruits and vegetables. The oxygen concentration in ambient gas is varied from 2 to 20% to simulate the fruit and vegetable transport container. The experimental results show that the efficiency of ethylene gas decomposition increases with decreasing oxygen concentration. The reactions of ethylene molecules with ozone are analyzed by Fourier transform infrared spectrometry. The analysis results show that the oxidization process by ozone is later than that by oxygen atoms. The amount of oxygen atoms that contribute to ethylene removal increases with decreasing oxygen concentration because the reaction between oxygen radicals and oxygen molecules is suppressed at low oxygen concentrations. Ozone is completely removed and the energy efficiency of C2H4 removal is increased using manganese dioxide as a catalyst.

  3. Method and system for nanoscale plasma processing of objects

    DOEpatents

    Oehrlein, Gottlieb S [Clarksville, MD; Hua, Xuefeng [Hyattsville, MD; Stolz, Christian [Baden-Wuerttemberg, DE

    2008-12-30

    A plasma processing system includes a source of plasma, a substrate and a shutter positioned in close proximity to the substrate. The substrate/shutter relative disposition is changed for precise control of substrate/plasma interaction. This way, the substrate interacts only with a fully established, stable plasma for short times required for nanoscale processing of materials. The shutter includes an opening of a predetermined width, and preferably is patterned to form an array of slits with dimensions that are smaller than the Debye screening length. This enables control of the substrate/plasma interaction time while avoiding the ion bombardment of the substrate in an undesirable fashion. The relative disposition between the shutter and the substrate can be made either by moving the shutter or by moving the substrate.

  4. D.C. - ARC plasma generator for nonequilibrium plasmachemical processes

    NASA Astrophysics Data System (ADS)

    Kvaltin, J.

    1990-06-01

    The analysis of conditions for generation of nonequilibrium plasma to plasmachemical processes is made and the design of d.c.-arc plasma generator on the base of integral criterion is suggested. The measurement of potentials on the plasma column of that generator is presented.

  5. Nanoparticulate-catalyzed oxygen transfer processes

    DOEpatents

    Hunt, Andrew T [Atlanta, GA; Breitkopf, Richard C [Dunwoody, GA

    2009-12-01

    Nanoparticulates of oxygen transfer materials that are oxides of rare earth metals, combinations of rare earth metals, and combinations of transition metals and rare earth metals are used as catalysts in a variety of processes. Unexpectedly large thermal efficiencies are achieved relative to micron sized particulates. Processes that use these catalysts are exemplified in a multistage reactor. The exemplified reactor cracks C6 to C20 hydrocarbons, desulfurizes the hydrocarbon stream and reforms the hydrocarbons in the stream to produce hydrogen. In a first reactor stage the steam and hydrocarbon are passed through particulate mixed rare earth metal oxide to crack larger hydrocarbon molecules. In a second stage, the steam and hydrocarbon are passed through particulate material that desulfurizes the hydrocarbon. In a third stage, the hydrocarbon and steam are passed through a heated, mixed transition metal/rare earth metal oxide to reform the lower hydrocarbons and thereby produce hydrogen. Stages can be alone or combined. Parallel reactors can provide continuous reactant flow. Each of the processes can be carried out individually.

  6. REACTIVE OXYGEN SPECIES IN WHOLE BLOOD, BLOOD PLASMA AND BREAST MILK: VALIDATION OF A POTENTIAL MARKER OF EXPOSURE AND EFFECT

    EPA Science Inventory

    Reactive oxygen species (ROS) are recognized to contribute to the pathobiology of many diseases. We have applied a simple chemiluminescent (CL) probe to detect ROS in various biological fluids (plasma, whole blood, urine and breast milk) in an environmental arsenic drinking wate...

  7. Do submesoscale frontal processes ventilate the oxygen minimum zone off Peru?

    NASA Astrophysics Data System (ADS)

    Thomsen, S.; Kanzow, T.; Colas, F.; Echevin, V.; Krahmann, G.; Engel, A.

    2016-02-01

    The Peruvian upwelling region shows pronounced near-surface submesoscale variability including filaments and sharp density fronts. Submesoscale frontal processes can drive large vertical velocities and enhance vertical tracer fluxes in the upper ocean. The associated high temporal and spatial variability poses a large challenge to observational approaches targeting these processes. In this study the role of submesoscale processes for the ventilation of the near-coastal oxygen minimum zone off Peru is investigated. We use satellite based sea surface temperature measurements and multiple high-resolution glider observations of temperature, salinity, oxygen and chlorophyll fluorescence carried out in January and February 2013 off Peru near 14°S during active upwelling. Additionally, high-resolution regional ocean circulation model outputs (ROMS) outputs are analysed. At the beginning of our observational survey a previously upwelled, productive and highly oxygenated water body is found in the mixed layer. Subsequently, a cold filament forms and the waters are moved offshore. After the decay of the filament and the relaxation of the upwelling front, the oxygen enriched surface water is found in the previously less oxygenated thermocline suggesting the occurrence of frontal subduction. A numerical model simulation is used to analyse the evolution of Lagrangian numerical floats in several upwelling filaments, whose vertical structure and hydrographic properties agree well with the observations. The floats trajectories support our interpretation that the subduction of previously upwelled water occurs in filaments off Peru. We find that 40 - 60 % of the floats seeded in the newly upwelled water is subducted within a time period of 5 days. This hightlights the importance of this process in ventilating the oxycline off Peru.

  8. Method of processing materials using an inductively coupled plasma

    DOEpatents

    Hull, D.E.; Bieniewski, T.M.

    1987-04-13

    A method of processing materials. The invention enables ultrafine, ultrapure powders to be formed from solid ingots in a gas free environment. A plasma is formed directly from an ingot which insures purity. The vaporized material is expanded through a nozzle and the resultant powder settles on a cold surface. An inductively coupled plasma may also be used to process waste chemicals. Noxious chemicals are directed through a series of plasma tubes, breaking molecular bonds and resulting in relatively harmless atomic constituents. 3 figs.

  9. Fundamental understanding of distracted oxygen delignification efficiency by dissolved lignin during biorefinery process of eucalyptus.

    PubMed

    Zhao, Huifang; Li, Jing; Zhang, Xuejin

    2018-06-01

    In this work, a fundamental understanding of oxygen delignification distracted by dissolved lignin was investigated. In the new biorefinery model of shortening kraft pulping integrated with extended oxygen delignification process, increasing content of residual lignin in the original pulp could result in enhanced delignification efficiency, higher pulp viscosity and less carbonyl groups. However, the invalid oxygen consumption by dissolved lignin could be increased with the increase of process temperature and alkali dosage. The normalized ultraviolet absorbance (divided by absorbance at 280 nm) also showed that the content of chromophoric group in dissolved lignin decreased with oxygen delignification proceeded, both of which indicated that dissolved lignin could enhance the invalid oxygen consumption. Therefore, a conclusion that replacement of the liquor at the initial phase of oxygen delignification process would balance the enhancement of delignification efficiency and invalid oxygen consumption was achieved. Copyright © 2018 Elsevier Ltd. All rights reserved.

  10. Atomic oxygen durability of solar concentrator materials for Space Station Freedom

    NASA Technical Reports Server (NTRS)

    Degroh, Kim K.; Terlep, Judith A.; Dever, Therese M.

    1990-01-01

    The findings are reviewed of atomic oxygen exposure testing of candidate solar concentrator materials containing SiO2 and Al2O3 protective coatings for use on Space Station Freedom solar dynamic power modules. Both continuous and iterative atomic oxygen exposure tests were conducted. Iterative air plasma ashing resulted in larger specular reflectance decreases and solar absorptance increases than continuous ashing to the same fluence, and appears to provide a more severe environment than the continuous atomic oxygen exposure that would occur in the low Earth orbit environment. First generation concentrator fabrication techniques produced surface defects including scratches, macroscopic bumps, dendritic regions, porosity, haziness, and pin hole defects. Several of these defects appear to be preferential sites for atomic oxygen attack leading to erosive undercutting. Extensive undercutting and flaking of reflective and protective coatings were found to be promoted through an undercutting tearing propagation process. Atomic oxygen erosion processes and effects on optical performance is presented.

  11. Automation and control of off-planet oxygen production processes

    NASA Technical Reports Server (NTRS)

    Marner, W. J.; Suitor, J. W.; Schooley, L. S.; Cellier, F. E.

    1990-01-01

    This paper addresses several aspects of the automation and control of off-planet production processes. First, a general approach to process automation and control is discussed from the viewpoint of translating human process control procedures into automated procedures. Second, the control issues for the automation and control of off-planet oxygen processes are discussed. Sensors, instruments, and components are defined and discussed in the context of off-planet applications, and the need for 'smart' components is clearly established.

  12. Physical processes associated with current collection by plasma contactors

    NASA Technical Reports Server (NTRS)

    Katz, Ira; Davis, Victoria A.

    1990-01-01

    Recent flight data confirms laboratory observations that the release of neutral gas increases plasma sheath currents. Plasma contactors are devices which release a partially ionized gas in order to enhance the current flow between a spacecraft and the space plasma. Ionization of the expellant gas and the formation of a double layer between the anode plasma and the space plasma are the dominant physical processes. A theory is presented of the interaction between the contactor plasma and the background plasma. The conditions for formation of a double layer between the two plasmas are derived. Double layer formation is shown to be a consequence of the nonlinear response of the plasmas to changes in potential. Numerical calculations based upon this model are compared with laboratory measurements of current collection by hollow cathode-based plasma contactors.

  13. The expansion of a plasma into a vacuum - Basic phenomena and processes and applications to space plasma physics

    NASA Technical Reports Server (NTRS)

    Wright, K. H., Jr.; Stone, N. H.; Samir, U.

    1983-01-01

    In this review attention is called to basic phenomena and physical processes involved in the expansion of a plasma into a vacuum, or the expansion of a plasma into a more tenuous plasma, in particular the fact that upon the expansion, ions are accelerated and reach energies well above their thermal energy. Also, in the process of the expansion a rarefaction wave propagates into the ambient plasma, an ion front moves into the expansion volume, and discontinuities in plasma parameters occur. The physical processes which cause the above phenomena are discussed, and their possible application is suggested for the case of the distribution of ions and electrons (hence plasma potential and electric fields) in the wake region behind artificial and natural obstacles moving supersonically in a rarefied space plasma. To illustrate this, some in situ results are reexamined. Directions for future work in this area via the utilization of the Space Shuttle and laboratory work are also mentioned.

  14. Killing Microorganisms with the One Atmosphere Uniform Glow Discharge Plasma

    NASA Astrophysics Data System (ADS)

    South, Suzanne; Kelly-Wintenberg, Kimberly; Montie, T. C.; Reece Roth, J.; Sherman, Daniel; Morrison, Jim; Chen, Zhiyu; Karakaya, Fuat

    2000-10-01

    There is an urgent need for the development of new technologies for sterilization and decontamination in the fields of healthcare and industrial and food processing that are safe, cost-effective, broad-spectrum, and not deleterious to samples. One technology that meets these criteria is the One Atmosphere Uniform Glow Discharge Plasma (OAUGDP). The OAUGDP operates in air and produces uniform plasma without filamentary discharges at room temperature, making this technology advantageous for sterilization of heat sensitive materials. The OAUGDP operates in a frequency band determined by the ion trapping mechanisms provided that, for air, the electric field is above 8.5kV/cm. The OAUGDP efficiently generates plasma reactive oxygen species (ROS) including atomic oxygen and oxygen free radicals without the requirement of a vacuum system. We have demonstrated the efficacy of the OAUGDP in killing microorganisms including bacteria, yeast, viruses, and spores in seconds to minutes on a variety of surfaces such as glass, films and fabrics, stainless steel, paper, and agar.

  15. Spectroscopic diagnostics of plasma during laser processing of aluminium

    NASA Astrophysics Data System (ADS)

    Lober, R.; Mazumder, J.

    2007-10-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 Å Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data.

  16. A ReaxFF-based molecular dynamics study of the mechanisms of interactions between reactive oxygen plasma species and the Candida albicans cell wall

    NASA Astrophysics Data System (ADS)

    Zhao, T.; Shi, L.; Zhang, Y. T.; Zou, L.; Zhang, L.

    2017-10-01

    Atmospheric pressure non-equilibrium plasmas have attracted significant attention and have been widely used to inactivate pathogens, yet the mechanisms underlying the interactions between plasma-generated species and bio-organisms have not been elucidated clearly. In this paper, reactive molecular dynamics simulations are employed to investigate the mechanisms of interactions between reactive oxygen plasma species (O, OH, and O2) and β-1,6-glucan (a model for the C. albicans cell wall) from a microscopic point of view. Our simulations show that O and OH species can break structurally important C-C and C-O bonds, while O2 molecules exhibit only weak, non-bonded interactions with β-1,6-glucan. Hydrogen abstraction from hydroxyl or CH groups occurs first in all bond cleavage mechanisms. This is followed by a cascade of bond cleavage and double bond formation events. These lead to the destruction of the fungal cell wall. O and OH have similar effects related to their bond cleavage mechanisms. Our simulation results provide fundamental insights into the mechanisms underlying the interactions between reactive oxygen plasma species and the fungal cell wall of C. albicans at the atomic level.

  17. Measurement of OH, NO, O and N atoms in helium plasma jet for ROS/RNS controlled biomedical processes

    NASA Astrophysics Data System (ADS)

    Yonemori, Seiya; Kamakura, Taku; Ono, Ryo

    2014-10-01

    Atmospheric-pressure plasmas are of emerging interest for new plasma applications such as cancer treatment, cell activation and sterilization. In those biomedical processes, reactive oxygen/nitrogen species (ROS/RNS) are said that they play significant role. It is though that active species give oxidative stress and induce biomedical reactions. In this study, we measured OH, NO, O and N atoms using laser induced fluorescence (LIF) measurement and found that voltage polarity affect particular ROS. When negative high voltage was applied to the plasma jet, O atom density was tripled compared to the case of positive applied voltage. In that case, O atom density was around 3 × 1015 [cm-3] at maximum. In contrast, OH and NO density did not change their density depending on the polarity of applied voltage, measured as in order of 1013 and 1014 [cm-3] at maximum, respectively. From ICCD imaging measurement, it could be seen that negative high voltage enhanced secondary emission in plasma bullet propagation and it can affect the effective production of particular ROS. Since ROS/RNS dose can be a quantitative criterion to control plasma biomedical application, those measurement results is able to be applied for in vivo and in vitro plasma biomedical experiments. This study is supported by the Grant-in-Aid for Science Research by the Ministry of Education, Culture, Sport, Science and Technology.

  18. Tracing the plasma interactions for pulsed reactive crossed-beam laser ablation

    NASA Astrophysics Data System (ADS)

    Chen, Jikun; Stender, Dieter; Pichler, Markus; Döbeli, Max; Pergolesi, Daniele; Schneider, Christof W.; Wokaun, Alexander; Lippert, Thomas

    2015-10-01

    Pulsed reactive crossed-beam laser ablation is an effective technique to govern the chemical activity of plasma species and background molecules during pulsed laser deposition. Instead of using a constant background pressure, a gas pulse with a reactive gas, synchronized with the laser beam, is injected into vacuum or a low background pressure near the ablated area of the target. It intercepts the initially generated plasma plume, thereby enhancing the physicochemical interactions between the gaseous environment and the plasma species. For this study, kinetic energy resolved mass-spectrometry and time-resolved plasma imaging were used to study the physicochemical processes occurring during the reactive crossed beam laser ablation of a partially 18O substituted La0.6Sr0.4MnO3 target using oxygen as gas pulse. The characteristics of the ablated plasma are compared with those observed during pulsed laser deposition in different oxygen background pressures.

  19. PREFACE: 12th High-Tech Plasma Processes Conference (HTPP-12)

    NASA Astrophysics Data System (ADS)

    Gleizes, Alain; Ghedini, Emanuele; Gherardi, Matteo; Sanibondi, Paolo; Dilecce, Giorgio

    2012-12-01

    The High-Tech Plasma Processes - 12th European Plasma Conference (HTPP-12) was held in Bologna (Italy) on 24-29 June 2012. The conference series started in 1990 as a thermal plasma conference and gradually expanded to include other topic fields as well. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. Thanks to the efforts of the conference chairman, Professor Vittorio Colombo and of the co-chair, Professor Piero Favia, a well balanced participation from both the communities of thermal and nonthermal plasma researchers was achieved; this resulted in just about 196 attendees from 39 countries, with 8 plenary and 15 invited talks, plus 50 oral and 140 poster contributions. This volume of Journal of Physics: Conference Series gathers papers from regular contributions of HTPP-12; each contribution submitted for publication has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In the end, 39 manuscripts were accepted for publication, covering different topics of plasma processing science: from plasma fundamentals and modelling to source design and process diagnostics, from nanomaterial synthesis to surface modification, from waste treatment to plasma applications in a liquid environment. It is an honour to present this volume of Journal of Physics: Conference Series and we deeply thank the authors for their enthusiastic and high-grade contribution. Finally, we would like to thank the conference chairmen, the members of the steering committee, the international scientific committee, the local

  20. Secondary electron emission from plasma processed accelerating cavity grade niobium

    NASA Astrophysics Data System (ADS)

    Basovic, Milos

    by different techniques. Specifically, this work provides the results of SEY from the plasma cleaned cavity grade niobium (Nb) samples. Pure niobium is currently the material of choice for the fabrication of Superconducting Radio Frequency (SRF) cavities. The effect of plasma processing with two different gases will be examined in two groups of samples. The first group of samples is made from cavity grade niobium. The second group of samples is made from the same material, but include a welded joint made by electron beam welding, since in niobium SRF cavities the peak electric and magnetic field are seen in close proximity to the welded joints. Both groups of samples will be exposed to nitrogen (N2) and a mixture of argon with oxygen (Ar/O2) plasma. It is the goal of this research to determine the SEY on these two groups of samples before and after plasma processing as a function of the energy of primary electrons. The SEY as a function of the angle of incidence of the primary electrons is tested on the samples treated with Ar/O2 plasma.

  1. Secondary Electron Emission from Plasma Processed Accelerating Cavity Grade Niobium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Basovic, Milos

    treated by different techniques. Specifically, this work provides the results of SEY from the plasma cleaned cavity grade niobium (Nb) samples. Pure niobium is currently the material of choice for the fabrication of Superconducting Radio Frequency (SRF) cavities. The effect of plasma processing with two different gases will be examined in two groups of samples. The first group of samples is made from cavity grade niobium. The second group of samples is made from the same material, but include a welded joint made by electron beam welding, since in niobium SRF cavities the peak electric and magnetic field are seen in close proximity to the welded joints. Both groups of samples will be exposed to nitrogen (N2) and a mixture of argon with oxygen (Ar/O2) plasma. It is the goal of this research to determine the SEY on these two groups of samples before and after plasma processing as a function of the energy of primary electrons. The SEY as a function of the angle of incidence of the primary electrons is tested on the samples treated with Ar/O2 plasma.« less

  2. Plasma monitoring of the RLVIP-process with a Langmuir probe

    NASA Astrophysics Data System (ADS)

    Huber, D.; Hallbauer, A.; Pulker, H. K.

    2005-09-01

    The aim of this investigation was to study the characteristics of a reactive-low-voltage-high-current-ion-plating plasma and to correlate the observed plasma data with the properties of films deposited under such conditions. A Langmuir probe system (Smart Probe - Scientific Systems) was inserted into a Balzers BAP 800 ion plating plant above the e-gun evaporation source close to the insulated substrate holder. In this position during RLVIP deposition, plasma potential, floating potential, self-bias voltage, electron temperature, ion current density, and particle number density were measured and calculated, respectively. All measurements were performed in dependence of arc current (20-80A) and oxygen partial pressure (1 - 36 x 10-4mbar). With rising arc current the number of charged particles, the self-bias voltage between plasma and substrates as well as the energy of the condensing and bombarding species were increased. These data explain the increase of density, refractive index and mechanical stress of RLVIP-metal-oxide-layers, like Ta2O5 and Nb2O5, deposited with higher arc currents. An increase of gas pressure decreased the energy of the particles and therefore reduced slightly film density and refractive index. However, it improved chemistry and eliminated unwanted residual optical absorption and also decreased compressive mechanical film stress.

  3. Two dimensional PMMA nanofluidic device fabricated by hot embossing and oxygen plasma assisted thermal bonding methods

    NASA Astrophysics Data System (ADS)

    Yin, Zhifu; Sun, Lei; Zou, Helin; Cheng, E.

    2015-05-01

    A method for obtaining a low-cost and high-replication precision two-dimensional (2D) nanofluidic device with a polymethyl methacrylate (PMMA) sheet is proposed. To improve the replication precision of the 2D PMMA nanochannels during the hot embossing process, the deformation of the PMMA sheet was analyzed by a numerical simulation method. The constants of the generalized Maxwell model used in the numerical simulation were calculated by experimental compressive creep curves based on previously established fitting formula. With optimized process parameters, 176 nm-wide and 180 nm-deep nanochannels were successfully replicated into the PMMA sheet with a replication precision of 98.2%. To thermal bond the 2D PMMA nanochannels with high bonding strength and low dimensional loss, the parameters of the oxygen plasma treatment and thermal bonding process were optimized. In order to measure the dimensional loss of 2D nanochannels after thermal bonding, a dimension loss evaluating method based on the nanoindentation experiments was proposed. According to the dimension loss evaluating method, the total dimensional loss of 2D nanochannels was 6 nm and 21 nm in width and depth, respectively. The tensile bonding strength of the 2D PMMA nanofluidic device was 0.57 MPa. The fluorescence images demonstrate that there was no blocking or leakage over the entire microchannels and nanochannels.

  4. Oxygen activation at the plasma membrane: relation between superoxide and hydroxyl radical production by isolated membranes.

    PubMed

    Heyno, Eiri; Mary, Véronique; Schopfer, Peter; Krieger-Liszkay, Anja

    2011-07-01

    Production of reactive oxygen species (hydroxyl radicals, superoxide radicals and hydrogen peroxide) was studied using EPR spin-trapping techniques and specific dyes in isolated plasma membranes from the growing and the non-growing zones of hypocotyls and roots of etiolated soybean seedlings as well as coleoptiles and roots of etiolated maize seedlings. NAD(P)H mediated the production of superoxide in all plasma membrane samples. Hydroxyl radicals were only produced by the membranes of the hypocotyl growing zone when a Fenton catalyst (FeEDTA) was present. By contrast, in membranes from other parts of the seedlings a low rate of spontaneous hydroxyl radical formation was observed due to the presence of small amounts of tightly bound peroxidase. It is concluded that apoplastic hydroxyl radical generation depends fully, or for the most part, on peroxidase localized in the cell wall. In soybean plasma membranes from the growing zone of the hypocotyl pharmacological tests showed that the superoxide production could potentially be attributed to the action of at least two enzymes, an NADPH oxidase and, in the presence of menadione, a quinone reductase.

  5. Formation of the oxygen torus in the inner magnetosphere: Van Allen Probes observations

    DOE PAGES

    Nose, Masahito; Oimatsu, S.; Keika, K.; ...

    2015-02-19

    Here we study the formation process of an oxygen torus during the 12–15 November 2012 magnetic storm, using the magnetic field and plasma wave data obtained by Van Allen Probes. We estimate the local plasma mass density (ρ L) and the local electron number density (n eL) from the resonant frequencies of standing Alfvén waves and the upper hybrid resonance band. The average ion mass (M) can be calculated by M ~ ρ L/n eL under the assumption of quasi-neutrality of plasma. During the storm recovery phase, both Probe A and Probe B observe the oxygen torus at L =more » 3.0–4.0 and L = 3.7–4.5, respectively, on the morning side. The oxygen torus has M = 4.5–8 amu and extends around the plasmapause that is identified at L~3.2–3.9. We find that during the initial phase, M is 4–7 amu throughout the plasma trough and remains at ~1 amu in the plasmasphere, implying that ionospheric O + ions are supplied into the inner magnetosphere already in the initial phase of the magnetic storm. Numerical calculation under a decrease of the convection electric field reveals that some of thermal O + ions distributed throughout the plasma trough are trapped within the expanded plasmasphere, whereas some of them drift around the plasmapause on the dawnside. This creates the oxygen torus spreading near the plasmapause, which is consistent with the Van Allen Probes observations. We conclude that the oxygen torus identified in this study favors the formation scenario of supplying O + in the inner magnetosphere during the initial phase and subsequent drift during the recovery phase.« less

  6. The properties and performance of moisture/oxygen barrier layers deposited by remote plasma sputtering

    NASA Astrophysics Data System (ADS)

    Brown, Hayley Louise

    The development of flexible lightweight OLED devices requires oxygen/moisture barrier layer thin films with water vapour transmission rates (WVTR) of < 10-6 g/m2/day. This thesis reports on single and multilayer architecture barrier layers (mostly based on SiO2, Al2O3 and TiO2) deposited onto glass, Si and polymeric substrates using remote plasma sputtering. The reactive sputtering depositions were performed on Plasma Quest S500 based sputter systems and the morphology, nanostructure and composition of the coatings have been examined using SEM, EDX, STEM, XPS, XRD and AFM. The WVTR has been determined using industry standard techniques (e.g. MOCON) but, for rapid screening of the deposited layers, an in-house permeation test was also developed. SEM, XRD and STEM results showed that the coatings exhibited a dense, amorphous structure with no evidence of columnar growth. However, all of the single and multilayer coatings exhibited relatively poor WVTRs of > 1 x 10-1 g/m2/day at 38 °C and 85 % RH. Further characterisation indicated that the barrier films were failing due to the presence of substrate asperities and airborne particulates. Different mechanisms were investigated in an attempt to reduce the density of film defects including incorporation of a getter layer, modification of growth kinetics, plasma treatment and polymer planarising, but none were successful in lowering the WVTR. Review of this issue indicated that the achievement of good barrier layers was likely to be problematic in commercial practice due to the cost implications of adequately reducing particulate density and the need to cover deliberately non-planar surfaces and fabricated 3D structures. Conformal coverage would therefore be required to bury surface structures and to mitigate particulate issues. Studies of the remote plasma system showed that it both inherently delivered an ionised physical vapour deposition (IPVD) process and was compatible with bias re-sputtering of substrates

  7. PREFACE: 13th High-Tech Plasma Processes Conference (HTPP-2014)

    NASA Astrophysics Data System (ADS)

    2014-11-01

    The High-Tech Plasma Processes - 13th European Plasma Conference (HTPP-2014) was held in Toulouse (France) on 22-27 June 2014. The conference series started in 1990 as a thermal plasma conference and has gradually expanded to include other related topics. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is an international conference organised in Europe every two years with topics encompassing the whole field of plasma processing science. The aim of the conference is to bring different scientific communities together, to facilitate contacts between science, technology and industry and to provide a platform for the exploration of both the fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have acheived a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 142 people from 17 countries attended the conference with the total number of contributions being 155, consisting of 8 plenary and 8 invited talks plus 51 oral and 88 poster contributions. We have received numerous papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed (60 referees with at least two reviewing each paper) and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 52 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We have grouped the papers into the following 5 topics: - Arc-Materials Interaction and Metallurgy - Plasma Torches and Spraying - Synthesis of Powders and Nanomaterials - Deposition and Surface Treatment - Non-Equilibrium Plasmas We deeply thank the authors for their enthusiastic and high

  8. Enhancement of burning velocity by dissociated oxygen atoms

    NASA Astrophysics Data System (ADS)

    Akashi, Haruaki; Yoshinaga, Tomokazu; Sasaki, Koichi

    2015-09-01

    Green technology, such as preventing global warming, has been developed for years. Researches on plasma assisted combustion is one of the technologies and have been done for investigating more efficient combustion, more efficient use of fossil fuel with plasmas or applying electric fields. In the ignition time delay analyses with the dissociated oxygen atoms which is generated by non-equilibrium plasma had significant effect on the ignition time. In this paper, dissociated oxygen could effect on burning velocity or not has been examined using CHEMKIN. As a result, no effect can be seen with dissociation degree of lower than 10-3. But there is an effect on the enhancement of burning velocity with higher degree of 10-3. At the dissociation degree of 5×10-2, the burning velocity is enhanced at a factor of 1.24. And it is found that the distributions of each species in front of preheat zone are completely different. The combustion process is proceeded several steps in advance, and generation of H2O, CO and CO2 can be seen before combustion in higher dissociation case. This work was supported by KAKENHI (22340170).

  9. Electron-Driven Processes: From Single Collision Experiments to High-Pressure Discharge Plasmas

    NASA Astrophysics Data System (ADS)

    Becker, Kurt

    2001-10-01

    Plasmas are complex systems which consist of various groups of interacting particles (neutral atoms and molecules in their ground states and in excite states, electrons, and positive and negative ions). In principle, one needs to understand and describe all interactions between these particles in order to model the properties of the plasma and to predict its behavior. However, two-body interactions are often the only processes of relevance and only a subset of all possible collisional interactions are important. The focus of this talk is on collisional and radiative processes in low-temperature plasmas, both at low and high pressures. We will limit the discussion (i) to ionization and dissociation processes in molecular low-pressure plasmas and (ii) to collisional and radiative processes in high-pressure plasmas in rare gases and mixtures of rare gases and N2, O2, and H2. Electron-impact dissociation processes can be divided into dissociative excitation and dissociation into neutral ground-state fragments. Neutral molecular dissociation has only recently received attention from experimentalists and theorists because of the serious difficulties associated with the investigation of these processes. Collisional and radiative processes in high-pressure plasmas provide a fertile environment to the study of interactions that go beyond binary collisions involving ground-state species. Step-wise processes and three-body collisions begin to dominate the behavior of such plasmas. We will discuss examples of such processes as they relate to high-pressure rare gas discharge plasmas. Work supported by NSF, DOE, DARPA, NASA, and ABA Inc.

  10. INTRODUCTION: Nonequilibrium Processes in Plasmas

    NASA Astrophysics Data System (ADS)

    Petrović, Zoran; Marić, Dragana; Malović, Gordana

    2009-07-01

    This book aims to give a cross section from a wide range of phenomena that, to different degrees, fall under the heading of non-equilibrium phenomenology. The selection is, of course, biased by the interests of the members of the scientific committee and of the FP6 Project 026328 IPB-CNP Reinforcing Experimental Centre for Non-equilibrium Studies with Application in Nano-technologies, Etching of Integrated Circuits and Environmental Research. Some of the papers included here are texts based on selected lectures presented at the Second International Workshop on Non-equilibrium Processes in Plasmas and Environmental Science. However, this volume is not just the proceedings of that conference as it contains a number of papers from authors that did not attend the conference. The goal was to put together a volume that would cover the interests of the project and support further work. It is published in the Institute of Physics journal Journal of Physics: Conference Series to ensure a wide accessibility of the articles. The texts presented here range from in-depth reviews of the current status and past achievements to progress reports of currently developed experimental devices and recently obtained still unpublished results. All papers have been refereed twice, first when speakers were selected based on their reputation and recently published results, and second after the paper was submitted both by the editorial board and individual assigned referees according to the standards of the conference and of the journal. Nevertheless, we still leave the responsibility (and honours) for the contents of the papers to the authors. The papers in this book are review articles that give a summary of the already published work or present the work in progress that will be published in full at a later date (or both). In the introduction to the first volume, in order to show how far reaching, ubiquitous and important non-equilibrium phenomena are, we claimed that ever since the early

  11. Sapphire substrate-induced effects in VO{sub 2} thin films grown by oxygen plasma-assisted pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Skuza, J. R., E-mail: jrskuza@nsu.edu, E-mail: apradhan@nsu.edu; Scott, D. W.; Pradhan, A. K., E-mail: jrskuza@nsu.edu, E-mail: apradhan@nsu.edu

    2015-11-21

    We investigate the structural and electronic properties of VO{sub 2} thin films on c-plane sapphire substrates with three different surface morphologies to control the strain at the substrate-film interface. Only non-annealed substrates with no discernible surface features (terraces) provided a suitable template for VO{sub 2} film growth with a semiconductor-metal transition (SMT), which was much lower than the bulk transition temperature. In addition to strain, oxygen vacancy concentration also affects the properties of VO{sub 2}, which can be controlled through deposition conditions. Oxygen plasma-assisted pulsed laser deposition allows favorable conditions for VO{sub 2} film growth with SMTs that can bemore » easily tailored for device applications.« less

  12. Development of barrier coatings for cellulosic-based materials by cold plasma methods

    NASA Astrophysics Data System (ADS)

    Denes, Agnes Reka

    Cellulose-based materials are ideal candidates for future industries that need to be based on environmentally safe technologies and renewable resources. Wood represents an important raw material and its application as construction material is well established. Cellophane is one of the most important cellulosic material and it is widely used as packaging material in the food industry. Outdoor exposure of wood causes a combination of physical and chemical degradation processes due to the combined effects of sunlight, moisture, fungi, and bacteria. Cold-plasma-induced surface modifications are an attractive way for tailoring the characteristics of lignocellulosic substrates to prevent weathering degradation. Plasma-polymerized hexamethyldisiloxane (PPHMDSO) was deposited onto wood surfaces to create water repellent characteristics. The presence of a crosslinked macromolecular structure was detected. The plasma coated samples exhibited very high water contact angle values indicating the existence of hydrophobic surfaces. Reflective and electromagnetic radiation-absorbent substances were incorporated with a high-molecular-weight polydimethylsiloxane polymer in liquid phase and deposited as thin layers on wood surfaces. The macromolecular films, containing the dispersed materials, were then converted into a three dimensional solid state network by exposure to a oxygen-plasma. It was demonstrated that both UV-absorbent and reflectant components incorporated into the plasma-generated PDMSO matrix protected the wood from weathering degradation. Reduced oxidation and less degradation was observed after simulated weathering. High water contact angle values indicated a strong hydrophobic character of the oxygen plasma-treated PDMSO-coated samples. Plasma-enhanced surface modifications and coatings were employed to create water-vapor barrier layers on cellophane substrate surfaces. HMDSO was selected as a plasma gas and oxygen was used to ablate amorphous regions. Oxygen plasma

  13. The Antitumor Effect of Singlet Oxygen.

    PubMed

    Bauer, Georg

    2016-11-01

    Tumor cells are protected against intercellular apoptosis-inducing signaling through expression of membrane-associated catalase and superoxide dismutase. Exogenous singlet oxygen derived from activated photosensitizers or from cold atmospheric plasma causes local inactivation of protective catalase which is followed by the generation of secondary extracellular singlet oxygen. This process is specific for tumor cells and is driven by a complex interaction between H 2 O 2 and peroxynitrite. Secondary singlet oxygen has the potential for autoamplification of its generation, resulting in optimal inactivation of protective catalase and reactivation of intercellular apoptosis-inducing signaling. An increase in the endogenous NO concentration also causes inactivation of catalase and autoamplificatory generation of secondary singlet oxygen. This principle is essential for the antitumor activity of secondary plant products, such as cyanidins and other inhibitors of NO dioxygenase. It seems that the action of the established chemotherapeutic taxol and the recently established antitumor effect of certain azoles are based on the same principles. Copyright© 2016 International Institute of Anticancer Research (Dr. John G. Delinassios), All rights reserved.

  14. Simulation of toluene decomposition in a pulse-periodic discharge operating in a mixture of molecular nitrogen and oxygen

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trushkin, A. N.; Kochetov, I. V.

    The kinetic model of toluene decomposition in nonequilibrium low-temperature plasma generated by a pulse-periodic discharge operating in a mixture of nitrogen and oxygen is developed. The results of numerical simulation of plasma-chemical conversion of toluene are presented; the main processes responsible for C{sub 6}H{sub 5}CH{sub 3} decomposition are identified; the contribution of each process to total removal of toluene is determined; and the intermediate and final products of C{sub 6}H{sub 5}CH{sub 3} decomposition are identified. It was shown that toluene in pure nitrogen is mostly decomposed in its reactions with metastable N{sub 2}(A{sub 3}{Sigma}{sub u}{sup +}) and N{sub 2}(a Primemore » {sup 1}{Sigma}{sub u}{sup -}) molecules. In the presence of oxygen, in the N{sub 2} : O{sub 2} gas mixture, the largest contribution to C{sub 6}H{sub 5}CH{sub 3} removal is made by the hydroxyl radical OH which is generated in this mixture exclusively due to plasma-chemical reactions between toluene and oxygen decomposition products. Numerical simulation showed the existence of an optimum oxygen concentration in the mixture, at which toluene removal is maximum at a fixed energy deposition.« less

  15. Metal droplet erosion and shielding plasma layer under plasma flows typical of transient processes in tokamaks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Martynenko, Yu. V., E-mail: Martynenko-YV@nrcki.ru

    It is shown that the shielding plasma layer and metal droplet erosion in tokamaks are closely interrelated, because shielding plasma forms from the evaporated metal droplets, while droplet erosion is caused by the shielding plasma flow over the melted metal surface. Analysis of experimental data and theoretical models of these processes is presented.

  16. Analysis of benzoquinone decomposition in solution plasma process

    NASA Astrophysics Data System (ADS)

    Bratescu, M. A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography.

  17. Microsecond-pulsed dielectric barrier discharge plasma stimulation of tissue macrophages for treatment of peripheral vascular disease

    PubMed Central

    Miller, V.; Lin, A.; Kako, F.; Gabunia, K.; Kelemen, S.; Brettschneider, J.; Fridman, G.; Fridman, A.; Autieri, M.

    2015-01-01

    Angiogenesis is the formation of new blood vessels from pre-existing vessels and normally occurs during the process of inflammatory reactions, wound healing, tissue repair, and restoration of blood flow after injury or insult. Stimulation of angiogenesis is a promising and an important step in the treatment of peripheral artery disease. Reactive oxygen species have been shown to be involved in stimulation of this process. For this reason, we have developed and validated a non-equilibrium atmospheric temperature and pressure short-pulsed dielectric barrier discharge plasma system, which can non-destructively generate reactive oxygen species and other active species at the surface of the tissue being treated. We show that this plasma treatment stimulates the production of vascular endothelial growth factor, matrix metalloproteinase-9, and CXCL 1 that in turn induces angiogenesis in mouse aortic rings in vitro. This effect may be mediated by the direct effect of plasma generated reactive oxygen species on tissue. PMID:26543345

  18. Microsecond-pulsed dielectric barrier discharge plasma stimulation of tissue macrophages for treatment of peripheral vascular disease

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miller, V., E-mail: vmiller@coe.drexel.edu; Lin, A.; Brettschneider, J.

    Angiogenesis is the formation of new blood vessels from pre-existing vessels and normally occurs during the process of inflammatory reactions, wound healing, tissue repair, and restoration of blood flow after injury or insult. Stimulation of angiogenesis is a promising and an important step in the treatment of peripheral artery disease. Reactive oxygen species have been shown to be involved in stimulation of this process. For this reason, we have developed and validated a non-equilibrium atmospheric temperature and pressure short-pulsed dielectric barrier discharge plasma system, which can non-destructively generate reactive oxygen species and other active species at the surface of themore » tissue being treated. We show that this plasma treatment stimulates the production of vascular endothelial growth factor, matrix metalloproteinase-9, and CXCL 1 that in turn induces angiogenesis in mouse aortic rings in vitro. This effect may be mediated by the direct effect of plasma generated reactive oxygen species on tissue.« less

  19. Recent developments in plasma spray processes for applications in energy technology

    NASA Astrophysics Data System (ADS)

    Mauer, G.; Jarligo, M. O.; Marcano, D.; Rezanka, S.; Zhou, D.; Vaßen, R.

    2017-03-01

    This work focuses on recent developments of plasma spray processes with respect to specific demands in energy technology. High Velocity Atmospheric Plasma Spraying (HV-APS) is a novel variant of plasma spraying devoted to materials which are prone to oxidation or decomposition. It is shown how this process can be used for metallic bondcoats in thermal barrier coating systems. Furthermore, Suspension Plasma Spraying (SPS) is a new method to process submicron-sized feedstock powders which are not sufficiently flowable to feed them in dry state. SPS is presently promoted by the development of novel torch concepts with axial feedstock injection. An example for a columnar structured double layer thermal barrier coating is given. Finally, Plasma Spray-Physical Vapor Deposition (PS-PVD) is a novel technology operating in controlled atmosphere at low pressure and high plasma power. At such condition, vaporization even of high-melting oxide ceramics is possible enabling the formation of columnar structured, strain tolerant coatings with low thermal conductivity. Applying different conditions, the deposition is still dominated by liquid splats. Such process is termed Low Pressure Plasma Spraying-Thin Film (LPPS-TF). Two examples of applications are gas-tight and highly ionic and electronic conductive electrolyte and membrane layers which were deposited on porous metallic substrates.

  20. Apparatus and method for plasma processing of SRF cavities

    NASA Astrophysics Data System (ADS)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  1. Modular and efficient ozone systems based on massively parallel chemical processing in microchannel plasma arrays: performance and commercialization

    NASA Astrophysics Data System (ADS)

    Kim, M.-H.; Cho, J. H.; Park, S.-J.; Eden, J. G.

    2017-08-01

    Plasmachemical systems based on the production of a specific molecule (O3) in literally thousands of microchannel plasmas simultaneously have been demonstrated, developed and engineered over the past seven years, and commercialized. At the heart of this new plasma technology is the plasma chip, a flat aluminum strip fabricated by photolithographic and wet chemical processes and comprising 24-48 channels, micromachined into nanoporous aluminum oxide, with embedded electrodes. By integrating 4-6 chips into a module, the mass output of an ozone microplasma system is scaled linearly with the number of modules operating in parallel. A 115 g/hr (2.7 kg/day) ozone system, for example, is realized by the combined output of 18 modules comprising 72 chips and 1,800 microchannels. The implications of this plasma processing architecture for scaling ozone production capability, and reducing capital and service costs when introducing redundancy into the system, are profound. In contrast to conventional ozone generator technology, microplasma systems operate reliably (albeit with reduced output) in ambient air and humidity levels up to 90%, a characteristic attributable to the water adsorption/desorption properties and electrical breakdown strength of nanoporous alumina. Extensive testing has documented chip and system lifetimes (MTBF) beyond 5,000 hours, and efficiencies >130 g/kWh when oxygen is the feedstock gas. Furthermore, the weight and volume of microplasma systems are a factor of 3-10 lower than those for conventional ozone systems of comparable output. Massively-parallel plasmachemical processing offers functionality, performance, and commercial value beyond that afforded by conventional technology, and is currently in operation in more than 30 countries worldwide.

  2. Nonthermal Radiation Processes in Interplanetary Plasmas

    NASA Astrophysics Data System (ADS)

    Chian, A. C. L.

    1990-11-01

    RESUMEN. En la interacci6n de haces de electrones energeticos con plasmas interplanetarios, se excitan ondas intensas de Langmuir debido a inestabilidad del haz de plasma. Las ondas Langmuir a su vez interaccio nan con fluctuaciones de densidad de baja frecuencia para producir radiaciones. Si la longitud de las ondas de Langmujr exceden las condicio nes del umbral, se puede efectuar la conversi5n de modo no lineal a on- das electromagneticas a traves de inestabilidades parametricas. As se puede excitar en un plasma inestabilidades parametricas electromagneticas impulsadas por ondas intensas de Langmuir: (1) inestabilidades de decaimiento/fusi5n electromagnetica impulsadas por una bomba de Lang- muir que viaja; (2) inestabilidades dobles electromagneticas de decai- miento/fusi5n impulsadas por dos bombas de Langrnuir directamente opues- tas; y (3) inestabilidades de dos corrientes oscilatorias electromagne- ticas impulsadas por dos bombas de Langmuir de corrientes contrarias. Se concluye que las inestabilidades parametricas electromagneticas in- ducidas por las ondas de Langmuir son las fuentes posibles de radiacio- nes no termicas en plasmas interplanetarios. ABSTRACT: Nonthermal radio emissions near the local electron plasma frequency have been detected in various regions of interplanetary plasmas: solar wind, upstream of planetary bow shock, and heliopause. Energetic electron beams accelerated by solar flares, planetary bow shocks, and the terminal shock of heliosphere provide the energy source for these radio emissions. Thus, it is expected that similar nonthermal radiation processes may be responsible for the generation of these radio emissions. As energetic electron beams interact with interplanetary plasmas, intense Langmuir waves are excited due to a beam-plasma instability. The Langmuir waves then interact with low-frequency density fluctuations to produce radiations near the local electron plasma frequency. If Langmuir waves are of sufficiently large

  3. Oxygen reduction reaction on highly-durable Pt/nanographene fuel cell catalyst synthesized employing in-liquid plasma

    NASA Astrophysics Data System (ADS)

    Amano, Tomoki; Kondo, Hiroki; Takeda, Keigo; Ishikawa, Kenji; Kano, Hiroyuki; Hiramatsu, Mineo; Sekine, Makoto; Hori, Masaru

    2016-09-01

    We recently have established ultrahigh-speed synthesis method of nanographene materials employing in-liquid plasma, and reported high durability of Pt/nanographene composites as a fuel cell catalyst. Crystallinity and domain size of nanographene materials were essential to their durability. However, their mechanism is not clarified yet. In this study, we investigated the oxygen reduction reaction using three-types of nanographene materials with different crystallinity and domain sizes, which were synthesized using ethanol, 1-propanol and 1-butanol, respectively. According to our previous studies, the nanographene material synthesized using the lower molecular weight alcohol has the higher crystallinity and larger domain size. Pt nanoparticles were supported on the nanographene surfaces by reducing 8 wt% H2PtCl6 diluted with H2O. Oxygen reduction current densities at a potential of 0.2 V vs. RHE were 5.43, 5.19 and 3.69 mA/cm2 for the samples synthesized using ethanol, 1-propanol and 1-butanol, respectively. This means that the higher crystallinity nanographene showed the larger oxygen reduction current density. The controls of crystallinity and domain size of nanographene materials are essential to not only their durability but also highly efficiency as catalyst electrodes.

  4. Microwave exposure as a fast and cost-effective alternative of oxygen plasma treatment of indium-tin oxide electrode for application in organic solar cells

    NASA Astrophysics Data System (ADS)

    Soultati, Anastasia; Kostis, Ioannis; Papadimitropoulos, Giorgos; Zeniou, Angelos; Gogolides, Evangelos; Alexandropoulos, Dimitris; Vainos, Nikos; Davazoglou, Dimitris; Speliotis, Thanassis; Stathopoulos, Nikolaos A.; Argitis, Panagiotis; Vasilopoulou, Maria

    2017-12-01

    Pre-treatment methods are commonly employed to clean as well as to modify electrode surfaces. Many previous reports suggest that modifying the surface properties of indium tin oxide (ITO) by oxygen plasma treatment is a crucial step for the fabrication of high performance organic solar cells. In this work, we propose a fast and cost-effective microwave exposure step for the modification of the surface properties of ITO anode electrodes used in organic solar cells. It is demonstrated that a short microwave exposure improves the hydrophilicity and reduces the roughness of the ITO surface, as revealed by contact angle and atomic force microscopy (AFM) measurements, respectively, leading to a better quality of the PEDOT:PSS film coated on top of it. Similar results were obtained with the commonly used oxygen plasma treatment of ITO suggesting that microwave exposure is an effective process for modifying the surface properties of ITO with the benefits of low-cost, easy and fast processing. In addition, the influence of the microwave exposure of ITO anode electrode on the performance of an organic solar cell based on the poly(3-hexylthiophene):[6,6]-phenyl C70 butyric acid methyl ester (P3HT:PC70BM) blend is investigated. The 71% efficiency enhancement obtained in the microwave annealed-ITO based device as compared to the device with the as-received ITO was mainly attributed to the improvement in the short circuit current (J sc) and decreased leakage current caused by the reduced series and the increased shunt resistances and also by the higher charge generation efficiency, and the reduced recombination losses.

  5. Signal processing methods for MFE plasma diagnostics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Candy, J.V.; Casper, T.; Kane, R.

    1985-02-01

    The application of various signal processing methods to extract energy storage information from plasma diamagnetism sensors occurring during physics experiments on the Tandom Mirror Experiment-Upgrade (TMX-U) is discussed. We show how these processing techniques can be used to decrease the uncertainty in the corresponding sensor measurements. The algorithms suggested are implemented using SIG, an interactive signal processing package developed at LLNL.

  6. Magnetic filter apparatus and method for generating cold plasma in semicoductor processing

    DOEpatents

    Vella, Michael C.

    1996-01-01

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a "cold plasma" which is diffused in the region of the process surface while the ion implantation process takes place.

  7. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    DOEpatents

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  8. Collisional and radiative processes in high-pressure discharge plasmas

    NASA Astrophysics Data System (ADS)

    Becker, Kurt H.; Kurunczi, Peter F.; Schoenbach, Karl H.

    2002-05-01

    Discharge plasmas at high pressures (up to and exceeding atmospheric pressure), where single collision conditions no longer prevail, provide a fertile environment for the experimental study of collisions and radiative processes dominated by (i) step-wise processes, i.e., the excitation of an already excited atomic/molecular state and by (ii) three-body collisions leading, for instance, to the formation of excimers. The dominance of collisional and radiative processes beyond binary collisions involving ground-state atoms and molecules in such environments allows for many interesting applications of high-pressure plasmas such as high power lasers, opening switches, novel plasma processing applications and sputtering, absorbers and reflectors for electromagnetic waves, remediation of pollutants and waste streams, and excimer lamps and other noncoherent vacuum-ultraviolet light sources. Here recent progress is summarized in the use of hollow cathode discharge devices with hole dimensions in the range 0.1-0.5 mm for the generation of vacuum-ultraviolet light.

  9. Method and apparatus for producing oxygenates from hydrocarbons

    DOEpatents

    Kong, Peter C.; Lessing, Paul A.

    1995-01-01

    A chemical reactor for oxygenating hydrocarbons includes: a) a dielectric barrier discharge plasma cell, the plasma cell comprising a pair of electrodes having a dielectric material and void therebetween, the plasma cell comprising a hydrocarbon gas inlet feeding to the void; b) a solid oxide electrochemical cell, the electrochemical cell comprising a solid oxide electrolyte positioned between a porous cathode and a porous anode, an oxygen containing gas inlet stream feeding to the porous cathode side of the electrochemical cell; c) a first gas passageway feeding from the void to the anode side of the electrochemical cell; and d) a gas outlet feeding from the anode side of the electrochemical cell to expel reaction products from the chemical reactor. A method of oxygenating hydrocarbons is also disclosed.

  10. 'Pre-prosthetic use of poly(lactic-co-glycolic acid) membranes treated with oxygen plasma and TiO2 nanocomposite particles for guided bone regeneration processes'.

    PubMed

    Castillo-Dalí, Gabriel; Castillo-Oyagüe, Raquel; Terriza, Antonia; Saffar, Jean-Louis; Batista-Cruzado, Antonio; Lynch, Christopher D; Sloan, Alastair J; Gutiérrez-Pérez, José-Luis; Torres-Lagares, Daniel

    2016-04-01

    Guided bone regeneration (GBR) processes are frequently necessary to achieve appropriate substrates before the restoration of edentulous areas. This study aimed to evaluate the bone regeneration reliability of a new poly-lactic-co-glycolic acid (PLGA) membrane after treatment with oxygen plasma (PO2) and titanium dioxide (TiO2) composite nanoparticles. Circumferential bone defects (diameter: 10mm; depth: 3mm) were created on the parietal bones of eight experimentation rabbits and were randomly covered with control membranes (Group 1: PLGA) or experimental membranes (Group 2: PLGA/PO2/TiO2). The animals were euthanized two months afterwards, and a morphologic study was then performed under microscope using ROI (region of interest) colour analysis. Percentage of new bone formation, length of mineralised bone formed in the grown defects, concentration of osteoclasts, and intensity of osteosynthetic activity were assessed. Comparisons among the groups and with the original bone tissue were made using the Kruskal-Wallis test. The level of significance was set in advance at a=0.05. The experimental group recorded higher values for new bone formation, mineralised bone length, and osteoclast concentration; this group also registered the highest osteosynthetic activity. Bone layers in advanced formation stages and low proportions of immature tissue were observed in the study group. The functionalised membranes showed the best efficacy for bone regeneration. The addition of TiO2 nanoparticles onto PLGA/PO2 membranes for GBR processes may be a promising technique to restore bone dimensions and anatomic contours as a prerequisite to well-supported and natural-appearing prosthetic rehabilitations. Copyright © 2016 Elsevier Ltd. All rights reserved.

  11. Effect of pores formation process and oxygen plasma treatment to hydroxyapatite formation on bioactive PEEK prepared by incorporation of precursor of apatite.

    PubMed

    Yabutsuka, Takeshi; Fukushima, Keito; Hiruta, Tomoko; Takai, Shigeomi; Yao, Takeshi

    2017-12-01

    When bioinert substrates with fine-sized pores are immersed in a simulated body fluid (SBF) and the pH value or the temperature is increased, fine particles of calcium phosphate, which the authors denoted as 'precursor of apatite' (PrA), are formed in the pores. By this method, hydroxyapatite formation ability can be provided to various kinds of bioinert materials. In this study, the authors studied fabrication methods of bioactive PEEK by using the above-mentioned process. First, the fine-sized pores were formed on the surface of the PEEK substrate by H 2 SO 4 treatment. Next, to provide hydrophilic property to the PEEK, the surfaces of the PEEK were treated with O 2 plasma. Finally, PrA were formed in the pores by the above-mentioned process, which is denoted as 'Alkaline SBF' treatment, and the bioactive PEEK was obtained. By immersing in SBF with the physiological condition, hydroxyapatite formation was induced on the whole surface of the substrate within 1day. The formation of PrA directly contributed to hydroxyapatite formation ability. By applying the O 2 plasma treatment, hydroxyapatite formation was uniformly performed on the whole surface of the substrate. The H 2 SO 4 treatment contributed to a considerable enhancement of adhesive strength of the formed hydroxyapatite layer formed in SBF because of the increase of surface areas of the substrate. As a comparative study, the sandblasting method was applied as the pores formation process instead of the H 2 SO 4 treatment. Although hydroxyapatite formation was provided also in this case, however, the adhesion of the formed hydroxyapatite layer to the substrate was not sufficient even if the O 2 plasma treatment was conducted. This result indicates that the fine-sized pores should be formed on the whole surface of the substrate uniformly to achieve high adhesive strength of the hydroxyapatite layer. Therefore, it is considered that the H 2 SO 4 treatment before the O 2 plasma and the 'Alkaline SBF' treatment

  12. Plasma and catalyst for the oxidation of NOx

    NASA Astrophysics Data System (ADS)

    Jõgi, Indrek; Erme, Kalev; Levoll, Erik; Raud, Jüri; Stamate, Eugen

    2018-03-01

    Efficient exhaust gas cleaning from NO x (NO and NO2) by absorption and adsorption based methods requires the oxidation of NO. The application of non-thermal plasma is considered as a promising oxidation method but the oxidation of NO by direct plasma remains limited due to the back-reaction of NO2 to NO mediated by O radicals in plasma. Indirect NO oxidation by plasma produced ozone allows to circumvent the back-reaction and further oxidize NO2 to N2O5 but the slow reaction rate for the latter process limits the efficiency of this process. Present paper gives an overview of the role of metal-oxide catalysts in the improvement of oxidation efficiency for both direct and indirect plasma oxidation of NO x . The plasma produced active oxygen species (O, O3) were shown to play an important role in the reactions taking place on the catalyst surfaces while the exact mechanism and extent of the effect were different for direct and indirect oxidation. In the case of direct plasma oxidation, both short and long lifetime oxygen species could reach the catalyst and participate in the oxidation of NO to NO2. The back-reaction in the plasma phase remained still important factor and limited the effect of catalyst. In the case of indirect oxidation, only ozone could reach the catalyst surface and improve the oxidation of NO2 to N2O5. The effect of catalyst at different experimental conditions was quantitatively described with the aid of simple global chemical kinetic models derived for the NO x oxidation either by plasma or ozone. The models allowed to compare the effect of different catalysts and to analyze the limitations for the efficiency improvement by catalyst.

  13. Oxygen-producing inert anodes for SOM process

    DOEpatents

    Pal, Uday B

    2014-02-25

    An electrolysis system for generating a metal and molecular oxygen includes a container for receiving a metal oxide containing a metallic species to be extracted, a cathode positioned to contact a metal oxide housed within the container; an oxygen-ion-conducting membrane positioned to contact a metal oxide housed within the container; an anode in contact with the oxygen-ion-conducting membrane and spaced apart from a metal oxide housed within the container, said anode selected from the group consisting of liquid metal silver, oxygen stable electronic oxides, oxygen stable crucible cermets, and stabilized zirconia composites with oxygen stable electronic oxides.

  14. Application of atmospheric pressure plasma in polymer and composite adhesion

    NASA Astrophysics Data System (ADS)

    Yu, Hang

    An atmospheric pressure helium and oxygen plasma was used to investigate surface activation and bonding in polymer composites. This device was operated by passing 1.0-3.0 vol% of oxygen in helium through a pair of parallel plate metal electrodes powered by 13.56 or 27.12 MHz radio frequency power. The gases were partially ionized between the capacitors where plasma was generated. The reactive species in the plasma were carried downstream by the gas flow to treat the substrate surface. The temperature of the plasm gas reaching the surface of the substrate did not exceed 150 °C, which makes it suitable for polymer processing. The reactive species in the plasma downstream includes ~ 1016-1017 cm-3 atomic oxygen, ~ 1015 cm-3 ozone molecule, and ~ 10 16 cm-3 metastable oxygen molecule (O2 1Deltag). The substrates were treated at 2-5 mm distance from the exit of the plasma. Surface properties of the substrates were characterized using water contact angle (WCA), atomic force microscopy (AFM), infrared spectroscopy (IR), and X-ray photoelectron spectroscopy (XPS). Subsequently, the plasma treated samples were bonded adhesively or fabricated into composites. The increase in mechanical strength was correlated to changes in the material composition and structure after plasma treatment. The work presented hereafter establishes atmospheric pressure plasma as an effective method to activate and to clean the surfaces of polymers and composites for bonding. This application can be further expanded to the activation of carbon fibers for better fiber-resin interactions during the fabrication of composites. Treating electronic grade FR-4 and polyimide with the He/O2 plasma for a few seconds changed the substrate surface from hydrophobic to hydrophilic, which allowed complete wetting of the surface by epoxy in underfill applications. Characterization of the surface by X-ray photoelectron spectroscopy shows formation of oxygenated functional groups, including hydroxyl, carbonyl, and

  15. Effects of irradiation distance on supply of reactive oxygen species to the bottom of a Petri dish filled with liquid by an atmospheric O{sub 2}/He plasma jet

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kawasaki, Toshiyuki, E-mail: kawasaki@nbu.ac.jp; Kusumegi, Shota; Kudo, Akihiro

    The impact of irradiation distances on plasma jet-induced specific effects on the supply of reactive oxygen species (ROS) to the bottom of a Petri dish filled with liquid was investigated using a KI-starch gel reagent that can be employed as a ROS indicator even in water. O{sub 3} exposure experiments without plasma irradiation were also performed to elucidate the specific effects of the plasma jet. Relative concentrations of ROS transported to the bottom were evaluated using absorbance measurements. The results indicated that ROS supply to the bottom is markedly enhanced by the plasma jet irradiation at shorter irradiation distances, whereasmore » similar results could not be obtained for the O{sub 3} exposure. In these cases, the liquid mixing in the depth direction was also enhanced by the plasma jet irradiation only, and the supply of reactive atomic oxygen to the liquid surface was markedly increased as well.« less

  16. UV excimer laser and low temperature plasma treatments of polyamide materials

    NASA Astrophysics Data System (ADS)

    Yip, Yiu Wan Joanne

    ) functional groups, which increased water absorption. However, after tetrafluoromethane plasma treatment it was found that the -CF, -CF2 and -CF3 groups were introduced to the polyamide surface and this enhanced the hydrophobicity of the fabric. Suggested explanations are given of the mechanisms that produce the structure of the polyamide after the processes of laser irradiation (both high- and low-fluence) and plasma treatment. The fundamental approach used in modelling was considered the temperature profile of the material during the treatment. The development of high-fluence induced structures was caused by elevated temperatures in the subsurface volume and preexisting stress caused by fiber extrusion. The structure formation under LF laser irradiation was determined by thermal effect accompanied by the optical phenomenon of interference. Ripple structures formed by plasma were closely related to physical or chemical etching. Possible applications of plasma and laser technologies in the textile and clothing industries are considered. Oxygen plasma seems to be the best candidate to improve the wettability of the fabric, while tetrafluoromethane plasma can be applied to produce a water repellent surface. Surface treatments including CF4 plasma, high-fluence and low-fluence laser treatments produce a deeper color in disperse dyed fabrics using the same amount of dyestuff as chemicals like leveling agents and dyestuff can be reduced during the textile manufacturing process. UV laser and low temperature plasma modification processes are promising techniques for polymer/fabric surface modification and have industrial potential as they are environmentally friendly dry processes which do not involve any solvents.

  17. Singlet oxygen generation in gas discharge for oxygen-iodine laser pumping

    NASA Astrophysics Data System (ADS)

    Lopaev, D. V.; Braginsky, O. V.; Klopovsky, K. S.; Kovalev, A. S.; Mankelevich, Yu. A.; Popov, N. A.; Rakhimov, A. T.; Rakhimova, T. V.; Vasilieva, A. N.

    2004-09-01

    The possibility of development of effective discharged singlet oxygen (SO) generator (DSOG) for oxygen-iodine laser (OIL) is studied in detail. Researches of kinetics of oxygen atoms and oxygen molecules in the lowest metastable singlet states have been carried out in the different discharges and its afterglow (DC discharges, E-beam controlled discharge and RF discharges) in both CW and pulsed mode in a wide range of conditions (pressures, gas mixtures, energy deposits etc.). The models developed for all the discharges have allowed us to analyze SO generation and loss mechanisms and to find out the key-parameters controlling the highest SO yield. It is shown that in addition to spatial plasma uniformity at low E/N and high specific energy deposit per oxygen molecule, DSOG must be oxygen atom free to avoid fast three-body quenching of SO by atomic oxygen with increasing pressure and thereby to provide pressure scaling (in tens Torrs) for applying to real OIL systems.

  18. Proteolytic Processing of Angiotensin-I in Human Blood Plasma

    PubMed Central

    Hildebrand, Diana; Merkel, Philipp; Eggers, Lars Florian; Schlüter, Hartmut

    2013-01-01

    In mammalian species, except humans, N-terminal processing of the precursor peptide angiotensin I (ANG-1-10) into ANG-2-10 or ANG-3-10 was reported. Here we hypothesize that aminopeptidase-generated angiotensins bearing the same C-terminus as ANG-1-10 are also present in humans. We demonstrate the time dependent generation of ANG-2-10, ANG-3-10, ANG-4-10, ANG-5-10 and ANG-6-10 from the precursor ANG-1-10 by human plasma proteins. The endogenous presence of ANG-4-10, ANG-5-10 and ANG-6-10 in human plasma was confirmed by an immuno-fluorescence assay. Generation of ANG-2-10, ANG-3-10 and ANG-4-10 from ANG-1-10 by immobilized human plasma proteins was sensitive to the cysteine/serine protease inhibitor antipain. The metal ion chelator EDTA inhibited Ang-6-10-generation. Incubation of the substrates ANG-3-10, ANG-4-10 and ANG-5-10 with recombinant aminopeptidase N (APN) resulted in a successive N-terminal processing, finally releasing ANG-6-10 as a stable end product, demonstrating a high similarity concerning the processing pattern of the angiotensin peptides compared to the angiotensin generating activity in plasma. Recombinant ACE-1 hydrolyzed the peptides ANG-2-10, ANG-3-10, ANG-4-10 and ANG-5-10 into ANG-2-8, ANG-3-8, ANG-4-8 and ANG-5-8. Since ANG-2-10 was processed into ANG-2-8, ANG-4-8 and ANG-5-8 by plasma proteases the angiotensin peptides bearing the same C-terminus as ANG-1-10 likely have a precursor function in human plasma. Our results confirm the hypothesis of aminopeptidase mediated processing of ANG-1-10 in humans. We show the existence of an aminopeptidase mediated pathway in humans that bypasses the known ANG-1-8-carboxypeptidase pathway. This expands the knowledge about the known human renin angiotensin system, showing how efficiently the precursor ANG-1-10 is used by nature. PMID:23724017

  19. Plasma assisted surface coating/modification processes - An emerging technology

    NASA Technical Reports Server (NTRS)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  20. Plasma assisted surface coating/modification processes: An emerging technology

    NASA Technical Reports Server (NTRS)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  1. A prototype of an electric-discharge gas flow oxygen-iodine laser: I. Modeling of the processes of singlet oxygen generation in a transverse cryogenic slab RF discharge

    NASA Astrophysics Data System (ADS)

    Vagin, N. P.; Ionin, A. A.; Kochetov, I. V.; Napartovich, A. P.; Sinitsyn, D. V.; Yuryshev, N. N.

    2017-03-01

    The existing kinetic model describing self-sustained and electroionization discharges in mixtures enriched with singlet oxygen has been modified to calculate the characteristics of a flow RF discharge in molecular oxygen and its mixtures with helium. The simulations were performed in the gas plug-flow approximation, i.e., the evolution of the plasma components during their motion along the channel was represented as their evolution in time. The calculations were carried out for the O2: He = 1: 0, 1: 1, 1: 2, and 1: 3 mixtures at an oxygen partial pressure of 7.5 Torr. It is shown that, under these conditions, volumetric gas heating in a discharge in pure molecular oxygen prevails over gas cooling via heat conduction even at an electrode temperature as low as 100 K. When molecular oxygen is diluted with helium, the behavior of the gas temperature changes substantially: heat removal begins to prevail over volumetric gas heating, and the gas temperature at the outlet of the discharge zone drops to 220-230 K at room gas temperature at the inlet, which is very important in the context of achieving the generation threshold in an electric-discharge oxygen-iodine laser based on a slab cryogenic RF discharge.

  2. 14th High-Tech Plasma Processes Conference (HTPP 14)

    NASA Astrophysics Data System (ADS)

    2017-04-01

    Preface The High-Tech Plasma Processes Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. This conference is open to all the international community in the world involved in plasma science and plasma technology. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have achieved a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 75 people from 17 countries attended the conference with the total number of contributions being 74, consisting of 19 invited talks and 55 poster contributions. As a HTPP tradition a poster competition has been carried out during the conference. The winner of the poster competition was Fabrice Mavier from Université de Limoges, France with his paper “Pulsed arc plasma jet synchronized with drop-on-demand dispenser” All the participants also ejoyed the social program including an “unconventional” tour of the city, the visit to the famous Hofbräuhaus and the dinner at the Blutenburg, a beautiful inner-city castle. We have received papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 18 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We deeply thank the authors for their enthusiastic and high-grade contributions and we

  3. A comparative study: Effect of plasma on V2O5 nanostructured thin films

    NASA Astrophysics Data System (ADS)

    Singh, Megha; Kumar, Prabhat; Sharma, Rabindar K.; Reddy, G. B.

    2016-05-01

    Vanadium pentoxide nanostructured thin films (NSTs) have been studied to analyze the effect of plasma on nanostructures grown and morphology of films deposited using sublimation process. Nanostructured thin films were deposited on glass substrates, one in presence of oxygen plasma and other in oxygen environment (absence of plasma). Films were characterized using XRD, Raman spectroscopy, SEM and HRTEM. XRD studies revealed α-V2O5 films (orthorhombic phase) with good crystallinity. However, film deposited in presence of plasma have higher peak intensities as compared to those deposited in absence of plasma. Raman studies also support these finding following same trends of considerable increase in intensity in case of film deposited in presence of plasma. SEM micrographs makes the difference more visible, as film deposited in plasma have well defined plate like structures whereas other film have not-clearly-defined petal-like structures. HRTEM results show orthorhombic phase with 0.39 nm interplanar spacing, as reported by XRD. Results are hereby in good agreement with each other.

  4. Effects of low temperature plasmas and plasma activated waters on Arabidopsis thaliana germination and growth

    PubMed Central

    Martinez, Yves; Merbahi, Nofel; Eichwald, Olivier; Dunand, Christophe

    2018-01-01

    Two plasma devices at atmospheric pressure (air dielectric barrier discharge and helium plasma jet) have been used to study the early germination of Arabidopsis thaliana seeds during the first days. Then, plasma activated waters are used during the later stage of plant development and growth until 42 days. The effects on both testa and endospserm ruptures during the germination stage are significant in the case of air plasma due to its higher energy and efficiency of producing reactive oxygen species than the case of helium plasma. The latter has shown distinct effects only for testa rupture. Analysis of germination stimulations are based on specific stainings for reactive oxygen species production, peroxidase activity and also membrane permeability tests. Furthermore, scanning electron microscopy (SEM) has shown a smoother seed surface for air plasma treated seeds that can explain the plasma induced-germination. During the growth stage, plants were watered using 4 kinds of water (tap and deionized waters activated or not by the low temperature plasma jet). With regards to other water kinds, the characterization of the tap water has shown a larger conductivity, acidity and concentration of reactive nitrogen and oxygen species. Only the tap water activated by the plasma jet has shown a significant effect on the plant growth. This effect could be correlated to reactive nitrogen species such as nitrite/nitrate species present in plasma activated tap water. PMID:29630641

  5. Surface modification of gutta-percha cones by non-thermal plasma.

    PubMed

    Prado, Maíra; Menezes, Marilia Santana de Oliveira; Gomes, Brenda Paula Figueiredo de Almeida; Barbosa, Carlos Augusto de Melo; Athias, Leonardo; Simão, Renata Antoun

    2016-11-01

    This study was designed to evaluate the effects of Oxygen and Argon plasma on gutta-percha surfaces. A total of 185 flat smooth gutta-percha surfaces were used. Samples were divided into groups: control: no plasma treatment; Oxygen: treatment with Oxygen plasma for 1min; Argon: treatment with Argon plasma for 1min. Samples were evaluated topographically by scanning electron microscopy and atomic force microscopy; and chemically by Fourier Transform-infrared Spectroscopy. A goniometer was used to determine the surface free energy and the wettability of the endodontic sealers. Additionally 60 bovine teeth were filled using pellets of gutta-percha (control, oxygen and argon plasma) and the sealers. Teeth were evaluated by push-out and microleakage tests. Data were statistically analyzed using specific tests. Argon plasma did not change the surface topography, while Oxygen plasma led to changes. Both treatments chemically modified the gutta-percha surface. Argon and Oxygen plasma increased the surface free energy and favored the wettability of AH Plus and Pulp Canal Sealer EWT. Regarding bond strength analysis, for AH Plus sealer, both plasma treatments on gutta-percha favored the bond strength to dentin. However, for Pulp Canal Sealer, there is no statistically significant influence. For leakage test, dye penetration occurred between sealer and dentin in all groups. In conclusion, Oxygen plasma led to both topographic and chemical changes in the gutta-percha surface, while Argon plasma caused only chemical changes. Both treatments increased the surface free energy, favoring the wettability of AH Plus and Pulp Canal Sealer EWT sealers and influenced positively in the adhesion and leakage. Copyright © 2016 Elsevier B.V. All rights reserved.

  6. Antimicrobial Applications of Ambient--Air Plasmas

    NASA Astrophysics Data System (ADS)

    Pavlovich, Matthew John

    The emerging field of plasma biotechology studies the applications of the plasma phase of matter to biological systems. "Ambient-condition" plasmas created at or near room temperature and atmospheric pressure are especially promising for biomedical applications because of their convenience, safety to patients, and compatibility with existing medical technology. Plasmas can be created from many different gases; plasma made from air contains a number of reactive oxygen and nitrogen species, or RONS, involved in various biological processes, including immune activity, signaling, and gene expression. Therefore, ambient-condition air plasma is of particular interest for biological applications. To understand and predict the effects of treating biological systems with ambient-air plasma, it is necessary to characterize and measure the chemical species that these plasmas produce. Understanding both gaseous chemistry and the chemistry in plasma-treated aqueous solution is important because many biological systems exist in aqueous media. Existing literature about ambient-air plasma hypothesizes the critical role of reactive oxygen and nitrogen species; a major aim of this dissertation is to better quantify RONS by produced ambient-air plasma and understand how RONS chemistry changes in response to different plasma processing conditions. Measurements imply that both gaseous and aqueous chemistry are highly sensitive to operating conditions. In particular, chemical species in air treated by plasma exist in either a low-power ozone-dominated mode or a high-power nitrogen oxide-dominated mode, with an unstable transition region at intermediate discharge power and treatment time. Ozone (O3) and nitrogen oxides (NO and NO2, or NOx) are mutually exclusive in this system and that the transition region corresponds to the transition from ozone- to nitrogen oxides-mode. Aqueous chemistry agrees well with to air plasma chemistry, and a similar transition in liquid-phase composition

  7. Method for atmospheric pressure reactive atom plasma processing for surface modification

    DOEpatents

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  8. High-frequency underwater plasma discharge application in antibacterial activity

    NASA Astrophysics Data System (ADS)

    Ahmed, M. W.; Choi, S.; Lyakhov, K.; Shaislamov, U.; Mongre, R. K.; Jeong, D. K.; Suresh, R.; Lee, H. J.

    2017-03-01

    Plasma discharge is a novel disinfection and effectual inactivation approach to treat microorganisms in aqueous systems. Inactivation of Gram-negative Escherichia coli ( E. coli) by generating high-frequency, high-voltage, oxygen (O2) injected and hydrogen peroxide (H2O2) added discharge in water was achieved. The effect of H2O2 dose and oxygen injection rate on electrical characteristics of discharge and E. coli disinfection has been reported. Microbial log reduction dependent on H2O2 addition with O2 injection was observed. The time variation of the inactivation efficiency quantified by the log reduction of the initial E. coli population on the basis of optical density measurement was reported. The analysis of emission spectrum recorded after discharge occurrence illustrated the formation of oxidant species (OH•, H, and O). Interestingly, the results demonstrated that O2 injected and H2O2 added, underwater plasma discharge had fabulous impact on the E. coli sterilization. The oxygen injection notably reduced the voltage needed for generating breakdown in flowing water and escalated the power of discharge pulses. No impact of hydrogen peroxide addition on breakdown voltage was observed. A significant role of oxidant species in bacterial inactivation also has been identified. Furthermore the E. coli survivability in plasma treated water with oxygen injection and hydrogen peroxide addition drastically reduced to zero. The time course study also showed that the retardant effect on E. coli colony multiplication in plasma treated water was favorable, observed after long time. High-frequency underwater plasma discharge based biological applications is technically relevant and would act as baseline data for the development of novel antibacterial processing strategies.

  9. Effects of oxygen content on the oxidation process of Si-containing steel during anisothermal heating

    NASA Astrophysics Data System (ADS)

    Yuan, Qing; Xu, Guang; Liang, Wei-cheng; He, Bei; Zhou, Ming-xing

    2018-02-01

    The oxidizing behavior of Si-containing steel was investigated in an O2 and N2 binary-component gas with oxygen contents ranging between 0.5vol% and 4.0vol% under anisothermal-oxidation conditions. A simultaneous thermal analyzer was employed to simulate the heating process of Si-containing steel in industrial reheating furnaces. The oxidation gas mixtures were introduced from the commencement of heating. The results show that the oxidizing rate remains constant in the isothermal holding process at high temperatures; therefore, the mass change versus time presents a linear law. A linear relation also exists between the oxidizing rate and the oxygen content. Using the linear regression equation, the oxidation rate at different oxygen contents can be predicted. In addition, the relationship between the total mass gain and the oxygen content is linear; thus, the total mass gain at oxygen contents between 0.5vol%-4.0vol% can be determined. These results enrich the theoretical studies of the oxidation process in Si-containing steels.

  10. Study of Pulsed vs. RF Plasma Properties for Surface Processing Applications

    NASA Astrophysics Data System (ADS)

    Tang, Ricky; Hopkins, Matthew; Barnat, Edward; Miller, Paul

    2015-09-01

    The ability to manipulate the plasma parameters (density, E/N) was previously demonstrated using a double-pulsed column discharge. Experiments extending this to large-surface plasmas of interest to the plasma processing community were conducted. Differences between an audio-frequency pulsed plasma and a radio-frequency (rf) discharge, both prevalent in plasma processing applications, were studied. Optical emission spectroscopy shows higher-intensity emission in the UV/visible range for the pulsed plasma comparing to the rf plasma at comparable powers. Data suggest that the electron energy is higher for the pulsed plasma leading to higher ionization, resulting in increased ion density and ion flux. Diode laser absorption measurements of the concentration of the 1S5 metastable and 1S4 resonance states of argon (correlated with the plasma E/N) provide comparisons between the excitation/ionization states of the two plasmas. Preliminary modeling efforts suggest that the low-frequency polarity switch causes a much more abrupt potential variation to support interesting transport phenomena, generating a ``wave'' of higher temperature electrons leading to more ionization, as well as ``sheath capture'' of a higher density bolus of ions that are then accelerated during polarity switch.

  11. Method and apparatus for producing oxygenates from hydrocarbons

    DOEpatents

    Kong, P.C.; Lessing, P.A.

    1995-06-27

    A chemical reactor for oxygenating hydrocarbons includes: (a) a dielectric barrier discharge plasma cell, the plasma cell comprising a pair of electrodes having a dielectric material and void therebetween, the plasma cell comprising a hydrocarbon gas inlet feeding to the void; (b) a solid oxide electrochemical cell, the electrochemical cell comprising a solid oxide electrolyte positioned between a porous cathode and a porous anode, an oxygen containing gas inlet stream feeding to the porous cathode side of the electrochemical cell; (c) a first gas passageway feeding from the void to the anode side of the electrochemical cell; and (d) a gas outlet feeding from the anode side of the electrochemical cell to expel reaction products from the chemical reactor. A method of oxygenating hydrocarbons is also disclosed. 4 figs.

  12. Water quality and processes affecting dissolved oxygen concentrations in the Blackwater River, Canaan Valley, West Virginia

    USGS Publications Warehouse

    Waldron, M.C.; Wiley, J.B.

    1996-01-01

    The water quality and environmental processes affecting dissolved oxygen were determined for the Blackwater River in Canaan Valley, West Virginia. Canaan Valley is oval-shaped (14 miles by 5 miles) and is located in the Allegheny Mountains at an average elevation of 3,200 feet above sea level. Tourism, population, and real estate development have increased in the past two decades. Most streams in Canaan Valley are a dilute calcium magnesium bicarbonate-type water. Streamwater typicaly was soft and low in alkalinity and dissolved solids. Maximum values for specific conductance, hardness, alkalinity, and dissolved solids occurred during low-flow periods when streamflow was at or near baseflow. Dissolved oxygen concentrations are most sensitive to processes affecting the rate of reaeration. The reaeration is affected by solubility (atmospheric pressure, water temperature, humidity, and cloud cover) and processes that determine stream turbulence (stream depth, width, velocity, and roughness). In the headwaters, photosynthetic dissolved oxygen production by benthic algae can result in supersaturated dissolved oxygen concentrations. In beaver pools, dissolved oxygen consumption from sediment oxygen demand and carbonaceous biochemical oxygen demand can result in dissolved oxygen deficits.

  13. Comparison of Plasma Polymerization under Collisional and Collision-Less Pressure Regimes.

    PubMed

    Saboohi, Solmaz; Jasieniak, Marek; Coad, Bryan R; Griesser, Hans J; Short, Robert D; Michelmore, Andrew

    2015-12-10

    While plasma polymerization is used extensively to fabricate functionalized surfaces, the processes leading to plasma polymer growth are not yet completely understood. Thus, reproducing processes in different reactors has remained problematic, which hinders industrial uptake and research progress. Here we examine the crucial role pressure plays in the physical and chemical processes in the plasma phase, in interactions at surfaces in contact with the plasma phase, and how this affects the chemistry of the resulting plasma polymer films using ethanol as the gas precursor. Visual inspection of the plasma reveals a change from intense homogeneous plasma at low pressure to lower intensity bulk plasma at high pressure, but with increased intensity near the walls of the chamber. It is demonstrated that this occurs at the transition from a collision-less to a collisional plasma sheath, which in turn increases ion and energy flux to surfaces at constant RF power. Surface analysis of the resulting plasma polymer films show that increasing the pressure results in increased incorporation of oxygen and lower cross-linking, parameters which are critical to film performance. These results and insights help to explain the considerable differences in plasma polymer properties observed by different research groups using nominally similar processes.

  14. Review of microscopic plasma processes of occurring during refilling of the plasmasphere

    NASA Technical Reports Server (NTRS)

    Singh, N.; Torr, D. G.

    1988-01-01

    Refilling of the plasmashere after geomagnetic storms involves both macroscopic and microscopic plasma processes. The latter types of processes facilitate the refilling by trapping the plasma in the flux tube and by thermalizing the interhemispheric flow. A review of studies on microscopic processes is presented. The primary focus in this review is on the processes when the density is low and the plasma is collisionless. The discussion includes electrostatic shock formation, pitch angle scatterring extended ion heating and localized ion heating in the equatorial region.

  15. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Saloum, S.

    2008-09-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions ( \\chi _{O_2 } =0 , 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at \\chi _{O_2 } =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (~one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm-1) in the spectral range of their PL emission, attractive for possible integrated optics devices.

  16. Numerical experiments on the PF1000 plasma focus device operated with nitrogen and oxygen gases

    NASA Astrophysics Data System (ADS)

    Akel, M.; Ismael, Sh.; Lee, S.; Saw, S. H.; Kunze, H. J.

    2017-06-01

    The indicative values of reduced Pease-Braginskii (P-B) currents are estimated for a nitrogen and oxygen plasma focus. The values of depletion times indicate that in N2 and O2 with estimated 3-4% of pinch energy radiating away over the duration of the pinch, we may expect some cooling effects leading to small reductions in radius ratio. In other gases with higher atomic number, the pinch duration is much more than the depletion time, so radiative contraction may be anticipated. The Lee model was employed to study the soft X-ray from PF1000 operated with nitrogen and oxygen. We found nitrogen soft X-ray yield in the water window region of 3.13 kJ, with the corresponding efficiency of 0.9% of the stored energy (E0), while for the oxygen it was found to be Ysxr = 4.9 kJ, with the efficiency of 1.4% E0. The very modest enhancement of compression (radius ratios around 0.1) in the pinches of these two gases gives rise to rather modest pinch energy densities (PEDs) under 109 Jm-3. This is in contrast to Kr or Xe where it had been shown that the radiative collapse leads to radius ratios of 0.007 and 0.003, respectively, with PEDs going to large values considerably exceeding 1012 Jm-3.

  17. Phase transitions, interparticle correlations, and elementary processes in dense plasmas

    NASA Astrophysics Data System (ADS)

    Ichimaru, Setsuo

    2017-12-01

    Astrophysical dense plasmas are those we find in the interiors, surfaces, and outer envelopes of stellar objects such as neutron stars, white dwarfs, the Sun, and giant planets. Condensed plasmas in the laboratory settings include those in ultrahigh-pressure metal-physics experiments undertaken for realization of metallic hydrogen. We review basic physics issues studied in the past 60 some years on the phase transitions, the interparticle correlations, and the elementary processes in dense plasmas, through survey on scattering of electromagnetic waves, equations of state, phase diagrams, transport processes, stellar and planetary magnetisms, and thermo- and pycnonuclear reactions.

  18. Numerical simulation of oxygen delivery to muscle tissue in the presence of hemoglobin-based oxygen carriers.

    PubMed

    Patton, Jaqunda N; Palmer, Andre F

    2006-01-01

    This work represents a culmination of research on oxygen transport to muscle tissue, which takes into account oxygen transport due to convection, diffusion, and the kinetics of simultaneous reactions between oxygen and hemoglobin and myoglobin. The effect of adding hemoglobin-based oxygen carriers (HBOCs) to the plasma layer of blood in a single capillary surrounded by muscle tissue based on the geometry of the Krogh tissue cylinder is examined for a range of HBOC oxygen affinity, HBOC concentration, capillary inlet oxygen tension (pO(2)), and hematocrit. The full capillary length of the hamster retractor muscle was modeled under resting (V(max) = 1.57 x 10(-4) mLO(2) mL(-1) s(-1), cell velocity (v(c)) = 0.015 cm/s) and working (V(max) = 1.57 x 10(-3) mLO(2) mL(-1) s(-1), v(c) = 0.075 cm/s) conditions. Two spacings between the red blood cell (RBC) and the capillary wall were examined, corresponding to a capillary with and without an endothelial surface layer. Simulations led to the following conclusions, which lend physiological insight into oxygen transport to muscle tissue in the presence of HBOCs: (1) The reaction kinetics between oxygen and myoglobin in the tissue region, oxygen and HBOCs in the plasma, and oxygen and RBCs in the capillary lumen should not be neglected. (2) Simulation results yielded new insight into possible mechanisms of oxygen transport in the presence of HBOCs. (3) HBOCs may act as a source or sink for oxygen in the capillary and may compete with RBCs for oxygen. (4) HBOCs return oxygen delivery to muscle tissue to normal for varying degrees of hypoxia (inlet capillary pO(2) < 30 mmHg) and anemia (hematocrit < 46%) for the hamster model.

  19. Effects of additional vapors on sterilization of microorganism spores with plasma-excited neutral gas

    NASA Astrophysics Data System (ADS)

    Matsui, Kei; Ikenaga, Noriaki; Sakudo, Noriyuki

    2015-01-01

    Some fundamental experiments are carried out in order to develop a plasma process that will uniformly sterilize both the space and inner wall of the reactor chamber at atmospheric pressure. Air, oxygen, argon, and nitrogen are each used as the plasma source gas to which mixed vapors of water and ethanol at different ratios are added. The reactor chamber is remotely located from the plasma area and a metal mesh for eliminating charged particles is installed between them. Thus, only reactive neutral particles such as plasma-excited gas molecules and radicals are utilized. As a result, adding vapors to the source gas markedly enhances the sterilization effect. In particular, air with water and/or ethanol vapor and oxygen with ethanol vapor show more than 6-log reduction for Geobacillus stearothermophilus spores.

  20. Oxygen transport membrane system and method for transferring heat to catalytic/process reactors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kelly, Sean M.; Kromer, Brian R.; Litwin, Michael M.

    A method and apparatus for producing heat used in a synthesis gas production process is provided. The disclosed method and apparatus include a plurality of tubular oxygen transport membrane elements adapted to separate oxygen from an oxygen containing stream contacting the retentate side of the membrane elements. The permeated oxygen is combusted with a hydrogen containing synthesis gas stream contacting the permeate side of the tubular oxygen transport membrane elements thereby generating a reaction product stream and radiant heat. The present method and apparatus also includes at least one catalytic reactor containing a catalyst to promote the steam reforming reactionmore » wherein the catalytic reactor is surrounded by the plurality of tubular oxygen transport membrane elements. The view factor between the catalytic reactor and the plurality of tubular oxygen transport membrane elements radiating heat to the catalytic reactor is greater than or equal to 0.5« less

  1. Plasma Shield for In-Air and Under-Water Beam Processes

    NASA Astrophysics Data System (ADS)

    Hershcovitch, Ady

    2007-11-01

    As the name suggests, the Plasma Shield is designed to chemically and thermally shield a target object by engulfing an area subjected to beam treatment with inert plasma. The shield consists of a vortex-stabilized arc that is employed to shield beams and workpiece area of interaction from atmospheric or liquid environment. A vortex-stabilized arc is established between a beam generating device (laser, ion or electron gun) and the target object. The arc, which is composed of a pure noble gas (chemically inert), engulfs the interaction region and shields it from any surrounding liquids like water or reactive gases. The vortex is composed of a sacrificial gas or liquid that swirls around and stabilizes the arc. In current art, many industrial processes like ion material modification by ion implantation, dry etching, and micro-fabrication, as well as, electron beam processing, like electron beam machining and electron beam melting is performed exclusively in vacuum, since electron guns, ion guns, their extractors and accelerators must be kept at a reasonably high vacuum, and since chemical interactions with atmospheric gases adversely affect numerous processes. Various processes involving electron ion and laser beams can, with the Plasma Shield be performed in practically any environment. For example, electron beam and laser welding can be performed under water, as well as, in situ repair of ship and nuclear reactor components. The plasma shield results in both thermal (since the plasma is hotter than the environment) and chemical shielding. The latter feature brings about in-vacuum process purity out of vacuum, and the thermal shielding aspect results in higher production rates. Recently plasma shielded electron beam welding experiments were performed resulting in the expected high quality in-air electron beam welding. Principle of operation and experimental results are to be discussed.

  2. Oxygen Transfer in Moving Bed Biofilm Reactor and Integrated Fixed Film Activated Sludge Processes.

    PubMed

    2017-11-17

    A demonstrated approach to design the, so-called, medium-bubble air diffusion network for oxygen transfer into the aerobic zone(s) of moving bed biofilm reactor (MBBR) and integrated fixed-film activated sludge (IFAS) processes is described in this paper. Operational full-scale biological water resource recovery systems treating municipal sewerage demonstrate that medium-bubble air diffusion networks designed using the method presented here provide reliable service. Further improvement is possible, however, as knowledge gaps prevent more rational process designs. Filling such knowledge gaps can potentially result in higher performing and more economical systems. Small-scale system testing demonstrates significant enhancement of oxygen transfer capacity due to the presence of media, but quantification of such effects in full-scale systems is lacking, and is needed. Establishment of the relationship between diffuser submergence, aeration rate, and biofilm carrier fill fraction will enhance MBBR and IFAS aerobic process design, cost, and performance. Limited testing of full-scale systems is available to allow computation of alpha valuess. As with clean water testing of full-scale systems, further full-scale testing under actual operating conditions is required to more fully quantify MBBR and IFAS system oxygen transfer performance under a wide range of operating conditions. Control of MBBR and IFAS aerobic zone oxygen transfer systems can be optimized by recognizing that varying residual dissolved oxygen (DO) concentrations are needed, depending on operating conditions. For example, the DO concentration in the aerobic zone of nitrifying IFAS processes can be lowered during warm weather conditions when greater suspended growth nitrification can occur, resulting in the need for reduced nitrification by the biofilm compartment. Further application of oxygen transfer control approaches used in activated sludge systems to MBBR and IFAS systems, such as ammonia-based oxygen

  3. Plasma-water interactions at atmospheric pressure in a dc microplasma

    NASA Astrophysics Data System (ADS)

    Patel, Jenish; Němcová, Lucie; Mitra, Somak; Graham, William; Maguire, Paul; Švrček, Vladimir; Mariotti, Davide

    2013-09-01

    Plasma-liquid interactions generate a variety of chemical species that are very useful for the treatment of many materials and that makes plasma-induced liquid chemistry (PiLC) very attractive for industrial applications. The understanding of plasma-induced chemistry with water can open up a vast range of plasma-activated chemistry in liquid with enormous potential for the synthesis of chemical compounds, nanomaterials synthesis and functionalization. However, this basic understanding of the chemistry occurring at the plasma-liquid interface is still poor. In the present study, different properties of water are analysed when processed by plasma at atmospheric-pressure with different conditions. In particular, pH, temperature and conductivity of water are measured against current and time of plasma processing. We also observed the formation of molecular oxygen (O2) and hydrogen peroxide (H2O2) for the same plasma conditions. The current of plasma processing was found to affect the water properties and the production of hydrogen peroxide in water. The relation between the number of electrons injected from plasma in water and the number of H2O2 molecules was established and based on these results a scenario of reactions channels activated by plasma-water interface is concluded.

  4. Impact of oxygen chemistry on the emission and fluorescence spectroscopy of laser ablation plumes

    NASA Astrophysics Data System (ADS)

    Hartig, K. C.; Brumfield, B. E.; Phillips, M. C.; Harilal, S. S.

    2017-09-01

    Oxygen present in the ambient gas medium may affect both laser-induced breakdown spectroscopy (LIBS) and laser-induced fluorescence (LIF) emission through a reduction of emission intensity and persistence. In this study, an evaluation is made on the role of oxygen in the ambient environment under atmospheric pressure conditions in LIBS and laser ablation (LA)-LIF emission. To generate plasmas, 1064 nm, 10 ns pulses were focused on an aluminum alloy sample. LIF was performed by frequency scanning a CW laser over the 396.15 nm (3s24s 2S1/2 → 3s23p 2P°3/2) Al I transition. Time-resolved emission and fluorescence signals were recorded to evaluate the variation in emission intensity caused by the presence of oxygen. The oxygen partial pressure (po) in the atmospheric pressure environment using N2 as the makeup gas was varied from 0 to 400 Torr O2. 2D-fluorescence spectroscopy images were obtained for various oxygen concentrations for simultaneous evaluation of the emission and excitation spectral features. Results showed that the presence of oxygen in the ambient environment reduces the persistence of the LIBS and LIF emission through an oxidation process that depletes the density of atomic species within the resulting laser-produced plasma (LPP) plume.

  5. Characterization of Ni ferrites powders prepared by plasma arc discharge process

    NASA Astrophysics Data System (ADS)

    Safari, A.; Gheisari, Kh.; Farbod, M.

    2017-01-01

    The aim of this work was to synthesize a single-phase spinel structure from a mixture of zinc, iron and nickel powders by plasma arc discharge method. A mixture of zinc, iron and nickel powders with the appropriate molar ratio was prepared and formed into a cylindrical shape. The synthesis process was performed in air, oxygen and argon atmospheres with the applied arc current of 400 A and pressure of 1 atm. After establishing an arc between the electrodes, the produced powders were collected and their structure and magnetic properties were examined by XRD and VSM, respectively. ZnO as an impurity was appeared in the as-produced powders owing to the high reactivity of zinc atoms, preventing the formation of Ni-Zn ferrite. A pure spinel structure with the highest saturation magnetization (43.8 emu/g) was observed as zinc powders removed completely from the initial mixture. Morphological evaluations using field emission scanning electron microscopy showed that the mean size of fabricated nanoparticles was in the range 100-200 nm and was dependent on the production conditions.

  6. Numerical analysis of the primary processes controlling oxygen dynamics on the Louisiana shelf

    NASA Astrophysics Data System (ADS)

    Yu, L.; Fennel, K.; Laurent, A.; Murrell, M. C.; Lehrter, J. C.

    2015-04-01

    The Louisiana shelf, in the northern Gulf of Mexico, receives large amounts of freshwater and nutrients from the Mississippi-Atchafalaya river system. These river inputs contribute to widespread bottom-water hypoxia every summer. In this study, we use a physical-biogeochemical model that explicitly simulates oxygen sources and sinks on the Louisiana shelf to identify the key mechanisms controlling hypoxia development. First, we validate the model simulation against observed dissolved oxygen concentrations, primary production, water column respiration, and sediment oxygen consumption. In the model simulation, heterotrophy is prevalent in shelf waters throughout the year, except near the mouths of the Mississippi and Atchafalaya rivers, where primary production exceeds respiratory oxygen consumption during June and July. During this time, efflux of oxygen to the atmosphere, driven by photosynthesis and surface warming, becomes a significant oxygen sink. A substantial fraction of primary production occurs below the pycnocline in summer. We investigate whether this primary production below the pycnocline is mitigating the development of hypoxic conditions with the help of a sensitivity experiment where we disable biological processes in the water column (i.e., primary production and water column respiration). With this experiment we show that below-pycnocline primary production reduces the spatial extent of hypoxic bottom waters only slightly. Our results suggest that the combination of physical processes (advection and vertical diffusion) and sediment oxygen consumption largely determine the spatial extent and dynamics of hypoxia on the Louisiana shelf.

  7. Numerical analysis of the primary processes controlling oxygen dynamics on the Louisiana Shelf

    NASA Astrophysics Data System (ADS)

    Yu, L.; Fennel, K.; Laurent, A.; Murrell, M. C.; Lehrter, J. C.

    2014-10-01

    The Louisiana shelf in the northern Gulf of Mexico receives large amounts of freshwater and nutrients from the Mississippi/Atchafalaya River system. These river inputs contribute to widespread bottom-water hypoxia every summer. In this study, we use a physical-biogeochemical model that explicitly simulates oxygen sources and sinks on the Louisiana shelf to identify the key mechanisms controlling hypoxia development. First, we validate the model simulation against observed dissolved oxygen concentrations, primary production, water column respiration, and sediment oxygen consumption. In the model simulation, heterotrophy is prevalent in shelf waters throughout the year except near the mouths of the Mississippi and Atchafalaya Rivers where primary production exceeds respiratory oxygen consumption during June and July. During this time, efflux of oxygen to the atmosphere, driven by photosynthesis and surface warming, becomes a significant oxygen sink while the well-developed pycnocline isolates autotrophic surface waters from the heterotrophic and hypoxic waters below. A substantial fraction of primary production occurs below the pycnocline in summer. We investigate whether this primary production below the pycnocline is mitigating the development of hypoxic conditions with the help of a sensitivity experiment where we disable biological processes in the water column (i.e. primary production and water column respiration). In this experiment below-pycnocline primary production reduces the spatial extent of hypoxic bottom waters only slightly. Our results suggest that the combination of physical processes and sediment oxygen consumption largely determine the spatial extent and dynamics of hypoxia on the Louisiana shelf.

  8. A review on plasma-etch-process induced damage of HgCdTe

    NASA Astrophysics Data System (ADS)

    Liu, Lingfeng; Chen, Yiyu; Ye, Zhenhua; Ding, Ruijun

    2018-05-01

    Dry etching techniques with minimal etch induced damage are required to develop highly anisotropic etch for pixel delineation of HgCdTe infrared focal plane arrays (IRFPAs). High density plasma process has become the main etching technique for HgCdTe in the past twenty years, In this paper, high density plasma electron cyclotron resonance (ECR) and inductively coupled plasma (ICP) etching of HgCdTe are summarized. Common plasma-etch-process induced type conversion and related mechanisms are reviewed particularly.

  9. Generation and Role of Reactive Oxygen and Nitrogen Species Induced by Plasma, Lasers, Chemical Agents, and Other Systems in Dentistry

    PubMed Central

    Jha, Nayansi; Ryu, Jae Jun

    2017-01-01

    The generation of reactive oxygen and nitrogen species (RONS) has been found to occur during inflammatory procedures, during cell ischemia, and in various crucial developmental processes such as cell differentiation and along cell signaling pathways. The most common sources of intracellular RONS are the mitochondrial electron transport system, NADH oxidase, and cytochrome P450. In this review, we analyzed the extracellular and intracellular sources of reactive species, their cell signaling pathways, the mechanisms of action, and their positive and negative effects in the dental field. In dentistry, ROS can be found—in lasers, photosensitizers, bleaching agents, cold plasma, and even resin cements, all of which contribute to the generation and prevalence of ROS. Nonthermal plasma has been used as a source of ROS for biomedical applications and has the potential for use with dental stem cells as well. There are different types of dental stem cells, but their therapeutic use remains largely untapped, with the focus currently on only periodontal ligament stem cells. More research is necessary in this area, including studies about ROS mechanisms with dental cells, along with the utilization of reactive species in redox medicine. Such studies will help to provide successful treatment modalities for various diseases. PMID:29204250

  10. Plasma fluorination of vertically aligned carbon nanotubes: functionalization and thermal stability.

    PubMed

    Struzzi, Claudia; Scardamaglia, Mattia; Hemberg, Axel; Petaccia, Luca; Colomer, Jean-François; Snyders, Rony; Bittencourt, Carla

    2015-01-01

    Grafting of fluorine species on carbon nanostructures has attracted interest due to the effective modification of physical and chemical properties of the starting materials. Various techniques have been employed to achieve a controlled fluorination yield; however, the effect of contaminants is rarely discussed, although they are often present. In the present work, the fluorination of vertically aligned multiwalled carbon nanotubes was performed using plasma treatment in a magnetron sputtering chamber with fluorine diluted in an argon atmosphere with an Ar/F2 ratio of 95:5. The effect of heavily diluted fluorine in the precursor gas mixture is investigated by evaluating the modifications in the nanotube structure and the electronic properties upon plasma treatment. The existence of oxygen-based grafted species is associated with background oxygen species present in the plasma chamber in addition to fluorine. The thermal stability and desorption process of the fluorine species grafted on the carbon nanotubes during the fluorine plasma treatment were evaluated by combining different spectroscopic techniques.

  11. Application of Atmospheric-Pressure Microwave Line Plasma for Low Temperature Process

    NASA Astrophysics Data System (ADS)

    Suzuki, Haruka; Nakano, Suguru; Itoh, Hitoshi; Sekine, Makoto; Hori, Masaru; Toyoda, Hirotaka

    2015-09-01

    Atmospheric pressure (AP) plasmas have been given much attention because of its high cost benefit and a variety of possibilities for industrial applications. In various kinds of plasma production technique, pulsed-microwave discharge plasma using slot antenna is attractive due to its ability of high-density and stable plasma production. In this plasma source, however, size of the plasma has been limited up to a few cm in length due to standing wave inside a waveguide. To solve this, we have proposed a newly-developed AP microwave plasma source that utilizes not standing wave but travelling wave. By using this plasma source, spatially-uniform AP line plasma with 40 cm in length was realized by pure helium discharge in 60 cm slot and with nitrogen gas additive of 1%. Furthermore, gas temperature as low as 400 K was realized in this device. In this study, as an example of low temperature processes, hydrophilic treatment of PET films was performed. Processing speed increased with pulse frequency and a water contact angle of ~20° was easily obtained within 5 s with no thermal damage to the substrate. To evaluate treatment-uniformity of long line length, PET films were treated by 90 cm slot-antenna plasma and uniform treatment performance was confirmed.

  12. Development of non-thermal plasma jet and its potential application for color degradation of organic pollutant in wastewater treatment

    NASA Astrophysics Data System (ADS)

    Pirdo Kasih, Tota; Kharisma, Angel; Perdana, Muhammad Kevin; Murphiyanto, Richard Dimas Julian

    2017-12-01

    This paper presents the development of non-thermal plasma-based AOPs for color degradation in wastewater treatment. The plasma itself was generated by an in-house high voltage power supply (HVPS). Instead of gas-phase plasma system, we applied plasma jet system underwater during wastewater treatment without additional any chemicals (chemical-free processing). The method is thought to maximize the energy transfer and increase the efficient interaction between plasma and solution during the process. Our plasma jet system could proceed either by using helium (He), argon (Ar) and air as the medium in an open air atmosphere. Exploring the developed plasma to be applied in organic wastewater treatment, we demonstrated that the plasma jet could be generated underwater and yields in color degradation of methylene blue (MB) wastewater model. When using Ar gas as a medium, the color degradation of MB could be achieved within 90 minutes. Whereas, by using Ar with an admixing of oxygen (O2) gas, the similar result could be accomplished within 60 minutes. Additional O2 gas in the latter might produce more hydroxyl radicals and oxygen-based species which speed up the oxidative reaction with organic pollutants, and hence accelerate the process of color degradation.

  13. Numerical study of nonequilibrium plasma assisted detonation initiation in detonation tube

    NASA Astrophysics Data System (ADS)

    Zhou, Siyin; Wang, Fang; Che, Xueke; Nie, Wansheng

    2016-12-01

    Nonequilibrium plasma has shown great merits in ignition and combustion nowadays, which should be especially useful for hypersonic propulsion. A coaxial electrodes configuration was established to investigate the effect of alternating current (AC) dielectric barrier discharge nonequilibrium plasma on the detonation initiation process in a hydrogen-oxygen mixture. A discharge simulation-combustion simulation loosely coupled method was used to simulate plasma assisted detonation initiation. First, the dielectric barrier discharge in the hydrogen-oxygen mixture driven by an AC voltage was simulated, which takes 17 kinds of particles (including positively charged particles, negatively charged particles, and neutral particles) and 47 reactions into account. The temporal and spatial characteristics of the discharge products were obtained. Then, the discharge products were incorporated into the combustion model of a detonation combustor as the initial conditions for the later detonation initiation simulation. Results showed that the number density distributions of plasma species are different in space and time, and develop highly nonuniformly from high voltage electrode to grounded electrode at certain times. All the active species reach their highest concentration at approximately 0.6T (T denotes a discharge cycle). Compared with the no plasma case, the differences of flowfield shape mainly appear in the early stage of the deflagration to detonation transition process. None of the sub-processes (including the very slow combustion, deflagration, over-driven detonation, detonation decay, and propagation of a self-sustained stable detonation wave) have been removed by the plasma. After the formation of a C-J detonation wave, the whole flowfield remains unchanged. With the help of plasma, the deflagration to detonation transition (DDT) time and distance are reduced by about 11.6% and 12.9%, respectively, which should be attributed to the active particles effect of

  14. Microwave processes in the SPD-ATON stationary plasma thruster

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kirdyashev, K. P., E-mail: kpk@ms.ire.rssi.ru

    2016-09-15

    Results of experimental studies of microwave processes accompanying plasma acceleration in the SPD-ATON stationary plasma thruster are presented. Specific features of the generation of microwave oscillations in both the acceleration channel and the plasma flow outgoing from the thruster are analyzed on the basis of local measurements of the spectra of the plasma wave fields. Mechanisms for generation of microwave oscillations are considered with allowance for the inhomogeneity of the electron density and magnetic field behind the edge of the acceleration channel. The effect of microwave oscillations on the electron transport and the formation of the discharge current in themore » acceleration channel is discussed.« less

  15. Influence of Operating Parameters on Surface Properties of RF Glow Discharge Oxygen Plasma Treated TiO2/PET Film for Biomedical Application

    EPA Science Inventory

    Thin transparent titania (TiO2) films were coated on the surface of flexible poly (ethylene terephthalate) (PET) surface using standard sol gel techniques. The TiO2/PET thin film surfaces were further modified by exposing the films to a RF glow discharge oxygen plasma. The exposu...

  16. Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low T{sub e} plasma sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jagtiani, Ashish V.; Miyazoe, Hiroyuki; Chang, Josephine

    2016-01-15

    The ability to achieve atomic layer precision is the utmost goal in the implementation of atomic layer etch technology. Carbon-based materials such as carbon nanotubes (CNTs) and graphene are single atomic layers of carbon with unique properties and, as such, represent the ultimate candidates to study the ability to process with atomic layer precision and assess impact of plasma damage to atomic layer materials. In this work, the authors use these materials to evaluate the atomic layer processing capabilities of electron beam generated plasmas. First, the authors evaluate damage to semiconducting CNTs when exposed to beam-generated plasmas and compare thesemore » results against the results using typical plasma used in semiconductor processing. The authors find that the beam generated plasma resulted in significantly lower current degradation in comparison to typical plasmas. Next, the authors evaluated the use of electron beam generated plasmas to process graphene-based devices by functionalizing graphene with fluorine, nitrogen, or oxygen to facilitate atomic layer deposition (ALD). The authors found that all adsorbed species resulted in successful ALD with varying impact on the transconductance of the graphene. Furthermore, the authors compare the ability of both beam generated plasma as well as a conventional low ion energy inductively coupled plasma (ICP) to remove silicon nitride (SiN) deposited on top of the graphene films. Our results indicate that, while both systems can remove SiN, an increase in the D/G ratio from 0.08 for unprocessed graphene to 0.22 to 0.26 for the beam generated plasma, while the ICP yielded values from 0.52 to 1.78. Generally, while some plasma-induced damage was seen for both plasma sources, a much wider process window as well as far less damage to CNTs and graphene was observed when using electron beam generated plasmas.« less

  17. Quantum tunneling resonant electron transfer process in Lorentzian plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hong, Woo-Pyo; Jung, Young-Dae, E-mail: ydjung@hanyang.ac.kr; Department of Applied Physics and Department of Bionanotechnology, Hanyang University, Ansan, Kyunggi-Do 426-791

    The quantum tunneling resonant electron transfer process between a positive ion and a neutral atom collision is investigated in nonthermal generalized Lorentzian plasmas. The result shows that the nonthermal effect enhances the resonant electron transfer cross section in Lorentzian plasmas. It is found that the nonthermal effect on the classical resonant electron transfer cross section is more significant than that on the quantum tunneling resonant charge transfer cross section. It is shown that the nonthermal effect on the resonant electron transfer cross section decreases with an increase of the Debye length. In addition, the nonthermal effect on the quantum tunnelingmore » resonant electron transfer cross section decreases with increasing collision energy. The variation of nonthermal and plasma shielding effects on the quantum tunneling resonant electron transfer process is also discussed.« less

  18. Modelling of plasma processes in cometary and planetary atmospheres

    NASA Astrophysics Data System (ADS)

    Campbell, L.; Brunger, M. J.

    2013-02-01

    Electrons from the Sun, often accelerated by magnetospheric processes, produce low-density plasmas in the upper atmospheres of planets and their satellites. The secondary electrons can produce further ionization, dissociation and excitation, leading to enhancement of chemical reactions and light emission. Similar processes are driven by photoelectrons produced by sunlight in upper atmospheres during daytime. Sunlight and solar electrons drive the same processes in the atmospheres of comets. Thus for both understanding of planetary atmospheres and in predicting emissions for comparison with remote observations it is necessary to simulate the processes that produce upper atmosphere plasmas. In this review, we describe relevant models and their applications and address the importance of electron-impact excitation cross sections, towards gaining a quantitative understanding of the phenomena in question.

  19. Spectral line intensity irreversibility in circulatory plasma magnetization processes

    NASA Astrophysics Data System (ADS)

    Qu, Z. Q.; Dun, G. T.

    2012-01-01

    Spectral line intensity variation is found to be irreversible in circulatory plasma magnetization process by experiments described in this paper, i.e., the curves illustrating spectral line photon fluxes irradiated from a light source immerged in a magnetic field by increasing the magnetic induction cannot be reproduced by decreasing the magnetic induction within the errors. There are two plasma magnetization patterns found. One shows that the intensities are greater at the same magnetic inductions during the magnetic induction decreasing process after the increasing, and the other gives the opposite effect. This reveals that the magneto-induced excitation and de-excitation process is irreversible like ferromagnetic magnetization. But the two irreversible processes are very different in many aspects stated in the text.

  20. Investigation of Recombination Processes In A Magnetized Plasma

    NASA Technical Reports Server (NTRS)

    Chavers, Greg; Chang-Diaz, Franklin; Rodgers, Stephen L. (Technical Monitor)

    2002-01-01

    Interplanetary travel requires propulsion systems that can provide high specific impulse (Isp), while also having sufficient thrust to rapidly accelerate large payloads. One such propulsion system is the Variable Specific Impulse Magneto-plasma Rocket (VASIMR), which creates, heats, and exhausts plasma to provide variable thrust and Isp, optimally meeting the mission requirements. A large fraction of the energy to create the plasma is frozen in the exhaust in the form of ionization energy. This loss mechanism is common to all electromagnetic plasma thrusters and has an impact on their efficiency. When the device operates at high Isp, where the exhaust kinetic energy is high compared to the ionization energy, the frozen flow component is of little consequence; however, at low Isp, the effect of the frozen flow may be important. If some of this energy could be recovered through recombination processes, and re-injected as neutral kinetic energy, the efficiency of VASIMR, in its low Isp/high thrust mode may be improved. In this operating regime, the ionization energy is a large portion of the total plasma energy. An experiment is being conducted to investigate the possibility of recovering some of the energy used to create the plasma. This presentation will cover the progress and status of the experiment involving surface recombination of the plasma.

  1. Freeze-drying process monitoring using a cold plasma ionization device.

    PubMed

    Mayeresse, Y; Veillon, R; Sibille, P H; Nomine, C

    2007-01-01

    A cold plasma ionization device has been designed to monitor freeze-drying processes in situ by monitoring lyophilization chamber moisture content. This plasma device, which consists of a probe that can be mounted directly on the lyophilization chamber, depends upon the ionization of nitrogen and water molecules using a radiofrequency generator and spectrometric signal collection. The study performed on this probe shows that it is steam sterilizable, simple to integrate, reproducible, and sensitive. The limitations include suitable positioning in the lyophilization chamber, calibration, and signal integration. Sensitivity was evaluated in relation to the quantity of vials and the probe positioning, and correlation with existing methods, such as microbalance, was established. These tests verified signal reproducibility through three freeze-drying cycles. Scaling-up studies demonstrated a similar product signature for the same product using pilot-scale and larger-scale equipment. On an industrial scale, the method efficiently monitored the freeze-drying cycle, but in a larger industrial freeze-dryer the signal was slightly modified. This was mainly due to the positioning of the plasma device, in relation to the vapor flow pathway, which is not necessarily homogeneous within the freeze-drying chamber. The plasma tool is a relevant method for monitoring freeze-drying processes and may in the future allow the verification of current thermodynamic freeze-drying models. This plasma technique may ultimately represent a process analytical technology (PAT) approach for the freeze-drying process.

  2. High-frequency underwater plasma discharge application in antibacterial activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmed, M. W.; Choi, S.; Lyakhov, K.

    Plasma discharge is a novel disinfection and effectual inactivation approach to treat microorganisms in aqueous systems. Inactivation of Gram-negative Escherichia coli (E. coli) by generating high-frequency, high-voltage, oxygen (O{sub 2}) injected and hydrogen peroxide (H{sub 2}O{sub 2}) added discharge in water was achieved. The effect of H{sub 2}O{sub 2} dose and oxygen injection rate on electrical characteristics of discharge and E. coli disinfection has been reported. Microbial log reduction dependent on H{sub 2}O{sub 2} addition with O{sub 2} injection was observed. The time variation of the inactivation efficiency quantified by the log reduction of the initial E. coli population onmore » the basis of optical density measurement was reported. The analysis of emission spectrum recorded after discharge occurrence illustrated the formation of oxidant species (OH{sup •}, H, and O). Interestingly, the results demonstrated that O{sub 2} injected and H{sub 2}O{sub 2} added, underwater plasma discharge had fabulous impact on the E. coli sterilization. The oxygen injection notably reduced the voltage needed for generating breakdown in flowing water and escalated the power of discharge pulses. No impact of hydrogen peroxide addition on breakdown voltage was observed. A significant role of oxidant species in bacterial inactivation also has been identified. Furthermore the E. coli survivability in plasma treated water with oxygen injection and hydrogen peroxide addition drastically reduced to zero. The time course study also showed that the retardant effect on E. coli colony multiplication in plasma treated water was favorable, observed after long time. High-frequency underwater plasma discharge based biological applications is technically relevant and would act as baseline data for the development of novel antibacterial processing strategies.« less

  3. Experimental validation of a phenomenological model of the plasma contacting process

    NASA Technical Reports Server (NTRS)

    Williams, John D.; Wilbur, Paul J.; Monheiser, Jeff M.

    1988-01-01

    A preliminary model of the plasma coupling process is presented which describes the phenomena observed in ground-based experiments using a hollow cathode plasma contactor to collect electrons from a dilute ambient plasma under conditions where magnetic field effects can be neglected. The locations of the double-sheath region boundaries are estimated and correlated with experimental results. Ion production mechanisms in the plasma plume caused by discharge electrons from the contactor cathode and by electrons streaming into the plasma plume through the double-sheath from the ambient plasma are also discussed.

  4. Influence of oxygen on the carbide formation on tungsten

    NASA Astrophysics Data System (ADS)

    Luthin, J.; Linsmeier, Ch.

    2001-03-01

    As a first wall material in nuclear fusion devices, tungsten will interact with carbon and oxygen from the plasma. In this study, we report on the process of thermally induced carbide formation of thin carbon films on polycrystalline tungsten and the influence of oxygen on this process. All investigations are performed using X-ray photoelectron spectroscopy (XPS). Carbon films are supplied through electron beam evaporation of graphite. The carbidization process, monitored during increased substrate temperature, can be divided into four phases. In phase I disordered carbon converts into graphite-like carbon. In phase II significant diffusion and the reaction to W 2C is observed, followed by phase III which is dominated by the presence of W 2C and the beginning reaction to WC. Finally in phase IV only WC is present, but the total carbon amount has strongly decreased. Different mechanisms of oxygen influence on the carbide formation are proposed and measurements of the reaction of carbon on tungsten with intermediate oxide layers are presented in detail. A WO 2+ x intermediate layer completely inhibits the carbide formation, while a WO 2 layer leads to WC formation at temperatures above 1270 K.

  5. Investigating Tribological Characteristics of HVOF Sprayed AISI 316 Stainless Steel Coating by Pulsed Plasma Nitriding

    NASA Astrophysics Data System (ADS)

    Mindivan, H.

    2018-01-01

    In this study, surface modification of aluminum alloy using High-Velocity Oxygen Fuel (HVOF) thermal spray and pulsed plasma nitriding processes was investigated. AISI 316 stainless steel coating on 1050 aluminum alloy substrate by HVOF process was pulsed plasma nitrided at 793 K under 0.00025 MPa pressure for 43200 s in a gas mixture of 75 % N2 and 25 % H2. The results showed that the pulse plasma nitriding process produced a surface layer with CrN, iron nitrides (Fe3N, Fe4N) and expanded austenite (γN). The pulsed plasma nitrided HVOF-sprayed coating showed higher surface hardness, lower wear rate and coefficient of friction than the untreated HVOF-sprayed one.

  6. DU Processing Efficiency and Reclamation: Plasma Arc Melting

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Imhoff, Seth D.; Aikin, Jr., Robert M.; Swenson, Hunter

    The work described here corresponds to one piece of a larger effort to increase material usage efficiency during DU processing operations. In order to achieve this goal, multiple technologies and approaches are being tested. These technologies occupy a spectrum of technology readiness levels (TRLs). Plasma arc melting (PAM) is one of the technologies being investigated. PAM utilizes a high temperature plasma to melt materials. Depending on process conditions, there are potential opportunities for recycling and material reclamation. When last routinely operational, the LANL research PAM showed extremely promising results for recycling and reclamation of DU and DU alloys. The currentmore » TRL is lower due to machine idleness for nearly two decades, which has proved difficult to restart. This report describes the existing results, promising techniques, and the process of bringing this technology back to readiness at LANL.« less

  7. Effect of oxygen partial pressure on oxidation of Mo-metal

    NASA Astrophysics Data System (ADS)

    Sharma, Rabindar Kumar; Kumar, Prabhat; Singh, Megha; Gopal, Pawar; Reddy, G. B.

    2018-05-01

    This report explains the effect of oxygen partial pressure (PO2 ) on oxidation of Mo-metal in oxygen plasma. XRD results indulge that oxide layers formed on Mo-surfaces at different oxygen partial pressures have two different oxide phases (i.e. orthorhombic MoO3 and monoclinic Mo8O23). Intense XRD peaks at high pressure (i.e. 2.0×10-1 Torr) points out the formation of thick oxide layer on Mo-surface due to presence of large oxygen species in chamber and less oxide volatilization. Whereas, at low PO2 (6.5×10-2 and 7.5×10-2 Torr.) the reduced peak strength is owing to high oxide volatilization rate. SEM micrographs and thickness measurements also support XRD results and confirm that the optimum -2value of PO2 to deposited thicker and uniform oxide film on glass substrate is 7.5×10-2 Torr through plasma assistedoxidation process. Further to study the compositional properties, EDX of the sample M2 (the best sample) is carried out, which confirms that the stoichiometric ratio is less than 3 (i.e. 2.88). Less stoichiometric ratio again confirms the presence of sub oxides in oxide layers on Mo metal as evidenced by XRD results. All the observed results are well in consonance with each other.

  8. Unloading oxygen in a capillary vessel under a pathological condition.

    PubMed

    Escobar, C; Méndez, F

    2008-10-01

    In this work, we study theoretically the unloading of oxygen from a hemoglobin molecule to the wall of a typical capillary vessel, considering that the hemoglobin under pathological conditions, obeys the rheological Maxwell model. Based on recent experimental evidences in hypertension, we consider that the red blood cells (RBCs) are composed by a single continuous medium in contrast with the classical particulate or discrete RBC models, which are only valid under normal physiological conditions. The analysis considers the hemodynamic interactions between the plasma and the hemoglobin, both circulating in a long horizontal capillary. We apply numerical and analytical methods to obtain the main fluid-dynamic characteristics for both fluids in the limit of low Reynolds and Womersley numbers. A diffusion boundary layer formulation for the oxygen transport in the combined plasma-hemoglobin core region is presented. The main aspects derived are the time and spatial evolution of the membrane. The hemoglobin and plasma velocities and the pressure distributions are shown. For the oxygen unloading the results are the oxy-hemoglobin saturation, the oxygen flux and the oxygen concentration in the cell-free plasma layer. The volume fraction of red blood cells and the Strouhal number have a great influence on the hemodynamic interactions.

  9. Surface modification of a natural zeolite by treatment with cold oxygen plasma: Characterization and application in water treatment

    NASA Astrophysics Data System (ADS)

    De Velasco-Maldonado, Paola S.; Hernández-Montoya, Virginia; Montes-Morán, Miguel A.; Vázquez, Norma Aurea-Rangel; Pérez-Cruz, Ma. Ana

    2018-03-01

    In the present work the possible surface modification of natural zeolite using cold oxygen plasma was studied. The sample with and without treatment was characterized using nitrogen adsorption isotherms at -196 °C, FT-IR spectroscopy, SEM/EDX analysis and X-Ray Diffraction. Additionally, the two samples were used for the removal of lead and acid, basic, reactive and food dyes in batch systems. The natural zeolite was found to be a mesoporous material with a low specific surface area (23 m2/g). X-ray patterns confirmed that clinoptilolite was the main crystal structure present in the natural zeolite. The molecular properties of dyes and the zeolitic structure were studied using molecular simulation, with the purpose to understand the adsorption mechanism. The results pointed out that only the roughness of the clinoptilolite was affected by the plasma treatment, whereas the specific surface area, chemical functionality and crystal structure remained constant. Finally, adsorption results confirmed that the plasma treatment had no significant effects on the dyes and lead retention capacities of the natural zeolite.

  10. Finite Gyroradius Effects Observed in Pickup Oxygen Ions at Venus

    NASA Technical Reports Server (NTRS)

    Hartle, Richard E.; Intriligator, Devrie; Grebowsky, Joseph M.; Einaudi, Franco (Technical Monitor)

    2000-01-01

    On the dayside of Venus, the hot oxygen corona extending above the ionopause is the principal source of pickup oxygen ions. The ions are born here and picked up by the ionosheath plasma as it is deflected around the planet. These pickup ions have been observed by the Orbiter Plasma Analyzer (OPA) throughout the Pioneer Venus Orbiter (PVO) mission. They were observed over a region extending from their dayside source to great distances downstream (about 10 Venus radii), in the solar wind wake, as PVO passed through apoapsis. Finite gyroradius effects in the velocity distribution of the oxygen pickup ions are expected in the source region because the gyroradius is several times larger than the scale height of the hot oxygen source. Such effects are also expected in those regions of the ionosheath where the scale lengths of the magnetic field and the ambient plasma velocity field are less than the pickup ion gyroradius. While explicitly accounting for the spatial distribution of the hot oxygen source, an analytic expression for the pickup oxygen ion velocity distribution is developed to study how it is affected by finite gyroradii. The analysis demonstrates that as the gyroradius increases by factors of three to six above the hot oxygen scale height, the peak of the pickup oxygen ion flux distribution decreases 25 to 50% below the maximum allowed speed, which is twice the speed of the ambient plasma times the sine of the angle between the magnetic field and the flow velocity. The pickup oxygen ion flux distribution observed by OPA is shown to follow this behavior in the source region. It is also shown that this result is consistent with the pickup ion distributions observed in the wake, downstream of the source, where the flux peaks are usually well below the maximum allowed speed.

  11. Radial and azimuthal distribution of Io's oxygen neutral cloud observed by Hisaki/EXCEED

    NASA Astrophysics Data System (ADS)

    Koga, R.; Tsuchiya, F.; Kagitani, M.; Sakanoi, T.; Yoneda, M.; Yoshikawa, I.; Yoshioka, K.; Murakami, G.; Yamazaki, A.; Kimura, T.; Smith, H. T.

    2017-12-01

    We report the spatial distributions of oxygen neural cloud surrounding Jupiter's moon Io and along Io's orbit observed by the HISAKI satellite. Atomic oxygen and sulfur in Io's atmosphere escape from the exobase and move to corona (< 5.8 Io radii, the boundary where Jupiter's gravity begins to dominate) and neutral clouds (> 5.8 Io radii) mainly due to atmospheric sputtering. Io plasma torus is formed by ionization of these atoms by electron impact and charge exchange processes. It is essential to examine the dominant source of Io plasma torus, particularly in the vicinity of Io (<5.8 Io radii; atmosphere and corona) or the region away from Io (>5.8 Io radii; extended neutral clouds). The spatial distribution of oxygen and sulfur neutral clouds is important to understand the source. The extreme ultraviolet spectrometer called EXCEED (Extreme Ultraviolet Spectroscope for Exospheric Dynamics) installed on the Hisaki satellite observed Io plasma torus continuously in 2014-2015, and we carried out the monitoring of the distribution of atomic oxygen emission at 130.4 nm. The emission averaged over the distance range of 4.5-6.5 Jovian radii on the dawn and dusk sides strongly depends on the Io phase angle (IPA), and has a emission peak between IPA of 60-90 degrees on the dawn side, and between 240-270 degrees on the dusk side, respectively. It also shows the asymmetry with respect to Io's position: the intensity averaged for IPA 60-90 degrees (13.3 Rayleighs (R)) is 1.2 times greater than that for IPA 90-120 degrees (11.1 R) on the dawn side. The similar tendency is found on the dusk side. Weak atomic oxygen emission (4 R) uniformly distributes in every IPA. We also examined the radial distribution of the oxygen neutral cloud during the same period and found the emission peak near Io's orbit with decreasing the intensity toward 8.0 Jupiter radii. The results show the high density component of the oxygen neutral cloud is concentrated around Io and extends mainly toward

  12. Plasma enhancement of in vitro attachment of rat bone-marrow-derived stem cells on cross-linked gelatin films.

    PubMed

    Prasertsung, I; Kanokpanont, S; Mongkolnavin, R; Wong, C S; Panpranot, J; Damrongsakkul, S

    2012-01-01

    In this work, nitrogen, oxygen and air glow discharges powered by 50 Hz AC power supply are used for the treatment of type-A gelatin film cross-linked by a dehydrothermal (DHT) process. The properties of cross-linked gelatin were characterized by contact angle measurement, atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS) analysis. The results showed that the water contact angle of gelatin films decrease with increasing plasma treatment time. The treatment of nitrogen, oxygen and air plasma up to 30 s had no effects on the surface roughness of the gelatin film as revealed by AFM results. The XPS analysis showed that the N-containing functional groups generated by nitrogen and air plasma, and O-containing functional groups generated by oxygen and air plasmas were incorporated onto the film surface, the functional groups were found to increase with increasing treatment time. An in vitro test using rat bone-marrow-mesenchym-derived stem cells (MSCs) revealed that the number of cells attached on plasma-treated gelatin films was significantly increased compared to untreated samples. The best enhancement of cell attachment was noticed when the film was treated with nitrogen plasma for 15-30 s, oxygen plasma for 3 s, and air plasma for 9 s. In addition, among the three types of plasmas used, nitrogen plasma treatment gave the best MSCs attachment on the gelatin surface. The results suggest that a type-A gelatin film with water contact angle of 27-28° and an O/N ratio of 1.4 is most suitable for MSCs attachment.

  13. Singlet Oxygen Formation during the Charging Process of an Aprotic Lithium-Oxygen Battery.

    PubMed

    Wandt, Johannes; Jakes, Peter; Granwehr, Josef; Gasteiger, Hubert A; Eichel, Rüdiger-A

    2016-06-06

    Aprotic lithium-oxygen (Li-O2 ) batteries have attracted considerable attention in recent years owing to their outstanding theoretical energy density. A major challenge is their poor reversibility caused by degradation reactions, which mainly occur during battery charge and are still poorly understood. Herein, we show that singlet oxygen ((1) Δg ) is formed upon Li2 O2 oxidation at potentials above 3.5 V. Singlet oxygen was detected through a reaction with a spin trap to form a stable radical that was observed by time- and voltage-resolved in operando EPR spectroscopy in a purpose-built spectroelectrochemical cell. According to our estimate, a lower limit of approximately 0.5 % of the evolved oxygen is singlet oxygen. The occurrence of highly reactive singlet oxygen might be the long-overlooked missing link in the understanding of the electrolyte degradation and carbon corrosion reactions that occur during the charging of Li-O2 cells. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Responses of Solid Tumor Cells in DMEM to Reactive Oxygen Species Generated by Non-Thermal Plasma and Chemically Induced ROS Systems

    PubMed Central

    Kaushik, Neha; Uddin, Nizam; Sim, Geon Bo; Hong, Young June; Baik, Ku Youn; Kim, Chung Hyeok; Lee, Su Jae; Kaushik, Nagendra Kumar; Choi, Eun Ha

    2015-01-01

    In this study, we assessed the role of different reactive oxygen species (ROS) generated by soft jet plasma and chemical-induced ROS systems with regard to cell death in T98G, A549, HEK293 and MRC5 cell lines. For a comparison with plasma, we generated superoxide anion (O2−), hydroxyl radical (HO·), and hydrogen peroxide (H2O2) with chemicals inside an in vitro cell culture. Our data revealed that plasma decreased the viability and intracellular ATP values of cells and increased the apoptotic population via a caspase activation mechanism. Plasma altered the mitochondrial membrane potential and eventually up-regulated the mRNA expression levels of BAX, BAK1 and H2AX gene but simultaneously down-regulated the levels of Bcl-2 in solid tumor cells. Moreover, a western blot analysis confirmed that plasma also altered phosphorylated ERK1/2/MAPK protein levels. At the same time, using ROS scavengers with plasma, we observed that scavengers of HO· (mannitol) and H2O2 (catalase and sodium pyruvate) attenuated the activity of plasma on cells to a large extent. In contrast, radicals generated by specific chemical systems enhanced cell death drastically in cancer as well as normal cell lines in a dose-dependent fashion but not specific with regard to the cell type as compared to plasma. PMID:25715710

  15. Responses of Solid Tumor Cells in DMEM to Reactive Oxygen Species Generated by Non-Thermal Plasma and Chemically Induced ROS Systems

    NASA Astrophysics Data System (ADS)

    Kaushik, Neha; Uddin, Nizam; Sim, Geon Bo; Hong, Young June; Baik, Ku Youn; Kim, Chung Hyeok; Lee, Su Jae; Kaushik, Nagendra Kumar; Choi, Eun Ha

    2015-02-01

    In this study, we assessed the role of different reactive oxygen species (ROS) generated by soft jet plasma and chemical-induced ROS systems with regard to cell death in T98G, A549, HEK293 and MRC5 cell lines. For a comparison with plasma, we generated superoxide anion (O2-), hydroxyl radical (HO.), and hydrogen peroxide (H2O2) with chemicals inside an in vitro cell culture. Our data revealed that plasma decreased the viability and intracellular ATP values of cells and increased the apoptotic population via a caspase activation mechanism. Plasma altered the mitochondrial membrane potential and eventually up-regulated the mRNA expression levels of BAX, BAK1 and H2AX gene but simultaneously down-regulated the levels of Bcl-2 in solid tumor cells. Moreover, a western blot analysis confirmed that plasma also altered phosphorylated ERK1/2/MAPK protein levels. At the same time, using ROS scavengers with plasma, we observed that scavengers of HO. (mannitol) and H2O2 (catalase and sodium pyruvate) attenuated the activity of plasma on cells to a large extent. In contrast, radicals generated by specific chemical systems enhanced cell death drastically in cancer as well as normal cell lines in a dose-dependent fashion but not specific with regard to the cell type as compared to plasma.

  16. Plasma Source Development for LAPD

    NASA Astrophysics Data System (ADS)

    Pribyl, P.; Gekelman, W.; Drandell, M.; Grunspen, S.; Nakamoto, M.; McBarron, A.

    2003-10-01

    The Large Plasma Device (LAPD) relies on an indirectly heated Barium Oxide (BaO) cathode to generate an extremely repeatable low-noise plasma. However there are two defects of this system: one is that the cathode is subject to oxygen poisoning in the event of accidental air leaks, requiring a lengthy recoating and regeneration process. Second, the indirect radiative heating is only about 50 % efficient, leading to a series of reliability issues. Alternate plasma sources are being investigated, including two types of directly heated BaO cathode and several configurations of inductively coupled RF plasmas. Direct heating for a cathode can be achieved either by embedding heaters within the nickel substrate, or by using inductive heating techniques to drive currents within the nickel itself. In both cases, the BaO coating still serves to emit the electrons and thus generate the plasma arc. An improved system would generate the plasma without the use of a "cathode" e.g. by inductively coupling energy directly into the plasma discharge. This technique is being investigated from the point of view of whether a) the bulk of the plasma column can be made sufficiently low-noise to be of experimental value and b) sufficiently dense plasmas can be formed.

  17. A dc non-thermal atmospheric-pressure plasma microjet

    NASA Astrophysics Data System (ADS)

    Zhu, WeiDong; Lopez, Jose L.

    2012-06-01

    A direct current (dc), non-thermal, atmospheric-pressure plasma microjet is generated with helium/oxygen gas mixture as working gas. The electrical property is characterized as a function of the oxygen concentration and show distinctive regions of operation. Side-on images of the jet were taken to analyze the mode of operation as well as the jet length. A self-pulsed mode is observed before the transition of the discharge to normal glow mode. Optical emission spectroscopy is employed from both end-on and side-on along the jet to analyze the reactive species generated in the plasma. Line emissions from atomic oxygen (at 777.4 nm) and helium (at 706.5 nm) were studied with respect to the oxygen volume percentage in the working gas, flow rate and discharge current. Optical emission intensities of Cu and OH are found to depend heavily on the oxygen concentration in the working gas. Ozone concentration measured in a semi-confined zone in front of the plasma jet is found to be from tens to ˜120 ppm. The results presented here demonstrate potential pathways for the adjustment and tuning of various plasma parameters such as reactive species selectivity and quantities or even ultraviolet emission intensities manipulation in an atmospheric-pressure non-thermal plasma source. The possibilities of fine tuning these plasma species allows for enhanced applications in health and medical related areas.

  18. Argon-oxygen atmospheric pressure plasma treatment on carbon fiber reinforced polymer for improved bonding

    NASA Astrophysics Data System (ADS)

    Chartosias, Marios

    Acceptance of Carbon Fiber Reinforced Polymer (CFRP) structures requires a robust surface preparation method with improved process controls capable of ensuring high bond quality. Surface preparation in a production clean room environment prior to applying adhesive for bonding would minimize risk of contamination and reduce cost. Plasma treatment is a robust surface preparation process capable of being applied in a production clean room environment with process parameters that are easily controlled and documented. Repeatable and consistent processing is enabled through the development of a process parameter window utilizing techniques such as Design of Experiments (DOE) tailored to specific adhesive and substrate bonding applications. Insight from respective plasma treatment Original Equipment Manufacturers (OEMs) and screening tests determined critical process factors from non-factors and set the associated factor levels prior to execution of the DOE. Results from mode I Double Cantilever Beam (DCB) testing per ASTM D 5528 [1] standard and DOE statistical analysis software are used to produce a regression model and determine appropriate optimum settings for each factor.

  19. Plasma protein hydroperoxides during aging in humans: correlation with paraoxonase 1 (PON1) arylesterase activity and plasma total thiols.

    PubMed

    Mehdi, Mohammad Murtaza; Rizvi, Syed Ibrahim

    2013-02-01

    Oxidative stress is thought to play a major role in the development of several age-dependent diseases. Proteins are major targets for oxidative attack. Protein hydroperoxides are formed by hydroxyl and singlet oxygen attack on protein, forming relatively stable hydroperoxides on histidine, tyrosine and tryptophan residues. This study investigated the levels of plasma protein hydroperoxides and antioxidant potential of plasma during aging in humans. We correlated the protein hydroperoxide formation with plasma antioxidant potential, paraoxonase 1 (PON1) arylesterase activity and plasma total thiols. The protein hydroperoxides and antioxidant potential were measured in plasma of human subjects aged between 20 and 81 years of both genders. Increase in plasma protein hydroperoxides and decrease in plasma antioxidant potential were observed as function of human age. This study provides strong correlation between plasma protein hydroperoxides formation and decrease in plasma antioxidant potential during aging. PON1 arylesterase activity and plasma total thiols levels were also found to show significant correlation with increasing levels of plasma protein hydroperoxides during aging. The plasma protein hydroperoxides provide a reliable marker of long-term redox balance and degree of oxidative stress during aging process. Copyright © 2013 IMSS. Published by Elsevier Inc. All rights reserved.

  20. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources duemore » to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.« less

  1. Mathematical model of gas plasma applied to chronic wounds

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, J. G.; Liu, X. Y.; Liu, D. W.

    2013-11-15

    Chronic wounds are a major burden for worldwide health care systems, and patients suffer pain and discomfort from this type of wound. Recently gas plasmas have been shown to safely speed chronic wounds healing. In this paper, we develop a deterministic mathematical model formulated by eight-species reaction-diffusion equations, and use it to analyze the plasma treatment process. The model follows spatial and temporal concentration within the wound of oxygen, chemoattractants, capillary sprouts, blood vessels, fibroblasts, extracellular matrix material, nitric oxide (NO), and inflammatory cell. Two effects of plasma, increasing NO concentration and reducing bacteria load, are considered in this model.more » The plasma treatment decreases the complete healing time from 25 days (normal wound healing) to 17 days, and the contributions of increasing NO concentration and reducing bacteria load are about 1/4 and 3/4, respectively. Increasing plasma treatment frequency from twice to three times per day accelerates healing process. Finally, the response of chronic wounds of different etiologies to treatment with gas plasmas is analyzed.« less

  2. Crystallization process of a three-dimensional complex plasma

    NASA Astrophysics Data System (ADS)

    Steinmüller, Benjamin; Dietz, Christopher; Kretschmer, Michael; Thoma, Markus H.

    2018-05-01

    Characteristic timescales and length scales for phase transitions of real materials are in ranges where a direct visualization is unfeasible. Therefore, model systems can be useful. Here, the crystallization process of a three-dimensional complex plasma under gravity conditions is considered where the system ranges up to a large extent into the bulk plasma. Time-resolved measurements exhibit the process down to a single-particle level. Primary clusters, consisting of particles in the solid state, grow vertically and, secondarily, horizontally. The box-counting method shows a fractal dimension of df≈2.72 for the clusters. This value gives a hint that the formation process is a combination of local epitaxial and diffusion-limited growth. The particle density and the interparticle distance to the nearest neighbor remain constant within the clusters during crystallization. All results are in good agreement with former observations of a single-particle layer.

  3. Atmospheric pressure plasma processing of polymeric materials utilizing close proximity indirect exposure

    DOEpatents

    Paulauskas, Felix L.; Bonds, Truman

    2016-09-20

    A plasma treatment method that includes providing treatment chamber including an intermediate heating volume and an interior treatment volume. The interior treatment volume contains an electrode assembly for generating a plasma and the intermediate heating volume heats the interior treatment volume. A work piece is traversed through the treatment chamber. A process gas is introduced to the interior treatment volume of the treatment chamber. A plasma is formed with the electrode assembly from the process gas, wherein a reactive species of the plasma is accelerated towards the fiber tow by flow vortices produced in the interior treatment volume by the electrode assembly.

  4. Plasma processing conditions substantially influence circulating microRNA biomarker levels.

    PubMed

    Cheng, Heather H; Yi, Hye Son; Kim, Yeonju; Kroh, Evan M; Chien, Jason W; Eaton, Keith D; Goodman, Marc T; Tait, Jonathan F; Tewari, Muneesh; Pritchard, Colin C

    2013-01-01

    Circulating, cell-free microRNAs (miRNAs) are promising candidate biomarkers, but optimal conditions for processing blood specimens for miRNA measurement remain to be established. Our previous work showed that the majority of plasma miRNAs are likely blood cell-derived. In the course of profiling lung cancer cases versus healthy controls, we observed a broad increase in circulating miRNA levels in cases compared to controls and that higher miRNA expression correlated with higher platelet and particle counts. We therefore hypothesized that the quantity of residual platelets and microparticles remaining after plasma processing might impact miRNA measurements. To systematically investigate this, we subjected matched plasma from healthy individuals to stepwise processing with differential centrifugation and 0.22 µm filtration and performed miRNA profiling. We found a major effect on circulating miRNAs, with the majority (72%) of detectable miRNAs substantially affected by processing alone. Specifically, 10% of miRNAs showed 4-30x variation, 46% showed 30-1,000x variation, and 15% showed >1,000x variation in expression solely from processing. This was predominantly due to platelet contamination, which persisted despite using standard laboratory protocols. Importantly, we show that platelet contamination in archived samples could largely be eliminated by additional centrifugation, even in frozen samples stored for six years. To minimize confounding effects in microRNA biomarker studies, additional steps to limit platelet contamination for circulating miRNA biomarker studies are necessary. We provide specific practical recommendations to help minimize confounding variation attributable to plasma processing and platelet contamination.

  5. Modeling the Oxygen Cycle in the Equatorial Pacific: Regulation of Physical and Biogeochemical Processes

    NASA Astrophysics Data System (ADS)

    Wang, X.; Murtugudde, R. G.; Zhang, D.

    2016-12-01

    Photosynthesis and respiration are important processes in all ecosystems on the Earth, in which carbon and oxygen are the two main elements. However, the oxygen cycle has received much less attention (relative to the carbon cycle) despite its big role in the earth system. Oxygen is a sensitive indicator of physical and biogeochemical processes in the ocean thus a key parameter for understanding the ocean's ecosystem and biogeochemistry. The Oxygen-Minimum-Zone (OMZ), often seen below 200 m, is a profound feature in the world oceans. There has been evidence of OMZ expansion over the past few decades in the tropical oceans. Climate models project that there would be a continued decline in dissolved oxygen (DO) and an expansion of the tropical OMZs under future warming conditions, which is of great concern because of the implications for marine organisms. We employ a validated three-dimensional model that simulates physical transport (circulation and vertical mixing), biological processes (O2 production and consumption) and ocean-atmosphere O2 exchange to quantify various sources and sinks of DO over 1980-2015. We show how we use observational data to improve our model simulation. Then we assess the spatial and temporal variability in simulated DO in the tropical Pacific Ocean, and explore the impacts of physical and biogeochemical processes on the DO dynamics, with a focus on the MOZ. Our analyses indicate that DO in the OMZ has a positive relationship with the 13ºC isotherm depth and a negative relationship with the concentration of dissolved organic material.

  6. Gene Transfection Method Using Atmospheric Pressure Dielectric-Barrier Discharge Plasmas

    NASA Astrophysics Data System (ADS)

    Sasaki, Shota; Kanzaki, Makoto; Kaneko, Toshiro

    2013-09-01

    Gene transfection which is the process of deliberately introducing nucleic acids into cells is expected to play an important role in medical treatment because the process is necessary for gene therapy and creation of induced pluripotent stem (iPS) cells. However, the conventional transfection methods have some problems, so we focus attention on promising transfection methods by atmospheric pressure dielectric-barrier discharge (AP-DBD) plasmas. AP-DBD He plasmas are irradiated to the living cell covered with genes. Preliminarily, we use fluorescent dye YOYO-1 instead of the genes and use LIVE/DEAD Stain for cell viability test, and we analyze the transfection efficiency and cell viability under the various conditions. It is clarified that the transfection efficiency is strongly dependence on the plasma irradiation time and cell viability rates is high rates (>90%) regardless of long plasma irradiation time. These results suggest that ROS (Reactive Oxygen Species) and electric field generated by the plasma affect the gene transfection. In addition to this (the plasma irradiation time) dependency, we now investigate the effect of the plasma irradiation under the various conditions.

  7. The Warm Plasma Composition in the Inner Magnetosphere during 2012–2015

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jahn, J. M.; Goldstein, J.; Reeves, Geoffrey D.

    Ionospheric heavy ions play an important role in the dynamics of Earth's magnetosphere. The greater mass and gyro radius of ionospheric oxygen differentiates its behavior from protons at the same energies. Oxygen may have an impact on tail reconnection processes, and it can at least temporarily dominate the energy content of the ring current during geomagnetic storms. At sub-keV energies, multi-species ion populations in the inner magnetosphere form the warm plasma cloak, occupying the energy range between the plasmasphere and the ring current. Lastly, cold lighter ions from the mid-latitude ionosphere create the co-rotating plasmasphere whose outer regions can interactmore » with the plasma cloak, plasma sheet, ring current, and outer electron belt. Here in this paper we present a statistical view of warm, cloak-like ion populations in the inner magnetosphere, contrasting in particular the warm plasma composition during quiet and active times. We study the relative abundances and absolute densities of warm plasma measured by the Van Allen Probes, whose two spacecraft cover the inner magnetosphere from plasmaspheric altitudes close to Earth to just inside geostationary orbit. We observe that warm (>30 eV) oxygen is most abundant closer to the plasmasphere boundary whereas warm hydrogen dominates closer to geostationary orbit. Warm helium is usually a minor constituent, but shows a noticeable enhancement in the near-Earth dusk sector.« less

  8. The Warm Plasma Composition in the Inner Magnetosphere during 2012–2015

    DOE PAGES

    Jahn, J. M.; Goldstein, J.; Reeves, Geoffrey D.; ...

    2017-09-11

    Ionospheric heavy ions play an important role in the dynamics of Earth's magnetosphere. The greater mass and gyro radius of ionospheric oxygen differentiates its behavior from protons at the same energies. Oxygen may have an impact on tail reconnection processes, and it can at least temporarily dominate the energy content of the ring current during geomagnetic storms. At sub-keV energies, multi-species ion populations in the inner magnetosphere form the warm plasma cloak, occupying the energy range between the plasmasphere and the ring current. Lastly, cold lighter ions from the mid-latitude ionosphere create the co-rotating plasmasphere whose outer regions can interactmore » with the plasma cloak, plasma sheet, ring current, and outer electron belt. Here in this paper we present a statistical view of warm, cloak-like ion populations in the inner magnetosphere, contrasting in particular the warm plasma composition during quiet and active times. We study the relative abundances and absolute densities of warm plasma measured by the Van Allen Probes, whose two spacecraft cover the inner magnetosphere from plasmaspheric altitudes close to Earth to just inside geostationary orbit. We observe that warm (>30 eV) oxygen is most abundant closer to the plasmasphere boundary whereas warm hydrogen dominates closer to geostationary orbit. Warm helium is usually a minor constituent, but shows a noticeable enhancement in the near-Earth dusk sector.« less

  9. Automated Plasma Spray (APS) process feasibility study

    NASA Technical Reports Server (NTRS)

    Fetheroff, C. W.; Derkacs, T.; Matay, I. M.

    1981-01-01

    An automated plasma spray (APS) process was developed to apply two layer (NiCrAlY and ZrO2-12Y2O3) thermal barrier coatings to aircraft and stationary gas turbine engine blade airfoils. The APS process hardware consists of four subsystems: a mechanical positioning subsystem incorporating two interlaced six degree of freedom assemblies (one for coating deposition and one for coating thickness monitoring); a noncoherent optical metrology subsystem (for in process gaging of the coating thickness buildup at specified points on the specimen); a microprocessor based adaptive system controller (to achieve the desired overall thickness profile on the specimen); and commerical plasma spray equipment. Over fifty JT9D first stage aircraft turbine blade specimens, ten W501B utility turbine blade specimens and dozens of cylindrical specimens were coated with the APS process in preliminary checkout and evaluation studies. The best of the preliminary turbine blade specimens achieved an overall coating thickness uniformity of 53 micrometers (2.1 mils), much better than is achievable manually. Comparative evaluations of coating thickness uniformity for manually sprayed and APS coated specimens were performed. One of the preliminary turbine blade evaluation specimens was subjected to a torch test and metallographic evaluation. Some cylindrical specimens coated with the APS process survived up to 2000 cycles in subsequent burner rig testing.

  10. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    NASA Astrophysics Data System (ADS)

    Hamann, S.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.; Röpcke, J.

    2015-12-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH4, C2H2, HCN, and NH3). With the help of OES, the rotational temperature of the screen plasma could be determined.

  11. Constricted glow discharge plasma source

    DOEpatents

    Anders, Andre; Anders, Simone; Dickinson, Michael; Rubin, Michael; Newman, Nathan

    2000-01-01

    A constricted glow discharge chamber and method are disclosed. The polarity and geometry of the constricted glow discharge plasma source is set so that the contamination and energy of the ions discharged from the source are minimized. The several sources can be mounted in parallel and in series to provide a sustained ultra low source of ions in a plasma with contamination below practical detection limits. The source is suitable for applying films of nitrides such as gallium nitride and oxides such as tungsten oxide and for enriching other substances in material surfaces such as oxygen and water vapor, which are difficult process as plasma in any known devices and methods. The source can also be used to assist the deposition of films such as metal films by providing low-energy ions such as argon ions.

  12. Oxygen ion-beam microlithography

    DOEpatents

    Tsuo, Y.S.

    1991-08-20

    A method of providing and developing a resist on a substrate for constructing integrated circuit (IC) chips includes the following steps: of depositing a thin film of amorphous silicon or hydrogenated amorphous silicon on the substrate and exposing portions of the amorphous silicon to low-energy oxygen ion beams to oxidize the amorphous silicon at those selected portions. The nonoxidized portions are then removed by etching with RF-excited hydrogen plasma. Components of the IC chip can then be constructed through the removed portions of the resist. The entire process can be performed in an in-line vacuum production system having several vacuum chambers. Nitrogen or carbon ion beams can also be used. 5 figures.

  13. Oxygen ion-beam microlithography

    DOEpatents

    Tsuo, Y. Simon

    1991-01-01

    A method of providing and developing a resist on a substrate for constructing integrated circuit (IC) chips includes the following steps: of depositing a thin film of amorphous silicon or hydrogenated amorphous silicon on the substrate and exposing portions of the amorphous silicon to low-energy oxygen ion beams to oxidize the amorphous silicon at those selected portions. The nonoxidized portions are then removed by etching with RF-excited hydrogen plasma. Components of the IC chip can then be constructed through the removed portions of the resist. The entire process can be performed in an in-line vacuum production system having several vacuum chambers. Nitrogen or carbon ion beams can also be used.

  14. Numerical simulation of plasma processes driven by transverse ion heating

    NASA Technical Reports Server (NTRS)

    Singh, Nagendra; Chan, C. B.

    1993-01-01

    The plasma processes driven by transverse ion heating in a diverging flux tube are investigated with numerical simulation. The heating is found to drive a host of plasma processes, in addition to the well-known phenomenon of ion conics. The downward electric field near the reverse shock generates a doublestreaming situation consisting of two upflowing ion populations with different average flow velocities. The electric field in the reverse shock region is modulated by the ion-ion instability driven by the multistreaming ions. The oscillating fields in this region have the possibility of heating electrons. These results from the simulations are compared with results from a previous study based on a hydrodynamical model. Effects of spatial resolutions provided by simulations on the evolution of the plasma are discussed.

  15. Oxygen reduction reaction properties of nitrogen-incorporated nanographenes synthesized using in-liquid plasma from mixture of ethanol and iron phthalocyanine

    NASA Astrophysics Data System (ADS)

    Amano, Tomoki; Kondo, Hiroki; Takeda, Keigo; Ishikawa, Kenji; Hiramatsu, Mineo; Sekine, Makoto; Hori, Masaru

    2018-04-01

    Nanographenes were synthesized using in-liquid plasma from a mixture of iron phthalocyanine and ethanol. In a previous study, micrometer-scale flakes with nitrogen incorporation were obtained. A nonprecious metal catalytic activity was observed with 3.13 electrons in an oxygen reduction reaction under an acidic solute condition. Large-surface-area, high-graphene-crystallinity, and iron-carbon-bonding sites were found owing to a high catalytic activity in Fe-N/nanographene.

  16. Assays for hydrophilic and lipophilic antioxidant capacity (oxygen radical absorbance capacity (ORAC(FL))) of plasma and other biological and food samples.

    PubMed

    Prior, Ronald L; Hoang, Ha; Gu, Liwei; Wu, Xianli; Bacchiocca, Mara; Howard, Luke; Hampsch-Woodill, Maureen; Huang, Dejian; Ou, Boxin; Jacob, Robert

    2003-05-21

    Methods are described for the extraction and analysis of hydrophilic and lipophilic antioxidants, using modifications of the oxygen radical absorbing capacity (ORAC(FL)) procedure. These methods provide, for the first time, the ability to obtain a measure of "total antioxidant capacity" in the protein free plasma, using the same peroxyl radical generator for both lipophilic and hydrophilic antioxidants. Separation of the lipophilic and hydrophilic antioxidant fractions from plasma was accomplished by extracting with hexane after adding water and ethanol to the plasma (hexane/plasma/ethanol/water, 4:1:2:1, v/v). Lipophilic and hydrophilic antioxidants were efficiently partitioned between hexane and aqueous solvents. Conditions for controlling temperature effects and decreasing assay variability using fluorescein as the fluorescent probe were validated in different laboratories. Incubation (37 degrees C for at least 30 min) of the buffer to which AAPH was dissolved was critical in decreasing assay variability. Lipophilic antioxidants represented 33.1 +/- 1.5 and 38.2 +/- 1.9% of the total antioxidant capacity of the protein free plasma in two independent studies of 6 and 10 subjects, respectively. Methods are described for application of the assay techniques to other types of biological and food samples.

  17. Production and correlation of reactive oxygen and nitrogen species in gas- and liquid-phase generated by helium plasma jets under different pulse widths

    NASA Astrophysics Data System (ADS)

    Liu, Zhijie; Zhou, Chunxi; Liu, Dingxin; Xu, Dehui; Xia, Wenjie; Cui, Qingjie; Wang, Bingchuan; Kong, Michael G.

    2018-01-01

    In this paper, we present the effects of the pulse width (PW) on the plasma jet's discharge characteristics, particularly focusing on the production and correlation of the reactive oxygen and nitrogen species (RONS) in gas- and liquid-phase. It is found that the length of plasma jet plume first increases before the PW of 10 μs, then gradually decreases and finally almost remains unchanged beyond 150 μs. The plasma bullet disappears after the falling edge of the voltage pulse at low PW, while it terminates far ahead of the falling edge of voltage pulse at high PW. This is mainly attributed to accumulation of space charges that lead to weakening of the reduced electric field with an increase of PW from low to high. More important, it is found that the excited reactive species, the positive and negative ions from plasma jet, and the concentrations of NO2- and NO3- in deionized water exposed to plasma jet also display the first increasing and then decreasing change trend with increase of PW, while the concentration of H2O2 in water almost displays the linearly increasing trend. This mainly results from the formation of the H3O+ and HO2-, as well as their ion water clusters that can produce more OH radicals to be converted into H2O2, while the NO2- and NO3- in gas phase can transport into water and exist most stably in water. The water cluster formation at gas-liquid interface is an important key process that can affect the chemical nature and dose of aqueous RONS in water; this is beneficial for understanding how the RONS are formed in liquid-phase.

  18. On the role of metastable states in low pressure oxygen discharges

    NASA Astrophysics Data System (ADS)

    Gudmundsson, J. T.; Hannesdóttir, H.

    2017-03-01

    We use the one-dimensional object-oriented particle-in-cell Monte Carlo collision code oopd1 to explore the spatio-temporal evolution of the electron heating mechanism in a capacitively coupled oxygen discharge in the pressure range 10 - 200 mTorr. The electron heating is most significant in the sheath vicinity during the sheath expansion phase. We explore how including and excluding detachment by the singlet metastable states O2(a1 Δg) and O2(b1Σ+g) influences the heating mechanism, the effective electron temperature and electronegativity, in the oxygen discharge. We demonstrate that the detachment processes have a significant influence on the discharge properties, in particular for the higher pressures. At 10 mTorr the time averaged electron heating shows mainly ohmic heating in the plasma bulk (the electronegative core) and at higher pressures there is no ohmic heating in the plasma bulk, that is electron heating in the sheath regions dominates.

  19. The effects of RF plasma ashing on zinc orthotitanate/potassium silicate thermal control coatings

    NASA Technical Reports Server (NTRS)

    Dever, Joyce A.; Bruckner, Eric J.

    1992-01-01

    Samples of YB-71, a white thermal control coating composed of zinc orthotitanate pigment in a potassium silicate binder, were exposed in air plasma and in oxygen plasma to determine optical property and surface chemistry changes. Results show that YB-71 undergoes a significant reflectance decrease upon exposure to the simulated LEO atomic oxygen environment provided by an air plasma asher. YB-71 samples exposed to the same effective fluence in oxygen plasma, or in a UV screening Faraday cage in air or oxygen, do not undergo as severe reflectance decreases as the samples exposed in the air plasma asher environment. The UV and VUV radiation present in the plasma ashers affects the YB-71 degradation. It is noted that, when using plasma ashers to determine LEO degradation, it is necessary to take into account the sensitivity of the material to the synergistic effects of atomic oxygen and accelerated UV radiation.

  20. Study of oxygen/tetraethoxysilane plasmas in a helicon reactor using optical emission spectroscopy and mass spectrometry

    NASA Astrophysics Data System (ADS)

    Aumaille, K.; Granier, A.; Schmidt, M.; Grolleau, B.; Vallée, C.; Turban, G.

    2000-08-01

    Oxygen/tetraethoxysilane (O2/TEOS) plasmas created in a low-pressure (2 mTorr) rf helicon reactor have been studied by optical emission spectroscopy and mass spectrometry as a function of the rf (13.56 MHz) power injected into the plasma, which is varied from 25 to 300 W. Complementary measurements for the interpretation of the mass spectrometric data have also been carried out using the threshold ionization mass spectrometry technique. It is shown that valuable information on the parent molecules is obtained by both optical emission spectroscopy and threshold ionization mass spectrometry techniques. At low rf power TEOS molecules and organic compounds like hydrocarbons (CH4, C2H2) and alcohols (CH3CH2OH) as well as H2, H2O, CO, O2, CO2 are observed. At high rf power TEOS and O2 molecules are totally or mostly depleted, the share of hydrocarbons decreases and carbon monoxide, carbon dioxide, water and hydrogen become the essential parts of the gas phase.

  1. Evolution of Structural and Electrical Properties of Oxygen-Deficient VO2 under Low Temperature Heating Process.

    PubMed

    Zhang, Jiasong; Zhao, Zhengjing; Li, Jingbo; Jin, Haibo; Rehman, Fida; Chen, Pengwan; Jiang, Yijie; Chen, Chunxu; Cao, Maosheng; Zhao, Yongjie

    2017-08-16

    Structural stability and functional performances of vanadium dioxide (VO 2 ) are strongly influenced by oxygen vacancies. However, the mechanism of metal-insulator transition (MIT) influenced by defects is still under debate. Here, we study the evolution of structure and electrical property of oxygen-deficient VO 2 by a low temperature annealing process (LTP) based on a truss-structured VO 2 nanonet. The oxygenation process of the oxygen-deficient VO 2 is greatly prolonged, which enables us to probe the gradual change of properties of the oxygen-deficient VO 2 . A continuous lattice reduction is observed during LTP. No recrystallization and structural collapse of the VO 2 nanonet can be found after LTP. The valence-band X-ray photoelectron spectroscopy (XPS) measurements indicate that the oxygen deficiency strongly affects the energy level of the valence band edge. Correspondingly, the resistance changes of the VO 2 films from 1 to 4.5 orders of magnitude are achieved by LTP. The effect of oxygen vacancy on the electric field driven MIT is investigated. The threshold value of voltage triggering the MIT decreases with increasing the oxygen vacancy concentration. This work demonstrates a novel and effective way to control the content of oxygen vacancies in VO 2 and the obvious impact of oxygen vacancy on MIT, facilitating further research on the role of oxygen vacancy in structure and MIT of VO 2 , which is important for the deep understanding of MIT and exploiting innovative functional application of VO 2 .

  2. Changes in plasma phenylalanine, isoleucine, leucine, and valine are associated with significant changes in intracranial pressure and jugular venous oxygen saturation in patients with severe traumatic brain injury.

    PubMed

    Vuille-Dit-Bille, Raphael N; Ha-Huy, Riem; Stover, John F

    2012-09-01

    Changes in plasma aromatic amino acids (AAA = phenylalanine, tryptophan, tyrosine) and branched chain amino acids (BCAA = isoleucine, leucine, valine) levels possibly influencing intracranial pressure (ICP) and cerebral oxygen consumption (SjvO(2)) were investigated in 19 sedated patients up to 14 days following severe traumatic brain injury (TBI). Compared to 44 healthy volunteers, jugular venous plasma BCAA were significantly decreased by 35% (p < 0.001) while AAA were markedly increased in TBI patients by 19% (p < 0.001). The BCAA to AAA ratio was significantly decreased by 55% (p < 0.001) which persisted during the entire study period. Elevated plasma phenylalanine was associated with decreased ICP and increased SjvO(2), while higher plasma isoleucine and leucine levels were associated with increased ICP and higher plasma leucine and valine were linked to decreased SjvO(2). The amount of enterally administered amino acids was associated with significantly increased plasma levels with the exception of phenylalanine. Contrary to the initial assumption that elevated AAA and decreased BCAA levels are detrimental, increased plasma phenylalanine levels were associated with beneficial signs in terms of decreased ICP and reduced cerebral oxygen consumption reflected by increased SjvO(2); concomitantly, elevated plasma isoleucine and leucine levels were associated with increased ICP while leucine and valine were associated with decreased SjvO(2) following severe TBI, respectively. The impact of enteral nutrition on this observed pattern must be examined prospectively to determine if higher amounts of phenylalanine should be administered to promote beneficial effects on brain metabolism and if normalization of plasma BCAA levels is without cerebral side effects.

  3. The Impact of Plasma Treatment of Cercon® Zirconia Ceramics on Adhesion to Resin Composite Cements and Surface Properties.

    PubMed

    Tabari, Kasra; Hosseinpour, Sepanta; Mohammad-Rahimi, Hossein

    2017-01-01

    Introduction: In recent years, the use of ceramic base zirconia is considered in dentistry for all ceramic restorations because of its chemical stability, biocompatibility, and good compressive as well as flexural strength. However, due to its chemical stability, there is a challenge with dental bonding. Several studies have been done to improve zirconia bonding but they are not reliable. The purpose of this research is to study the effect of plasma treatment on bonding strength of zirconia. Methods: In this in vitro study, 180 zirconia discs' (thickness was 0.85-0.9 mm) surfaces were processed with plasma of oxygen, argon, air and oxygen-argon combination with 90-10 and 80-20 ratio (n=30 for each group) after being polished by sandblast. Surface modifications were assessed by measuring the contact angle, surface roughness, and topographical evaluations. Cylindrical Panavia f2 resin-cement and Diafill were used for microshear strength bond measurements. The data analysis was performed by SPSS 20.0 software and one-way analysis of variance (ANOVA) and Tukey test as the post hoc. Results: Plasma treatment in all groups significantly reduces contact angle compare with control ( P =0.001). Topographic evaluations revealed coarseness promotion occurred in all plasma treated groups which was significant when compared to control ( P <0.05), except argon plasma treated group that significantly decreased surface roughness ( P <0.05). In all treated groups, microshear bond strength increased, except oxygen treated plasma group which decreased this strength. Air and argon-oxygen combination (both groups) significantly increased microshear bond strength ( P <0.05). Conclusion: According to this research, plasmatic processing with dielectric barrier method in atmospheric pressure can increase zirconia bonding strength.

  4. Processing of pulse oximeter signals using adaptive filtering and autocorrelation to isolate perfusion and oxygenation components

    NASA Astrophysics Data System (ADS)

    Ibey, Bennett; Subramanian, Hariharan; Ericson, Nance; Xu, Weijian; Wilson, Mark; Cote, Gerard L.

    2005-03-01

    A blood perfusion and oxygenation sensor has been developed for in situ monitoring of transplanted organs. In processing in situ data, motion artifacts due to increased perfusion can create invalid oxygenation saturation values. In order to remove the unwanted artifacts from the pulsatile signal, adaptive filtering was employed using a third wavelength source centered at 810nm as a reference signal. The 810 nm source resides approximately at the isosbestic point in the hemoglobin absorption curve where the absorbance of light is nearly equal for oxygenated and deoxygenated hemoglobin. Using an autocorrelation based algorithm oxygenation saturation values can be obtained without the need for large sampling data sets allowing for near real-time processing. This technique has been shown to be more reliable than traditional techniques and proven to adequately improve the measurement of oxygenation values in varying perfusion states.

  5. Photocatalytic Anatase TiO2 Thin Films on Polymer Optical Fiber Using Atmospheric-Pressure Plasma.

    PubMed

    Baba, Kamal; Bulou, Simon; Choquet, Patrick; Boscher, Nicolas D

    2017-04-19

    Due to the undeniable industrial advantages of low-temperature atmospheric-pressure plasma processes, such as low cost, low temperature, easy implementation, and in-line process capabilities, they have become the most promising next-generation candidate system for replacing thermal chemical vapor deposition or wet chemical processes for the deposition of functional coatings. In the work detailed in this article, photocatalytic anatase TiO 2 thin films were deposited at a low temperature on polymer optical fibers using an atmospheric-pressure plasma process. This method overcomes the challenge of forming crystalline transition metal oxide coatings on polymer substrates by using a dry and up-scalable method. The careful selection of the plasma source and the titanium precursor, i.e., titanium ethoxide with a short alkoxy group, allowed the deposition of well-adherent, dense, and crystalline TiO 2 coatings at low substrate temperature. Raman and XRD investigations showed that the addition of oxygen to the precursor's carrier gas resulted in a further increase of the film's crystallinity. Furthermore, the films deposited in the presence of oxygen exhibited a better photocatalytic activity toward methylene blue degradation assumedly due to their higher amount of photoactive {101} facets.

  6. Enzymatic measurement of free and esterified cholesterol levels in plasma and other biological preparations using the oxygen electrode in a modified glucose analyzer.

    PubMed

    Dietschy, J M; Weeks, L E; Delente, J J

    1976-12-01

    A method is described for assaying free and esterified cholesterol using the oxygen electrode in a modified glucose analyzer to measure the relative amount of oxygen utilization taking place during oxydation of free cholesterol by the enzyme, cholesterol oxidase. A second enzyme, cholesterol ester hydrolase, is utilized to generate free cholesterol from cholesterol esters. This assay procedure is rapid, specific, reproducible and applicable to the measurement of free and esterified cholesterol carried in the major plasma lipoprotein fractions of man and the rat and, in addition, it can be utilized for the assay of sterols in subcellular fractions of cells.

  7. Improvement of ITO properties in green-light-emitting devices by using N2:O2 plasma treatment

    NASA Astrophysics Data System (ADS)

    Jeon, Hyeonseong; Kang, Seongjong; Oh, Hwansool

    2016-01-01

    Plasma treatment reduces the roughness of the indium-tin-oxide (ITO) interface in organic light emitting diodes (OLEDs). Oxygen gas is typically used in the plasma treatment of conventional OLED devices. However, in this study, nitrogen and oxygen gases were used for surface treatment to improve the properties of ITO. To investigate the improvements resulting from the use of nitrogen and oxygen plasma treatment, fabricated green OLED devices. The device's structure was ITO (600 Å) / α-NPD (500 Å) / Alq3:NKX1595 (400 Å:20 Å,5%) / LiF / Al:Li (10 Å:1000 Å). The plasma treatment was performed in a capacitive coupled plasma (CCP) type plasma treatment chamber similar to that used in the traditional oxygen plasma treatment. The results of this study show that the combined nitrogen/oxygen plasma treatment increases the lifetime, current density, and brightness of the fabricated OLED while decreasing the operating voltage relative to those of OLEDs fabricated using oxygen plasma treatment.

  8. Atomic Processes and Diagnostics of Low Pressure Krypton Plasma

    NASA Astrophysics Data System (ADS)

    Srivastava, Rajesh; Goyal, Dipti; Gangwar, Reetesh; Stafford, Luc

    2015-03-01

    Optical emission spectroscopy along with suitable collisional-radiative (CR) model is used in plasma diagnostics. Importance of reliable cross-sections for various atomic processes is shown for low pressure argon plasma. In the present work, radially-averaged Kr emission lines from the 2pi --> 1sj were recorded as a function of pressure from 1 to 50mTorr. We have developed a CR model using our fine-structure relativistic-distorted wave cross sections. The various processes considered are electron-impact excitation, ionization and their reverse processes. The required rate coefficients have been calculated from these cross-sections assuming Maxwellian energy distribution. Electron temperature obtained from the CR model is found to be in good agreement with the probe measurements. Work is supported by IAEA Vienna, DAE-BRNS Mumbai and CSIR, New Delhi.

  9. Plasma processing of large curved surfaces for superconducting rf cavity modification

    DOE PAGES

    Upadhyay, J.; Im, Do; Popović, S.; ...

    2014-12-15

    In this study, plasma based surface modification of niobium is a promising alternative to wet etching of superconducting radio frequency (SRF) cavities. The development of the technology based on Cl 2/Ar plasma etching has to address several crucial parameters which influence the etching rate and surface roughness, and eventually, determine cavity performance. This includes dependence of the process on the frequency of the RF generator, gas pressure, power level, the driven (inner) electrode configuration, and the chlorine concentration in the gas mixture during plasma processing. To demonstrate surface layer removal in the asymmetric non-planar geometry, we are using a simplemore » cylindrical cavity with 8 ports symmetrically distributed over the cylinder. The ports are used for diagnosing the plasma parameters and as holders for the samples to be etched. The etching rate is highly correlated with the shape of the inner electrode, radio-frequency (RF) circuit elements, chlorine concentration in the Cl 2/Ar gas mixtures, residence time of reactive species and temperature of the cavity. Using cylindrical electrodes with variable radius, large-surface ring-shaped samples and d.c. bias implementation in the external circuit we have demonstrated substantial average etching rates and outlined the possibility to optimize plasma properties with respect to maximum surface processing effect.« less

  10. Formation of cerussite and hydrocerussite during adsorption of lead from aqueous solution on oxidized carbons by cold oxygen plasma

    NASA Astrophysics Data System (ADS)

    De Velasco Maldonado, Paola S.; Hernández-Montoya, Virginia; Concheso, A.; Montes-Morán, Miguel A.

    2016-11-01

    A new procedure of elimination of Pb2+ from aqueous solution using carbon adsorbents, in which high amounts of cerussite and hydrocerussite are deposited on the carbon surfaces, is reported. The procedure includes the preparation of carbons from selected lignocellulosic wastes (pecan nut shells and peach stones) by single carbonization and further oxidation with cold oxygen plasma. The materials prior and after the oxidation treatment were characterized using elemental analysis, FT-IR spectroscopy, SEM/EDX analysis, adsorption of N2 at -196 °C and X-ray photoelectron spectroscopy. The adsorption of Pb2+ was carried out in batch systems under constant agitation. The formation of cerussite and hydrocerussite on the spent carbon surfaces was confirmed by XRD, SEM/EDX and FT-IR. A Pb2+ removal mechanism is proposed in which a co-precipitation of lead nitrate and calcium carbonate would render the formation of the lead carbonates. In such mechanism, the occurrence of CaCO3 on the surface of the adsorbents plays a crucial role. The presence of calcium carbonate on the precursors is understood on the basis of the thermal evolution of calcium oxalate originally present in the biomass. The oxygen plasma treatment helps to expose the calcium carbonate nanocrystals thus improving dramatically the removal capacity of Pb2+. Accordingly, retention capacities as high as 63 mg of Pb2+ per gram of adsorbent have been attained.

  11. FAST TRACK COMMUNICATION: Contrasting characteristics of sub-microsecond pulsed atmospheric air and atmospheric pressure helium-oxygen glow discharges

    NASA Astrophysics Data System (ADS)

    Walsh, J. L.; Liu, D. X.; Iza, F.; Rong, M. Z.; Kong, M. G.

    2010-01-01

    Glow discharges in air are often considered to be the ultimate low-temperature atmospheric pressure plasmas for numerous chamber-free applications. This is due to the ubiquitous presence of air and the perceived abundance of reactive oxygen and nitrogen species in air plasmas. In this paper, sub-microsecond pulsed atmospheric air plasmas are shown to produce a low concentration of excited oxygen atoms but an abundance of excited nitrogen species, UV photons and ozone molecules. This contrasts sharply with the efficient production of excited oxygen atoms in comparable helium-oxygen discharges. Relevant reaction chemistry analysed with a global model suggests that collisional excitation of O2 by helium metastables is significantly more efficient than electron dissociative excitation of O2, electron excitation of O and ion-ion recombination. These results suggest different practical uses of the two oxygen-containing atmospheric discharges, with air plasmas being well suited for nitrogen and UV based chemistry and He-O2 plasmas for excited atomic oxygen based chemistry.

  12. Generation of Suprathermal Electrons by Collective Processes in Collisional Plasma

    NASA Astrophysics Data System (ADS)

    Tigik, S. F.; Ziebell, L. F.; Yoon, P. H.

    2017-11-01

    The ubiquity of high-energy tails in the charged particle velocity distribution functions (VDFs) observed in space plasmas suggests the existence of an underlying process responsible for taking a fraction of the charged particle population out of thermal equilibrium and redistributing it to suprathermal velocity and energy ranges. The present Letter focuses on a new and fundamental physical explanation for the origin of suprathermal electron velocity distribution function (EVDF) in a collisional plasma. This process involves a newly discovered electrostatic bremsstrahlung (EB) emission that is effective in a plasma in which binary collisions are present. The steady-state EVDF dictated by such a process corresponds to a Maxwellian core plus a quasi-inverse power-law tail, which is a feature commonly observed in many space plasma environments. In order to demonstrate this, the system of self-consistent particle- and wave-kinetic equations are numerically solved with an initially Maxwellian EVDF and Langmuir wave spectral intensity, which is a state that does not reflect the presence of EB process, and hence not in force balance. The EB term subsequently drives the system to a new force-balanced steady state. After a long integration period it is demonstrated that the initial Langmuir fluctuation spectrum is modified, which in turn distorts the initial Maxwellian EVDF into a VDF that resembles the said core-suprathermal VDF. Such a mechanism may thus be operative at the coronal source region, which is characterized by high collisionality.

  13. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hamann, S., E-mail: hamann@inp-greifswald.de; Röpcke, J.; Börner, K.

    2015-12-15

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steelmore » samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH{sub 4}, C{sub 2}H{sub 2}, HCN, and NH{sub 3}). With the help of OES, the rotational temperature of the screen plasma could be determined.« less

  14. The influence of oxygen additions on argon-shielded gas metal arc welding processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Joensson, P.G.; Murphy, A.B.; Szekely, J.

    1995-02-01

    It has been observed experimentally that small additions of oxygen to the argon shielding gas affect the general operation of GMAW processes. By theoretically modeling the arc column, it is shown that the addition of 2 to 5% oxygen to argon has an insignificant effect on the arc characteristics. This corresponds to the minor changes in the thermophysical transport and thermodynamic properties caused by the oxygen addition. Therefore, it is concluded that the addition of oxygen to the argon shielding gas mainly affects the anode and the cathode regions. From the literature, it was found that the formation of oxidesmore » initiates arcing at the cathode and decreases the movement of the cathode spots. These oxides can also improve the wetting conditions at the workpiece and the electrode. Finally, oxygen is found to affect the surface tension gradient and thereby the convective flow of liquid metal in the weld pool.« less

  15. Stem cell responses to plasma surface modified electrospun polyurethane scaffolds.

    PubMed

    Zandén, Carl; Hellström Erkenstam, Nina; Padel, Thomas; Wittgenstein, Julia; Liu, Johan; Kuhn, H Georg

    2014-07-01

    The topographical effects from functional materials on stem cell behavior are currently of interest in tissue engineering and regenerative medicine. Here we investigate the influence of argon, oxygen, and hydrogen plasma surface modification of electrospun polyurethane fibers on human embryonic stem cell (hESC) and rat postnatal neural stem cell (NSC) responses. The plasma gases were found to induce three combinations of fiber surface functionalities and roughness textures. On randomly oriented fibers, plasma treatments lead to substantially increased hESC attachment and proliferation as compared to native fibers. Argon plasma was found to induce the most optimal combination of surface functionality and roughness for cell expansion. Contact guided migration of cells and alignment of cell processes were observed on aligned fibers. Neuronal differentiation around 5% was found for all samples and was not significantly affected by the induced variations of surface functional group distribution or individual fiber topography. In this study the influence of argon, oxygen, and hydrogen plasma surface modification of electrospun polyurethane fibers on human embryonic stem cell and rat postnatal neural stem cell (NSC) responses is studied with the goal of clarifying the potential effects of functional materials on stem cell behavior, a topic of substantial interest in tissue engineering and regenerative medicine. Copyright © 2014 Elsevier Inc. All rights reserved.

  16. Atmospheric Pressure Plasma-Electrospin Hybrid Process for Protective Applications

    NASA Astrophysics Data System (ADS)

    Vitchuli Gangadharan, Narendiran

    2011-12-01

    Chemical and biological (C-B) warfare agents like sarin, sulfur mustard, anthrax are usually dispersed into atmosphere in the form of micro aerosols. They are considered to be dangerous weapon of mass destruction next to nuclear weapons. The airtight protective clothing materials currently available are able to stop the diffusion of threat agents but not good enough to detoxify them, which endangers the wearers. Extensive research efforts are being made to prepare advanced protective clothing materials that not only prevent the diffusion of C-B agents, but also detoxify them into harmless products thus ensuring the safety and comfort of the wearer. Electrospun nanofiber mats are considered to have effective filtration characteristics to stop the diffusion of submicron level particulates without sacrificing air permeability characteristics and could be used in protective application as barrier material. In addition, functional nanofibers could be potentially developed to detoxify the C-B warfare threats into harmless products. In this research, electrospun nanofibers were deposited on fabric surface to improve barrier efficiency without sacrificing comfort-related properties of the fabrics. Multi-functional nanofibers were fabricated through an electrospinning-electrospraying hybrid process and their ability to detoxify simulants of C-B agents was evaluated. Nanofibers were also deposited onto plasma-pretreated woven fabric substrate through a newly developed plasma-electrospinning hybrid process, to improve the adhesive properties of nanofibers on the fabric surface. The nanofiber adhesion and durability properties were evaluated by peel test, flex and abrasion resistance tests. In this research work, following tasks have been carried out: i) Controlled deposition of nanofiber mat onto woven fabric substrate Electrospun Nylon 6 fiber mats were deposited onto woven 50/50 Nylon/Cotton fabric with the motive of making them into protective material against submicron

  17. Fastest Formation Routes of Nanocarbons in Solution Plasma Processes.

    PubMed

    Morishita, Tetsunori; Ueno, Tomonaga; Panomsuwan, Gasidit; Hieda, Junko; Yoshida, Akihito; Bratescu, Maria Antoaneta; Saito, Nagahiro

    2016-11-14

    Although solution-plasma processing enables room-temperature synthesis of nanocarbons, the underlying mechanisms are not well understood. We investigated the routes of solution-plasma-induced nanocarbon formation from hexane, hexadecane, cyclohexane, and benzene. The synthesis rate from benzene was the highest. However, the nanocarbons from linear molecules were more crystalline than those from ring molecules. Linear molecules decomposed into shorter olefins, whereas ring molecules were reconstructed in the plasma. In the saturated ring molecules, C-H dissociation proceeded, followed by conversion into unsaturated ring molecules. However, unsaturated ring molecules were directly polymerized through cation radicals, such as benzene radical cation, and were converted into two- and three-ring molecules at the plasma-solution interface. The nanocarbons from linear molecules were synthesized in plasma from small molecules such as C 2 under heat; the obtained products were the same as those obtained via pyrolysis synthesis. Conversely, the nanocarbons obtained from ring molecules were directly synthesized through an intermediate, such as benzene radical cation, at the interface between plasma and solution, resulting in the same products as those obtained via polymerization. These two different reaction fields provide a reasonable explanation for the fastest synthesis rate observed in the case of benzene.

  18. Characteristics of Surface Sterilization using ECR Plasma

    NASA Astrophysics Data System (ADS)

    Yonesu, Akira; Hara, Kazufumi; Nishikawa, Tatsuya; Hayashi, Nobuya

    2015-09-01

    Plasma sterilization techniques have superior characteristics such as a short treatment times, non-toxicity and low thermal damages on the sterilized materials. In plasma sterilization, microorganisms can be sterilized by active radicals, energetic charged particles, and vacuum UV radiation. The influence of each factor depends on the plasma operating parameters. Microwave discharges under the electron cyclotron resonance (ECR) condition produce higher electron temperature and density plasma as compared with other plasma generation techniques. In the present study, characteristics of surface sterilization using ECR plasma have been investigated.The experiment was performed in the vacuum chamber which contains a magnet holder. A pair of rectangular Sm-Co permanent magnets is aligned parallel to each other within the magnet holder. The region of the magnetic field for ECR exists near the magnet holder surface. When the microwave is introduced into the vacuum chamber, a ECR plasma is produced around surface of the magnet holder. High energy electrons and oxygen radicals were observed at ECR zone by electric probe method and optical spectroscopic method. Biological indicators (B.I.) having spore of 106 was sterilized in 2min for oxygen discharge. The temperature of the B.I. installation position was about 55°. The sterilization was achieved by the effect of oxygen radicals and high energy electrons.

  19. A computational model of oxygen delivery by hemoglobin-based oxygen carriers in three-dimensional microvascular networks.

    PubMed

    Tsoukias, Nikolaos M; Goldman, Daniel; Vadapalli, Arjun; Pittman, Roland N; Popel, Aleksander S

    2007-10-21

    A detailed computational model is developed to simulate oxygen transport from a three-dimensional (3D) microvascular network to the surrounding tissue in the presence of hemoglobin-based oxygen carriers. The model accounts for nonlinear O(2) consumption, myoglobin-facilitated diffusion and nonlinear oxyhemoglobin dissociation in the RBCs and plasma. It also includes a detailed description of intravascular resistance to O(2) transport and is capable of incorporating realistic 3D microvascular network geometries. Simulations in this study were performed using a computer-generated microvascular architecture that mimics morphometric parameters for the hamster cheek pouch retractor muscle. Theoretical results are presented next to corresponding experimental data. Phosphorescence quenching microscopy provided PO(2) measurements at the arteriolar and venular ends of capillaries in the hamster retractor muscle before and after isovolemic hemodilution with three different hemodilutents: a non-oxygen-carrying plasma expander and two hemoglobin solutions with different oxygen affinities. Sample results in a microvascular network show an enhancement of diffusive shunting between arterioles, venules and capillaries and a decrease in hemoglobin's effectiveness for tissue oxygenation when its affinity for O(2) is decreased. Model simulations suggest that microvascular network anatomy can affect the optimal hemoglobin affinity for reducing tissue hypoxia. O(2) transport simulations in realistic representations of microvascular networks should provide a theoretical framework for choosing optimal parameter values in the development of hemoglobin-based blood substitutes.

  20. Fluid bed gasification – Plasma converter process generating energy from solid waste: Experimental assessment of sulphur species

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Morrin, Shane, E-mail: shane.morrin@ucl.ac.uk; Advanced Plasma Power, Swindon, Wiltshire SN3 4DE; Lettieri, Paola, E-mail: p.lettieri@ucl.ac.uk

    2014-01-15

    Highlights: • We investigate gaseous sulphur species whilst gasifying sulphur-enriched wood pellets. • Experiments performed using a two stage fluid bed gasifier – plasma converter process. • Notable SO{sub 2} and relatively low COS levels were identified. • Oxygen-rich regions of the bed are believed to facilitate SO{sub 2}, with a delayed release. • Gas phase reducing regions above the bed would facilitate more prompt COS generation. - Abstract: Often perceived as a Cinderella material, there is growing appreciation for solid waste as a renewable content thermal process feed. Nonetheless, research on solid waste gasification and sulphur mechanisms in particularmore » is lacking. This paper presents results from two related experiments on a novel two stage gasification process, at demonstration scale, using a sulphur-enriched wood pellet feed. Notable SO{sub 2} and relatively low COS levels (before gas cleaning) were interesting features of the trials, and not normally expected under reducing gasification conditions. Analysis suggests that localised oxygen rich regions within the fluid bed played a role in SO{sub 2}’s generation. The response of COS to sulphur in the feed was quite prompt, whereas SO{sub 2} was more delayed. It is proposed that the bed material sequestered sulphur from the feed, later aiding SO{sub 2} generation. The more reducing gas phase regions above the bed would have facilitated COS – hence its faster response. These results provide a useful insight, with further analysis on a suite of performed experiments underway, along with thermodynamic modelling.« less

  1. A Course on Plasma Processing in Integrated Circuit Fabrication.

    ERIC Educational Resources Information Center

    Sawin, Herbert H.; Reif, Rafael

    1983-01-01

    Describes a course, taught jointly by electrical/chemical engineering departments at the Massachusetts Institute of Technology, designed to teach the fundamental science of plasma processing as well as to give an overview of the present state of industrial processes. Provides rationale for course development, texts used, class composition, and…

  2. Characterization of Atmospheric Pressure Plasma Torch and the Surface Interaction for Material Removal

    NASA Astrophysics Data System (ADS)

    McWilliams, Anthony Joseph

    An atmospheric pressure plasma torch has been developed and characterized for removal of organic based coatings. The focus of the Strategic Environmental Research & Development Program (SERDP) project WP-1762, that funded the bulk of this dissertation work, is removal of paint from US Navy vessels. The goal is to develop a novel technology for coating removal that is capable of reducing the amount of environmental waste produced during the commonly used grit blasting process. The atmospheric pressure air plasma torch was identified as having the capacity to remove the paint systems while using only compressed air and electricity as a media-less removal system with drastically reduced waste generation. Any improvements to the existing technology need to be based on scientific knowledge and thus the plasma removal mechanisms or material warranted investigation. The removal of material does not show a strong relation to the plasma parameters of power, frequency, and gas flow, nor is there a strong relation to the presences of inorganic fillers impeding or altering the removal rates. The underlying removal mechanisms also do not show a strong correlation to the rotational temperature of the plasma but do show a strong correlation to the optical emission intensity. Primarily, the emission from atomic oxygen and molecular nitrogen were identified significant contributors and were investigated further. The plasma feed gas was then varied from the nitrogen and oxygen ratio present in ambient air to pure nitrogen to identify the effect of oxygen on the removal mechanism. From these experiments it was concluded that the oxygen present in air does contribute to the overall removal mechanism; however, it is not the sole contributing factor with the other major factor being nitrogen.

  3. Supra-plasma expanders: the future of treating blood loss and anemia without red cell transfusions?

    PubMed

    Tsai, Amy G; Vázquez, Beatriz Y Salazar; Hofmann, Axel; Acharya, Seetharama A; Intaglietta, Marcos

    2015-01-01

    Oxygen delivery capacity during profoundly anemic conditions depends on blood's oxygen-carrying capacity and cardiac output. Oxygen-carrying blood substitutes and blood transfusion augment oxygen-carrying capacity, but both have given rise to safety concerns, and their efficacy remains unresolved. Anemia decreases oxygen-carrying capacity and blood viscosity. Present studies show that correcting the decrease of blood viscosity by increasing plasma viscosity with newly developed plasma expanders significantly improves tissue perfusion. These new plasma expanders promote tissue perfusion, increasing oxygen delivery capacity without increasing blood oxygen-carrying capacity, thus treating the effects of anemia while avoiding the transfusion of blood.

  4. How to assess the plasma delivery of RONS into tissue fluid and tissue

    NASA Astrophysics Data System (ADS)

    Oh, Jun-Seok; Szili, Endre J.; Gaur, Nishtha; Hong, Sung-Ha; Furuta, Hiroshi; Kurita, Hirofumi; Mizuno, Akira; Hatta, Akimitsu; Short, Robert D.

    2016-08-01

    The efficacy of helium (He) and argon (Ar) plasma jets are being investigated for different healthcare applications including wound and cancer therapy, sterilisation and surface disinfections. Current research points to a potential link between the generation of reactive oxygen and nitrogen species (RONS) and outcomes in a range of biological and medical applications. As new data accrue, further strengthening this link, it becomes important to understand the controlled delivery of RONS into solutions, tissue fluids and tissues. This paper investigates the use of He and Ar plasma jets to deliver three RONS (hydrogen peroxide—H2O2, nitrite—\\text{NO}2- and nitrate—\\text{NO}3- ) and molecular oxygen (O2) directly into deionised (DI) water, or indirectly into DI water through an agarose target. The DI water is used in place of tissue fluid and the agarose target serves as a surrogate of tissue. Direct plasma jet treatments deliver more RONS and O2 than the through-agarose treatments for equivalent treatments times. The former only deliver RONS whilst the plasma jets are ignited; the latter continues to deliver RONS into the DI water long after the plasmas are extinguished. The He plasma jet is more effective at delivering H2O2 and \\text{NO}2- directly into DI water, but the Ar plasma jet is more effective at nitrating the DI water in both direct and through-agarose treatments. DI water directly treated with the plasma jets is deoxygenated, with the He plasma jet purging more O2 than the Ar plasma jet. This effect is known as ‘sparging’. In contrast, for through-agarose treatments both jets oxygenated the DI water. These results indicate that in the context of direct and indirect plasma jet treatments of real tissue fluids and tissue, the choice of process gas (He or Ar) could have a profound effect on the concentrations of RONS and O2. Irrespective of operating gas, sparging of tissue fluid (in an open wound) for long prolonged periods during direct plasma

  5. Cold Plasma as a nonthermal food processing technology

    USDA-ARS?s Scientific Manuscript database

    Contamination of fresh and fresh-cut fruits and vegetables by foodborne pathogens has prompted research into novel interventions. Cold plasma is a nonthermal food processing technology which uses energetic, reactive gases to inactivate contaminating microbes. This flexible sanitizing method uses ele...

  6. Long-term reduction in poly(dimethylsiloxane) surface hydrophobicity via cold-plasma treatments.

    PubMed

    Larson, B J; Gillmor, S D; Braun, J M; Cruz-Barba, L E; Savage, D E; Denes, F S; Lagally, M G

    2013-10-22

    Poly(dimethylsiloxane), PDMS, a versatile elastomer, is the polymer of choice for microfluidic systems. It is inexpensive, relatively easy to pattern, and permeable to oxygen. Unmodified PDMS is highly hydrophobic. It is typically exposed to an oxygen plasma to reduce this hydrophobicity. Unfortunately, the PDMS surface soon returns to its original hydrophobic state. We present two alternative plasma treatments that yield long-term modification of the wetting properties of a PDMS surface. An oxygen plasma pretreatment followed by exposure to a SiCl4 plasma and an oxygen-CCl4 mixture plasma both cause a permanent reduction in the hydrophobicity of the PDMS surface. We investigate the properties of the plasma-treated surfaces with X-ray photoelectron spectroscopy (XPS) and contact angle measurements. We propose that the plasma treated PDMS surface is a dynamic mosaic of high- and low-contact-angle functionalities. The SiCl4 and CCl4 plasmas attach polar groups that block coverage of the surface by low-molecular-weight groups that exist in PDMS. We describe an application that benefits from these new plasma treatments, the use of a PDMS stencil to form dense arrays of DNA on a surface.

  7. Coupled microwave ECR and radio-frequency plasma source for plasma processing

    DOEpatents

    Tsai, Chin-Chi; Haselton, Halsey H.

    1994-01-01

    In a dual plasma device, the first plasma is a microwave discharge having its own means of plasma initiation and control. The microwave discharge operates at electron cyclotron resonance (ECR), and generates a uniform plasma over a large area of about 1000 cm.sup.2 at low pressures below 0.1 mtorr. The ECR microwave plasma initiates the second plasma, a radio frequency (RF) plasma maintained between parallel plates. The ECR microwave plasma acts as a source of charged particles, supplying copious amounts of a desired charged excited species in uniform manner to the RF plasma. The parallel plate portion of the apparatus includes a magnetic filter with static magnetic field structure that aids the formation of ECR zones in the two plasma regions, and also assists in the RF plasma also operating at electron cyclotron resonance.

  8. Coupled microwave ECR and radio-frequency plasma source for plasma processing

    DOEpatents

    Tsai, C.C.; Haselton, H.H.

    1994-03-08

    In a dual plasma device, the first plasma is a microwave discharge having its own means of plasma initiation and control. The microwave discharge operates at electron cyclotron resonance (ECR), and generates a uniform plasma over a large area of about 1000 cm[sup 2] at low pressures below 0.1 mtorr. The ECR microwave plasma initiates the second plasma, a radio frequency (RF) plasma maintained between parallel plates. The ECR microwave plasma acts as a source of charged particles, supplying copious amounts of a desired charged excited species in uniform manner to the RF plasma. The parallel plate portion of the apparatus includes a magnetic filter with static magnetic field structure that aids the formation of ECR zones in the two plasma regions, and also assists in the RF plasma also operating at electron cyclotron resonance. 4 figures.

  9. Effects of oolong tea on plasma antioxidative capacity in mice loaded with restraint stress assessed using the oxygen radical absorbance capacity (ORAC) assay.

    PubMed

    Kurihara, Hiroshi; Fukami, Harukazu; Asami, Sumio; Toyoda, Yoshiko; Nakai, Masaaki; Shibata, Hiroshi; Yao, Xin-Sheng

    2004-07-01

    In the present study, we investigated the antioxidative effect of oolong tea in vitro and in vivo using the oxygen radical absorbance capacity (ORAC) assay. An oolong tea extract, catechin and related compounds suppressed the oxidation of fluorescence induced by AAPH in a dose-dependent manner, that is, they prolonged the antioxidant time in vitro. Oral administration of the oolong tea extract to mice treated with restraint stress increased ORAC activity in plasma as compared with a stress control group. The extract also increased plasma vitamin C levels, and there was a good relationship between ORAC activity and the vitamin C level in plasma. The elevation of plasma ORAC and vitamin C level may have been related to the stress-relieving effect of oolong tea. These effects are probably due to the antioxidative properties of the tea. Thus, these findings suggested that oolong tea has beneficial effects on health related to its antioxidative action.

  10. Atomic Oxygen Lamp Cleaning Facility Fabricated and Tested

    NASA Technical Reports Server (NTRS)

    Sechkar, Edward A.; Stueber, Thomas J.

    1999-01-01

    NASA Lewis Research Center's Atomic Oxygen Lamp Cleaning Facility was designed to produce an atomic oxygen plasma within a metal halide lamp to remove carbon-based contamination. It is believed that these contaminants contribute to the high failure rate realized during the production of these lamps. The facility is designed to evacuate a metal halide lamp and produce a radio frequency generated atomic oxygen plasma within it. Oxygen gas, with a purity of 0.9999 percent and in the pressure range of 150 to 250 mtorr, is used in the lamp for plasma generation while the lamp is being cleaned. After cleaning is complete, the lamp can be backfilled with 0.9999-percent pure nitrogen and torch sealed. The facility comprises various vacuum components connected to a radiation-shielded box that encloses the bulb during operation. Radiofrequency power is applied to the two parallel plates of a capacitor, which are on either side of the lamp. The vacuum pump used, a Leybold Trivac Type D4B, has a pumping speed of 4-m3/hr, has an ultimate pressure of <8x10-4, and is specially adapted for pure oxygen service. The electronic power supply, matching network, and controller (500-W, 13.56-MHz) used to supply the radiofrequency power were purchased from RF Power Products Inc. Initial test results revealed that this facility could remove the carbon-based contamination from within bulbs.

  11. Coal Combustion Behavior in New Ironmaking Process of Top Gas Recycling Oxygen Blast Furnace

    NASA Astrophysics Data System (ADS)

    Zhou, Zhenfeng; Xue, Qingguo; Tang, Huiqing; Wang, Guang; Wang, Jingsong

    2017-10-01

    The top gas recycling oxygen blast furnace (TGR-OBF) is a new ironmaking process which can significantly reduce the coke ratio and emissions of carbon dioxide. To better understand the coal combustion characteristics in the TGR-OBF, a three dimensional model was developed to simulate the lance-blowpipe-tuyere-raceway of a TGR-OBF. The combustion characteristics of pulverized coal in TGR-OBF were investigated. Furthermore, the effects of oxygen concentration and temperature were also analyzed. The simulation results show that the coal burnout increased by 16.23% compared to that of the TBF. The oxygen content has an obvious effect on the burnout. At 70% oxygen content, the coal burnout is only 21.64%, with a decrease of 50.14% compared to that of TBF. Moreover, the effect of oxygen temperature is also very obvious.

  12. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    PubMed

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  13. Silicon solar cells made by a self-aligned, selective-emitter, plasma-etchback process

    DOEpatents

    Ruby, Douglas S.; Schubert, William K.; Gee, James M.

    1999-01-01

    A potentially low-cost process for forming and passivating a selective emitter. The process uses a plasma etch of the heavily doped emitter to improve its performance. The grids of the solar cell are used to mask the plasma etch so that only the emitter in the region between the grids is etched, while the region beneath the grids remains heavily doped for low contact resistance. This process is potentially low-cost because it requires no alignment. After the emitter etch, a silicon nitride layer is deposited by plasma-enhanced, chemical vapor deposition, and the solar cell is annealed in a forming gas.

  14. Automated processing of whole blood samples into microliter aliquots of plasma.

    PubMed

    Burtis, C A; Johnson, W W; Walker, W A

    1988-01-01

    A rotor that accepts and automatically processes a bulk aliquot of a single blood sample into multiple aliquots of plasma has been designed and built. The rotor consists of a central processing unit, which includes a disk containing eight precision-bore capillaries. By varying the internal diameters of the capillaries, aliquot volumes ranging 1 to 10 mul can be prepared. In practice, an unmeasured volume of blood is placed in a centre well, and, as the rotor begins to spin, is moved radially into a central annular ring where it is distributed into a series of processing chambers. The rotor is then spun at 3000 rpm for 10 min. When the centrifugal field is removed by slowly decreasing the rotor speed, an aliquot of plasma is withdrawn by capillary action into each of the capillary tubes. The disk containing the eight measured aliquots of plasma is subsequently removed and placed in a modifed rotor for conventional centrifugal analysis. Initial evaluation of the new rotor indicates that it is capable of producing discrete, microliter volumes of plasma with a degree of accuracy and precision approaching that of mechanical pipettes.

  15. Ti film deposition process of a plasma focus: Study by an experimental design

    NASA Astrophysics Data System (ADS)

    Inestrosa-Izurieta, M. J.; Moreno, J.; Davis, S.; Soto, L.

    2017-10-01

    The plasma generated by plasma focus (PF) devices have substantially different physical characteristics from another plasma, energetic ions and electrons, compared with conventional plasma devices used for plasma nanofabrication, offering new and unique opportunities in the processing and synthesis of Nanomaterials. This article presents the use of a plasma focus of tens of joules, PF-50J, for the deposition of materials sprayed from the anode by the plasma dynamics in the axial direction. This work focuses on the determination of the most significant effects of the technological parameters of the system on the obtained depositions through the use of a statistical experimental design. The results allow us to give a qualitative understanding of the Ti film deposition process in our PF device depending on four different events provoked by the plasma dynamics: i) an electric erosion of the outer material of the anode; ii) substrate ablation generating an interlayer; iii) electron beam deposition of material from the center of the anode; iv) heat load provoking clustering or even melting of the deposition surface.

  16. Atmospheric pressure cold plasma as an antifungal therapy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun Peng; Wu Haiyan; Sun Yi

    2011-01-10

    A microhollow cathode based, direct-current, atmospheric pressure, He/O{sub 2} (2%) cold plasma microjet was used to inactive antifungal resistants Candida albicans, Candida krusei, and Candida glabrata in air and in water. Effective inactivation (>90%) was achieved in 10 min in air and 1 min in water. Antifungal susceptibility tests showed drastic reduction of the minimum inhibitory concentration after plasma treatment. The inactivation was attributed to the reactive oxygen species generated in plasma or in water. Hydroxyl and singlet molecular oxygen radicals were detected in plasma-water system by electron spin resonance spectroscopy. This approach proposed a promising clinical dermatology therapy.

  17. Chemical fingerprints of cold physical plasmas - an experimental and computational study using cysteine as tracer compound.

    PubMed

    Lackmann, J-W; Wende, K; Verlackt, C; Golda, J; Volzke, J; Kogelheide, F; Held, J; Bekeschus, S; Bogaerts, A; Schulz-von der Gathen, V; Stapelmann, K

    2018-05-16

    Reactive oxygen and nitrogen species released by cold physical plasma are being proposed as effectors in various clinical conditions connected to inflammatory processes. As these plasmas can be tailored in a wide range, models to compare and control their biochemical footprint are desired to infer on the molecular mechanisms underlying the observed effects and to enable the discrimination between different plasma sources. Here, an improved model to trace short-lived reactive species is presented. Using FTIR, high-resolution mass spectrometry, and molecular dynamics computational simulation, covalent modifications of cysteine treated with different plasmas were deciphered and the respective product pattern used to generate a fingerprint of each plasma source. Such, our experimental model allows a fast and reliable grading of the chemical potential of plasmas used for medical purposes. Major reaction products were identified to be cysteine sulfonic acid, cystine, and cysteine fragments. Less-abundant products, such as oxidized cystine derivatives or S-nitrosylated cysteines, were unique to different plasma sources or operating conditions. The data collected point at hydroxyl radicals, atomic O, and singlet oxygen as major contributing species that enable an impact on cellular thiol groups when applying cold plasma in vitro or in vivo.

  18. ‘Reliability of new poly (lactic-co-glycolic acid) membranes treated with oxygen plasma plus silicon dioxide layers for pre-prosthetic guided bone regeneration processes’

    PubMed Central

    Castillo-Dalí, Gabriel; Batista-Cruzado, Antonio; López-Santos, Carmen; Rodríguez-González-Elipe, Agustín; Saffar, Jean-Louis; Lynch, Christopher D.; Gutiérrez-Pérez, José-Luis; Torres-Lagares, Daniel

    2017-01-01

    Background The use of cold plasmas may improve the surface roughness of poly(lactic-co-glycolic) acid (PLGA) membranes, which may stimulate the adhesion of osteogenic mediators and cells, thus accelerating the biodegradation of the barriers. Moreover, the incorporation of metallic-oxide particles to the surface of these membranes may enhance their osteoinductive capacity. Therefore, the aim of this paper was to evaluate the reliability of a new PLGA membrane after being treated with oxygen plasma (PO2) plus silicon dioxide (SiO2) layers for guided bone regeneration (GBR) processes. Material and Methods Circumferential bone defects (diameter: 11 mm; depth: 3 mm) were created on the top of eight experimentation rabbits’ skulls and were randomly covered with: (1) PLGA membranes (control), or (2) PLGA/PO2/SiO2 barriers. The animals were euthanized two months afterwards. A micromorphologic study was then performed using ROI (region of interest) colour analysis. Percentage of new bone formation, length of mineralised bone, concentration of osteoclasts, and intensity of ostheosynthetic activity were assessed and compared with those of the original bone tissue. The Kruskal-Wallis test was applied for between-group com Asignificance level of a=0.05 was considered. Results The PLGA/PO2/SiO2 membranes achieved the significantly highest new bone formation, length of mineralised bone, concentration of osteoclasts, and ostheosynthetic activity. The percentage of regenerated bone supplied by the new membranes was similar to that of the original bone tissue. Unlike what happened in the control group, PLGA/PO2/SiO2 membranes predominantly showed bone layers in advanced stages of formation. Conclusions The addition of SiO2 layers to PLGA membranes pre-treated with PO2 improves their bone-regeneration potential. Although further research is necessary to corroborate these conclusions in humans, this could be a promising strategy to rebuild the bone architecture prior to rehabilitate

  19. Direct writing of gold nanostructures with an electron beam: On the way to pure nanostructures by combining optimized deposition with oxygen-plasma treatment

    PubMed Central

    Belić, Domagoj; Shawrav, Mostafa M; Bertagnolli, Emmerich

    2017-01-01

    This work presents a highly effective approach for the chemical purification of directly written 2D and 3D gold nanostructures suitable for plasmonics, biomolecule immobilisation, and nanoelectronics. Gold nano- and microstructures can be fabricated by one-step direct-write lithography process using focused electron beam induced deposition (FEBID). Typically, as-deposited gold nanostructures suffer from a low Au content and unacceptably high carbon contamination. We show that the undesirable carbon contamination can be diminished using a two-step process – a combination of optimized deposition followed by appropriate postdeposition cleaning. Starting from the common metal-organic precursor Me2-Au-tfac, it is demonstrated that the Au content in pristine FEBID nanostructures can be increased from 30 atom % to as much as 72 atom %, depending on the sustained electron beam dose. As a second step, oxygen-plasma treatment is established to further enhance the Au content in the structures, while preserving their morphology to a high degree. This two-step process represents a simple, feasible and high-throughput method for direct writing of purer gold nanostructures that can enable their future use for demanding applications. PMID:29259868

  20. Recent progress in solution plasma-synthesized-carbon-supported catalysts for energy conversion systems

    NASA Astrophysics Data System (ADS)

    Lun Li, Oi; Lee, Hoonseung; Ishizaki, Takahiro

    2018-01-01

    Carbon-based materials have been widely utilized as the electrode materials in energy conversion and storage technologies, such as fuel cells and metal-air batteries. In these systems, the oxygen reduction reaction is an important step that determines the overall performance. A novel synthesis route, named the solution plasma process, has been recently utilized to synthesize various types of metal-based and heteroatom-doped carbon catalysts. In this review, we summarize cutting-edge technologies involving the synthesis and modeling of carbon-supported catalysts synthesized via solution plasma process, followed by current progress on the electrocatalytic performance of these catalysts. This review provides the fundamental and state-of-the-art performance of solution-plasma-synthesized electrode materials, as well as the remaining scientific and technological challenges for this process.

  1. Discharge Processes in the Oxygen Plasma

    DTIC Science & Technology

    1981-04-01

    for Period October 1975 - October 1980 Approved for public release; distribution unlimited C.) A "AERO PROPULSION LABORATORY L. AIR FORCE WRIGHT...AERONAUTICAL LABORATORIES AIR FORCE SYSTEMS COMMAND WRIGHT PATTERSON AIR FORCE BASE, OHIO 45433 816,., 009 Best Available Copy S...it different from Report) IS. SUPPLEMENTARY NOTES A Dissertation Presented to the Faculty of the School of Engineering of the Air Force Institute of

  2. Fructooligosaccharides integrity after atmospheric cold plasma and high-pressure processing of a functional orange juice.

    PubMed

    Almeida, Francisca Diva Lima; Gomes, Wesley Faria; Cavalcante, Rosane Souza; Tiwari, Brijesh K; Cullen, Patrick J; Frias, Jesus Maria; Bourke, Paula; Fernandes, Fabiano A N; Rodrigues, Sueli

    2017-12-01

    In this study, the effect of atmospheric pressure cold plasma and high-pressure processing on the prebiotic orange juice was evaluated. Orange juice containing 7g/100g of commercial fructooligosaccharides (FOS) was directly and indirectly exposed to a plasma discharge at 70kV with processing times of 15, 30, 45 and 60s. For high-pressure processing, the juice containing the same concentration of FOS was treated at 450MPa for 5min at 11.5°C in an industrial equipment (Hyperbaric, model: 300). After the treatments, the fructooligosaccharides were qualified and quantified by thin layer chromatography. The organic acids and color analysis were also evaluated. The maximal overall fructooligosaccharides degradation was found after high-pressure processing. The total color difference was <3.0 for high-pressure and plasma processing. citric and ascorbic acid (Vitamin C) showed increased content after plasma and high-pressure treatment. Thus, atmospheric pressure cold plasma and high-pressure processing can be used as non-thermal alternatives to process prebiotic orange juice. Copyright © 2017 Elsevier Ltd. All rights reserved.

  3. Brain tissue oxygen tension is more indicative of oxygen diffusion than oxygen delivery and metabolism in patients with traumatic brain injury.

    PubMed

    Rosenthal, Guy; Hemphill, J Claude; Sorani, Marco; Martin, Christine; Morabito, Diane; Obrist, Walter D; Manley, Geoffrey T

    2008-06-01

    Despite the growing clinical use of brain tissue oxygen monitoring, the specific determinants of low brain tissue oxygen tension (P(bt)O2) following severe traumatic brain injury (TBI) remain poorly defined. The objective of this study was to evaluate whether P(bt)O2 more closely reflects variables related to cerebral oxygen diffusion or reflects cerebral oxygen delivery and metabolism. Prospective observational study. Level I trauma center. Fourteen TBI patients with advanced neuromonitoring underwent an oxygen challenge (increase in FiO2 to 1.0) to assess tissue oxygen reactivity, pressure challenge (increase in mean arterial pressure) to assess autoregulation, and CO2 challenge (hyperventilation) to assess cerebral vasoreactivity. None. P(bt)O2 was measured directly with a parenchymal probe in the least-injured hemisphere. Local cerebral blood flow (CBF) was measured with a parenchymal thermal diffusion probe. Cerebral venous blood gases were drawn from a jugular bulb venous catheter. We performed 119 measurements of PaO2, arterial oxygen content (CaO2), jugular bulb venous oxygen tension (PVO2), venous oxygen content (CVO2), arteriovenous oxygen content difference (AVDO2), and local cerebral metabolic rate of oxygen (locCMRO2). In multivariable analysis adjusting for various variables of cerebral oxygen delivery and metabolism, the only statistically significant relationship was that between P(bt)O2 and the product of CBF and cerebral arteriovenous oxygen tension difference (AVTO2), suggesting a strong association between brain tissue oxygen tension and diffusion of dissolved plasma oxygen across the blood-brain barrier. Measurements of P(bt)O2 represent the product of CBF and the cerebral AVTO2 rather than a direct measurement of total oxygen delivery or cerebral oxygen metabolism. This improved understanding of the cerebral physiology of P(bt)O2 should enhance the clinical utility of brain tissue oxygen monitoring in patients with TBI.

  4. Cold plasma as a nonthermal food processing technology

    USDA-ARS?s Scientific Manuscript database

    Contamination of meats, seafood, poultry, eggs, and fresh and fresh-cut fruits and vegetables is an ongoing concern. Although well-established in non-food applications for surface treatment and modification, cold plasma is a relatively new food safety intervention. As a nonthermal food processing te...

  5. Three-Dimensional Mathematical Model of Oxygen Transport Behavior in Electroslag Remelting Process

    NASA Astrophysics Data System (ADS)

    Huang, Xuechi; Li, Baokuan; Liu, Zhongqiu

    2018-04-01

    A transient three-dimensional model has been proposed to investigate the oxygen transport behavior in electroslag remelting process. The electromagnetism, heat transfer, multiphase flow, and species transport were calculated simultaneously by finite volume method. The volume of fluid approach was adopted to trace the metal-slag-air three-phase flow. Based on the necessary thermodynamics of oxygen transport behavior, a kinetic model was established to predict the mass source terms in species transport equation. The kinetic correction factor was proposed to account for the effect of the oxide scale formed on the electrode on the FeO content in slag. Finally, the effect of applied current on the oxygen transfer was studied. The predicted result agrees well with the measured data when the kinetic correction factor is set to be 0.5. The temperature distribution that affects the thermodynamics differs at the interfaces. The oxygen in air is absorbed into slag due to the oxidation at the slag/air interface. The Fe2O3 in slag and the oxide scale contribute to the increase of FeO content in slag, and the latter one plays the leading role. The oxygen transfer from slag to metal mainly occurs during the formation of the droplet at the slag/metal droplet interface. With the current increasing from 1200 to 1800 A, the oxygen content increases from 76.4 to 89.8 ppm, and then slightly declines to 89.2 ppm when the current increases to 2100 A.

  6. Silicon solar cells made by a self-aligned, selective-emitter, plasma-etchback process

    DOEpatents

    Ruby, D.S.; Schubert, W.K.; Gee, J.M.

    1999-02-16

    A potentially low-cost process for forming and passivating a selective emitter. The process uses a plasma etch of the heavily doped emitter to improve its performance. The grids of the solar cell are used to mask the plasma etch so that only the emitter in the region between the grids is etched, while the region beneath the grids remains heavily doped for low contact resistance. This process is potentially low-cost because it requires no alignment. After the emitter etch, a silicon nitride layer is deposited by plasma-enhanced, chemical vapor deposition, and the solar cell is annealed in a forming gas. 5 figs.

  7. Pulse thermal processing of functional materials using directed plasma arc

    DOEpatents

    Ott, Ronald D [Knoxville, TN; Blue, Craig A [Knoxville, TN; Dudney, Nancy J [Knoxville, TN; Harper, David C [Kingston, TN

    2007-05-22

    A method of thermally processing a material includes exposing the material to at least one pulse of infrared light emitted from a directed plasma arc to thermally process the material, the pulse having a duration of no more than 10 s.

  8. Texturing Blood-Glucose-Monitoring Optics Using Oxygen Beams

    NASA Technical Reports Server (NTRS)

    Banks, Bruce

    2005-01-01

    A method has been invented for utilizing directed, hyperthermal oxygen atoms and ions for texturing tips of polymeric optical fibers or other polymeric optical components for use in optical measurement of concentration of glucose in blood. The required texture of the sensory surface of such a component amounts to a landscape of microscopic hills having high aspect ratios (hills taller than they are wide), with an average distance between hills of no more than about 5 m. This limit on the average distance between hills is chosen so that blood cells (which are wider) cannot enter the valleys between the hills, where they could obstruct optical sensing of glucose in the blood plasma. On the other hand, the plasma is required to enter the valleys, and a high aspect ratio is intended to maximize the hillside and valley surface area in contact with the plasma, thereby making it possible to obtain a given level of optical glucose- measurement sensitivity with a relatively small volume of blood. The present method of texturing by use of directed, hyperthermal (particle energy >1 eV) oxygen atoms and ions stands in contrast to a prior method of texturing by use of thermal monatomic oxygen characterized by a temperature of the order of 0.5 eV. The prior method yields low-aspect- ratio (approximately hemispherical) craters that are tens of microns wide . too wide to exclude blood cells. The figure schematically depicts parts of a typical apparatus for texturing according to the present method. One or more polymeric optical components to be textured (e.g., multiple optical fibers bundled together for simultaneous processing) are mounted in a vacuum chamber facing a suitable ion- or atom-accelerating device capable of generating a beam of oxygen atoms and/or ions having kinetic energies >1 eV. Typically, such a device includes a heated cathode, in which case it is desirable to interpose a water-cooled thermal-radiation shield to prevent melting of the polymeric component(s) to

  9. Using atmospheric pressure plasma treatment for treating grey cotton fabric.

    PubMed

    Kan, Chi-Wai; Lam, Chui-Fung; Chan, Chee-Kooi; Ng, Sun-Pui

    2014-02-15

    Conventional wet treatment, desizing, scouring and bleaching, for grey cotton fabric involves the use of high water, chemical and energy consumption which may not be considered as a clean process. This study aims to investigate the efficiency of the atmospheric pressure plasma (APP) treatment on treating grey cotton fabric when compared with the conventional wet treatment. Grey cotton fabrics were treated with different combinations of plasma parameters with helium and oxygen gases and also through conventional desizing, scouring and bleaching processes in order to obtain comparable results. The results obtained from wicking and water drop tests showed that wettability of grey cotton fabrics was greatly improved after plasma treatment and yielded better results than conventional desizing and scouring. The weight reduction of plasma treated grey cotton fabrics revealed that plasma treatment can help remove sizing materials and impurities. Chemical and morphological changes in plasma treated samples were analysed by FTIR and SEM, respectively. Finally, dyeability of the plasma treated and conventional wet treated grey cotton fabrics was compared and the results showed that similar dyeing results were obtained. This can prove that plasma treatment would be another choice for treating grey cotton fabrics. Copyright © 2013 Elsevier Ltd. All rights reserved.

  10. Method and Process Development of Advanced Atmospheric Plasma Spraying for Thermal Barrier Coatings

    NASA Astrophysics Data System (ADS)

    Mihm, Sebastian; Duda, Thomas; Gruner, Heiko; Thomas, Georg; Dzur, Birger

    2012-06-01

    Over the last few years, global economic growth has triggered a dramatic increase in the demand for resources, resulting in steady rise in prices for energy and raw materials. In the gas turbine manufacturing sector, process optimizations of cost-intensive production steps involve a heightened potential of savings and form the basis for securing future competitive advantages in the market. In this context, the atmospheric plasma spraying (APS) process for thermal barrier coatings (TBC) has been optimized. A constraint for the optimization of the APS coating process is the use of the existing coating equipment. Furthermore, the current coating quality and characteristics must not change so as to avoid new qualification and testing. Using experience in APS and empirically gained data, the process optimization plan included the variation of e.g. the plasma gas composition and flow-rate, the electrical power, the arrangement and angle of the powder injectors in relation to the plasma jet, the grain size distribution of the spray powder and the plasma torch movement procedures such as spray distance, offset and iteration. In particular, plasma properties (enthalpy, velocity and temperature), powder injection conditions (injection point, injection speed, grain size and distribution) and the coating lamination (coating pattern and spraying distance) are examined. The optimized process and resulting coating were compared to the current situation using several diagnostic methods. The improved process significantly reduces costs and achieves the requirement of comparable coating quality. Furthermore, a contribution was made towards better comprehension of the APS of ceramics and the definition of a better method for future process developments.

  11. Automated process control for plasma etching

    NASA Astrophysics Data System (ADS)

    McGeown, Margaret; Arshak, Khalil I.; Murphy, Eamonn

    1992-06-01

    This paper discusses the development and implementation of a rule-based system which assists in providing automated process control for plasma etching. The heart of the system is to establish a correspondence between a particular data pattern -- sensor or data signals -- and one or more modes of failure, i.e., a data-driven monitoring approach. The objective of this rule based system, PLETCHSY, is to create a program combining statistical process control (SPC) and fault diagnosis to help control a manufacturing process which varies over time. This can be achieved by building a process control system (PCS) with the following characteristics. A facility to monitor the performance of the process by obtaining and analyzing the data relating to the appropriate process variables. Process sensor/status signals are input into an SPC module. If trends are present, the SPC module outputs the last seven control points, a pattern which is represented by either regression or scoring. The pattern is passed to the rule-based module. When the rule-based system recognizes a pattern, it starts the diagnostic process using the pattern. If the process is considered to be going out of control, advice is provided about actions which should be taken to bring the process back into control.

  12. Oxygen Plasma-Fragmented KMnF3 Nanoparticle Benefits Contrast Enhancement for MR Imaging of a Patient-derived Tumor Xenograft Model.

    PubMed

    Fu, Xin; Yu, Lulu; Li, Yanshu; Zhang, Yu; Xiao, Xiaoping; Zhang, Jinsheng; Shu, Ting; Jing, Cai; Tang, Qun

    2018-06-11

    Magnetic nanoparticles are emerging as promising candidates for next-generation of imaging contrast agents and its performance was largely dependent on physico-chemistry properties. In this paper, A new type of "top down" fabrication technique was developed to synthesize ultrasmall magnetic nanoparticle as contrast enhancer. In detailed, home-made oxygen plasma generator fragments larger KMnF3 nanoparticle (22 nm) into smaller (<5 nm) particle with enhanced hydrophilicity, as massive activated oxygen species produced during plasma could severally etch the nanoparticle, and VUV light irradiated it heavily as well, leaving it weak crystallinity, even splitting into ultrafine particle, also its surface transformed from hydrophobic to hydrophilic by oxidizing the passivated ligand, evidenced from the spectroscopy and microscopy. The fragmented nanoparticle is characteristic of unprecedented high longitudinal relaxivity (r1=35.52 mM-1.s-1) and appropriate biocompatibility. In healthy mouse, the ultrafine nanoparticle did not exert observable toxicity, evaluated by histology of the main organ and hemogram analysis, including kidney and liver function analysis. More interesting, the ultrasmall NP has very long circulation time, as its blood half time is around 20 hours. When applied as a contrast enhancer for MR imaging of patient-derived tumor xenograft model, the accumulation of KMnF3 nanoparticle within the tumor can be as high as averaged 12.13%ID per gram, which greatly shortens relaxation time of the tumor, therefore control-to-noise ratio got significant enhancement, relative to the same dosage of Gd-DTPA (Magvenist) (P<0.001). Our primary results demonstrate that fragmentation of nanoparticle via our home-made O2 plasma technique might be an effective route to fabricate ultrasmall NPs, and benefit their contrast effect as applied as MRI enhancer for clinical diagnosis of tumor. © 2018 IOP Publishing Ltd.

  13. Microwave Plasma Hydrogen Recovery System

    NASA Technical Reports Server (NTRS)

    Atwater, James; Wheeler, Richard, Jr.; Dahl, Roger; Hadley, Neal

    2010-01-01

    A microwave plasma reactor was developed for the recovery of hydrogen contained within waste methane produced by Carbon Dioxide Reduction Assembly (CRA), which reclaims oxygen from CO2. Since half of the H2 reductant used by the CRA is lost as CH4, the ability to reclaim this valuable resource will simplify supply logistics for longterm manned missions. Microwave plasmas provide an extreme thermal environment within a very small and precisely controlled region of space, resulting in very high energy densities at low overall power, and thus can drive high-temperature reactions using equipment that is smaller, lighter, and less power-consuming than traditional fixed-bed and fluidized-bed catalytic reactors. The high energy density provides an economical means to conduct endothermic reactions that become thermodynamically favorable only at very high temperatures. Microwave plasma methods were developed for the effective recovery of H2 using two primary reaction schemes: (1) methane pyrolysis to H2 and solid-phase carbon, and (2) methane oligomerization to H2 and acetylene. While the carbon problem is substantially reduced using plasma methods, it is not completely eliminated. For this reason, advanced methods were developed to promote CH4 oligomerization, which recovers a maximum of 75 percent of the H2 content of methane in a single reactor pass, and virtually eliminates the carbon problem. These methods were embodied in a prototype H2 recovery system capable of sustained high-efficiency operation. NASA can incorporate the innovation into flight hardware systems for deployment in support of future long-duration exploration objectives such as a Space Station retrofit, Lunar outpost, Mars transit, or Mars base. The primary application will be for the recovery of hydrogen lost in the Sabatier process for CO2 reduction to produce water in Exploration Life Support systems. Secondarily, this process may also be used in conjunction with a Sabatier reactor employed to

  14. Purification of tantalum by plasma arc melting

    DOEpatents

    Dunn, Paul S.; Korzekwa, Deniece R.

    1999-01-01

    Purification of tantalum by plasma arc melting. The level of oxygen and carbon impurities in tantalum was reduced by plasma arc melting the tantalum using a flowing plasma gas generated from a gas mixture of helium and hydrogen. The flowing plasma gases of the present invention were found to be superior to other known flowing plasma gases used for this purpose.

  15. Functionalization of carbon nanotubes by water plasma.

    PubMed

    Hussain, S; Amade, R; Jover, E; Bertran, E

    2012-09-28

    Multiwall carbon nanotubes grown by plasma enhanced chemical vapour deposition were functionalized by H(2)O plasma treatment. Through a controlled functionalization process of the carbon nanotubes (CNTs) we were able to modify and tune their chemical reactivity, expanding the range of potential applications in the field of energy and environment. In particular, different oxygen groups were attached to the surfaces of the nanotubes (e.g. carboxyl, hydroxyl and carbonyl), which changed their physicochemical properties. In order to optimize the main operational parameters of the H(2)O plasma treatment, pressure and power, a Box-Wilson experimental design was adopted. Analysis of the morphology, electrochemical properties and functional groups attached to the surfaces of the CNTs allowed us to determine which treatment conditions were suitable for different applications. After water plasma treatment the specific capacitance of the nanotubes increased from 23 up to 68 F g(-1) at a scan rate of 10 mV s(-1).

  16. Cold plasma interactions with plants: Morphing and movements of Venus flytrap and Mimosa pudica induced by argon plasma jet.

    PubMed

    Volkov, Alexander G; Xu, Kunning G; Kolobov, Vladimir I

    2017-12-01

    Low temperature (cold) plasma finds an increasing number of applications in biology, medicine and agriculture. In this paper, we report a new effect of plasma induced morphing and movements of Venus flytrap and Mimosa pudica. We have experimentally observed plasma activation of sensitive plant movements and morphing structures in these plants similar to stimulation of their mechanosensors in vivo. Application of an atmospheric pressure argon plasma jet to the inside or outside of a lobe, midrib, or cilia in Dionaea muscipula Ellis induces trap closing. Treatment of Mimosa pudica by plasma induces movements of pinnules and petioles similar to the effects of mechanical stimulation. We have conducted control experiments and simulations to illustrate that gas flow and UV radiation associated with plasma are not the primary reasons for the observed effects. Reactive oxygen and nitrogen species (RONS) produced by cold plasma in atmospheric air appear to be the primary reason of plasma-induced activation of phytoactuators in plants. Some of these RONS are known to be signaling molecules, which control plants' developmental processes. Understanding these mechanisms could promote plasma-based technology for plant developmental control and future use for plant protection from pathogens. Our work offers new insight into mechanisms which trigger plant morphing and movement. Copyright © 2017 Elsevier B.V. All rights reserved.

  17. Revisiting the Inner Magnetospheric Oxygen Torus with DE 1 RIMS

    NASA Technical Reports Server (NTRS)

    Gallagher, D. L.; Goldstein, J.; Craven, P. D.; Comfort, R. H.

    2016-01-01

    Nearly 35 years ago direct observations of cold plasmaspheric ions found enhanced O(+), O(++), and even N(+) densities in the outer plasmasphere, in particular during storm recovery conditions. Enhancements were seen inside or just outside of the plasmapause at all magnetic local times. Whereas nominal O(+) concentrations were found to be 1% or less inside the plasmasphere, enhanced O(+) in the vicinity of the plasmapause was found to reach densities comparable to H(+). Enhanced ion outflow (including oxygen) from high latitudes has also become part of our picture of storm-time phenomena. More recently it has become apparent that high latitude outflow is a source of inner magnetospheric warm ions that convect into morning and afternoon local times, to form what we now call the warm plasma cloak. Low to middle latitude ionospheric outflow and high latitude outflow are thought to result from very different processes and can be expected to contribute differently as a function of conditions and locations to the dynamic processes of energy and particle transport in the inner magnetosphere. Given the apparent proximity of their delivery to the vicinity of the plasmapause during plasmaspheric refilling conditions it becomes worthwhile to question the origin of the oxygen torus and its role in this region. While the observations do not yet exist to settle this question, there are measurements that contribute to the discussion in the new emerging context of cold plasma in the inner magnetosphere. In this paper we present and discuss DE 1 RIMS derived ion densities and temperatures that contribute to answering these outstanding questions about the origin and dynamics of the oxygen torus.

  18. Preparation of a bonelike apatite-polymer fiber composite using a simple biomimetic process.

    PubMed

    Yokoyama, Yoshiro; Oyane, Ayako; Ito, Atsuo

    2008-08-01

    A bonelike apatite-polymer fiber composite may be useful as an implant material to replace bone, the enthesis of a tendon, and the joint part of a ligament. We treated an ethylene-vinyl alcohol copolymer (EVOH) plate and knitted EVOH fibers with an oxygen plasma to produce oxygen-containing functional groups on their surfaces. The plasma-treated samples were alternately dipped in alcoholic calcium and phosphate ion solutions three times to deposit apatite precursors onto their surfaces. The surface-modified samples formed a dense and uniform bonelike surface apatite layer after immersion for 24 h in a simulated body fluid with ion concentrations approximately equal to those of human blood plasma. The adhesive strength between the apatite layer and the sample's surface increased with increasing power density of the oxygen plasma. The apatite-EVOH fiber composite obtained by our process has similarities to natural bone in that apatite crystals are deposited on organic polymer fibers. The resulting composite would possess osteoconductivity due to the apatite phase. With proper polymer selection and optimized synthesis techniques, a composite could be made that would have bonelike mechanical properties. Hence, the present surface modification and coating process would be a promising route to obtain new implant materials with bonelike mechanical properties and osteoconductivity. (c) 2007 Wiley Periodicals, Inc.

  19. Nonlinear dynamic processes in modified ionospheric plasma

    NASA Astrophysics Data System (ADS)

    Kochetov, A.; Terina, G.

    Presented work is a contribution to the experimental and theoretical study of nonlinear effects arising on ionospheric plasma under the action of powerful radio emission (G.I. Terina, J. Atm. Terr. Phys., 1995, v.57, p.273; A.V. Kochetov et. al., Advances in Space Research, 2002, in press). The experimental results were obtained by the method of sounding of artificially disturbed ionosphere by short radio pulses. The amplitude and phase characteristics of scattered signal as of "caviton" type (CS) (analogy of narrow-band component of stimulation electromagnetic emission (SEE)) as the main signal (MS) of probing transmitter are considered. The theoretical model is based on numerical solution of driven nonlinear Shrödinger equation (NSE) in inhomogeneous plasma. The simulation allows us to study a self-consistent spatial-temporal dynamics of field and plasma. The observed evolution of phase characteristics of MS and CS qualitatively correspond to the results of numerical simulation and demonstrate the penetration processes of powerful electromagnetic wave in supercritical (in linear approach) plasma regions. The modeling results explain also the periodic generation of CS, the travel CS maximum down to density gradient, the aftereffect of CS. The obtained results show the excitation of strong turbulence and allow us to interpret CS, NC and so far inexplicable phenomena as "spikes" too. The work was supported in part by Russian Foundation for Basic Research (grants Nos. 99-02-16642, 99-02- 16399).

  20. Real-time plasma control in a dual-frequency, confined plasma etcher

    NASA Astrophysics Data System (ADS)

    Milosavljević, V.; Ellingboe, A. R.; Gaman, C.; Ringwood, J. V.

    2008-04-01

    The physics issues of developing model-based control of plasma etching are presented. A novel methodology for incorporating real-time model-based control of plasma processing systems is developed. The methodology is developed for control of two dependent variables (ion flux and chemical densities) by two independent controls (27 MHz power and O2 flow). A phenomenological physics model of the nonlinear coupling between the independent controls and the dependent variables of the plasma is presented. By using a design of experiment, the functional dependencies of the response surface are determined. In conjunction with the physical model, the dependencies are used to deconvolve the sensor signals onto the control inputs, allowing compensation of the interaction between control paths. The compensated sensor signals and compensated set-points are then used as inputs to proportional-integral-derivative controllers to adjust radio frequency power and oxygen flow to yield the desired ion flux and chemical density. To illustrate the methodology, model-based real-time control is realized in a commercial semiconductor dielectric etch chamber. The two radio frequency symmetric diode operates with typical commercial fluorocarbon feed-gas mixtures (Ar/O2/C4F8). Key parameters for dielectric etching are known to include ion flux to the surface and surface flux of oxygen containing species. Control is demonstrated using diagnostics of electrode-surface ion current, and chemical densities of O, O2, and CO measured by optical emission spectrometry and/or mass spectrometry. Using our model-based real-time control, the set-point tracking accuracy to changes in chemical species density and ion flux is enhanced.