Sample records for plasma chemical vaporization

  1. Development of plasma chemical vaporization machining

    NASA Astrophysics Data System (ADS)

    Mori, Yuzo; Yamauchi, Kazuto; Yamamura, Kazuya; Sano, Yasuhisa

    2000-12-01

    Conventional machining processes, such as turning, grinding, or lapping are still applied for many materials including functional ones. But those processes are accompanied with the formation of a deformed layer, so that machined surfaces cannot perform their original functions. In order to avoid such points, plasma chemical vaporization machining (CVM) has been developed. Plasma CVM is a chemical machining method using neutral radicals, which are generated by the atmospheric pressure plasma. By using a rotary electrode for generation of plasma, a high density of neutral radicals was formed, and we succeeded in obtaining high removal rate of several microns to several hundred microns per minute for various functional materials such as fused silica, single crystal silicon, molybdenum, tungsten, silicon carbide, and diamond. Especially, a high removal rate equal to lapping in the mechanical machining of fused silica and silicon was realized. 1.4 nm (p-v) was obtained as a surface roughness in the case of machining a silicon wafer. The defect density of a silicon wafer surface polished by various machining method was evaluated by the surface photo voltage spectroscopy. As a result, the defect density of the surface machined by plasma CVM was under 1/100 in comparison with the surface machined by mechanical polishing and argon ion sputtering, and very low defect density which was equivalent to the chemical etched surface was realized. A numerically controlled CVM machine for x-ray mirror fabrication is detailed in the accompanying article in this issue.

  2. A Self Consistent RF Discharge, Plasma Chemistry and Surface Model for Plasma Enhanced Chemical Vapor Deposition

    DTIC Science & Technology

    1988-06-30

    consists of three submodels for the electron kinetics, plasma chemistry , and surface deposition kinetics for a-Si:H deposited from radio frequency...properties. Plasma enhanced, Chemical vapor deposition, amorphous silicon, Modeling, Electron kinetics, Plasma chemistry , Deposition kinetics, Rf discharge, Silane, Film properties, Silicon.

  3. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    PubMed

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  4. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  5. Gallium assisted plasma enhanced chemical vapor deposition of silicon nanowires.

    PubMed

    Zardo, I; Yu, L; Conesa-Boj, S; Estradé, S; Alet, Pierre Jean; Rössler, J; Frimmer, M; Roca I Cabarrocas, P; Peiró, F; Arbiol, J; Morante, J R; Fontcuberta I Morral, A

    2009-04-15

    Silicon nanowires have been grown with gallium as catalyst by plasma enhanced chemical vapor deposition. The morphology and crystalline structure has been studied by electron microscopy and Raman spectroscopy as a function of growth temperature and catalyst thickness. We observe that the crystalline quality of the wires increases with the temperature at which they have been synthesized. The crystalline growth direction has been found to vary between <111> and <112>, depending on both the growth temperature and catalyst thickness. Gallium has been found at the end of the nanowires, as expected from the vapor-liquid-solid growth mechanism. These results represent good progress towards finding alternative catalysts to gold for the synthesis of nanowires.

  6. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers.

    PubMed

    Matthews, Kristopher; Cruden, Brett A; Chen, Bin; Meyyappan, M; Delzeit, Lance

    2002-10-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  7. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers

    NASA Technical Reports Server (NTRS)

    Matthews, Kristopher; Cruden, Brett A.; Chen, Bin; Meyyappan, M.; Delzeit, Lance

    2002-01-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  8. Boron nitride microfibers grown by plasma-assisted laser chemical vapor deposition without a metal catalyst

    NASA Astrophysics Data System (ADS)

    Komatsu, Shojiro; Kazami, Daisuke; Tanaka, Hironori; Shimizu, Yoshiki; Moriyoshi, Yusuke; Shiratani, Masaharu; Okada, Katsuyuki

    2006-04-01

    Boron nitride fibers were found to grow on polycrystalline nickel and Si (100) substrates by plasma-assisted laser chemical vapor deposition from B2H6+NH3 using an excimer laser at 193nm. Their diameter was typically a few hundreds of nanometers, while the length was a few tens of micrometers. They were stoichiometric or boron-rich BN in chemical composition. When the substrate was rotated during deposition, spiral fibers were found to grow. We conclude that they grew with the help of laser light by other than the vapor - liquid - solid mechanism.

  9. Vapor Phase Deposition Using Plasma Spray-PVD™

    NASA Astrophysics Data System (ADS)

    von Niessen, K.; Gindrat, M.; Refke, A.

    2010-01-01

    Plasma spray—physical vapor deposition (PS-PVD) is a low pressure plasma spray technology to deposit coatings out of the vapor phase. PS-PVD is a part of the family of new hybrid processes recently developed by Sulzer Metco AG (Switzerland) on the basis of the well-established low pressure plasma spraying (LPPS) technology. Included in this new process family are plasma spray—chemical vapor deposition (PS-CVD) and plasma spray—thin film (PS-TF) processes. In comparison to conventional vacuum plasma spraying and LPPS, these new processes use a high energy plasma gun operated at a work pressure below 2 mbar. This leads to unconventional plasma jet characteristics which can be used to obtain specific and unique coatings. An important new feature of PS-PVD is the possibility to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats, but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional PVD technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and EB-PVD coatings. This paper reports on the progress made at Sulzer Metco to develop functional coatings build up from vapor phase of oxide ceramics and metals.

  10. Synthesis of molybdenum carbide superconducting compounds by microwave-plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zhao, Hongyang; Cai, Kang; Ma, Zhibin; Cheng, Zhenxiang; Jia, Tingting; Kimura, Hideo; Fu, Qiuming; Tao, Hong; Xiong, Liwei

    2018-02-01

    A method to synthesize molybdenum carbides has been developed based on microwave plasma treatment with methane and hydrogen mixed gases, using a microwave-plasma chemical vapor deposition device. The device framework and its mechanism are described in detail. Two-dimensional α-Mo2C has been directly synthesized by a plate-to-plate substrate holder structure with a microwave power of 920 W and a partial pressure of 20 kPa. In-situ optical emission spectroscopy was used to measure the radical types in the plasma ball during glow discharge. The as-grown α-Mo2C samples were characterized by X-ray diffraction, transmission electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy to determine their phases, purity and chemical groups. The superconducting transition temperature was measured, and the transition temperatures of the relevant phases are discussed in detail. The results confirmed that this method is an efficient way to obtain molybdenum carbides and inspire new research interest in transition metal carbides, which have many intrinsic local properties and applications.

  11. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    PubMed

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  12. Fabrication and characterization of a planar gradient-index, plasma-enhanced chemical vapor deposition lens.

    PubMed

    Beltrami, D R; Love, J D; Durandet, A; Samo, A; Cogswell, C J

    1997-10-01

    A thin, one-dimensional, gradient-index slab lens with a parabolic profile was designed and fabricated in fluorine-doped silica by use of plasma-enhanced chemical vapor deposition in a Helicon plasma reactor. The refractive-index profile of the fabricated lens was determined by the application of an inversion technique to the values of modal effective index measured with a prism coupler. The periodic refocusing property of the lens and the independence of the wavelength were measured with the fluorescence of a specially doped, thin polymer layer spin-coated onto the surface of the lens.

  13. Particle formation in SiOx film deposition by low frequency plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki

    1998-01-01

    Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.

  14. Sharpening of carbon nanocone tips during plasma-enhanced chemical vapor growth

    NASA Astrophysics Data System (ADS)

    Merkulov, Vladimir I.; Melechko, Anatoli V.; Guillorn, Michael A.; Lowndes, Douglas H.; Simpson, Michael L.

    2001-12-01

    In situ tip sharpening of vertically aligned carbon nanocones (VACNCs) was demonstrated. VACNCs were synthesized on patterned catalyst dots of 100 nm in diameter using dc plasma-enhanced chemical vapor deposition. The VACNC tip diameter was found to decrease with growth time. This enables synthesis of ultra-sharp VACNCs even for relatively large catalyst dot sizes, which is quite important for practical applications. We also find that for a given set of growth parameters the diameter of the initially formed catalyst nanoparticle determines the maximum length of the growing VACNC. The mechanism of VACNC growth and sharpening is discussed.

  15. Optical emission diagnostics of plasmas in chemical vapor deposition of single-crystal diamond

    DOE PAGES

    Hemawan, Kadek W.; Hemley, Russell J.

    2015-08-03

    Here, a key aspect of single crystal diamond growth via microwave plasma chemical vapor deposition is in-process control of the local plasma-substrate environment, that is, plasma gas phase concentrations of activated species at the plasma boundary layer near the substrate surface. Emission spectra of the plasma relative to the diamond substrate inside the microwave plasma reactor chamber have been analyzed via optical emission spectroscopy. The spectra of radical species such as CH, C 2, and H (Balmer series) important for diamond growth were found to be more depndent on operating pressure than on microwave power. Plasma gas temperatures were calculatedmore » from measurements of the C 2 Swan band (d 3Π → a 3Π transition) system. The plasma gas temperature ranges from 2800 to 3400 K depending on the spatial location of the plasma ball, microwave power and operating pressure. Addition of Ar into CH 4 + H 2 plasma input gas mixture has little influence on the Hα, Hβ, and Hγ intensities and single-crystal diamond growth rates.« less

  16. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  17. Plasma enhanced chemical vapor deposition of titanium nitride thin films using cyclopentadienyl cycloheptatrienyl titanium

    NASA Astrophysics Data System (ADS)

    Charatan, R. M.; Gross, M. E.; Eaglesham, D. J.

    1994-10-01

    The use of a low oxidation state Ti compound, cyclopentadienyl cycloheptatrienyl titanium, (C5H5) Ti(C7H7) (CPCHT), as a potential source for TiN and Ti in plasma enhanced chemical vapor deposition processes has been investigated. This precursor provides us with a new chemical vapor deposition route to TiN films that offer an interesting contrast to films deposited from Ti(IV) precursors. Film depositions were carried out by introducing CPCHT, with H2 carrier gas, into the downstream region of a NH3, N2, H2, or mixed H2/N2 plasma. Low resistivity (100-250 micro-ohm cm) nitrogen-rich TiN films with little carbon or oxygen incorporation and good conformality were deposited with activated N2 or NH3 at deposition temperatures of 300-600 C, inclusive. Mixed H2/N2 plasmas resulted in more stoichiometric TiN films with similar properties. The most striking feature of these films is the absence of columnar grain growth, in contrast to TiN films deposited using TiCl4 or Ti(NR(2))(4). Although the film texture was influenced by the plasma gas, the average grain size of the films deposited using activated N2 and NH3 was similar. The TiN films that we deposited were effective diffusion barriers between aluminum and silicon up to 575 C. Depositions using activated H2 resulted in films with significantly less carbon than CPCHT, but still having a minimum of 2.7:1 C:Ti. The lower oxidation state of the precursor did not facilitate the deposition of a Ti-rich film. No depositions were observed with any of the reactant gases in the absence of plasmas activation.

  18. Growth of vertically aligned carbon nanofibers by low-pressure inductively coupled plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Caughman, J. B. O.; Baylor, L. R.; Guillorn, M. A.; Merkulov, V. I.; Lowndes, D. H.; Allard, L. F.

    2003-08-01

    Vertically aligned carbon nanofibers (VACNFs) have been grown using a low-pressure, plasma-enhanced, chemical vapor deposition process. The nanofibers are grown from a nickel catalyst that can be patterned to form arrays of individual, isolated VACNFs. The fibers are grown at pressures below 100 mTorr, using an inductively coupled plasma source with a radio-frequency bias on the sample substrate to allow for independent control of the ion energies. Plasma conditions are related to growth results by comparing optical emission from the plasma to the physical structure of the nanofibers. We find that the ratio of etching species in the plasma to depositing species is critical to the final shape of the carbon structures that are formed.

  19. Exploration of plasma-enhanced chemical vapor deposition as a method for thin-film fabrication with biological applications.

    PubMed

    Vasudev, Milana C; Anderson, Kyle D; Bunning, Timothy J; Tsukruk, Vladimir V; Naik, Rajesh R

    2013-05-22

    Chemical vapor deposition (CVD) has been used historically for the fabrication of thin films composed of inorganic materials. But the advent of specialized techniques such as plasma-enhanced chemical vapor deposition (PECVD) has extended this deposition technique to various monomers. More specifically, the deposition of polymers of responsive materials, biocompatible polymers, and biomaterials has made PECVD attractive for the integration of biotic and abiotic systems. This review focuses on the mechanisms of thin-film growth using low-pressure PECVD and current applications of classic PECVD thin films of organic and inorganic materials in biological environments. The last part of the review explores the novel application of low-pressure PECVD in the deposition of biological materials.

  20. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  1. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J.

    2015-11-01

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C2, Ar, N2, CH, Hβ, and Hα were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T2g phonon at 1333 cm-1 peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit "coral" and "cauliflower-like" morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  2. Room temperature deposition of silicon nanodot clusters by plasma-enhanced chemical vapor deposition.

    PubMed

    Kim, Jae-Kwan; Kim, Jun Young; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-10-01

    The formation of nanometer-scale (ns)-Si dots and clusters on p-GaN layers has been studied by controlling the early stage of growth during plasma-enhanced chemical vapor deposition (PECVD) at room temperature. We found that ns-Si dots and clusters formed on the p-GaN surface, indicating that growth was the Volmer-Weber mode. The deposition parameters such as radio frequency (RF) power and processing time mainly influenced the size of the ns-Si dots (40 nm-160 nm) and the density of the ns-Si dot clusters.

  3. Fabrication of small complex-shaped optics by plasma chemical vaporization machining with a microelectrode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takino, Hideo; Shibata, Norio; Itoh, Hiroshi

    2006-08-10

    We have developed plasma chemical vaporization machining by using a microelectrode for the fabrication of small complex-shaped optical surfaces. In this method, a0.5 mm diameter pipe microelectrode, from which processing gas is drawn in, generates a small localized plasma that is scanned over a work piece under numerical computer control to shape a desired surface. A12 mmx12 mm nonaxisymmetric mirror with a maximum depth of approximately 3 {mu}m was successfully fabricated with a peak-to-valley shape accuracy of 0.04 {mu}m in an area excluding the edges of the mirror. The average surface roughness was 0.58 nm, which is smooth enough formore » optical use.« less

  4. Characterizations of GaN film growth by ECR plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fu, Silie; Chen, Junfang; Zhang, Hongbin; Guo, Chaofen; Li, Wei; Zhao, Wenfen

    2009-06-01

    The electron cyclotron resonance plasma-enhanced metalorganic chemical vapor deposition technology (ECR-MOPECVD) is adopted to grow GaN films on (0 0 0 1) α-Al2O3 substrate. The gas sources are pure N2 and trimethylgallium (TMG). Optical emission spectroscopy (OES) and thermodynamic analysis of GaN growth are applied to understand the GaN growth process. The OES of ECR plasma shows that TMG is significantly dissociated in ECR plasma. Reactants N and Ga in the plasma, obtained easily under the self-heating condition, are essential for the GaN growth. They contribute to the realization of GaN film growth at a relatively low temperature. The thermodynamic study shows that the driving force for the GaN growth is high when N2:TMG>1. Furthermore, higher N2:TMG flow ratio makes the GaN growth easier. Finally, X-ray diffraction, photoluminescence, and atomic force microscope are applied to investigate crystal quality, morphology, and roughness of the GaN films. The results demonstrate that the ECR-MOPECVD technology is favorable for depositing GaN films at low temperatures.

  5. Fabrication of ultrathin and highly uniform silicon on insulator by numerically controlled plasma chemical vaporization machining.

    PubMed

    Sano, Yasuhisa; Yamamura, Kazuya; Mimura, Hidekazu; Yamauchi, Kazuto; Mori, Yuzo

    2007-08-01

    Metal-oxide semiconductor field-effect transistors fabricated on a silicon-on-insulator (SOI) wafer operate faster and at a lower power than those fabricated on a bulk silicon wafer. Scaling down, which improves their performances, demands thinner SOI wafers. In this article, improvement on the thinning of SOI wafers by numerically controlled plasma chemical vaporization machining (PCVM) is described. PCVM is a gas-phase chemical etching method in which reactive species generated in atmospheric-pressure plasma are used. Some factors affecting uniformity are investigated and methods for improvements are presented. As a result of thinning a commercial 8 in. SOI wafer, the initial SOI layer thickness of 97.5+/-4.7 nm was successfully thinned and made uniform at 7.5+/-1.5 nm.

  6. High growth rate homoepitaxial diamond film deposition at high temperatures by microwave plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Vohra, Yogesh K. (Inventor); McCauley, Thomas S. (Inventor)

    1997-01-01

    The deposition of high quality diamond films at high linear growth rates and substrate temperatures for microwave-plasma chemical vapor deposition is disclosed. The linear growth rate achieved for this process is generally greater than 50 .mu.m/hr for high quality films, as compared to rates of less than 5 .mu.m/hr generally reported for MPCVD processes.

  7. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    NASA Astrophysics Data System (ADS)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  8. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    DOE PAGES

    Gou, Huiyang; Hemley, Russell J.; Hemawan, Kadek W.

    2015-11-02

    Polycrystalline diamond has been successfully synthesized on silicon substrates at atmospheric pressure using a microwave capillary plasma chemical vapor deposition technique. The CH 4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C 2, Ar, N 2, CH, H β and H α were observed in emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T 2g phonon at 1333 cm -1 peak relative to the Raman features of graphitic carbon. Furthermore, fieldmore » emission scanning electron microscopy (SEM) images reveal that, depending on the on growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.« less

  9. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-02-01

    Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W2CoB2 with average hardness from 23 to 27 GPa and average elastic modulus of 600-730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  10. Argon–germane in situ plasma clean for reduced temperature Ge on Si epitaxy by high density plasma chemical vapor deposition

    DOE PAGES

    Douglas, Erica A.; Sheng, Josephine J.; Verley, Jason C.; ...

    2015-06-04

    We found that the demand for integration of near infrared optoelectronic functionality with silicon complementary metal oxide semiconductor (CMOS) technology has for many years motivated the investigation of low temperature germanium on silicon deposition processes. Our work describes the development of a high density plasma chemical vapor deposition process that uses a low temperature (<460 °C) in situ germane/argon plasma surface preparation step for epitaxial growth of germanium on silicon. It is shown that the germane/argon plasma treatment sufficiently removes SiO x and carbon at the surface to enable germanium epitaxy. Finally, the use of this surface preparation step demonstratesmore » an alternative way to produce germanium epitaxy at reduced temperatures, a key enabler for increased flexibility of integration with CMOS back-end-of-line fabrication.« less

  11. Lattice Matched Iii-V IV Semiconductor Heterostructures: Metalorganic Chemical Vapor Deposition and Remote Plasma Enhanced Chemical Vapor Deposition.

    NASA Astrophysics Data System (ADS)

    Choi, Sungwoo

    1992-01-01

    This thesis describes the growth and characterization of wide gap III-V compound semiconductors such as aluminum gallium arsenide (Al_{rm x} Ga_{rm 1-x}As), gallium nitride (GaN), and gallium phosphide (GaP), deposited by the metalorganic chemical vapor deposition (MOCVD) and remote plasma enhanced chemical vapor deposition (Remote PECVD). In the first part of the thesis, the optimization of GaAs and Al_{rm x}Ga _{rm 1-x}As hetero -epitaxial layers on Ge substrates is described in the context of the application in the construction of cascade solar cells. The emphasis on this study is on the trade-offs in the choice of the temperature related to increasing interdiffusion/autodoping and increasing perfection of the epilayer with increasing temperature. The structural, chemical, optical, and electrical properties of the heterostructures are characterized by x-ray rocking curve measurement, scanning electron microscopy (SEM), electron beam induced current (EBIC), cross-sectional transmission electron microscopy (X-TEM), Raman spectroscopy, secondary ion mass spectrometry (SIMS), and steady-state and time-resolved photoluminescence (PL). Based on the results of this work the optimum growth temperature is 720^circC. The second part of the thesis describes the growth of GaN and GaP layers on silicon and sapphire substrates and the homoepitaxy of GaP by remote PECVD. I have designed and built an ultra high vacuum (UHV) deposition system which includes: the gas supply system, the pumping system, the deposition chamber, the load-lock chamber, and the waste disposal system. The work on the deposition of GaN on Si and sapphire focuses onto the understanding of the growth kinetics. In addition, Auger electron spectroscopy (AES) for surface analysis, x-ray diffraction methods and microscopic analyses using SEM and TEM for structural characterization, infrared (IR) and ultraviolet (UV) absorption measurements for optical characterization, and electrical characterization results

  12. Exploring the plasma chemistry in microwave chemical vapor deposition of diamond from C/H/O gas mixtures.

    PubMed

    Kelly, Mark W; Richley, James C; Western, Colin M; Ashfold, Michael N R; Mankelevich, Yuri A

    2012-09-27

    Microwave (MW)-activated CH(4)/CO(2)/H(2) gas mixtures operating under conditions relevant to diamond chemical vapor deposition (i.e., X(C/Σ) = X(elem)(C)/(X(elem)(C) + X(elem)(O)) ≈ 0.5, H(2) mole fraction = 0.3, pressure, p = 150 Torr, and input power, P = 1 kW) have been explored in detail by a combination of spatially resolved absorption measurements (of CH, C(2)(a), and OH radicals and H(n = 2) atoms) within the hot plasma region and companion 2-dimensional modeling of the plasma. CO and H(2) are identified as the dominant species in the plasma core. The lower thermal conductivity of such a mixture (cf. the H(2)-rich plasmas used in most diamond chemical vapor deposition) accounts for the finding that CH(4)/CO(2)/H(2) plasmas can yield similar maximal gas temperatures and diamond growth rates at lower input powers than traditional CH(4)/H(2) plasmas. The plasma chemistry and composition is seen to switch upon changing from oxygen-rich (X(C/Σ) < 0.5) to carbon-rich (X(C/Σ) > 0.5) source gas mixtures and, by comparing CH(4)/CO(2)/H(2) (X(C/Σ) = 0.5) and CO/H(2) plasmas, to be sensitive to the choice of source gas (by virtue of the different prevailing gas activation mechanisms), in contrast to C/H process gas mixtures. CH(3) radicals are identified as the most abundant C(1)H(x) [x = 0-3] species near the growing diamond surface within the process window for successful diamond growth (X(C/Σ) ≈ 0.5-0.54) identified by Bachmann et al. (Diamond Relat. Mater.1991, 1, 1). This, and the findings of similar maximal gas temperatures (T(gas) ~2800-3000 K) and H atom mole fractions (X(H)~5-10%) to those found in MW-activated C/H plasmas, points to the prevalence of similar CH(3) radical based diamond growth mechanisms in both C/H and C/H/O plasmas.

  13. Enhanced stability of Cu-BTC MOF via perfluorohexane plasma-enhanced chemical vapor deposition.

    PubMed

    Decoste, Jared B; Peterson, Gregory W; Smith, Martin W; Stone, Corinne A; Willis, Colin R

    2012-01-25

    Metal organic frameworks (MOFs) are a leading class of porous materials for a wide variety of applications, but many of them have been shown to be unstable toward water. Cu-BTC (1,3,5 benzenetricarboxylic acid, BTC) was treated with a plasma-enhanced chemical vapor deposition (PECVD) of perfluorohexane creating a hydrophobic form of Cu-BTC. It was found that the treated Cu-BTC could withstand high humidity and even submersion in water much better than unperturbed Cu-BTC. Through Monte Carlo simulations it was found that perfluorohexane sites itself in such a way within Cu-BTC as to prevent the formation of water clusters, hence preventing the decomposition of Cu-BTC by water. This PECVD of perfluorohexane could be exploited to widen the scope of practical applications of Cu-BTC and other MOFs. © 2012 American Chemical Society

  14. Growth of diamond by RF plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Meyer, Duane E.; Ianno, Natale J.; Woollam, John A.; Swartzlander, A. B.; Nelson, A. J.

    1988-01-01

    A system has been designed and constructed to produce diamond particles by inductively coupled radio-frequency, plasma-assisted chemical vapor deposition. This is a low-pressure, low-temperature process used in an attempt to deposit diamond on substrates of glass, quartz, silicon, nickel, and boron nitride. Several deposition parameters have been varied including substrate temperature, gas concentration, gas pressure, total gas flow rate, RF input power, and deposition time. Analytical methods employed to determine composition and structure of the deposits include scanning electron microscopy, absorption spectroscopy, scanning Auger microprobe spectroscopy, and Raman spectroscopy. Analysis indicates that particles having a thin graphite surface, as well as diamond particles with no surface coatings, have been deposited. Deposits on quartz have exhibited optical bandgaps as high as 4.5 eV. Scanning electron microscopy analysis shows that particles are deposited on a pedestal which Auger spectroscopy indicates to be graphite. This is a phenomenon that has not been previously reported in the literature.

  15. Construction of protein-resistant pOEGMA films by helicon plasma-enhanced chemical vapor deposition.

    PubMed

    Lee, Bong Soo; Yoon, Ok Ja; Cho, Woo Kyung; Lee, Nae-Eung; Yoon, Kuk Ro; Choi, Insung S

    2009-01-01

    This paper describes the formation of protein-resistant, poly(ethylene glycol) methyl ether methacrylate (pOEGMA) thin films by helicon plasma-enhanced chemical vapor deposition (helicon-PECVD). pOEGMA was successfully grafted onto a silicon substrate, as a model substrate, without any additional surface initiators, by plasma polymerization of OEGMA. The resulting pOEGMA films were characterized by ellipsometry, FT-IR spectroscopy, X-ray photoelectron spectroscopy and contact angle goniometry. To investigate the protein-resistant property of the pOEGMA films, four different proteins, bovine serum albumin, fibrinogen, lysozyme and ribonuclease A, were tested as model proteins for ellipsometric measurements. The ellipsometric thickness change for all the model proteins was less than 3 A, indicating that the formed pOEGMA films are protein-resistant. (c) Koninklijke Brill NV, Leiden, 2009

  16. Plasma enhanced chemical vapor deposition (PECVD) method of forming vanadium oxide films and vanadium oxide thin-films prepared thereby

    DOEpatents

    Zhang, Ji-Guang; Tracy, C. Edwin; Benson, David K.; Turner, John A.; Liu, Ping

    2000-01-01

    A method is disclosed of forming a vanadium oxide film on a substrate utilizing plasma enhanced chemical vapor deposition. The method includes positioning a substrate within a plasma reaction chamber and then forming a precursor gas comprised of a vanadium-containing chloride gas in an inert carrier gas. This precursor gas is then mixed with selected amounts of hydrogen and oxygen and directed into the reaction chamber. The amounts of precursor gas, oxygen and hydrogen are selected to optimize the final properties of the vanadium oxide film An rf plasma is generated within the reaction chamber to chemically react the precursor gas with the hydrogen and the oxygen to cause deposition of a vanadium oxide film on the substrate while the chamber deposition pressure is maintained at about one torr or less. Finally, the byproduct gases are removed from the plasma reaction chamber.

  17. Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions

    NASA Technical Reports Server (NTRS)

    Tzeng, Yonhua (Inventor)

    2009-01-01

    Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.

  18. Preparation Of Sources For Plasma Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Waters, William J.; Sliney, Hal; Kowalski, D.

    1993-01-01

    Multicomponent metal targets serving as sources of vapor for plasma vapor deposition made in modified pressureless-sintering process. By use of targets made in modified process, one coats components with materials previously plasma-sprayed or sintered but not plasma-vapor-deposited.

  19. Microspectroscopic imaging of solution plasma: How do its physical properties and chemical species evolve in atmospheric-pressure water vapor bubbles?

    NASA Astrophysics Data System (ADS)

    Yui, Hiroharu; Banno, Motohiro

    2018-01-01

    In this article, we review the development of scientific instruments for obtaining information on the evolution of physical properties and chemical species of solution plasma (SP). When a pulsed high voltage is applied between electrodes immersed in an aqueous solution, SP is formed in water vapor bubbles transiently generated in the solution under atmospheric pressure. To clarify how SP emerges in water vapor bubbles and is sustained in solutions, an instrument with micrometer spatial resolution and nanosecond temporal resolution is required. To meet these requirements, a microscopic system with a custom-made optical discharge cell was newly developed, where the working distance between the SP and the microscopic objective lens was minimized. A hollow electrode equipped in the discharge cell also enabled us to control the chemical composition in water vapor bubbles. To study the spatial and temporal evolutions of chemical species in micrometer and nano- to microsecond regions, a streak camera with a spectrometer and a CCD detector with a time-gated electronic device were combined with the microscope system. The developed instrument is expected to contribute to providing a new means of developing new schemes for chemical reactions and material syntheses.

  20. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    PubMed

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  1. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    NASA Astrophysics Data System (ADS)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  2. Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okada, H.; Kato, M.; Ishimaru, T.

    2014-02-20

    Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma is investigated. Feasibility of precursors of triethylsilane (TES) and bis(dimethylamino)dimethylsilane (BDMADMS) is discussed based on a calculation of bond energies by computer simulation. Refractive indices of 1.81 and 1.71 are obtained for deposited films with TES and BDMADMS, respectively. X-ray photoelectron spectroscopy (XPS) analysis of the deposited film revealed that TES-based film coincides with the stoichiometric thermal silicon nitride.

  3. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  4. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition.

    PubMed

    Kim, Y; Song, W; Lee, S Y; Jeon, C; Jung, W; Kim, M; Park, C-Y

    2011-06-27

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω∕sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  5. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  6. Semiconductor gas sensor based on tin oxide nanorods prepared by plasma-enhanced chemical vapor deposition with postplasma treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang Hui; Tan, O.K.; Lee, Y.C.

    2005-10-17

    SnO{sub 2} thin films were deposited by radio-frequency inductively coupled plasma-enhanced chemical vapor deposition. Postplasma treatments were used to modify the microstructure of the as-deposited SnO{sub 2} thin films. Uniform nanorods with dimension of null-set 7x100 nm were observed in the plasma-treated films. After plasma treatments, the optimal operating temperature of the plasma-treated SnO{sub 2} thin films decreased by 80 deg. C, while the gas sensitivity increased eightfold. The enhanced gas sensing properties of the plasma-treated SnO{sub 2} thin film were believed to result from the large surface-to-volume ratio of the nanorods' tiny grain size in the scale comparable tomore » the space-charge length and its unique microstructure of SnO{sub 2} nanorods rooted in SnO{sub 2} thin films.« less

  7. Development of speckle-free channel-cut crystal optics using plasma chemical vaporization machining for coherent x-ray applications.

    PubMed

    Hirano, Takashi; Osaka, Taito; Sano, Yasuhisa; Inubushi, Yuichi; Matsuyama, Satoshi; Tono, Kensuke; Ishikawa, Tetsuya; Yabashi, Makina; Yamauchi, Kazuto

    2016-06-01

    We have developed a method of fabricating speckle-free channel-cut crystal optics with plasma chemical vaporization machining, an etching method using atmospheric-pressure plasma, for coherent X-ray applications. We investigated the etching characteristics to silicon crystals and achieved a small surface roughness of less than 1 nm rms at a removal depth of >10 μm, which satisfies the requirements for eliminating subsurface damage while suppressing diffuse scattering from rough surfaces. We applied this method for fabricating channel-cut Si(220) crystals for a hard X-ray split-and-delay optical system and confirmed that the crystals provided speckle-free reflection profiles under coherent X-ray illumination.

  8. Alignment mechanism of carbon nanofibers produced by plasma-enhanced chemical-vapor deposition

    NASA Astrophysics Data System (ADS)

    Merkulov, Vladimir I.; Melechko, Anatoli V.; Guillorn, Michael A.; Lowndes, Douglas H.; Simpson, Michael L.

    2001-10-01

    We report experimental evidence showing a direct correlation between the alignment of carbon nanofibers (CNFs) prepared by plasma-enhanced chemical-vapor deposition and the location of the catalyst particle during CNF growth. In particular, we find that CNFs that have a catalyst particle at the tip (i.e., growth proceeds from the tip) align along the electric-field lines, whereas CNFs with the particle at the base (i.e., growth proceeds from the base) grow in random orientations. We propose a model that explains the alignment process as a result of a feedback mechanism associated with a nonuniform stress (part tensile, part compressive) that is created across the interface of the catalyst particle with the CNF due to electrostatic forces. Furthermore, we propose that the alignment seen recently in some dense CNF films is due to a crowding effect and is not directly the result of electrostatic forces.

  9. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choi, Bum Ho, E-mail: bhchoi@kitech.re.kr; Lee, Jong Ho

    2014-08-04

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10{sup −6} g/(m{sup 2} day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are freemore » from intermixed interface defects effectively block water vapor permeation into active layer.« less

  10. Modeling of Sheath Ion-Molecule Reactions in Plasma Enhanced Chemical Vapor Deposition of Carbon Nanotubes

    NASA Technical Reports Server (NTRS)

    Hash, David B.; Govindan, T. R.; Meyyappan, M.

    2004-01-01

    In many plasma simulations, ion-molecule reactions are modeled using ion energy independent reaction rate coefficients that are taken from low temperature selected-ion flow tube experiments. Only exothermic or nearly thermoneutral reactions are considered. This is appropriate for plasma applications such as high-density plasma sources in which sheaths are collisionless and ion temperatures 111 the bulk p!asma do not deviate significantly from the gas temperature. However, for applications at high pressure and large sheath voltages, this assumption does not hold as the sheaths are collisional and ions gain significant energy in the sheaths from Joule heating. Ion temperatures and thus reaction rates vary significantly across the discharge, and endothermic reactions become important in the sheaths. One such application is plasma enhanced chemical vapor deposition of carbon nanotubes in which dc discharges are struck at pressures between 1-20 Torr with applied voltages in the range of 500-700 V. The present work investigates The importance of the inclusion of ion energy dependent ion-molecule reaction rates and the role of collision induced dissociation in generating radicals from the feedstock used in carbon nanotube growth.

  11. Mechanism of high growth rate for diamond-like carbon films synthesized by helicon wave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Peiyu, JI; Jun, YU; Tianyuan, HUANG; Chenggang, JIN; Yan, YANG; Lanjian, ZHUGE; Xuemei, WU

    2018-02-01

    A high growth rate fabrication of diamond-like carbon (DLC) films at room temperature was achieved by helicon wave plasma chemical vapor deposition (HWP-CVD) using Ar/CH4 gas mixtures. The microstructure and morphology of the films were characterized by Raman spectroscopy and scanning electron microscopy. The diagnosis of plasma excited by a helicon wave was measured by optical emission spectroscopy and a Langmuir probe. The mechanism of high growth rate fabrication for DLC films by HWP-CVD has been discussed. The growth rate of the DLC films reaches a maximum value of 54 μm h-1 at the CH4 flow rate of 85 sccm, which is attributed to the higher plasma density during the helicon wave plasma discharge. The CH and H α radicals play an important role in the growth of DLC films. The results show that the H α radicals are beneficial to the formation and stabilization of C=C bond from sp2 to sp3.

  12. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    NASA Astrophysics Data System (ADS)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  13. Deposition kinetics and characterization of stable ionomers from hexamethyldisiloxane and methacrylic acid by plasma enhanced chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Urstöger, Georg; Resel, Roland; Coclite, Anna Maria, E-mail: anna.coclite@tugraz.at

    2016-04-07

    A novel ionomer of hexamethyldisiloxane and methacrylic acid was synthesized by plasma enhanced chemical vapor deposition (PECVD). The PECVD process, being solventless, allows mixing of monomers with very different solubilities, and for polymers formed at high deposition rates and with high structural stability (due to the high number of cross-links and covalent bonding to the substrate) to be obtained. A kinetic study over a large set of parameters was run with the aim of determining the optimal conditions for high stability and proton conductivity of the polymer layer. Copolymers with good stability over 6 months' time in air and watermore » were obtained, as demonstrated by ellipsometry, X-Ray reflectivity, and FT-IR spectroscopy. Stable coatings showed also proton conductivity as high as 1.1 ± 0.1 mS cm{sup −1}. Chemical analysis showed that due to the high molecular weight of the chosen precursors, it was possible to keep the plasma energy-input-per-mass low. This allowed limited precursor fragmentation and the functional groups of both monomers to be retained during the plasma polymerization.« less

  14. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires.

    PubMed

    Hou, Wen Chi; Hong, Franklin Chau-Nan

    2009-02-04

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 degrees C.

  15. Field electron emission from diamond and related films synthesized by plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lu, Xianfeng

    The focus of this thesis is the study of the field electron emission (FEE) of diamond and related films synthesized by plasma enhanced chemical vapor deposition. The diamond and related films with different morphologies and compositions were prepared in a microwave plasma-enhanced chemical vapor deposition (CVD) reactor and a hot filament CVD reactor. Various analytical techniques including scanning electron microscopy (SEM), atomic force microscopy (AFM), and Raman spectroscopy were employed to characterize the surface morphology and chemical composition. The influence of surface morphology on the field electron emission property of diamond films was studied. The emission current of well-oriented microcrystalline diamond films is relatively small compared to that of randomly oriented microcrystalline diamond films. Meanwhile, the nanocrystalline diamond film has demonstrated a larger emission current than microcrystalline diamond films. The nanocone structure significantly improves the electron emission current of diamond films due to its strong field enhancement effect. The sp2 phase concentration also has significant influence on the field electron emission property of diamond films. For the diamond films synthesized by gas mixture of hydrogen and methane, their field electron emission properties were enhanced with the increase of methane concentration. The field electron emission enhancement was attributed to the increase of sp2 phase concentration, which increases the electrical conductivity of diamond films. For the diamond films synthesized through graphite etching, the growth rate and nucleation density of diamond films increase significantly with decreasing hydrogen flow rate. The field electron emission properties of the diamond films were also enhanced with the decrease of hydrogen flow rate. The field electron emission enhancement can be also attributed to the increase of the sp 2 phase concentration. In addition, the deviation of the experimental

  16. Plasma-Powder Feedstock Interaction During Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Hongbo; Zhang, Baopeng

    2017-02-01

    Plasma spray-physical vapor deposition is a new process developed to produce coatings from the vapor phase. To achieve deposition from the vapor phase, the plasma-feedstock interaction inside the plasma torch, i.e., from the powder injection point to the nozzle exit, is critical. In this work, the plasma characteristics and the momentum and heat transfer between the plasma and powder feedstock at different torch input power levels were investigated theoretically to optimize the net plasma torch power, among other important factors such as the plasma gas composition, powder feed rate, and carrier gas. The plasma characteristics were calculated using the CEA2 code, and the plasma-feedstock interaction was studied inside the torch nozzle at low-pressure (20-25 kPa) conditions. A particle dynamics model was introduced to compute the particle velocity, coupled with Xi Chen's drag model for nonevaporating particles. The results show that the energy transferred to the particles and the coating morphology are greatly influenced by the plasma gas characteristics and the particle dynamics inside the nozzle. The heat transfer between the plasma gas and feedstock material increased with the net torch power up to an optimum at 64 kW, at which a maximum of 3.4% of the available plasma energy was absorbed by the feedstock powder. Experimental results using agglomerated 7-8 wt.% yttria-stabilized zirconia (YSZ) powder as feedstock material confirmed the theoretical predictions.

  17. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    NASA Astrophysics Data System (ADS)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  18. Growth of high-quality thin-film Ge single crystals by plasma-enhanced chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Outlaw, R. A.; Hopson, P., Jr.

    1986-01-01

    Thin-film Ge single crystals (approx. 10 microns) have been epitaxially grown on polished NaCl(100) substrates at 450C by using plasma-enhanced chemical vapor deposition. Films on approximately 1 sq cm and larger were separated from the NaCl by either melting the salt or by differential shear stress upon cooling to room temperature. The ordered growth of the Ge was found to be most sensitive to the initial plasma power and to the continuum flow dynamics within the carbon susceptor. The films were visually specular and exhibited a high degree of crysalline order when examined by X-ray diffraction. The films were found to be p-type with a carrier concentration of approximately 3 x 10 to the 16th power/cu cm, a resistivity of 0.11 ohm-cm, and a Hall hole mobility of 1820 sq cm/v/s at room temperature. Vacuum firing minimized the primary contaminant, Na, and corresponding lowered the carrier concentration to 4 x 10 to the 14th power/cu cm.

  19. Hot-Carrier Immunity of Polycrystalline Silicon Thin Film Transistors Using Silicon Oxynitride Gate Dielectric Formed with Plasma-Enhanced Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Kunii, Masafumi

    2009-11-01

    An analysis is presented of the hot-carrier degradation in a polycrystalline silicon (poly-Si) thin film transistor (TFT) with a silicon oxynitride gate dielectric formed with plasma-enhanced chemical vapor deposition. An introduction of silicon oxynitride into a gate dielectric significantly improves hot-carrier immunity even under the severe stressing mode of drain avalanche hot carriers. To compensate the initial negative shift of threshold voltage for TFTs with a silicon oxynitride gate dielectric, high-pressure water vapor annealing (HWA) is applied. A comparison of TFTs with and without HWA reveals that the improvement in hot-carrier immunity is mainly attributed to the introduction of Si≡N bonds into a gate dielectric.

  20. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  1. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    PubMed

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  2. CHMWTR: A Plasma Chemistry Code for Water Vapor

    DTIC Science & Technology

    2012-02-01

    Naval Research Laboratory Washington, DC 20375-5320 NRL/MR/6790--12-9383 CHMWTR: A Plasma Chemistry Code for Water Vapor Daniel F. GorDon Michael...NUMBER OF PAGES 17. LIMITATION OF ABSTRACT CHMWTR: A Plasma Chemistry Code for Water Vapor Daniel F. Gordon, Michael H. Helle, Theodore G. Jones, and K...October 2011 NRL *Directed Energy Scholar, Directed Energy Professional Society Plasma chemistry Breakdown field Conductivity 67-4270-02 CHMWTR: a Plasma

  3. Growth of ultrananocrystalline diamond film by DC Arcjet plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chen, G. C.; Li, B.; Yan, Z. Q.; Liu, J.; Lu, F. X.; Ye, H.

    2012-06-01

    Self-standing diamond films were grown by DC Arcjet plasma enhanced chemical vapor deposition (CVD). The feed gasses were Ar/H2/CH4, in which the flow ratio of CH4 to H2 (F/F) was varied from 5% to 20%. Two distinct morphologies were observed by scanning electron microscope (SEM), i.e. the "pineapple-like" morphology and the "cauliflower-like" morphology. It was found that the morphologies of the as-grown films are strongly dependent on the flow ratio of CH4 to H2 in the feed gasses. High resolution transmission electron microscope (HRTEM) survey results revealed that there were nanocrystalline grains within the "pineapple-like" films whilst there were ultrananocrystalline grains within "cauliflower-like" films. X-ray diffraction (XRD) results suggested that (110) crystalline plane was the dominant surface in the "cauliflower-like" films whilst (100) crystalline plane was the dominant surface in the "pineapple-like" films. Raman spectroscopy revealed that nanostructured carbon features could be observed in both types of films. Plasma diagnosis was carried out in order to understand the morphology dependent growth mechanism. It could be concluded that the film morphology was strongly influenced by the density of gas phases. The gradient of C2 radical was found to be different along the growth direction under the different growth conditions.

  4. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition.

    PubMed

    Saeed, Saba; Buters, Frank; Dohnalova, Katerina; Wosinski, Lech; Gregorkiewicz, Tom

    2014-10-10

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO(2).Optical characterization reveals two prominent emission bands centered around 2.6 eV and 3.4 eV, and tunable by excitation energy. In addition, the lower energy band shows an excitation power-dependent blue shift of up to 0.3 eV. Decay dynamics of the observed emission contains fast (nanosecond) and slow (microseconds) components, indicating contributions of several relaxation channels. Based on these material characteristics, a possible microscopic origin of the individual emission bands is discussed.

  5. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    NASA Astrophysics Data System (ADS)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  6. Practical silicon deposition rules derived from silane monitoring during plasma-enhanced chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bartlome, Richard, E-mail: richard.bartlome@alumni.ethz.ch; De Wolf, Stefaan; Demaurex, Bénédicte

    2015-05-28

    We clarify the difference between the SiH{sub 4} consumption efficiency η and the SiH{sub 4} depletion fraction D, as measured in the pumping line and the actual reactor of an industrial plasma-enhanced chemical vapor deposition system. In the absence of significant polysilane and powder formation, η is proportional to the film growth rate. Above a certain powder formation threshold, any additional amount of SiH{sub 4} consumed translates into increased powder formation rather than into a faster growing Si film. In order to discuss a zero-dimensional analytical model and a two-dimensional numerical model, we measure η as a function of themore » radio frequency (RF) power density coupled into the plasma, the total gas flow rate, the input SiH{sub 4} concentration, and the reactor pressure. The adjunction of a small trimethylboron flow rate increases η and reduces the formation of powder, while the adjunction of a small disilane flow rate decreases η and favors the formation of powder. Unlike η, D is a location-dependent quantity. It is related to the SiH{sub 4} concentration in the plasma c{sub p}, and to the phase of the growing Si film, whether the substrate is glass or a c-Si wafer. In order to investigate transient effects due to the RF matching, the precoating of reactor walls, or the introduction of a purifier in the gas line, we measure the gas residence time and acquire time-resolved SiH{sub 4} density measurements throughout the ignition and the termination of a plasma.« less

  7. Hydrodynamic and Chemical Modeling of a Chemical Vapor Deposition Reactor for Zirconia Deposition

    NASA Astrophysics Data System (ADS)

    Belmonte, T.; Gavillet, J.; Czerwiec, T.; Ablitzer, D.; Michel, H.

    1997-09-01

    Zirconia is deposited on cylindrical substrates by flowing post-discharge enhanced chemical vapor deposition. In this paper, a two dimensional hydrodynamic and chemical modeling of the reactor is described for given plasma characteristics. It helps in determining rate constants of the synthesis reaction of zirconia in gas phase and on the substrate which is ZrCl4 hydrolysis. Calculated deposition rate profiles are obtained by modeling under various conditions and fits with a satisfying accuracy the experimental results. The role of transport processes and the mixing conditions of excited gases with remaining ones are studied. Gas phase reaction influence on the growth rate is also discussed.

  8. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiOx layers for application in solar cells

    NASA Astrophysics Data System (ADS)

    Klingsporn, M.; Kirner, S.; Villringer, C.; Abou-Ras, D.; Costina, I.; Lehmann, M.; Stannowski, B.

    2016-06-01

    Nanocrystalline silicon suboxides (nc-SiOx) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO0.8:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressure from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.

  9. ZnO synthesis by high vacuum plasma-assisted chemical vapor deposition using dimethylzinc and atomic oxygen

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Hand, Steve; Leaf, Jackie; Wolden, Colin A.

    2004-09-01

    Zinc oxide thin films were produced by high vacuum plasma-assisted chemical vapor deposition (HVP-CVD) from dimethylzinc (DMZn) and atomic oxygen. HVP-CVD is differentiated from conventional remote plasma-enhanced CVD in that the operating pressures of the inductively coupled plasma (ICP) source and the deposition chamber are decoupled. Both DMZn and atomic oxygen effuse into the deposition chamber under near collisionless conditions. The deposition rate was measured as a function of DMZn and atomic oxygen flux on glass and silicon substrates. Optical emission spectroscopy and quadrupole mass spectrometry (QMS) were used to provide real time analysis of the ICP source and the deposition chamber. The deposition rate was found to be first order in DMZn pressure and zero order in atomic oxygen density. All films demonstrated excellent transparency and were preferentially orientated along the c-axis. The deposition chemistry occurs exclusively through surface-mediated reactions, since the collisionless transport environment eliminates gas-phase chemistry. QMS analysis revealed that DMZn was almost completely consumed, and desorption of unreacted methyl radicals was greatly accelerated in the presence of atomic oxygen. Negligible zinc was detected in the gas phase, suggesting that Zn was efficiently consumed on the substrate and walls of the reactor.

  10. Remote plasma enhanced chemical vapor deposition of GaP with in situ generation of phosphine precursors

    NASA Technical Reports Server (NTRS)

    Choi, S. W.; Lucovsky, G.; Bachmann, Klaus J.

    1993-01-01

    Thin homoepitaxial films of gallium phosphide (GaP) were grown by remote plasma enhanced chemical vapor deposition utilizing in situ generated phosphine precursors. The GaP forming reaction is kinetically controlled with an activation energy of 0.65 eV. The increase of the growth rate with increasing radio frequency (rf) power between 20 and 100 W is due to the combined effects of increasingly complete excitation and the spatial extension of the glow discharge toward the substrate, however, the saturation of the growth rate at even higher rf power indicates the saturation of the generation rate of phosphine precursors at this condition. Slight interdiffusion of P into Si and Si into GaP is indicated from GaP/Si heterostructures grown under similar conditions as the GaP homojunctions.

  11. Remote plasma enhanced chemical vapor deposition of GaP with in situ generation of phosphine precursors

    NASA Technical Reports Server (NTRS)

    Choi, S. W.; Lucovsky, G.; Bachmann, K. J.

    1992-01-01

    Thin homoepitaxial films of gallium phosphide (GaP) have been grown by remote plasma enhanced chemical vapor deposition utilizing in situ-generated phosphine precursors. The GaP forming reaction is kinetically controlled with an activation energy of 0.65 eV. The increase of the growth rate with increasing radio frequency (RF) power between 20 and 100 W is due to the combined effects of increasingly complete excitation and the spatial extension of the glow discharge toward the substrate; however, the saturation of the growth rate at even higher RF power indicates the saturation of the generation rate of phosphine precursors at this condition. Slight interdiffusion of P into Si and Si into GaP is indicated from GaP/Si heterostructures grown under similar conditions as the GaP homojunctions.

  12. High Current Emission from Patterned Aligned Carbon Nanotubes Fabricated by Plasma-Enhanced Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Cui, Linfan; Chen, Jiangtao; Yang, Bingjun; Jiao, Tifeng

    2015-12-01

    Vertically, carbon nanotube (CNT) arrays were successfully fabricated on hexagon patterned Si substrates through radio frequency plasma-enhanced chemical vapor deposition using gas mixtures of acetylene (C2H2) and hydrogen (H2) with Fe/Al2O3 catalysts. The CNTs were found to be graphitized with multi-walled structures. Different H2/C2H2 gas flow rate ratio was used to investigate the effect on CNT growth, and the field emission properties were optimized. The CNT emitters exhibited excellent field emission performance (the turn-on and threshold fields were 2.1 and 2.4 V/μm, respectively). The largest emission current could reach 70 mA/cm2. The emission current was stable, and no obvious deterioration was observed during the long-term stability test of 50 h. The results were relevant for practical applications based on CNTs.

  13. Low-Temperature Silicon Epitaxy by Remote, Plasma - Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Habermehl, Scott Dwight

    The dynamics of low temperature Si homoepitaxial and heteroepitaxial growth, by remote plasma enhanced chemical vapor deposition, RPECVD, have been investigated. For the critical step of pre-deposition surface preparation of Si(100) surfaces, the attributes of remote plasma generated atomic H are compared to results obtained with a rapid thermal desorption, RTD, technique and a hybrid H-plasma/RTD technique. Auger electron spectroscopy, AES, and electron diffraction analysis indicate the hybrid technique to be very effective at surface passivation, while the RTD process promotes the formation of SiC precipitates, which induce defective epitaxial growth. For GaP and GaAs substrates, the use of atomic H exposure is investigated as a surface passivation technique. AES shows this technique to be effective at producing atomically clean surfaces. For processing at 400^circrm C, the GaAs(100) surface is observed to reconstruct to a c(8 x 2)Ga symmetry while, at 530^ circrm C the vicinal GaP(100) surface, miscut 10^circ , is observed to reconstruct to a (1 x n) type symmetry; an unreconstructed (1 x 1) symmetry is observed for GaP(111). Differences in the efficiency with which native oxides are removed from the surface are attributed to variations in the local atomic bonding order of group V oxides. The microstructure of homoepitaxial Si films, deposited at temperatures of 25-450^circ rm C and pressures of 50-500 mTorr, is catalogued. Optimized conditions for the deposition of low defect, single crystal films are identified. The existence of two pressure dependent regimes for process activation are observed. In-situ mass spectral analysis indicates that the plasma afterglow is dominated by monosilane ions below 200 mTorr, while above 200 mTorr, low mass rm H_{x} ^+ (x = 1,2,3) and rm HHe^+ ions dominate. Consideration of the growth rate data indicates that downstream dissociative silane ionization, in the lower pressure regime, is responsible for an enhanced surface H

  14. Artificial plasma experiments. Chemical release observations associated with the CRRES program

    NASA Technical Reports Server (NTRS)

    Mende, Stephen B.

    1994-01-01

    This report submitted is the final report and covers work performed under the contract for the period Apr. 12, 1985 - Dec. 23, 1993. The CRRES program investigated earth plasma environment by active experiments in which metal vapors were injected into the upper atmosphere and magnetosphere. The vapor clouds perturb the ambient ionospheric / magnetospheric environment and the effects could be monitored by passive observing instruments. Our part of the CRRES program, the Artificial Plasma Experiment program, was a ground based and aircraft based investigation to observe artificial chemical releases by optical techniques.

  15. High-durability catalytic electrode composed of Pt nanoparticle-supported carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Imai, Shun; Kondo, Hiroki; Cho, Hyungjun; Kano, Hiroyuki; Ishikawa, Kenji; Sekine, Makoto; Hiramatsu, Mineo; Ito, Masafumi; Hori, Masaru

    2017-10-01

    For polymer electrolyte fuel cell applications, carbon nanowalls (CNWs) were synthesized by radical-injection plasma-enhanced chemical vapor deposition, and a high density of Pt nanoparticles (>1012 cm-2) was supported on the CNWs using a supercritical fluid deposition system. The high potential cycle tests were applied and the electrochemical surface area of the Pt nanoparticle-supported CNWs did not change significantly, even after 20 000 high potential cycles. According to transmission electron microscopy observations, the mean diameter of Pt changed slightly after the cycle tests, while the crystallinity of the CNWs evaluated using Raman spectroscopy showed almost no change.

  16. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    NASA Astrophysics Data System (ADS)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  17. Using advanced oxidation treatment for biofilm inactivation by varying water vapor content in air plasma

    NASA Astrophysics Data System (ADS)

    Ryota, Suganuma; Koichi, Yasuoka

    2015-09-01

    Biofilms are caused by environmental degradation in food factories and medical facilities. The inactivation of biofilms involves making them react with chemicals including chlorine, hydrogen peroxide, and ozone, although inactivation using chemicals has a potential problem because of the hazardous properties of the residual substance and hydrogen peroxide, which have slow reaction velocity. We successfully performed an advanced oxidation process (AOP) using air plasma. Hydrogen peroxide and ozone, which were used for the formation of OH radicals in our experiment, were generated by varying the amount of water vapor supplied to the plasma. By varying the content of the water included in the air, the main product was changed from air plasma. When we increased the water content in the air, hydrogen peroxide was produced, while ozone peroxide was produced when we decreased the water content in the air. By varying the amount of water vapor, we realized a 99.9% reduction in the amount of bacteria in the biofilm when we discharged humidified air only. This work was supported by JSPS KAKENHI Grant Number 25630104.

  18. Chemical agent simulant release from clothing following vapor exposure.

    PubMed

    Feldman, Robert J

    2010-02-01

    Most ambulatory victims of a terrorist chemical attack will have exposure to vapor only. The study objective was to measure the duration of chemical vapor release from various types of clothing. A chemical agent was simulated using methyl salicylate (MeS), which has similar physical properties to sulfur mustard and was the agent used in the U.S. Army's Man-In-Simulant Test (MIST). Vapor concentration was measured with a Smiths Detection Advanced Portable Detector (APD)-2000 unit. The clothing items were exposed to vapor for 1 hour in a sealed cabinet; vapor concentration was measured at the start and end of each exposure. Clothing was then removed and assessed every 5 minutes with the APD-2000, using a uniform sweep pattern, until readings remained 0. Concentration and duration of vapor release from clothing varied with clothing composition and construction. Lightweight cotton shirts and jeans had the least trapped vapor; down outerwear, the most. Vapor concentration near the clothing often increased for several minutes after the clothing was removed from the contaminated environment. Compression of thick outerwear released additional vapor. Mean times to reach 0 ranged from 7 minutes for jeans to 42 minutes for down jackets. This simulation model of chemical vapor release demonstrates persistent presence of simulant vapor over time. This implies that chemical vapor may be released from the victims' clothing after they are evacuated from the site of exposure, resulting in additional exposure of victims and emergency responders. Insulated outerwear can release additional vapor when handled. If a patient has just moved to a vapor screening point, immediate assessment before additional vapor can be released from the clothing can lead to a false-negative assessment of contamination.

  19. Low Temperature Metal Free Growth of Graphene on Insulating Substrates by Plasma Assisted Chemical Vapor Deposition

    PubMed Central

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2016-01-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650°C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω·sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies. PMID:28070341

  20. Lithium-ions diffusion kinetic in LiFePO4/carbon nanoparticles synthesized by microwave plasma chemical vapor deposition for lithium-ion batteries

    NASA Astrophysics Data System (ADS)

    Gao, Chao; Zhou, Jian; Liu, Guizhen; Wang, Lin

    2018-03-01

    Olivine structure LiFePO4/carbon nanoparticles are synthesized successfully using a microwave plasma chemical vapor deposition (MPCVD) method. Microwave is an effective method to synthesize nanomaterials, the LiFePO4/carbon nanoparticles with high crystallinity can shorten diffusion routes for ionic transfer and electron tunneling. Meanwhile, a high quality, complete and homogenous carbon layer with appropriate thickness coating on the surface of LiFePO4 particles during in situ chemical vapor deposition process, which can ensure that electrons are able to transfer fast enough from all sides. Electrochemical impedance spectroscopy (EIS) is carried out to collect information about the kinetic behavior of lithium diffusion in LiFePO4/carbon nanoparticles during the charging and discharging processes. The chemical diffusion coefficients of lithium ions, DLi, are calculated in the range of 10-15-10-9 cm2s-1. Nanoscale LiFePO4/carbon particles show the longer regions of the faster solid-solution diffusion, and corresponding to the narrower region of the slower two-phase diffusion during the insertion/exaction of lithium ions. The CV and galvanostatic charge-discharge measurements show that the LiFePO4/carbon nanoparticles perform an excellent electrochemical performance, especially the high rate capacity and cycle life.

  1. High rate chemical vapor deposition of carbon films using fluorinated gases

    DOEpatents

    Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.

    1993-01-01

    A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.

  2. The application of pulse modulated plasma to the plasma enhanced chemical vapor deposition of dielectric materials

    NASA Astrophysics Data System (ADS)

    Qi, Yu

    This dissertation work applied the pulse modulated plasma to the plasma enhanced chemical vapor deposition (PECVD) of two types of dielectric materials: SiO2-like coatings and Teflon-like coatings. SiO2-like coatings were firstly implemented with continuous plasma. It was proven that three different precursors: hexamethyldisiloxane (HMDSO), 1, 3, 5, 7-tetramethylcyclotetrasiloxane (TMCTS) and octamethylcyclotetrasiloxane (OMCTS) can be used to generate hard, clear and high density SiO2 deposition with coupled high growth rate and low processing temperature via PECVD. Under similar conditions, HMDSO has the lowest growth rate, lowest hardness and highest carbon content; TMCTS has the highest growth rate and hardness, and lowest carbon content; and OMCTS has moderate rates of these deposition qualities, but the best corrosion resistance. Substrate bias seems to have no effect on any deposition quality. High chamber pressure can significantly lower the carbon content in the thin films but does not affect any other qualities; the O2/precursor ratio is the most influential factor among all variables considered in this experiment. The deposition hardness and O:Si ratio always increase with this ratio while the carbon content always decreases. However, different precursors require different optimal ratios to achieve the highest growth rate. Pulse modulation was introduced into PECVD of SiO2-like coatings and OMCTS was selected as the precursor. It was demonstrated that pulse frequency, duty ratio and peak power have significant effects on deposition qualities. The proper combination of the pulse parameters and other traditional plasma parameters can significantly lower the processing temperature while retaining or even improving other deposition qualities, such as growth rate, corrosion resistance and elemental composition. Hardness is the only sacrifice of the lower time-average power caused by pulsing. Therefore, pulse modulation can effectively expand the possible

  3. Upcycling Waste Lard Oil into Vertical Graphene Sheets by Inductively Coupled Plasma Assisted Chemical Vapor Deposition.

    PubMed

    Wu, Angjian; Li, Xiaodong; Yang, Jian; Du, Changming; Shen, Wangjun; Yan, Jianhua

    2017-10-12

    Vertical graphene (VG) sheets were single-step synthesized via inductively coupled plasma (ICP)-enhanced chemical vapor deposition (PECVD) using waste lard oil as a sustainable and economical carbon source. Interweaved few-layer VG sheets, H₂, and other hydrocarbon gases were obtained after the decomposition of waste lard oil. The influence of parameters such as temperature, gas proportion, ICP power was investigated to tune the nanostructures of obtained VG, which indicated that a proper temperature and H₂ concentration was indispensable for the synthesis of VG sheets. Rich defects of VG were formed with a high I D / I G ratio (1.29), consistent with the dense edges structure observed in electron microscopy. Additionally, the morphologies, crystalline degree, and wettability of nanostructure carbon induced by PECVD and ICP separately were comparatively analyzed. The present work demonstrated the potential of our PECVD recipe to synthesize VG from abundant natural waste oil, which paved the way to upgrade the low-value hydrocarbons into advanced carbon material.

  4. Single liquid source plasma-enhanced metalorganic chemical vapor deposition of high-quality YBa2Cu3O(7-x) thin films

    NASA Technical Reports Server (NTRS)

    Zhang, Jiming; Gardiner, Robin A.; Kirlin, Peter S.; Boerstler, Robert W.; Steinbeck, John

    1992-01-01

    High quality YBa2Cu3O(7-x) films were grown in-situ on LaAlO3 (100) by a novel single liquid source plasma-enhanced metalorganic chemical vapor deposition process. The metalorganic complexes M(thd) (sub n), (thd = 2,2,6,6-tetramethyl-3,5-heptanedionate; M = Y, Ba, Cu) were dissolved in an organic solution and injected into a vaporizer immediately upstream of the reactor inlet. The single liquid source technique dramatically simplifies current CVD processing and can significantly improve the process reproducibility. X-ray diffraction measurements indicated that single phase, highly c-axis oriented YBa2Cu3O(7-x) was formed in-situ at substrate temperature 680 C. The as-deposited films exhibited a mirror-like surface, had transition temperature T(sub cO) approximately equal to 89 K, Delta T(sub c) less than 1 K, and Jc (77 K) = 10(exp 6) A/sq cm.

  5. Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Tomatsu, Masakazu; Hiramatsu, Mineo; Foord, John S.; Kondo, Hiroki; Ishikawa, Kenji; Sekine, Makoto; Takeda, Keigo; Hori, Masaru

    2017-06-01

    Fabrication of an electrochemical sensor for hydrogen peroxide (H2O2) detection was demonstrated. H2O2 is a major messenger molecule in various redox-dependent cellular signaling transductions. Therefore, sensitive detection of H2O2 is greatly important in health inspection and environmental protection. Carbon nanowalls (CNWs) are composed of few-layer graphenes standing almost vertically on a substrate forming a three-dimensional structure. In this work, CNWs were used as a platform for H2O2 sensing, which is based on the large surface area of conducting carbon and surface decoration with platinum (Pt) nanoparticles (NPs). CNWs were grown on carbon fiber paper (CFP) by inductively coupled plasma-enhanced chemical vapor deposition to increase the surface area. Then, the CNW surface was decorated with Pt-NPs by the reduction of H2PtCl6. Cyclic voltammetry results indicate that the Pt-decorated CNW/CFP electrode possesses excellent electrocatalytic activity for the reduction of H2O2. Amperometric responses indicate the high-sensitivity detection capability of the Pt-decorated CNW/CFP electrode for H2O2.

  6. Fractal growth mechanism of sp3-bonded 5H-BN microcones by plasma-assisted pulsed-laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Komatsu, Shojiro; Kazami, Daisuke; Tanaka, Hironori; Moriyoshi, Yusuke; Shiratani, Masaharu; Okada, Katsuyuki

    2006-08-01

    Here we propose a repetitive photochemical reaction and diffusion model for the fractal pattern formation of sp3-bonded 5H-BN microcones in laser-assisted plasma chemical vapor deposition, which was observed experimentally and reported previously. This model describing the behavior of the surface density of precursor species gave explanations to (1) the "line-drawing" nature of the patterns, (2) the origin of the scale-invariant self-similarity (fractality) of the pattern, and (3) the temperature-dependent uniform to fractal transition. The results have implications for controlling the self-organized arrangements of electron-emitter cones at the micro-and nanoscale by adjusting macroscopically the boundary condition (LX,LY) for the deposition, which will be very effective in improving the electron field emission properties.

  7. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiO{sub x} layers for application in solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Klingsporn, M.; Costina, I.; Kirner, S.

    2016-06-14

    Nanocrystalline silicon suboxides (nc-SiO{sub x}) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO{sub 0.8}:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressuremore » from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.« less

  8. Room temperature chemical vapor deposition of c-axis ZnO

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Leaf, Jacquelyn; Fry, Cassandra; Wolden, Colin A.

    2005-02-01

    Highly (0 0 2) oriented ZnO films have been deposited at temperatures between 25 and 230 °C by high-vacuum plasma-assisted chemical vapor deposition (HVP-CVD) on glass and silicon substrates. The HVP-CVD process was found to be weakly activated with an apparent activation energy of ∼0.1 eV, allowing room temperature synthesis. Films deposited on both substrates displayed a preferential c-axis texture over the entire temperature range. Films grown on glass demonstrated high optical transparency throughout the visible and near infrared.

  9. Microwave Plasma-Activated Chemical Vapor Deposition of Nitrogen-Doped Diamond. II: CH4/N2/H2 Plasmas

    PubMed Central

    2016-01-01

    We report a combined experimental and modeling study of microwave-activated dilute CH4/N2/H2 plasmas, as used for chemical vapor deposition (CVD) of diamond, under very similar conditions to previous studies of CH4/H2, CH4/H2/Ar, and N2/H2 gas mixtures. Using cavity ring-down spectroscopy, absolute column densities of CH(X, v = 0), CN(X, v = 0), and NH(X, v = 0) radicals in the hot plasma have been determined as functions of height, z, source gas mixing ratio, total gas pressure, p, and input power, P. Optical emission spectroscopy has been used to investigate, with respect to the same variables, the relative number densities of electronically excited species, namely, H atoms, CH, C2, CN, and NH radicals and triplet N2 molecules. The measurements have been reproduced and rationalized from first-principles by 2-D (r, z) coupled kinetic and transport modeling, and comparison between experiment and simulation has afforded a detailed understanding of C/N/H plasma-chemical reactivity and variations with process conditions and with location within the reactor. The experimentally validated simulations have been extended to much lower N2 input fractions and higher microwave powers than were probed experimentally, providing predictions for the gas-phase chemistry adjacent to the diamond surface and its variation across a wide range of conditions employed in practical diamond-growing CVD processes. The strongly bound N2 molecule is very resistant to dissociation at the input MW powers and pressures prevailing in typical diamond CVD reactors, but its chemical reactivity is boosted through energy pooling in its lowest-lying (metastable) triplet state and subsequent reactions with H atoms. For a CH4 input mole fraction of 4%, with N2 present at 1–6000 ppm, at pressure p = 150 Torr, and with applied microwave power P = 1.5 kW, the near-substrate gas-phase N atom concentration, [N]ns, scales linearly with the N2 input mole fraction and exceeds the concentrations [NH]ns, [NH2]ns

  10. Synthesis of large scale graphene oxide using plasma enhanced chemical vapor deposition method and its application in humidity sensing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Yang; Chen, Yuming, E-mail: yumingchen@fudan.edu.cn; Engineering Research Center of Advanced Lighting Technology, Ministry of Education, 220 Handan Road, Shanghai 00433

    2016-03-14

    Large scale graphene oxide (GO) is directly synthesized on copper (Cu) foil by plasma enhanced chemical vapor deposition method under 500 °C and even lower temperature. Compared to the modified Hummer's method, the obtained GO sheet in this article is large, and it is scalable according to the Cu foil size. The oxygen-contained groups in the GO are introduced through the residual gas of methane (99.9% purity). To prevent the Cu surface from the bombardment of the ions in the plasma, we use low intensity discharge. Our experiment reveals that growth temperature has important influence on the carbon to oxygen ratiomore » (C/O ratio) in the GO; and it also affects the amount of π-π* bonds between carbon atoms. Preliminary experiments on a 6 mm × 12 mm GO based humidity sensor prove that the synthesized GO reacts well to the humidity change. Our GO synthesis method may provide another channel for obtaining large scale GO in gas sensing or other applications.« less

  11. Preparation of Hydrophobic Metal-Organic Frameworks via Plasma Enhanced Chemical Vapor Deposition of Perfluoroalkanes for the Removal of Ammonia

    PubMed Central

    DeCoste, Jared B.; Peterson, Gregory W.

    2013-01-01

    Plasma enhanced chemical vapor deposition (PECVD) of perfluoroalkanes has long been studied for tuning the wetting properties of surfaces. For high surface area microporous materials, such as metal-organic frameworks (MOFs), unique challenges present themselves for PECVD treatments. Herein the protocol for development of a MOF that was previously unstable to humid conditions is presented. The protocol describes the synthesis of Cu-BTC (also known as HKUST-1), the treatment of Cu-BTC with PECVD of perfluoroalkanes, the aging of materials under humid conditions, and the subsequent ammonia microbreakthrough experiments on milligram quantities of microporous materials. Cu-BTC has an extremely high surface area (~1,800 m2/g) when compared to most materials or surfaces that have been previously treated by PECVD methods. Parameters such as chamber pressure and treatment time are extremely important to ensure the perfluoroalkane plasma penetrates to and reacts with the inner MOF surfaces. Furthermore, the protocol for ammonia microbreakthrough experiments set forth here can be utilized for a variety of test gases and microporous materials. PMID:24145623

  12. Growth of carbon nanotubes at low powers by impedance-matched microwave plasma enhanced chemical vapor deposition method.

    PubMed

    Chen, S Y; Chang, L W; Peng, C W; Miao, H Y; Lue, Juh-Tzeng

    2005-11-01

    A solo carbon nanotube (CNT) was successfully grown on nickel electrodes by a microwave plasma enhanced chemical vapor deposition (MPECVD) method equipped with an impedance-matched substrate holder with the reaction gases composed of hydrogen (H2), carbon dioxide (CO2), and methane (CH4) mixtures. An introduction of carbon dioxide gas before CNTs growth, the substrate temperature can easily be reached above 610 degrees C even heated at a low microwave power. This can be enunciated from fact that carbon dioxide inherits with higher bond energy for molecular dissociation, lower thermal conductivity, and higher heat capacity in comparing to other gases. The electron field emissions for randomly aligned CNTs and well-aligned CNTs grown by MPECVD and by radio frequency assisted hot-filament methods, respectively, are measured and compared. The higher field emission characteristic of the randomly aligned CNTs is presumed to be due to the protruded CNTs, which inheriting with less screening effect and manifesting with defects are crucial to play the effective emission sites.

  13. Density change and viscous flow during structural relaxation of plasma-enhanced chemical-vapor-deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Cao, Zhiqiang; Zhang, Xin

    2004-10-01

    The structural relaxation of plasma-enhanced chemical-vapor-deposited (PECVD) silane-based silicon oxide films during thermal cycling and annealing has been studied using wafer curvature measurements. These measurements, which determine stress in the amorphous silicon oxide films, are sensitive to both plastic deformation and density changes. A quantitative case study of such changes has been done based upon the experimental results. A microstructure-based mechanism elucidates seams as a source of density change and voids as a source of plastic deformation, accompanied by a viscous flow. This theory was then used to explain a series of experimental results that are related to thermal cycling as well as annealing of PECVD silicon oxide films including stress hysteresis generation and reduction and coefficient of thermal-expansion changes. In particular, the thickness effect was examined; PECVD silicon oxide films with a thickness varying from 1to40μm were studied, as certain demanding applications in microelectromechanical systems require such thick films serving as heat/electrical insulation layers.

  14. Raman enhancement on ultra-clean graphene quantum dots produced by quasi-equilibrium plasma-enhanced chemical vapor deposition.

    PubMed

    Liu, Donghua; Chen, Xiaosong; Hu, Yibin; Sun, Tai; Song, Zhibo; Zheng, Yujie; Cao, Yongbin; Cai, Zhi; Cao, Min; Peng, Lan; Huang, Yuli; Du, Lei; Yang, Wuli; Chen, Gang; Wei, Dapeng; Wee, Andrew Thye Shen; Wei, Dacheng

    2018-01-15

    Graphene is regarded as a potential surface-enhanced Raman spectroscopy (SERS) substrate. However, the application of graphene quantum dots (GQDs) has had limited success due to material quality. Here, we develop a quasi-equilibrium plasma-enhanced chemical vapor deposition method to produce high-quality ultra-clean GQDs with sizes down to 2 nm directly on SiO 2 /Si, which are used as SERS substrates. The enhancement factor, which depends on the GQD size, is higher than conventional graphene sheets with sensitivity down to 1 × 10 -9  mol L -1 rhodamine. This is attributed to the high-quality GQDs with atomically clean surfaces and large number of edges, as well as the enhanced charge transfer between molecules and GQDs with appropriate diameters due to the existence of Van Hove singularities in the electronic density of states. This work demonstrates a sensitive SERS substrate, and is valuable for applications of GQDs in graphene-based photonics and optoelectronics.

  15. Vapor deposition of thin films

    DOEpatents

    Smith, David C.; Pattillo, Stevan G.; Laia, Jr., Joseph R.; Sattelberger, Alfred P.

    1992-01-01

    A highly pure thin metal film having a nanocrystalline structure and a process of preparing such highly pure thin metal films of, e.g., rhodium, iridium, molybdenum, tungsten, rhenium, platinum, or palladium by plasma assisted chemical vapor deposition of, e.g., rhodium(allyl).sub.3, iridium(allyl).sub.3, molybdenum(allyl).sub.4, tungsten(allyl).sub.4, rhenium(allyl).sub.4, platinum(allyl).sub.2, or palladium(allyl).sub.2 are disclosed. Additionally, a general process of reducing the carbon content of a metallic film prepared from one or more organometallic precursor compounds by plasma assisted chemical vapor deposition is disclosed.

  16. Fabrication of (NH4)2S passivated GaAs metal-insulator-semiconductor devices using low-frequency plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Jaouad, A.; Aimez, V.; Aktik, Ç.; Bellatreche, K.; Souifi, A.

    2004-05-01

    Metal-insulator-semiconductor (MIS) capacitors were fabricated on n-GaAs(100) substrate using (NH4)2S surface passivation and low-frequency plasma-enhanced chemical vapor deposited silicon nitride as gate insulators. The electrical properties of the fabricated MIS capacitors were analyzed using high-frequency capacitance-voltage and conductance-voltage measurements. The high concentration of hydrogen present during low-frequency plasma deposition of silicon nitride enhances the passivation of GaAs surface, leading to the unpinning of the Fermi level and to a good modulation of the surface potential by gate voltage. The electrical properties of the insulator-semiconductor interface are improved after annealing at 450 °C for 60 s, as a significant reduction of the interface fixed charges and of the interface states density is put into evidence. The minimum interface states density was found to be about 3×1011 cm-2 eV-1, as estimated by the Terman method. .

  17. Diagnostic for Plasma Enhanced Chemical Vapor Deposition and Etch Systems

    NASA Technical Reports Server (NTRS)

    Cappelli, Mark A.

    1999-01-01

    In order to meet NASA's requirements for the rapid development and validation of future generation electronic devices as well as associated materials and processes, enabling technologies ion the processing of semiconductor materials arising from understanding etch chemistries are being developed through a research collaboration between Stanford University and NASA-Ames Research Center, Although a great deal of laboratory-scale research has been performed on many of materials processing plasmas, little is known about the gas-phase and surface chemical reactions that are critical in many etch and deposition processes, and how these reactions are influenced by the variation in operating conditions. In addition, many plasma-based processes suffer from stability and reliability problems leading to a compromise in performance and a potentially increased cost for the semiconductor manufacturing industry. Such a lack of understanding has hindered the development of process models that can aid in the scaling and improvement of plasma etch and deposition systems. The research described involves the study of plasmas used in semiconductor processes. An inductively coupled plasma (ICP) source in place of the standard upper electrode assembly of the Gaseous Electronics Conference (GEC) radio-frequency (RF) Reference Cell is used to investigate the discharge characteristics and chemistries. This ICP source generates plasmas with higher electron densities (approximately 10(exp 12)/cu cm) and lower operating pressures (approximately 7 mTorr) than obtainable with the original parallel-plate version of the GEC Cell. This expanded operating regime is more relevant to new generations of industrial plasma systems being used by the microelectronics industry. The motivation for this study is to develop an understanding of the physical phenomena involved in plasma processing and to measure much needed fundamental parameters, such as gas-phase and surface reaction rates. species

  18. Influence of the normalized ion flux on the constitution of alumina films deposited by plasma-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurapov, Denis; Reiss, Jennifer; Trinh, David H.

    2007-07-15

    Alumina thin films were deposited onto tempered hot working steel substrates from an AlCl{sub 3}-O{sub 2}-Ar-H{sub 2} gas mixture by plasma-assisted chemical vapor deposition. The normalized ion flux was varied during deposition through changes in precursor content while keeping the cathode voltage and the total pressure constant. As the precursor content in the total gas mixture was increased from 0.8% to 5.8%, the deposition rate increased 12-fold, while the normalized ion flux decreased by approximately 90%. The constitution, morphology, impurity incorporation, and the elastic properties of the alumina thin films were found to depend on the normalized ion flux. Thesemore » changes in structure, composition, and properties induced by normalized ion flux may be understood by considering mechanisms related to surface and bulk diffusion.« less

  19. Recirculating wedges for metal-vapor plasma tubes

    DOEpatents

    Hall, Jerome P.; Sawvel, Robert M.; Draggoo, Vaughn G.

    1994-01-01

    A metal vapor laser is disclosed that recycles condensed metal located at the terminal ends of a plasma tube back toward the center of the tube. A pair of arcuate wedges are incorporated on the bottom of the plasma tube near the terminal ends. The wedges slope downward toward the center so that condensed metal may be transported under the force of gravity away from the terminal ends. The wedges are curved to fit the plasma tube to thereby avoid forming any gaps within the tube interior.

  20. Sterilization of Long Tube Inner Surface Using Oxygen and Water Vapor Plasmas Produced by AC HV Discharge

    NASA Astrophysics Data System (ADS)

    Kitazaki, Satoshi; Hayashi, Nobuya

    2009-10-01

    Oxygen and water vapor plasmas inside a narrow long tube were produced using an AC HV glow discharge at low pressure in order to sterilize the inner surface of a tube. In order to produce plasma inside a narrow tube, an AC high voltage was adopted. The material of the tube used in this experiment was silicon rubber. The length and diameter of the tubes ranged from 300 to 1,000 mm and from 1 to 4 mm, respectively. The tube was placed in a stainless steel vacuum chamber and was evacuated to 10 Pa using a rotary pump. The material gas for plasma and radical productions was pure oxygen or water vapor, which was introduced to the chamber from a gas cylinder or water reservoir. Light emission spectral lines of oxygen and OH radicals were observed at 777 nm and 306 nm, respectively. The chemical indicator was inserted into the tube and turned to a yellowish color (from the original red) after a treatment, which indicates the generation of sufficient oxygen on OH radicals for sterilization. A tube with the length of 500 mm and diameter of 4 mm is sterilized using oxygen plasma by 10 minutes treatment. Also a tube with the length of 300 mm and diameter of 2 mm is sterilized using water vapor plasma by 5 minutes treatment.

  1. Recirculating wedges for metal-vapor plasma tubes

    DOEpatents

    Hall, J.P.; Sawvel, R.M.; Draggoo, V.G.

    1994-06-28

    A metal vapor laser is disclosed that recycles condensed metal located at the terminal ends of a plasma tube back toward the center of the tube. A pair of arcuate wedges are incorporated on the bottom of the plasma tube near the terminal ends. The wedges slope downward toward the center so that condensed metal may be transported under the force of gravity away from the terminal ends. The wedges are curved to fit the plasma tube to thereby avoid forming any gaps within the tube interior. 8 figures.

  2. The response of the ionosphere to the injection of chemically reactive vapors

    NASA Technical Reports Server (NTRS)

    Bernhardt, P. A.

    1976-01-01

    As a gas released in the ionosphere expands, it is rapidly cooled. When the vapor becomes sufficiently tenuous, it is reheated by collisions with the ambient atmosphere and its flow is then governed by diffusive expansion. As the injected gas becomes well mixed with the plasma, a hole is created by chemical processes. In the case of diatomic hydrogen release, depression of the electron concentrations is governed by the charge exchange reaction between oxygen ions and hydrogen, producing positive hydroxyl ions. Hydroxyl ions rapidly react with the electron gas to produce excited oxygen and hydrogen atoms. Enhanced airglow emissions result from the transition of the excited atoms to lower energy states. The electron temperature in the depleted region rises sharply causing a thermal expansion of the plasma and a further reduction in the local plasma concentration.

  3. Automatic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1981-01-01

    Report reviews chemical vapor deposition (CVD) for processing integrated circuits and describes fully automatic machine for CVD. CVD proceeds at relatively low temperature, allows wide choice of film compositions (including graded or abruptly changing compositions), and deposits uniform films of controllable thickness at fairly high growth rate. Report gives overview of hardware, reactants, and temperature ranges used with CVD machine.

  4. Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond

    DTIC Science & Technology

    1992-07-10

    Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond IJ PERSONAL AUITHOR(S) - D. Shechtman. A. Fldman, M.D. Vaudin, and J.L...micrographs of chemical vapor deposited diamond can be interprete as Moire fringes that occur when viewing twin boundaries that are inclined to the electron...Dist J Special TECHNICAL REPORT No. 14 eca MOIRE-FRINGE IMAGES OF TWIN BOUNDARIES IN CHEMICAL VAPOR DEPOSITED DIAMOND D. Shechtman, A. Feldman, M.D

  5. Simple Chemical Vapor Deposition Experiment

    ERIC Educational Resources Information Center

    Pedersen, Henrik

    2014-01-01

    Chemical vapor deposition (CVD) is a process commonly used for the synthesis of thin films for several important technological applications, for example, microelectronics, hard coatings, and smart windows. Unfortunately, the complexity and prohibitive cost of CVD equipment makes it seldom available for undergraduate chemistry students. Here, a…

  6. Synthesis of Diamond-Like Carbon Films on Planar and Non-Planar Geometries by the Atmospheric Pressure Plasma Chemical Vapor Deposition Method

    NASA Astrophysics Data System (ADS)

    Noborisaka, Mayui; Hirako, Tomoaki; Shirakura, Akira; Watanabe, Toshiyuki; Morikawa, Masashi; Seki, Masaki; Suzuki, Tetsuya

    2012-09-01

    Diamond-like carbon (DLC) films were synthesized by the dielectric barrier discharge-based plasma deposition at atmospheric pressure and their hardness and gas barrier properties were measured. A decrease in size of grains and heating substrate temperature improved nano-hardness up to 3.3 GPa. The gas barrier properties of DLC-coated poly(ethylene terephthalate) (PET) sheets were obtained by 3-5 times of non-coated PET with approximately 0.5 µm in film thickness. The high-gas-barrier DLC films deposited on PET sheets are expected to wrap elevated bridge of the super express and prevent them from neutralization of concrete. We also deposited DLC films inside PET bottles by the microwave surface-wave plasma chemical vapor deposition (CVD) method at near-atmospheric pressure. Under atmospheric pressure, the films were coated uniformly inside the PET bottles, but did not show high gas barrier properties. In this paper, we summarize recent progress of DLC films synthesized at atmospheric pressure with the aimed of food packaging and concrete pillar.

  7. Plasma reforming and partial oxidation of hydrocarbon fuel vapor to produce synthesis gas and/or hydrogen gas

    DOEpatents

    Kong, Peter C.; Detering, Brent A.

    2003-08-19

    Methods and systems for treating vapors from fuels such as gasoline or diesel fuel in an internal combustion engine, to form hydrogen gas or synthesis gas, which can then be burned in the engine to produce more power. Fuel vapor, or a mixture of fuel vapor and exhaust gas and/or air, is contacted with a plasma, to promote reforming reactions between the fuel vapor and exhaust gas to produce carbon monoxide and hydrogen gas, partial oxidation reactions between the fuel vapor and air to produce carbon monoxide and hydrogen gas, or direct hydrogen and carbon particle production from the fuel vapor. The plasma can be a thermal plasma or a non-thermal plasma. The plasma can be produced in a plasma generating device which can be preheated by contact with at least a portion of the hot exhaust gas stream, thereby decreasing the power requirements of the plasma generating device.

  8. Porosity in plasma enhanced chemical vapor deposited SiCOH dielectrics: A comparative study

    NASA Astrophysics Data System (ADS)

    Grill, A.; Patel, V.; Rodbell, K. P.; Huang, E.; Baklanov, M. R.; Mogilnikov, K. P.; Toney, M.; Kim, H.-C.

    2003-09-01

    The low dielectric constant (k) of plasma enhanced chemical vapor deposited SiCOH films has been attributed to porosity in the films. We have shown previously that the dielectric constant of such materials can be extended from the typical k values of 2.7-2.9 to ultralow-k values of k=2.0. The reduction in the dielectric constants has been achieved by enhancing the porosity in the films through the addition of an organic material to the SiCOH precursor and annealing the films to remove the thermally less-stable organic fractions. In order to confirm the relation between dielectric constant and film porosity the latter has been evaluated for SiCOH films with k values from 2.8 to 2.05 using positron annihilation spectroscopy, positron annihilation lifetime spectroscopy, small angle x-ray scattering, specular x-ray reflectivity, and ellipsometric porosimetry measurements. It has been found that the SiCOH films with k=2.8 had no detectable porosity, however the porosity increased with decreasing dielectric constant reaching values of 28%-39% for k values of 2.05. The degree of porosity and the pore size determined by the dissimilar techniques agreed within reasonable limits, especially when one takes into account the small pore size in these films and the different assumptions used by the different techniques. The pore size increases with decreasing k, however the diameter remains below 5 nm for k=2.05, most of the pores being smaller than 2.5 nm.

  9. Plasma Spray-PVD: A New Thermal Spray Process to Deposit Out of the Vapor Phase

    NASA Astrophysics Data System (ADS)

    von Niessen, Konstantin; Gindrat, Malko

    2011-06-01

    Plasma spray-physical vapor deposition (PS-PVD) is a low pressure plasma spray technology recently developed by Sulzer Metco AG (Switzerland). Even though it is a thermal spray process, it can deposit coatings out of the vapor phase. The basis of PS-PVD is the low pressure plasma spraying (LPPS) technology that has been well established in industry for several years. In comparison to conventional vacuum plasma spraying (VPS) or low pressure plasma spraying (LPPS), the new proposed process uses a high energy plasma gun operated at a reduced work pressure of 0.1 kPa (1 mbar). Owing to the high energy plasma and further reduced work pressure, PS-PVD is able to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional physical vapor deposition (PVD) technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and electron beam-physical vapor deposition (EB-PVD) coatings. In contrast to EB-PVD, PS-PVD incorporates the vaporized coating material into a supersonic plasma plume. Owing to the forced gas stream of the plasma jet, complex shaped parts such as multi-airfoil turbine vanes can be coated with columnar thermal barrier coatings using PS-PVD. Even shadowed areas and areas which are not in the line of sight of the coating source can be coated homogeneously. This article reports on the progress made by Sulzer Metco in developing a thermal spray process to produce coatings out of the vapor phase. Columnar thermal barrier coatings made of Yttria-stabilized Zircona (YSZ) are optimized to serve in a turbine engine. This process includes not only preferable coating properties such as strain tolerance and erosion

  10. Chemical vapor infiltration using microwave energy

    DOEpatents

    Devlin, David J.; Currier, Robert P.; Laia, Jr., Joseph R.; Barbero, Robert S.

    1993-01-01

    A method for producing reinforced ceramic composite articles by means of chemical vapor infiltration and deposition in which an inverted temperature gradient is utilized. Microwave energy is the source of heat for the process.

  11. Plasma Reforming And Partial Oxidation Of Hydrocarbon Fuel Vapor To Produce Synthesis Gas And/Or Hydrogen Gas

    DOEpatents

    Kong, Peter C.; Detering, Brent A.

    2004-10-19

    Methods and systems are disclosed for treating vapors from fuels such as gasoline or diesel fuel in an internal combustion engine, to form hydrogen gas or synthesis gas, which can then be burned in the engine to produce more power. Fuel vapor, or a mixture of fuel vapor and exhaust gas and/or air, is contacted with a plasma, to promote reforming reactions between the fuel vapor and exhaust gas to produce carbon monoxide and hydrogen gas, partial oxidation reactions between the fuel vapor and air to produce carbon monoxide and hydrogen gas, or direct hydrogen and carbon particle production from the fuel vapor. The plasma can be a thermal plasma or a non-thermal plasma. The plasma can be produced in a plasma generating device which can be preheated by contact with at least a portion of the hot exhaust gas stream, thereby decreasing the power requirements of the plasma generating device.

  12. Effects of additional vapors on sterilization of microorganism spores with plasma-excited neutral gas

    NASA Astrophysics Data System (ADS)

    Matsui, Kei; Ikenaga, Noriaki; Sakudo, Noriyuki

    2015-01-01

    Some fundamental experiments are carried out in order to develop a plasma process that will uniformly sterilize both the space and inner wall of the reactor chamber at atmospheric pressure. Air, oxygen, argon, and nitrogen are each used as the plasma source gas to which mixed vapors of water and ethanol at different ratios are added. The reactor chamber is remotely located from the plasma area and a metal mesh for eliminating charged particles is installed between them. Thus, only reactive neutral particles such as plasma-excited gas molecules and radicals are utilized. As a result, adding vapors to the source gas markedly enhances the sterilization effect. In particular, air with water and/or ethanol vapor and oxygen with ethanol vapor show more than 6-log reduction for Geobacillus stearothermophilus spores.

  13. Upstream Density for Plasma Detachment with Conventional and Lithium Vapor-Box Divertors

    NASA Astrophysics Data System (ADS)

    Goldston, Rj; Schwartz, Ja

    2016-10-01

    Fusion power plants are likely to require detachment of the divertor plasma from material targets. The lithium vapor box divertor is designed to achieve this, while limiting the flux of lithium vapor to the main plasma. We develop a simple model of near-detachment to evaluate the required upstream plasma density, for both conventional and lithium vapor-box divertors, based on particle and dynamic pressure balance between up- and down-stream, at near-detachment conditions. A remarkable general result is found, not just for lithium-induced detachment, that the upstream density divided by the Greenwald-limit density scales as (P 5 / 8 /B 3 / 8) Tdet1 / 2 / (ɛcool + γTdet) , with no explicit size scaling. Tdet is the temperature just before strong pressure loss, 1/2 of the ionization potential of the dominant recycling species, ɛcool is the average plasma energy lost per injected hydrogenic and impurity atom, and γ is the sheath heat transmission factor. A recent 1-D calculation agrees well with this scaling. The implication is that the plasma exhaust problem cannot be solved by increasing R. Instead significant innovation, such as the lithium vapor box divertor, will be required. This work supported by DOE Contract No. DE-AC02-09CH11466.

  14. Microbridge testing of plasma-enhanced chemical-vapor deposited silicon oxide films on silicon wafers

    NASA Astrophysics Data System (ADS)

    Cao, Zhiqiang; Zhang, Tong-Yi; Zhang, Xin

    2005-05-01

    Plasma-enhanced chemical-vapor deposited (PECVD) silane-based oxides (SiOx) have been widely used in both microelectronics and microelectromechanical systems (MEMS) to form electrical and/or mechanical components. In this paper, a nanoindentation-based microbridge testing method is developed to measure both the residual stresses and Young's modulus of PECVD SiOx films on silicon wafers. Theoretically, we considered both the substrate deformation and residual stress in the thin film and derived a closed formula of deflection versus load. The formula fitted the experimental curves almost perfectly, from which the residual stresses and Young's modulus of the film were determined. Experimentally, freestanding microbridges made of PECVD SiOx films were fabricated using the silicon undercut bulk micromachining technique. Some microbridges were subjected to rapid thermal annealing (RTA) at a temperature of 400 °C, 600 °C, or 800 °C to simulate the thermal process in the device fabrication. The results showed that the as-deposited PECVD SiOx films had a residual stress of -155±17MPa and a Young's modulus of 74.8±3.3GPa. After the RTA, Young's modulus remained relatively unchanged at around 75 GPa, however, significant residual stress hysteresis was found in all the films. A microstructure-based mechanism was then applied to explain the experimental results of the residual stress changes in the PECVD SiOx films after the thermal annealing.

  15. Determination of As, Se, and Hg in fuel samples by in-chamber chemical vapor generation ICP OES using a Flow Blurring® multinebulizer.

    PubMed

    García, Miriam; Aguirre, Miguel Ángel; Canals, Antonio

    2017-09-01

    In this work, a new and simple analytical methodology based on in-chamber chemical vapor generation has been developed for the spectrochemical analysis of commercial fuel samples. A multiple nebulizer with three nebulization units has been employed for this purpose: One unit was used for sample introduction, while the other two were used for the necessary reagent introduction. In this way, the aerosols were mixed inside the spray chamber. Through this method, analyte transport and, therefore, sensitivity are improved in inductively coupled plasma-optical emission spectrometry. The factors (i.e., variables), influencing chemical vapor generation, have been optimized using a multivariate approach. Under optimum chemical vapor generation conditions ([NaBH 4 ] = 1.39%, [HCl] = 2.97 M, total liquid flow = 936 μL min -1 ), the proposed sample introduction system allowed the determination of arsenic, selenium, and mercury up to 5 μg g -1 with a limit of detection of 25, 140, and 13 μg kg -1 , respectively. Analyzing spiked commercial fuel samples, recovery values obtained were between 96 and 113%, and expanded uncertainty values ranged from 4 to 16%. The most striking practical conclusion of this investigation is that no carbon deposit appears on the plasma torch after extended periods of working. Graphical abstract A new and simple analytical methodology based on in-chamber chemical vapor generation has been developed for the spectrochemical analysis of commercial fuel samples in ICP OES.

  16. Modeling and experimental study on the growth of silicon germanium film by plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zhao, Lai

    Hydrogenated microcrystalline silicon germanium µc-SiGe:H deposited by plasma enhanced chemical vapor deposition (PECVD) is of great interest to photovoltaic (PV) applications due to its low process temperature and good uniformity over large area. The nature of high optical absorption and low optical bandgap makes it promising as the bottom cell absorbing layer for tandem junction solar cells. However, the addition of germane (GeH4) gas changes deposited film properties and makes it rather complicated for the established silane (SiH4) based discharge process with hydrogen (H2) dilution. Despite existing experimental studies for SiH 4/GeH4/H2 3-gas mixture discharge and comprehensive numerical simulations for SiH4/H2 or SiH4/Ar plasma, to the author's best knowledge, a numerical model for both SiH 4 and GeH4 in a high pressure regime is yet to be developed. The plasma discharge, the film growth and their effects on film properties and the solar device performance need deep understanding. In this dissertation, the growth of the µc-SiGe:H film by radio frequency (RF) PECVD is studied through modeling simulation as well as experiments. The first numerical model for the glow discharge of SiH4/GeH 4/H2 3-gas mixture in a high pressure regime is developed based on one dimensional fluid model. Transports of electrons, molecules, radicals and ions in the RF excitation are described by diffusion equations that are coupled with the Poisson's equation. The deposition is integrated as the boundary conditions for discharge equations through the sticking coefficient model. Neutral ionizations, radical dissociations and chemical reactions in the gas phase and surface kinetics such as the diffusive motion, chemical reactions and the hydrogen etching are included with interaction rate constants. Solved with an explicit central-difference discretization scheme, the model simulates mathematical features that reflect the plasma physics such as the plasma sheath and gas species

  17. Effect of Plasma Treatment on Air and Water-Vapor Permeability of Bamboo Knitted Fabric

    NASA Astrophysics Data System (ADS)

    Prakash, C.; Ramakrishnan, G.; Chinnadurai, S.; Vignesh, S.; Senthilkumar, M.

    2013-11-01

    In this paper, the effects of oxygen and atmospheric plasma on air and water-vapor permeability properties of single jersey bamboo fabric have been investigated. The changes in these properties are believed to be related closely to the inter-fiber and inter-yarn friction force induced by the plasma treatments. The outcomes showed that the water-vapor permeability increased, although the air permeability decreased along with the plasma treatments. The SEM images clearly showed that the plasma modified the fiber surface outwardly. The results showed that the atmospheric plasma has an etching effect and increases the functionality of a bamboo surface, which is evident from SEM and FTIR-ATR analysis. These results reveal that atmospheric pressure plasma treatment is an effective method to improve the performance of bamboo fabric. Statistical analysis also indicates that the results are significant for air permeability and water-vapor permeability of the plasma-treated bamboo fabric.

  18. Chemical-Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Cagliostro, D. E.; Riccitiello, S. R.; Ren, J.; Zaghi, F.

    1993-01-01

    Report describes experiments in chemical-vapor deposition of silicon carbide by pyrolysis of dimethyldichlorosilane in hydrogen and argon carrier gases. Directed toward understanding chemical-kinetic and mass-transport phenomena affecting infiltration of reactants into, and deposition of SiC upon, fabrics. Part of continuing effort to develop method of efficient and more nearly uniform deposition of silicon carbide matrix throughout fabric piles to make improved fabric/SiC-matrix composite materials.

  19. Fast modification on wheat straw outer surface by water vapor plasma and its application on composite material.

    PubMed

    Chen, Weimin; Xu, Yicheng; Shi, Shukai; Cao, Yizhong; Chen, Minzhi; Zhou, Xiaoyan

    2018-02-02

    The presence of non-poplar extracts, cutin, and wax layer in the wheat straw outer surface (WOS) greatly limit its application in bio-composite preparation. In this study, a dielectric-barrier-discharge plasma using water vapor as feeding gas was used to fast modify the WOS. The morphology, free radical concentrations, surface chemical components, and contact angles of WOS before and after plasma modification were investigated. Wheat straw was further prepared into wheat straw-based composites (WSC) and its bonding strength was evaluated by a paper tension meter. The results showed that water vapor plasma leads to the appearance of surface roughness, the generation of massive free radicals, and the introduction of oxygen-containing groups. In addition, both initial and equilibrium contact angle and the surface total free energy were significantly increased after plasma modification. These results synergistically facilitate the spread and permeation of adhesive onto the WOS and thus improve the bonding strength of all prepared WSCs. A good linear relationship between bonding strength and surface roughness parameters, contact angles, and total free energy were observed. In general, this study provided a time-saving and cost-effective modification method to realize WSC manufacture.

  20. Effects of Pretreatment on the Electronic Properties of Plasma Enhanced Chemical Vapor Deposition Hetero-Epitaxial Graphene Devices

    NASA Astrophysics Data System (ADS)

    Zhang, Lian-Chang; Shi, Zhi-Wen; Yang, Rong; Huang, Jian

    2014-09-01

    Quasi-monolayer graphene is successfully grown by the plasma enhanced chemical vapor deposition heteroepitaxial method we reported previously. To measure its electrical properties, the prepared graphene is fabricated into Hall ball shaped devices by the routine micro-fabrication method. However, impurity molecules adsorbed onto the graphene surface will impose considerable doping effects on the one-atom-thick film material. Our experiment demonstrates that pretreatment of the device by heat radiation baking and electrical annealing can dramatically influence the doping state of the graphene and consequently modify the electrical properties. While graphene in the as-fabricated device is highly p-doped, as confirmed by the position of the Dirac point at far more than +60 V, baking treatment at temperatures around 180°C can significantly lower the doping level and reduce the conductivity. The following electrical annealing is much more efficient to desorb the extrinsic molecules, as confirmed by the in situ measurement, and as a result, further modify the doping state and electrical properties of the graphene, causing a considerable drop of the conductivity and a shifting of Dirac point from beyond +60 V to 0 V.

  1. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    A chemical vapor deposition (CVD) reactor system with a vertical deposition chamber was used for the growth of Si films on glass, glass-ceramic, and polycrystalline ceramic substrates. Silicon vapor was produced by pyrolysis of SiH4 in a H2 or He carrier gas. Preliminary deposition experiments with two of the available glasses were not encouraging. Moderately encouraging results, however, were obtained with fired polycrystalline alumina substrates, which were used for Si deposition at temperatures above 1,000 C. The surfaces of both the substrates and the films were characterized by X-ray diffraction, reflection electron diffraction, scanning electron microscopy optical microscopy, and surface profilometric techniques. Several experiments were conducted to establish baseline performance data for the reactor system, including temperature distributions on the sample pedestal, effects of carrier gas flow rate on temperature and film thickness, and Si film growth rate as a function of temperature.

  2. Plasma enhanced chemical vapor deposition of wear resistant gradual a-Si1-x:Cx:H coatings on nickel-titanium for biomedical applications

    NASA Astrophysics Data System (ADS)

    Niermann, Benedikt; Böke, Marc; Schauer, Janine-Christina; Winter, Jörg

    2010-03-01

    Plasma enhanced chemical vapor deposition has been used to deposit thin films with gradual transitions from silicon to carbon on Cu, Ni, stainless steel, and NiTi. Thus show low stress, elasticity, and wear resistance with excellent adhesion on all metals under investigation. Already at low Si concentrations of 10 at. % the intrinsic stress is considerably reduced compared to pure diamondlike carbon (DLC) films. The deposition process is controlled by optical emission spectroscopy. This technique has been applied to monitor the growth precursors and to correlate them with the film composition. The compositions of the films were determined by Rutherford backscattering spectroscopy and XPS measurements. Due to the elastic properties of the gradual transition and the excellent biocompatibility of DLC, the described film systems present a useful coating for biomedical applications.

  3. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    NASA Astrophysics Data System (ADS)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  4. Metal/Carbon Hybrid Nanostructures Produced from Plasma-Enhanced Chemical Vapor Deposition over Nafion-Supported Electrochemically Deposited Cobalt Nanoparticles

    PubMed Central

    Achour, Amine; Saeed, Khalid; Djouadi, Mohamed Abdou

    2018-01-01

    In this work, we report development of hybrid nanostructures of metal nanoparticles (NP) and carbon nanostructures with strong potential for catalysis, sensing, and energy applications. First, the etched silicon wafer substrates were passivated for subsequent electrochemical (EC) processing through grafting of nitro phenyl groups using para-nitrobenzene diazonium (PNBT). The X-ray photoelectron spectroscope (XPS) and atomic force microscope (AFM) studies confirmed presence of few layers. Cobalt-based nanoparticles were produced over dip or spin coated Nafion films under different EC reduction conditions, namely CoSO4 salt concentration (0.1 M, 1 mM), reduction time (5, 20 s), and indirect or direct EC reduction route. Extensive AFM examination revealed NP formation with different attributes (size, distribution) depending on electrochemistry conditions. While relatively large NP with >100 nm size and bimodal distribution were obtained after 20 s EC reduction in H3BO3 following Co2+ ion uptake, ultrafine NP (<10 nm) could be produced from EC reduction in CoSO4 and H3BO3 mixed solution with some tendency to form oxides. Different carbon nanostructures including few-walled or multiwalled carbon nanotubes (CNT) and carbon nanosheets were grown in a C2H2/NH3 plasma using the plasma-enhanced chemical vapor deposition technique. The devised processing routes enable size controlled synthesis of cobalt nanoparticles and metal/carbon hybrid nanostructures with unique microstructural features. PMID:29702583

  5. Large improvement of phosphorus incorporation efficiency in n-type chemical vapor deposition of diamond

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohtani, Ryota; Yamamoto, Takashi; Janssens, Stoffel D.

    2014-12-08

    Microwave plasma enhanced chemical vapor deposition is a promising way to generate n-type, e.g., phosphorus-doped, diamond layers for the fabrication of electronic components, which can operate at extreme conditions. However, a deeper understanding of the doping process is lacking and low phosphorus incorporation efficiencies are generally observed. In this work, it is shown that systematically changing the internal design of a non-commercial chemical vapor deposition chamber, used to grow diamond layers, leads to a large increase of the phosphorus doping efficiency in diamond, produced in this device, without compromising its electronic properties. Compared to the initial reactor design, the dopingmore » efficiency is about 100 times higher, reaching 10%, and for a very broad doping range, the doping efficiency remains highly constant. It is hypothesized that redesigning the deposition chamber generates a higher flow of active phosphorus species towards the substrate, thereby increasing phosphorus incorporation in diamond and reducing deposition of phosphorus species at reactor walls, which additionally reduces undesirable memory effects.« less

  6. Performance enhancement of hybrid solar cells through chemical vapor annealing.

    PubMed

    Wu, Yue; Zhang, Genqiang

    2010-05-12

    Improvement in power conversion efficiency has been observed in cadmium selenide nanorods/poly(3-hexylthiophene) hybrid solar cells through benzene-1,3-dithiol chemical vapor annealing. Phosphor NMR studies of the nanorods and TEM/AFM characterizations of the morphology of the blended film showed that the ligand exchange reaction and related phase separation happening during the chemical vapor annealing are responsible for the performance enhancement.

  7. The effect of menthol vapor on nasal sensitivity to chemical irritation.

    PubMed

    Wise, Paul M; Preti, George; Eades, Jason; Wysocki, Charles J

    2011-10-01

    Among other effects, menthol added to cigarettes may modulate sensory response to cigarette smoke either by masking "harshness" or contributing to a desirable "impact." However, harshness and impact have been imprecisely defined and assessed using subjective measures. Thus, the current experiments used an objective measure of sensitivity to chemical irritation in the nose to test the hypothesis that menthol vapor modulates sensitivity to chemical irritation in the airways. Nasal irritation thresholds were measured for 2 model compounds (acetic acid and allyl isothiocyanate) using nasal lateralization. In this technique, participants simultaneously sniff clean air in one nostril and chemical vapor in the other and attempt to identify the stimulated nostril. People cannot lateralize based on smell alone but can do so when chemicals are strong enough to feel. In one condition, participants were pretreated by sniffing menthol vapor. In a control condition, participants were pretreated by sniffing an odorless blank (within-subjects design). Pretreatment with menthol vapor decreased sensitivity to nasal irritation from acetic acid (participants required higher concentrations to lateralize) but increased sensitivity to allyl isothiocyanate (lower concentrations were required). The current experiments provide objective evidence that menthol vapor can modulate sensitivity to chemical irritation in the upper airways in humans. Cigarette smoke is a complex mixture of chemicals and particulates, and further work will be needed to determine exactly how menthol modulates smoking sensation. A better understanding could lead to treatments tailored to help menthol smokers quit by replacing the sensation of mentholated cigarettes.

  8. Structural and electrical characterization of microcrystalline silicon films prepared by a layer-by-layer technique with a plasma-enhanced chemical-vapor deposition system

    NASA Astrophysics Data System (ADS)

    Hong, J. P.; Kim, C. O.; Nahm, T. U.; Kim, C. M.

    2000-02-01

    Microcrystalline silicon films have been prepared on indium-coated glass utilizing a layer-by-layer technique with a plasma-enhanced chemical-vapor deposition system. The microcrystalline films were fabricated by varying the number of cycles from 10 to 60 under a fixed H2 time (t2) of 120 s, where the corresponding deposition time (t1) of amorphous silicon thin film was 60 s. Structural properties, such as the crystalline volume fraction (Xc) and grain sizes were analyzed by using Raman spectroscopy and a scanning electron microscopy. The carrier transport was characterized by the temperature dependence of dark conductivity, giving rise to the calculation of activation energy (Ea). Optical energy gaps (Eg) were also investigated using an ultraviolet spectrophotometer. In addition, the process under different hydrogen plasma time (t2) at a fixed number of 20 cycles was extensively carried out to study the dominant role of hydrogen atoms in layer-by-layer deposition. Finally, the correlation between structural and electrical properties has been discussed on the basis of experimental results.

  9. Photoluminescence of silicon nanowires obtained by epitaxial chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Demichel, O.; Oehler, F.; Calvo, V.; Noé, P.; Pauc, N.; Gentile, P.; Ferret, P.; Baron, T.; Magnea, N.

    2009-05-01

    We have carried out photoluminescence measurements of silicon nanowires (SiNWs) obtained by the chemical vapor deposition method with a copper-catalyzed vapor-liquid-solid mechanism. The nanowires have a typical diameter of 200 nm. Spectrum of the as-grown SiNWs exhibits radiative states below the energy bandgap and a small contribution near the silicon gap energy at 1.08 eV. A thermal oxidation allows to decrease the intensity at low energy and to enhance the intensity of the 1.08 eV contribution. The behavior of this contribution as a function of the pump power is correlated to a free carrier recombination. Furthermore, the spatial confinement of the carriers in SiNWs could explain the difference of shape and recombination energy of this contribution compared to the recombination of free exciton in the bulk silicon. The electronic system seems to be in an electron-hole plasma (ehp), as it has already been shown in SOI structures [M. Tajima, et al., J. Appl. Phys. 84 (1998) 2224]. A simulation of the radiative emission of an ehp is performed and results are discussed.

  10. Chemical-Vapor-Deposited Diamond Film

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    This chapter describes the nature of clean and contaminated diamond surfaces, Chemical-vapor-deposited (CVD) diamond film deposition technology, analytical techniques and the results of research on CVD diamond films, and the general properties of CVD diamond films. Further, it describes the friction and wear properties of CVD diamond films in the atmosphere, in a controlled nitrogen environment, and in an ultra-high-vacuum environment.

  11. Determination of trace cadmium in rice by liquid spray dielectric barrier discharge induced plasma - chemical vapor generation coupled with atomic fluorescence spectrometry

    NASA Astrophysics Data System (ADS)

    Liu, Xing; Zhu, Zhenli; Bao, Zhengyu; Zheng, Hongtao; Hu, Shenghong

    2018-03-01

    Cadmium contamination in rice has become an increasing concern in many countries including China. A simple, cost-effective, and highly sensitive method was developed for the determination of trace cadmium in rice samples based on a new high-efficient liquid spray dielectric barrier discharge induced plasma (LSDBD) vapor generation coupled with atomic fluorescence spectrometry (AFS). The analytical procedure involves the efficient formation of Cd volatile species by LSDBD plasma induced chemical processes without the use of any reducing reagents (Na/KBH4 in conventional hydride generation). The effects of the addition of organic substances, different discharge parameters such as discharge voltage and discharge gap, as well as the foreign ion interferences were investigated. Under optimized conditions, a detection limit of 0.01 μg L- 1 and a precision of 0.8% (RSD, n = 5, 1 μg L- 1 Cd) was readily achieved. The calibration curve was linear in the range between 0.1 and 10 μg L- 1, with a correlation coefficient of R2 = 0.9995. Compared with the conventional acid-BH4- vapor generation, the proposed method not only eliminates the use of unstable and expensive reagents, but also offers high tolerance for coexisting ions, which is well suited to the direct analysis of environmental samples. The validation of the proposed method was demonstrated by the analysis of Cd in reference material of rice (GBW080684). It was also successfully applied to the determination of trace cadmium in locally collected 11 rice samples, and the obtained Cd concentrations are ranged from 7.2 to 517.7 μg kg- 1.

  12. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    NASA Technical Reports Server (NTRS)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (< 10 microns) single layers to be deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  13. Effect of sulfur passivation on the InP surface prior to plasma-enhanced chemical vapor deposition of SiNx

    NASA Astrophysics Data System (ADS)

    Tang, Hengjing; Wu, Xiaoli; Xu, Qinfei; Liu, Hongyang; Zhang, Kefeng; Wang, Yang; He, Xiangrong; Li, Xue; Gong, Hai Mei

    2008-03-01

    The fabrication of Au/SiNx/InP metal-insulator-semiconductor (MIS) diodes has been achieved by depositing a layer of SiNx on the (NH4)2Sx-treated n-InP. The SiNx layer was deposited at 200 °C using plasma-enhanced chemical vapor deposition (PECVD). The effect of passivation on the InP surface before and after annealing was evaluated by current-voltage (I-V) and capacitance-voltage (C-V) measurements, and Auger electron spectroscopy (AES) analysis was used to investigate the depth profiles of several atoms. The results indicate that the SiNx passivation layer exhibits good insulative characteristics. The annealing process causes distinct inter-diffusion in the SiNx/InP interface and contributes to the decrease of the fixed charge density and minimum interface state density, which are 1.96 × 1012 cm-2 and 7.41 × 1011 cm-2 eV-1, respectively. A 256 × 1 InP/InGaAs/InP heterojunction photodiode, fabricated with sulfidation and SiNx passivation layer, has good response uniformity.

  14. Modelling chemical reactions in dc plasma inside oxygen bubbles in water

    NASA Astrophysics Data System (ADS)

    Takeuchi, N.; Ishii, Y.; Yasuoka, K.

    2012-02-01

    Plasmas generated inside oxygen bubbles in water have been developed for water purification. Zero-dimensional numerical simulations were used to investigate the chemical reactions in plasmas driven by dc voltage. The numerical and experimental results of the concentrations of hydrogen peroxide and ozone in the solution were compared with a discharge current between 1 and 7 mA. Upon increasing the water vapour concentration inside bubbles, we saw from the numerical results that the concentration of hydrogen peroxide increased with discharge current, whereas the concentration of ozone decreased. This finding agreed with the experimental results. With an increase in the discharge current, the heat flux from the plasma to the solution increased, and a large amount of water was probably vaporized into the bubbles.

  15. Investigation of Gate-Stacked In-Ga-Zn-O TFTs with Ga-Zn-O Source/Drain Electrodes by Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition.

    PubMed

    Wu, Chien-Hung; Chang, Kow-Ming; Chen, Yi-Ming; Huang, Bo-Wen; Zhang, Yu-Xin; Wang, Shui-Jinn; Hsu, Jui-Mei

    2018-03-01

    Atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) was employed for the fabrication of indium gallium zinc oxide thin-film transistors (IGZO TFTs) with high transparent gallium zinc oxide (GZO) source/drain electrodes. The influence of post-deposition annealing (PDA) temperature on GZO source/drain and device performance was studied. Device with a 300 °C annealing demonstrated excellent electrical characteristics with on/off current ratio of 2.13 × 108, saturation mobility of 10 cm2/V-s, and low subthreshold swing of 0.2 V/dec. The gate stacked LaAlO3/ZrO2 of AP-IGZO TFTs with highly transparent and conductive AP-GZO source/drain electrode show excellent gate control ability at a low operating voltage.

  16. What controls deposition rate in electron-beam chemical vapor deposition?

    PubMed

    White, William B; Rykaczewski, Konrad; Fedorov, Andrei G

    2006-08-25

    The key physical processes governing electron-beam-assisted chemical vapor deposition are analyzed via a combination of theoretical modeling and supporting experiments. The scaling laws that define growth of the nanoscale deposits are developed and verified using carefully designed experiments of carbon deposition from methane onto a silicon substrate. The results suggest that the chamber-scale continuous transport of the precursor gas is the rate controlling process in electron-beam chemical vapor deposition.

  17. Silicon nitride films fabricated by a plasma-enhanced chemical vapor deposition method for coatings of the laser interferometer gravitational wave detector

    NASA Astrophysics Data System (ADS)

    Pan, Huang-Wei; Kuo, Ling-Chi; Huang, Shu-Yu; Wu, Meng-Yun; Juang, Yu-Hang; Lee, Chia-Wei; Chen, Hsin-Chieh; Wen, Ting Ting; Chao, Shiuh

    2018-01-01

    Silicon is a potential substrate material for the large-areal-size mirrors of the next-generation laser interferometer gravitational wave detector operated in cryogenics. Silicon nitride thin films uniformly deposited by a chemical vapor deposition method on large-size silicon wafers is a common practice in the silicon integrated circuit industry. We used plasma-enhanced chemical vapor deposition to deposit silicon nitride films on silicon and studied the physical properties of the films that are pertinent to application of mirror coatings for laser interferometer gravitational wave detectors. We measured and analyzed the structure, optical properties, stress, Young's modulus, and mechanical loss of the films, at both room and cryogenic temperatures. Optical extinction coefficients of the films were in the 10-5 range at 1550-nm wavelength. Room-temperature mechanical loss of the films varied in the range from low 10-4 to low 10-5 within the frequency range of interest. The existence of a cryogenic mechanical loss peak depended on the composition of the films. We measured the bond concentrations of N - H , Si - H , Si - N , and Si - Si bonds in the films and analyzed the correlations between bond concentrations and cryogenic mechanical losses. We proposed three possible two-level systems associated with the N - H , Si - H , and Si - N bonds in the film. We inferred that the dominant source of the cryogenic mechanical loss for the silicon nitride films is the two-level system of exchanging position between a H+ and electron lone pair associated with the N - H bond. Under our deposition conditions, superior properties in terms of high refractive index with a large adjustable range, low optical absorption, and low mechanical loss were achieved for films with lower nitrogen content and lower N - H bond concentration. Possible pairing of the silicon nitride films with other materials in the quarter-wave stack is discussed.

  18. Experimental investigation of vapor shielding effects induced by ELM-like pulsed plasma loads using the double plasma gun device

    NASA Astrophysics Data System (ADS)

    Sakuma, I.; Kikuchi, Y.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M.

    2015-08-01

    We have developed a unique experimental device of so-called double plasma gun, which consists of two magnetized coaxial plasma gun (MCPG) devices, in order to clarify effects of vapor shielding on material erosion due to transient events in magnetically confined fusion devices. Two ELM-like pulsed plasmas produced by the two MCPG devices were injected into a target chamber with a variable time difference. For generating ablated plasmas in front of a target material, an aluminum foil sample in the target chamber was exposed to a pulsed plasma produced by the 1st MCPG device. The 2nd pulsed plasma was produced with a time delay of 70 μs. It was found that a surface absorbed energy measured by a calorimeter was reduced to ∼66% of that without the Al foil sample. Thus, the reduction of the incoming plasma energy by the vapor shielding effect was successfully demonstrated in the present experiment.

  19. A combined spectroscopic and plasma chemical kinetic analysis of ionospheric samarium releases

    NASA Astrophysics Data System (ADS)

    Holmes, Jeffrey M.; Dressler, Rainer A.; Pedersen, Todd R.; Caton, Ronald G.; Miller, Daniel

    2017-05-01

    Two rocket-borne releases of samarium vapor in the upper atmosphere occurred in May 2013, as part of the Metal Oxide Space Clouds experiment. The releases were characterized by a combination of optical and RF diagnostic instruments located at the Roi-Namur launch site and surrounding islands and atolls. The evolution of the optical spectrum of the solar-illuminated cloud was recorded with a spectrograph covering a 400-800 nm spectral range. The spectra exhibit two distinct spectral regions centered at 496 and 636 nm within which the relative intensities change insignificantly. The ratio between the integrated intensities within these regions, however, changes with time, suggesting that they are associated with different species. With the help of an equilibrium plasma spectral model we attribute the region centered at 496 nm to neutral samarium atoms (Sm I radiance) and features peaking at 649 nm to a molecular species. No evidence for structure due to Sm+ (Sm II) is identified. The persistence of the Sm I radiance suggests a high dissociative recombination rate for the chemi-ionization product, SmO+. A one-dimensional plasma chemical kinetic model of the evolution of the density ratio NSmO/NSm(t) demonstrates that the molecular feature peaking at 649 nm can be attributed to SmO radiance. SmO+ radiance is not identified. By adjusting the Sm vapor mass of the chemical kinetic model input to match the evolution of the total electron density determined by ionosonde data, we conclude that less than 5% of the payload samarium was vaporized.

  20. Research on chemical vapor deposition processes for advanced ceramic coatings

    NASA Technical Reports Server (NTRS)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  1. Determination of Se in biological samples by axial view inductively coupled plasma optical emission spectrometry after digestion with aqua regia and on-line chemical vapor generation

    NASA Astrophysics Data System (ADS)

    dos Santos, Éder José; Herrmann, Amanda Beatriz; de Caires, Suzete Kulik; Frescura, Vera Lúcia Azzolin; Curtius, Adilson José

    2009-06-01

    A simple and fast method for the determination of Se in biological samples, including food, by axial view inductively coupled plasma optical emission spectrometry using on-line chemical vapor generation (CVG-ICP OES) is proposed. The concentrations of HCl and NaBH 4, used in the chemical vapor generation were optimized by factorial analysis. Six certified materials (non-fat milk powder, lobster hepatopancreas, human hair, whole egg powder, oyster tissue, and lyophilised pig kidney) were treated with 10 mL of aqua regia in a microwave system under reflux for 15 min followed by additional 15 min in an ultrasonic bath. The solutions were transferred to a 100 mL volumetric flask and the final volume was made up with water. The Se was determined directly in these solutions by CVG-ICP OES, using the analytical line at 196.026 nm. Calibration against aqueous standards in 10% v/v aqua regia in the concentration range of 0.5-10.0 µg L - 1 Se(IV) was used for the analysis. The quantification limit, considering a 0.5 g sample weight in a final volume of 100 mL - 1 was 0.10 µg g - 1. The obtained concentration values were in agreement with the total certified concentrations, according to the t-test for a 95% confidence level.

  2. Atmospheric-pressure plasma-enhanced chemical vapor deposition of a-SiCN:H films: role of precursors on the film growth and properties.

    PubMed

    Guruvenket, Srinivasan; Andrie, Steven; Simon, Mark; Johnson, Kyle W; Sailer, Robert A

    2012-10-24

    Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using Surfx Atomflow(TM) 250D APPJ was utilized to synthesize amorphous silicon carbonitride coatings using tetramethyldisilizane (TMDZ) and hexamethyldisilizane (HMDZ) as the single source precursors. The effect of precursor chemistry and substrate temperature (T(s)) on the properties of a-SiCN:H films were evaluated, while nitrogen was used as the reactive gas. Surface morphology of the films was evaluated using atomic force microscopy (AFM); chemical properties were determined using Fourier transform infrared spectroscopy (FTIR); thickness and optical properties were determined using spectroscopic ellipsometry and mechanical properties were determined using nanoindentation. In general, films deposited at substrate temperature (T(s)) < 200 °C contained organic moieties, while the films deposited at T(s) > 200 °C depicted strong Si-N and Si-CN absorption. Refractive indices (n) of the thin films showed values between 1.5 and 2.0, depending on the deposition parameters. Mechanical properties of the films determined using nanoindentation revealed that these films have hardness between 0.5 GPa and 15 GPa, depending on the T(s) value. AFM evaluation of the films showed high roughness (R(a)) values of 2-3 nm for the films grown at low T(s) (<250 °C) while the films grown at T(s) ≥ 300 °C exhibited atomically smooth surface with R(a) of ~0.5 nm. Based on the gas-phase (plasma) chemistry, precursor chemistry and the other experimental observations, a possible growth model that prevails in the AP-PECVD of a-SiCN:H thin films is proposed.

  3. Optimization of Gas Composition Used in Plasma Chemical Vaporization Machining for Figuring of Reaction-Sintered Silicon Carbide with Low Surface Roughness.

    PubMed

    Sun, Rongyan; Yang, Xu; Ohkubo, Yuji; Endo, Katsuyoshi; Yamamura, Kazuya

    2018-02-05

    In recent years, reaction-sintered silicon carbide (RS-SiC) has been of interest in many engineering fields because of its excellent properties, such as its light weight, high rigidity, high heat conductance and low coefficient of thermal expansion. However, RS-SiC is difficult to machine owing to its high hardness and chemical inertness and because it contains multiple components. To overcome the problem of the poor machinability of RS-SiC in conventional machining, the application of atmospheric-pressure plasma chemical vaporization machining (AP-PCVM) to RS-SiC was proposed. As a highly efficient and damage-free figuring technique, AP-PCVM has been widely applied for the figuring of single-component materials, such as Si, SiC, quartz crystal wafers, and so forth. However, it has not been applied to RS-SiC since it is composed of multiple components. In this study, we investigated the AP-PCVM etching characteristics for RS-SiC by optimizing the gas composition. It was found that the different etching rates of the different components led to a large surface roughness. A smooth surface was obtained by applying the optimum gas composition, for which the etching rate of the Si component was equal to that of the SiC component.

  4. Chemical vapor deposition of mullite coatings

    DOEpatents

    Sarin, Vinod; Mulpuri, Rao

    1998-01-01

    This invention is directed to the creation of crystalline mullite coatings having uniform microstructure by chemical vapor deposition (CVD). The process comprises the steps of establishing a flow of reactants which will yield mullite in a CVD reactor, and depositing a crystalline coating from the reactant flow. The process will yield crystalline coatings which are dense and of uniform thickness.

  5. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, Richard L.; Casey, Alton W.

    1982-01-01

    A laminated composite and a method for forming the composite by chemical vapor deposition. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200.degree. C.; and impinging a gas containing in a flowing atmosphere of air N.sub.2, SiCl.sub.4, and AlCl.sub.3 on the surface.

  6. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, R.L.; Casey, A.W.

    A laminated composite and a method for forming the composite by chemical vapor deposition are described. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200/sup 0/C; and impinging a gas containing N/sub 2/, SiCl/sub 4/, and AlCl/sub 3/ on the surface.

  7. Lift-off process with bi-layer photoresist patterns for conformal-coated superhydrophilic pulsed plasma chemical vapor deposition-SiOx on SiCx for lab-on-a-chip applications

    NASA Astrophysics Data System (ADS)

    Konishi, Satoshi; Nakagami, Chise; Kobayashi, Taizo; Tonomura, Wataru; Kaizuma, Yoshihiro

    2015-04-01

    In this work, a lift-off process with bi-layer photoresist patterns was applied to the formation of hydrophobic/hydrophilic micropatterns on practical polymer substrates used in healthcare diagnostic commercial products. The bi-layer photoresist patterns with undercut structures made it possible to peel the conformal-coated silicon oxide (SiOx) films from substrates. SiOx and silicon carbide (SiCx) layers were deposited by pulsed plasma chemical vapor deposition (PPCVD) method which can form roughened surfaces to enhance hydrophilicity of SiOx and hydrophobicity of SiCx. Microfluidic applications using hydrophobic/hydrophilic patterns were also demonstrated on low-cost substrates such as poly(ethylene terephthalate) (PET) and paper films.

  8. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    NASA Technical Reports Server (NTRS)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  9. Initiated chemical vapor deposition polymers for high peak-power laser targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baxamusa, Salmaan H.; Lepro, Xavier; Lee, Tom

    2016-12-05

    Here, we report two examples of initiated chemical vapor deposition (iCVD) polymers being developed for use in laser targets for high peak-power laser systems. First, we show that iCVD poly(divinylbenzene) is more photo-oxidatively stable than the plasma polymers currently used in laser targets. Thick layers (10–12 μm) of this highly crosslinked polymer can be deposited with near-zero intrinsic film stress. Second, we show that iCVD epoxy polymers can be crosslinked after deposition to form thin adhesive layers for assembling precision laser targets. The bondlines can be made as thin as ~ 1 μm, approximately a factor of 2 thinner thanmore » achievable using viscous resin-based adhesives. These bonds can withstand downstream coining and stamping processes.« less

  10. Making Ceramic Fibers By Chemical Vapor

    NASA Technical Reports Server (NTRS)

    Revankar, Vithal V. S.; Hlavacek, Vladimir

    1994-01-01

    Research and development of fabrication techniques for chemical vapor deposition (CVD) of ceramic fibers presented in two reports. Fibers of SiC, TiB2, TiC, B4C, and CrB2 intended for use as reinforcements in metal-matrix composite materials. CVD offers important advantages over other processes: fibers purer and stronger and processed at temperatures below melting points of constituent materials.

  11. Numerical modeling tools for chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Jasinski, Thomas J.; Childs, Edward P.

    1992-01-01

    Development of general numerical simulation tools for chemical vapor deposition (CVD) was the objective of this study. Physical models of important CVD phenomena were developed and implemented into the commercial computational fluid dynamics software FLUENT. The resulting software can address general geometries as well as the most important phenomena occurring with CVD reactors: fluid flow patterns, temperature and chemical species distribution, gas phase and surface deposition. The physical models are documented which are available and examples are provided of CVD simulation capabilities.

  12. The Surface Interface Characteristics of Vertically Aligned Carbon Nanotube and Graphitic Carbon Fiber Arrays Grown by Thermal and Plasma Enhanced Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Delzeit, Lance; Nguyen, Cattien; Li, Jun; Han, Jie; Meyyappan, M.

    2002-01-01

    The development of nano-arrays for sensors and devices requires the growth of arrays with the proper characteristics. One such application is the growth of vertically aligned carbon nanotubes (CNTs) and graphitic carbon fibers (GCFs) for the chemical attachment of probe molecules. The effectiveness of such an array is dependent not only upon the effectiveness of the probe and the interface between that probe and the array, but also the array and the underlaying substrate. If that array is a growth of vertically aligned CNTs or GCFs then the attachment of that array to the surface is of the utmost importance. This attachment provides the mechanical stability and durability of the array, as well as, the electrical properties of that array. If the detection is to be acquired through an electrical measurement, then the appropriate resistance between the array and the surface need to be fabricated into the device. I will present data on CNTs and GCFs grown from both thermal and plasma enhanced chemical vapor deposition. The focus will be on the characteristics of the metal film from which the CNTs and GCFs are grown and the changes that occur due to changes within the growth process.

  13. Effects of Deposition Parameters on Thin Film Properties of Silicon-Based Electronic Materials Deposited by Remote Plasma-Enhanced Chemical-Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Theil, Jeremy Alfred

    The motivation of this thesis is to discuss the major issues of remote plasma enhanced chemical vapor deposition (remote PECVD) that affect the properties Si-based thin films. In order to define the issues required for process optimization, the behavior of remote PECVD process must be understood. The remote PECVD process is defined as having four segments: (1) plasma generation, (2) excited species extraction, (3) excited species/downstream gas mixing, and (4) surface reaction. The double Langmuir probe technique is employed to examine plasma parameters under 13.56 MHz and 2.54 GHz excitation. Optical emission spectroscopy is used to determine changes in the excited states of radiating species in the plasma afterglow. Mass spectrometry is used to determine the excitation and consumption of process gases within the reactor during film growth. Various analytical techniques such as infrared absorption spectroscopy, (ir), high resolution transmission electron microscopy, (HRTEM), and reflected high energy electron diffraction, (RHEED), are used to ascertain film properties. The results of the Langmuir probe show that plasma coupling is frequency dependent and that the capacitive coupling mode is characterized by orders of magnitude higher electron densities in the reactor than inductive coupling. These differences can be manifested in the degree to which a hydrogenated amorphous silicon, a-Si:H, component co-deposition reaction affects film stoichiometry. Mass spectrometry shows that there is an additional excitation source in the downstream glow. In addition the growth of microcrystalline silicon, muc-Si, is correlated with the decrease in the production of disilane and heavier Si-containing species. Chloronium, H_2 Cl^{+}, a super acid ion is identified for the first time in a CVD reactor. It forms from plasma fragmentation of SiH_2 Cl_2, and H_2 . Addition of impurity gases was shown not to affect the electron temperature of the plasma. By products of deposition

  14. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    NASA Astrophysics Data System (ADS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  15. Direct fabrication of 3D graphene on nanoporous anodic alumina by plasma-enhanced chemical vapor deposition

    PubMed Central

    Zhan, Hualin; Garrett, David J.; Apollo, Nicholas V.; Ganesan, Kumaravelu; Lau, Desmond; Prawer, Steven; Cervenka, Jiri

    2016-01-01

    High surface area electrode materials are of interest for a wide range of potential applications such as super-capacitors and electrochemical cells. This paper describes a fabrication method of three-dimensional (3D) graphene conformally coated on nanoporous insulating substrate with uniform nanopore size. 3D graphene films were formed by controlled graphitization of diamond-like amorphous carbon precursor films, deposited by plasma-enhanced chemical vapour deposition (PECVD). Plasma-assisted graphitization was found to produce better quality graphene than a simple thermal graphitization process. The resulting 3D graphene/amorphous carbon/alumina structure has a very high surface area, good electrical conductivity and exhibits excellent chemically stability, providing a good material platform for electrochemical applications. Consequently very large electrochemical capacitance values, as high as 2.1 mF for a sample of 10 mm3, were achieved. The electrochemical capacitance of the material exhibits a dependence on bias voltage, a phenomenon observed by other groups when studying graphene quantum capacitance. The plasma-assisted graphitization, which dominates the graphitization process, is analyzed and discussed in detail. PMID:26805546

  16. Direct fabrication of 3D graphene on nanoporous anodic alumina by plasma-enhanced chemical vapor deposition.

    PubMed

    Zhan, Hualin; Garrett, David J; Apollo, Nicholas V; Ganesan, Kumaravelu; Lau, Desmond; Prawer, Steven; Cervenka, Jiri

    2016-01-25

    High surface area electrode materials are of interest for a wide range of potential applications such as super-capacitors and electrochemical cells. This paper describes a fabrication method of three-dimensional (3D) graphene conformally coated on nanoporous insulating substrate with uniform nanopore size. 3D graphene films were formed by controlled graphitization of diamond-like amorphous carbon precursor films, deposited by plasma-enhanced chemical vapour deposition (PECVD). Plasma-assisted graphitization was found to produce better quality graphene than a simple thermal graphitization process. The resulting 3D graphene/amorphous carbon/alumina structure has a very high surface area, good electrical conductivity and exhibits excellent chemically stability, providing a good material platform for electrochemical applications. Consequently very large electrochemical capacitance values, as high as 2.1 mF for a sample of 10 mm(3), were achieved. The electrochemical capacitance of the material exhibits a dependence on bias voltage, a phenomenon observed by other groups when studying graphene quantum capacitance. The plasma-assisted graphitization, which dominates the graphitization process, is analyzed and discussed in detail.

  17. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J.R.; Tracy, C.E.; King, D.E.; Stanley, J.T.

    1994-09-13

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp[sup 3]-bonded diamond-type carbon films, comprises: (a) providing a volatile hydrocarbon gas/H[sub 2] reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and (b) directing a concentrated solar flux of from about 40 to about 60 watts/cm[sup 2] through said reactant mixture to produce substrate temperatures of about 750 C to about 950 C to activate deposition of the film on said substrate. 11 figs.

  18. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J. Roland; Tracy, C. Edwin; King, David E.; Stanley, James T.

    1994-01-01

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp.sup.3 -bonded diamond-type carbon films, comprising: a) providing a volatile hydrocarbon gas/H.sub.2 reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and b) directing a concentrated solar flux of from about 40 to about 60 watts/cm.sup.2 through said reactant mixture to produce substrate temperatures of about 750.degree. C. to about 950.degree. C. to activate deposition of the film on said substrate.

  19. Plasma chemistry for inorganic materials

    NASA Technical Reports Server (NTRS)

    Matsumoto, O.

    1980-01-01

    Practical application of plasma chemistry to the development of inorganic materials using both low temperature and warm plasmas are summarized. Topics cover: the surface nitrification and oxidation of metals; chemical vapor deposition; formation of minute oxide particles; the composition of oxides from chloride vapor; the composition of carbides and nitrides; freezing high temperature phases by plasma arc welding and plasma jet; use of plasma in the development of a substitute for petroleum; the production of silicon for use in solar cell batteries; and insulating the inner surface of nuclear fusion reactor walls.

  20. Atmospheric-pressure plasma-enhanced chemical vapor deposition of a-SiCN:H films: Role of precursors on the film growth and properties

    DOE PAGES

    Guruvenket, Srinivasan; Andrie, Steven; Simon, Mark; ...

    2012-09-14

    Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using Surfx Atomflow TM 250D APPJ was utilized to synthesize amorphous silicon carbonitride coatings using tetramethyldisilizane (TMDZ) and hexamethyldisilizane (HMDZ) as the single source precursors. The effect of precursor chemistry and the substrate temperature (T s) on the properties of a-SiCN:H films were evaluated, while nitrogen was used as the reactive gas. Surface morphology of the films was evaluated using atomic force microscopy (AFM); chemical properties were determined using Fourier transform infrared spectroscopy (FTIR); thickness and optical properties were determined using spectroscopic ellipsometry and mechanical properties were determined using nano-indentation. In generalmore » films deposited at substrate temperature (T s) <200 °C contained organic moieties, while the films deposited at T s >200 oC depicted strong Si-N and Si-CN absorption. Refractive indices (n) of the thin films showed values between 1.5 -2.0 depending on the deposition parameters. Mechanical properties of the films determined using nano-indentation revealed that these films have hardness between 0.5 GPa to 15 GPa depending on the Ts. AFM evaluation of the films showed high roughness (R a) values of 2-3 nm for the films grown at low T s (< 250 °C), while the films grown at T s ≥ 300 °C exhibited atomically smooth surface with R a of ~ 0.5 nm. Furthermore, based on the gas phase (plasma) chemistry, precursor chemistry and the other experimental observations, a possible growth model that prevails in the AP-PECVD of a-SiCN:H thin films is proposed.« less

  1. Overview of chemical vapor infiltration

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Besmann, T.M.; Stinton, D.P.; Lowden, R.A.

    1993-06-01

    Chemical vapor infiltration (CVI) is developing into a commercially important method for the fabrication of continuous filament ceramic composites. Current efforts are focused on the development of an improved understanding of the various processes in CVI and its modeling. New approaches to CVI are being explored, including pressure pulse infiltration and microwave heating. Material development is also proceeding with emphasis on improving the oxidation resistance of the interfacial layer between the fiber and matrix. This paper briefly reviews these subjects, indicating the current state of the science and technology.

  2. Amorphous indium-gallium-zinc-oxide thin-film transistors using organic-inorganic hybrid films deposited by low-temperature plasma-enhanced chemical vapor deposition for all dielectric layers

    NASA Astrophysics Data System (ADS)

    Hsu, Chao-Jui; Chang, Ching-Hsiang; Chang, Kuei-Ming; Wu, Chung-Chih

    2017-01-01

    We investigated the deposition of high-performance organic-inorganic hybrid dielectric films by low-temperature (close to room temperature) inductively coupled plasma chemical vapor deposition (ICP-CVD) with hexamethyldisiloxane (HMDSO)/O2 precursor gas. The hybrid films exhibited low leakage currents and high breakdown fields, suitable for thin-film transistor (TFT) applications. They were successfully integrated into the gate insulator, the etch-stop layer, and the passivation layer for bottom-gate staggered amorphous In-Ga-Zn-O (a-IGZO) TFTs having the etch-stop configuration. With the double-active-layer configuration having a buffer a-IGZO back-channel layer grown in oxygen-rich atmosphere for better immunity against plasma damage, the etch-stop-type bottom-gate staggered a-IGZO TFTs with good TFT characteristics were successfully demonstrated. The TFTs showed good field-effect mobility (μFE), threshold voltage (V th), subthreshold swing (SS), and on/off ratio (I on/off) of 7.5 cm2 V-1 s-1, 2.38 V, 0.38 V/decade, and 2.2 × 108, respectively, manifesting their usefulness for a-IGZO TFTs.

  3. Direct synthesis of large area graphene on insulating substrate by gallium vapor-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Murakami, Katsuhisa, E-mail: k.murakami@bk.tsukuba.ac.jp; Hiyama, Takaki; Kuwajima, Tomoya

    2015-03-02

    A single layer of graphene with dimensions of 20 mm × 20 mm was grown directly on an insulating substrate by chemical vapor deposition using Ga vapor catalysts. The graphene layer showed highly homogeneous crystal quality over a large area on the insulating substrate. The crystal quality of the graphene was measured by Raman spectroscopy and was found to improve with increasing Ga vapor density on the reaction area. High-resolution transmission electron microscopy observations showed that the synthesized graphene had a perfect atomic-scale crystal structure within its grains, which ranged in size from 50 nm to 200 nm.

  4. Optical and compositional characterization of SiOxNy and SiOx thin films deposited by electron cyclotron resonance plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Roschuk, T.; Wojcik, J.; Tan, X.; Davies, J. A.; Mascher, P.

    2004-05-01

    Thin silicon oxynitride (SiOxNy) and silicon-rich silicon-oxide (SiOx,x<=2) films of varying composition have been deposited by electron cyclotron resonance plasma enhanced chemical vapor deposition. Films were deposited using various source gas flow rates while maintaining a constant chamber pressure. Thicknesses and refractive indices for these films were determined using ellipsometry. Bonding of the constituent atoms was analyzed using Fourier transform infrared (FTIR) spectroscopy. FTIR spectroscopy also allowed for the detection of bonded species such as hydrogen. Compositional characteristics were determined using various forms of ion beam analysis such as Rutherford backscattering and elastic recoil detection. These analysis techniques were used to determine the values of x and y, the molar fractions of oxygen and nitrogen, respectively, and the total amount of hydrogen present in the films. Using the results obtained from these methods the film characteristics were determined as a function of the deposition conditions. .

  5. Interface properties of SiO2/GaN structures formed by chemical vapor deposition with remote oxygen plasma mixed with Ar or He

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-06-01

    The impacts of noble gas species (Ar and He) on the formation of a SiO2/GaN structure formed by a remote oxygen plasma-enhanced chemical vapor deposition (ROPE-CVD) method were systematically investigated. Atomic force microscopy revealed that ROPE-CVD with He leads to a smooth SiO2 surface compared with the case of Ar. We found that no obvious oxidations of the GaN surfaces after the SiO2 depositions with the both Ar and He cases were observed. The capacitance–voltage (C–V) curves of the GaN MOS capacitors formed by ROPE-CVD with the Ar and He dilutions show good interface properties with no hysteresis and good agreement with the ideal C–V curves even after post deposition annealing at 800 °C. Besides, we found that the current density–oxide electric field characteristics shows a gate leakage current for the Ar case lower than the He case.

  6. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    PubMed

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  7. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  8. Low-temperature electron cyclotron resonance plasma-enhanced chemical-vapor deposition silicon dioxide as gate insulator for polycrystalline silicon thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maiolo, L.; Pecora, A.; Fortunato, G.

    2006-03-15

    Silicon dioxide films have been deposited at temperatures below 270 deg. C in an electron cyclotron resonance (ECR) plasma reactor from O{sub 2}, SiH{sub 4}, and He gas mixture. Pinhole density analysis as a function of substrate temperature for different microwave powers was carried out. Films deposited at higher microwave power and at room temperature show defect densities (<7 pinhole/mm{sup 2}), ensuring low-temperature process integration on large area. From Fourier transform infrared analysis and thermal desorption spectrometry we also evaluated very low hydrogen content if compared to conventional rf-plasma-enhanced chemical-vapor-deposited (PECVD) SiO{sub 2} deposited at 350 deg. C. Electrical propertiesmore » have been measured in metal-oxide-semiconductor (MOS) capacitors, depositing SiO{sub 2} at RT as gate dielectric; breakdown electric fields >10 MV/cm and charge trapping at fields >6 MV/cm have been evaluated. From the study of interface quality in MOS capacitors, we found that even for low annealing temperature (200 deg. C), it is possible to considerably reduce the interface state density down to 5x10{sup 11} cm{sup -2} eV{sup -1}. To fully validate the ECR-PECVD silicon dioxide we fabricated polycrystalline silicon thin-film transistors using RT-deposited SiO{sub 2} as gate insulator. Different postdeposition thermal treatments have been studied and good device characteristics were obtained even for annealing temperature as low as 200 deg. C.« less

  9. Spontaneous Oscillations and Waves during Chemical Vapor Deposition of InN

    NASA Astrophysics Data System (ADS)

    Jiang, F.; Munkholm, A.; Wang, R.-V.; Streiffer, S. K.; Thompson, Carol; Fuoss, P. H.; Latifi, K.; Elder, K. R.; Stephenson, G. B.

    2008-08-01

    We report observations of self-sustaining spatiotemporal chemical oscillations during metal-organic chemical vapor deposition of InN onto GaN. Under constant supply of vapor precursors trimethylindium and NH3, the condensed-phase cycles between crystalline islands of InN and elemental In droplets. Propagating fronts between regions of InN and In occur with linear, circular, and spiral geometries. The results are described by a model in which the nitrogen activity produced by surface-catalyzed NH3 decomposition varies with the exposed surface areas of GaN, InN, and In.

  10. Spontaneous oscillations and waves during chemical vapor deposition of InN.

    PubMed

    Jiang, F; Munkholm, A; Wang, R-V; Streiffer, S K; Thompson, Carol; Fuoss, P H; Latifi, K; Elder, K R; Stephenson, G B

    2008-08-22

    We report observations of self-sustaining spatiotemporal chemical oscillations during metal-organic chemical vapor deposition of InN onto GaN. Under constant supply of vapor precursors trimethylindium and NH3, the condensed-phase cycles between crystalline islands of InN and elemental In droplets. Propagating fronts between regions of InN and In occur with linear, circular, and spiral geometries. The results are described by a model in which the nitrogen activity produced by surface-catalyzed NH3 decomposition varies with the exposed surface areas of GaN, InN, and In.

  11. Alcohol vapor sensing by cadmium-doped zinc oxide thick films based chemical sensor

    NASA Astrophysics Data System (ADS)

    Zargar, R. A.; Arora, M.; Chackrabarti, S.; Ahmad, S.; Kumar, J.; Hafiz, A. K.

    2016-04-01

    Cadmium-doped zinc oxide nanoparticles were derived by simple chemical co-precipitation route using zinc acetate dihydrate and cadmium acetate dihydrate as precursor materials. The thick films were casted from chemical co-precipitation route prepared nanoparticles by economic facile screen printing method. The structural, morphological, optical and electrical properties of the film were characterized relevant to alcohol vapor sensing application by powder XRD, SEM, UV-VIS and DC conductivity techniques. The response and sensitivity of alcohol (ethanol) vapor sensor are obtained from the recovery curves at optimum working temperature range from 20∘C to 50∘C. The result shows that maximum sensitivity of the sensor is observed at 25∘C operating temperature. On varying alcohol vapor concentration, minor variation in resistance has been observed. The sensing mechanism of sensor has been described in terms of physical adsorption and chemical absorption of alcohol vapors on cadmium-doped zinc oxide film surface and inside film lattice network through weak hydrogen bonding, respectively.

  12. Aerosol-Assisted Chemical Vapor Deposited Thin Films for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; McNatt, Jeremiah; Dickman, John E.; Jin, Michael H.-C.; Banger, Kulbinder K.; Kelly, Christopher V.; AquinoGonzalez, Angel R.; Rockett, Angus A.

    2006-01-01

    Copper indium disulfide thin films were deposited via aerosol-assisted chemical vapor deposition using single source precursors. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties in order to optimize device-quality material. Growth at atmospheric pressure in a horizontal hot-wall reactor at 395 C yielded best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier, smoother, denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands (1.45, 1.43, 1.37, and 1.32 eV) and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was 1.03 percent.

  13. Model for the Vaporization of Mixed Organometallic Compounds in the Metalorganic Chemical Vapor Deposition of High Temperature Superconducting Films

    NASA Technical Reports Server (NTRS)

    Meng, Guangyao; Zhou, Gang; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1993-01-01

    A model of the vaporization and mass transport of mixed organometallics from a single source for thin film metalorganic chemical vapor deposition is presented. A stoichiometric gas phase can be obtained from a mixture of the organometallics in the desired mole ratios, in spite of differences in the volatilities of the individual compounds. Proper film composition and growth rates are obtained by controlling the velocity of a carriage containing the organometallics through the heating zone of a vaporizer.

  14. Chemical Vapor Deposition of Multispectral Domes

    DTIC Science & Technology

    1975-04-01

    optical testing, was also cut out as indicated in Figure 10. The image spoiling measureinents were performed at the Air Force Avionics Laboratory on...AD-A014 362 CHEMICAL VAPOR DEPOSITION OF MULTISPECTRAL DOMES B. A. diBenedetto, et al Raytheon Company Prepared for: Air Force Materials Laboratory...Approved for public release; distribution unlimited. ) F) .• •~~EP 7 ’+ i.i AIR FORCE MATERIALS LABORATORY AIR FORCE SYSTEMS COMMAND WRIGHT-PATrERSON AIR

  15. Chemical vapor deposited silica coatings for solar mirror protection

    NASA Technical Reports Server (NTRS)

    Gulino, Daniel A.; Dever, Therese M.; Banholzer, William F.

    1988-01-01

    A variety of techniques is available to apply protective coatings to oxidation susceptible spacecraft components, and each has associated advantages and disadvantages. Film applications by means of chemical vapor deposition (CVD) has the advantage of being able to be applied conformally to objects of irregular shape. For this reason, a study was made of the oxygen plasma durability of thin film (less than 5000 A) silicon dioxide coatings applied by CVD. In these experiments, such coatings were applied to silver mirrors, which are strongly subject to oxidation, and which are proposed for use on the space station solar dynamic power system. Results indicate that such coatings can provide adequate protection without affecting the reflectance of the mirror. Scanning electron micrographs indicated that oxidation of the silver layer did occur at stress crack locations, but this did not affect the measured solar reflectances. Oxidation of the silver did not proceed beyond the immediate location of the crack. Such stress cracks did not occur in thinner silica flims, and hence such films would be desirable for this application.

  16. Chemical vapor deposited silica coatings for solar mirror protection

    NASA Technical Reports Server (NTRS)

    Gulino, Daniel A.; Dever, Therese M.; Banholzer, William F.

    1988-01-01

    A variety of techniques is available to apply protective coatings to oxidation susceptible spacecraft components, and each has associated advantages and disadvantages. Film applications by means of chemical vapor deposition (CVD) has the advantage of being able to be applied conformally to objects of irregular shape. For this reason, a study was made of the oxygen plasma durability of thin film (less than 5000 A) silicon dioxide coatings applied by CVD. In these experiments, such coatings were applied to silver mirrors, which are strongly subject to oxidation, and which are proposed for use on the space station solar dynamic power system. Results indicate that such coatings can provide adequate protection without affecting the reflectance of the mirror. Scanning electron micrographs indicated that oxidation of the silver layer did occur at stress crack locations, but this did not affect the measured solar reflectances. Oxidation of the silver did not proceed beyond the immediate location of the crack. Such stress cracks did not occur in thinner silica films, and hence such films would be desirable for this application.

  17. Membranes produced by plasma enhanced chemical vapor deposition technique for low temperature fuel cell applications

    NASA Astrophysics Data System (ADS)

    Ennajdaoui, Aboubakr; Roualdes, Stéphanie; Brault, Pascal; Durand, Jean

    A plasma polymerization process using a continuous glow discharge has been implemented for preparing proton conducting membranes from trifluoromethane sulfonic acid and styrene. The chemical and physical structure of plasma membranes has been investigated using FTIR and SEM. The films are homogeneous with a good adhesion on commercial gas diffusion layer (E-Tek ®). Their deposition rate can be increased with increasing flow rate and input power. The thermogravimetric analysis under air of plasma polymers has showed a thermal stability up to 140 °C. Compared to the pulsed glow discharge studied in a previous paper, the continuous glow discharge has enabled to enhance the proton conductivity of membranes by a factor 3 (up to 1.7 mS cm -1). Moreover, the low methanol permeability (methanol diffusion coefficient down to 5 × 10 -13 m 2 s -1) of membranes has been confirmed by this study. In an industrial context, a reactor prototype has been developed to manufacture by plasma processes all active layers of fuel cell cores to be integrated in original compact PEMFC or DMFC.

  18. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    PubMed

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  19. Chemical vapor generation sample introduction for the determination of As, Cd, Sb, Hg, and Pb in nail polish by inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Huang, Fan-Feng; Jiang, Shiuh-Jen; Chen, Yen-Ling; Sahayam, A. C.

    2018-02-01

    This paper describes a flow injection vapor generation (VG) method using inductively coupled plasma mass spectrometry (ICP-MS) for determining As, Cd, Sb, Hg, and Pb in nail polish. The samples for VG were prepared as aqueous slurries of a nail polish (0.5% m/v), thiourea (1% m/v), Co(II) (0.75 μg mL- 1), and HCl (1.2% v/v). Chemical VG of As, Cd, Sb, Hg, and Pb ions, by reduction with tetrahydroborate (3% m/v in 0.2% m/v NaOH), enabled their separation from the slurry. With VG sample introduction, As, Cd, Sb and Hg signals were increased by 1-2 orders (except Pb) compared to solution nebulization due to better sample introduction. Quantifications were performed by VG ICP-MS using isotope dilution and standard addition methods as slopes of calibration plots of analytes in the slurries were higher. Using the reported procedure, samples of three nail polishes purchased locally were analyzed for their levels of As, Cd, Sb, Hg, and Pb. The results obtained were in good agreement with those measured using electrothermal vaporization ICP-MS. In the original nail polish sample, the detection limits, calculated as 3σ of blank measurements, for As, Cd, Sb, Hg, and Pb, estimated from standard addition curves, were 0.06, 0.12, 0.14, 0.2, and 12 ng g- 1, respectively.

  20. Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Sugiura, Hirotsugu; Jia, Lingyun; Kondo, Hiroki; Ishikawa, Kenji; Tsutsumi, Takayoshi; Hayashi, Toshio; Takeda, Keigo; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Quadruple mass spectrometric measurements of CH3 density during radical-injection plasma-enhanced chemical vapor deposition to consider the sp2 fraction of amorphous carbon (a-C) films were performed. The sp2 fraction of the a-C films reached a minimum of 46%, where the CH3 density was maximum for a residence time of 6 ms. The sp2 fraction of the a-C films was tailored with the gaseous phase CH3 density during the deposition. This knowledge is useful for understanding the formation mechanism of bonding structures in the a-C films, which enables the precise control of their electronic properties.

  1. Using KrF ELA to Improve Gate-Stacked LaAlO₃/ZrO₂ Indium Gallium Zinc Oxide Thin-Film Transistors with Novel Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition Technique.

    PubMed

    Wu, Chien-Hung; Chang, Kow-Ming; Chen, Yi-Ming; Huang, Bo-Wen; Zhang, Yu-Xin; Wang, Shui-Jinn

    2018-03-01

    Atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique and KrF excimer laser annealing (ELA) were employed for the fabrication of indium gallium zinc oxide thin-film transistors (IGZO-TFTs). Device with a 150 mJ/cm2 laser annealing densities demonstrated excellent electrical characteristics with improved on/off current ratio of 4.7×107, high channel mobility of 10 cm2/V-s, and low subthreshold swing of 0.15 V/dec. The improvements are attributed to the adjustment of oxygen vacancies in the IGZO channel to an appropriate range of around 28.3% and the reduction of traps at the high-k/IGZO interface.

  2. Energy recovery from waste glycerol by utilizing thermal water vapor plasma.

    PubMed

    Tamošiūnas, Andrius; Valatkevičius, Pranas; Gimžauskaitė, Dovilė; Jeguirim, Mejdi; Mėčius, Vladas; Aikas, Mindaugas

    2017-04-01

    Glycerol, considered as a waste feedstock resulting from biodiesel production, has received much attention in recent years due to its properties, which offer to recover energy. The aim of this study was to investigate the use of a thermal water vapor plasma for waste (crude) glycerol conversion to synthesis gas, or syngas (H 2  + CO). In parallel of crude glycerol, a pure glycerol (99.5%) was used as a reference material in order to compare the concentrations of the formed product gas. A direct current (DC) arc plasma torch stabilized by a mixture of argon/water vapor was utilized for the effective glycerol conversion to hydrogen-rich synthesis gas. It was found that after waste glycerol treatment, the main reaction products were gases with corresponding concentrations of H 2 50.7%, CO 23.53%, CO 2 11.45%, and CH 4 3.82%, and traces of C 2 H 2 and C 2 H 6 , which concentrations were below 0.5%. The comparable concentrations of the formed gas products were obtained after pure glycerol conversion-H 2 46.4%, CO 26.25%, CO 2 11.3%, and CH 4 4.7%. The use of thermal water vapor plasma producing synthesis gas is an effective method to recover energy from both crude and pure glycerol. The performance of the glycerol conversion system was defined in terms of the produced gas yield, the carbon conversion efficiency, the cold gas efficiency, and the specific energy requirements.

  3. Kinetics of wet sodium vapor complex plasma

    NASA Astrophysics Data System (ADS)

    Mishra, S. K.; Sodha, M. S.

    2014-04-01

    In this paper, we have investigated the kinetics of wet (partially condensed) Sodium vapor, which comprises of electrons, ions, neutral atoms, and Sodium droplets (i) in thermal equilibrium and (ii) when irradiated by light. The formulation includes the balance of charge over the droplets, number balance of the plasma constituents, and energy balance of the electrons. In order to evaluate the droplet charge, a phenomenon for de-charging of the droplets, viz., evaporation of positive Sodium ions from the surface has been considered in addition to electron emission and electron/ion accretion. The analysis has been utilized to evaluate the steady state parameters of such complex plasmas (i) in thermal equilibrium and (ii) when irradiated; the results have been graphically illustrated. As a significant outcome irradiated, Sodium droplets are seen to acquire large positive potential, with consequent enhancement in the electron density.

  4. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  5. Combinatorial Characterization of TiO2 Chemical Vapor Deposition Utilizing Titanium Isopropoxide.

    PubMed

    Reinke, Michael; Ponomarev, Evgeniy; Kuzminykh, Yury; Hoffmann, Patrik

    2015-07-13

    The combinatorial characterization of the growth kinetics in chemical vapor deposition processes is challenging because precise information about the local precursor flow is usually difficult to access. In consequence, combinatorial chemical vapor deposition techniques are utilized more to study functional properties of thin films as a function of chemical composition, growth rate or crystallinity than to study the growth process itself. We present an experimental procedure which allows the combinatorial study of precursor surface kinetics during the film growth using high vacuum chemical vapor deposition. As consequence of the high vacuum environment, the precursor transport takes place in the molecular flow regime, which allows predicting and modifying precursor impinging rates on the substrate with comparatively little experimental effort. In this contribution, we study the surface kinetics of titanium dioxide formation using titanium tetraisopropoxide as precursor molecule over a large parameter range. We discuss precursor flux and temperature dependent morphology, crystallinity, growth rates, and precursor deposition efficiency. We conclude that the surface reaction of the adsorbed precursor molecules comprises a higher order reaction component with respect to precursor surface coverage.

  6. Stretchable Electronic Sensors of Nanocomposite Network Films for Ultrasensitive Chemical Vapor Sensing.

    PubMed

    Yan, Hong; Zhong, Mengjuan; Lv, Ze; Wan, Pengbo

    2017-11-01

    A stretchable, transparent, and body-attachable chemical sensor is assembled from the stretchable nanocomposite network film for ultrasensitive chemical vapor sensing. The stretchable nanocomposite network film is fabricated by in situ preparation of polyaniline/MoS 2 (PANI/MoS 2 ) nanocomposite in MoS 2 suspension and simultaneously nanocomposite deposition onto prestrain elastomeric polydimethylsiloxane substrate. The assembled stretchable electronic sensor demonstrates ultrasensitive sensing performance as low as 50 ppb, robust sensing stability, and reliable stretchability for high-performance chemical vapor sensing. The ultrasensitive sensing performance of the stretchable electronic sensors could be ascribed to the synergistic sensing advantages of MoS 2 and PANI, higher specific surface area, the reliable sensing channels of interconnected network, and the effectively exposed sensing materials. It is expected to hold great promise for assembling various flexible stretchable chemical vapor sensors with ultrasensitive sensing performance, superior sensing stability, reliable stretchability, and robust portability to be potentially integrated into wearable electronics for real-time monitoring of environment safety and human healthcare. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Chemical Vapor Deposition of Aluminum Oxide Thin Films

    ERIC Educational Resources Information Center

    Vohs, Jason K.; Bentz, Amy; Eleamos, Krystal; Poole, John; Fahlman, Bradley D.

    2010-01-01

    Chemical vapor deposition (CVD) is a process routinely used to produce thin films of materials via decomposition of volatile precursor molecules. Unfortunately, the equipment required for a conventional CVD experiment is not practical or affordable for many undergraduate chemistry laboratories, especially at smaller institutions. In an effort to…

  8. Chemical Vapor Deposition of Turbine Thermal Barrier Coatings

    NASA Technical Reports Server (NTRS)

    Haven, Victor E.

    1999-01-01

    Ceramic thermal barrier coatings extend the operating temperature range of actively cooled gas turbine components, therefore increasing thermal efficiency. Performance and lifetime of existing ceram ic coatings are limited by spallation during heating and cooling cycles. Spallation of the ceramic is a function of its microstructure, which is determined by the deposition method. This research is investigating metalorganic chemical vapor deposition (MOCVD) of yttria stabilized zirconia to improve performance and reduce costs relative to electron beam physical vapor deposition. Coatings are deposited in an induction-heated, low-pressure reactor at 10 microns per hour. The coating's composition, structure, and response to the turbine environment will be characterized.

  9. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-07-01

    Thin films grown by Al2O3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al2O3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5×10-5 g/m2 day at 38 °C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H2O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ˜7×10-3 g/m2 day at 38 °C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al2O3 ALD film. An Al2O3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ˜7×10-3 to ≤5×10-5 g/m2 day at 38 °C/85% RH. The reduction in the permeability for Al2O3 ALD on the SiN PECVD films was attributed to either Al2O3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al2O3 ALD on SiN.

  10. Development of a Computational Chemical Vapor Deposition Model: Applications to Indium Nitride and Dicyanovinylaniline

    NASA Technical Reports Server (NTRS)

    Cardelino, Carlos

    1999-01-01

    A computational chemical vapor deposition (CVD) model is presented, that couples chemical reaction mechanisms with fluid dynamic simulations for vapor deposition experiments. The chemical properties of the systems under investigation are evaluated using quantum, molecular and statistical mechanics models. The fluid dynamic computations are performed using the CFD-ACE program, which can simulate multispecies transport, heat and mass transfer, gas phase chemistry, chemistry of adsorbed species, pulsed reactant flow and variable gravity conditions. Two experimental setups are being studied, in order to fabricate films of: (a) indium nitride (InN) from the gas or surface phase reaction of trimethylindium and ammonia; and (b) 4-(1,1)dicyanovinyl-dimethylaminoaniline (DCVA) by vapor deposition. Modeling of these setups requires knowledge of three groups of properties: thermodynamic properties (heat capacity), transport properties (diffusion, viscosity, and thermal conductivity), and kinetic properties (rate constants for all possible elementary chemical reactions). These properties are evaluated using computational methods whenever experimental data is not available for the species or for the elementary reactions. The chemical vapor deposition model is applied to InN and DCVA. Several possible InN mechanisms are proposed and analyzed. The CVD model simulations of InN show that the deposition rate of InN is more efficient when pulsing chemistry is used under conditions of high pressure and microgravity. An analysis of the chemical properties of DCVA show that DCVA dimers may form under certain conditions of physical vapor transport. CVD simulations of the DCVA system suggest that deposition of the DCVA dimer may play a small role in the film and crystal growth processes.

  11. Structure, mechanical, and frictional properties of hydrogenated fullerene-like amorphous carbon film prepared by direct current plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wang, Yongfu; Gao, Kaixiong; Zhang, Junyan

    2016-07-01

    In this study, fullerene like carbon (FL-C) is introduced in hydrogenated amorphous carbon (a-C:H) film by employing a direct current plasma enhanced chemical vapor deposition. The film has a low friction and wear, such as 0.011 and 2.3 × 10-9mm3/N m in the N2, and 0.014 and 8.4 × 10-8mm3/N m in the humid air, and high hardness and elasticity (25.8 GPa and 83.1%), to make further engineering applications in practice. It has several nanometers ordered domains consisting of less frequently cross-linked graphitic sheet stacks. We provide new evidences for understanding the reported Raman fit model involving four vibrational frequencies from five, six, and seven C-atom rings of FL-C structures, and discuss the structure evolution before or after friction according to the change in the 1200 cm-1 Raman band intensity caused by five- and seven-carbon rings. Friction inevitably facilitates the transformation of carbon into FL-C nanostructures, namely, the ultra low friction comes from both such structures within the carbon film and the sliding induced at friction interface.

  12. Dependence of electrical and optical properties of amorphous SiC:H thin films grown by rf plasma enhanced chemical vapor deposition on annealing temperature

    NASA Astrophysics Data System (ADS)

    Park, M. G.; Choi, W. S.; Hong, B.; Kim, Y. T.; Yoon, D. H.

    2002-05-01

    In this article, we investigated the dependence of optical and electrical properties of hydrogenated amorphous silicon carbide (a-SiC:H) films on annealing temperature (Ta) and radio frequency (rf) power. The substrate temperature (Ts) was 250 °C, the rf power was varied from 30 to 400 W, and the range of Ta was from 400 to 600 °C. The a-SiC:H films were deposited by using the plasma enhanced chemical vapor deposition system on Corning 7059 glasses and p-type Si (100) wafers with a SiH4+CH4 gas mixture. The experimental results have shown that the optical bandgap energy (Eg) of the a-SiC:H thin films changed little on the annealing temperature while Eg increased with the rf power. The Raman spectrum of the thin films annealed at high temperatures showed that graphitization of carbon clusters and microcrystalline silicon occurs. The current-voltage characteristics have shown good electrical properties in relation to the annealed films.

  13. Lifetime and migration length of B-related admolecules on diamond {1 0 0}-surface: Comparative study of hot-filament and microwave plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ohmagari, Shinya; Ogura, Masahiko; Umezawa, Hitoshi; Mokuno, Yoshiaki

    2017-12-01

    The growth of heavily B-doped low-resistivity diamond films will facilitate the development of novel semiconductor applications. To discuss the key factors that increase B solubility into single-crystal {1 0 0} diamond, the misorientation-angle (θmis) dependences of B incorporation were compared between hot filament (HF) and microwave plasma (MW)-enhanced chemical vapor deposition. Based on the model that considers the step-flow motion, the lifetime of B-related admolecules (τ) on terrace surface was evaluated. We found that τ can be extended more than ∼13 times by utilizing HF growth. As a result, the longer migration length of B-related admolecules (χB) was evidenced. Conversely, shorter τ and χB were revealed for MW growth which limit the B incorporation (probably due to etching). This study will provide an important insight to increase the B solubility.

  14. Understanding the Mechanism of SiC Plasma-Enhanced Chemical Vapor Deposition (PECVD) and Developing Routes toward SiC Atomic Layer Deposition (ALD) with Density Functional Theory.

    PubMed

    Filatova, Ekaterina A; Hausmann, Dennis; Elliott, Simon D

    2018-05-02

    Understanding the mechanism of SiC chemical vapor deposition (CVD) is an important step in investigating the routes toward future atomic layer deposition (ALD) of SiC. The energetics of various silicon and carbon precursors reacting with bare and H-terminated 3C-SiC (011) are analyzed using ab initio density functional theory (DFT). Bare SiC is found to be reactive to silicon and carbon precursors, while H-terminated SiC is found to be not reactive with these precursors at 0 K. Furthermore, the reaction pathways of silane plasma fragments SiH 3 and SiH 2 are calculated along with the energetics for the methane plasma fragments CH 3 and CH 2 . SiH 3 and SiH 2 fragments follow different mechanisms toward Si growth, of which the SiH 3 mechanism is found to be more thermodynamically favorable. Moreover, both of the fragments were found to show selectivity toward the Si-H bond and not C-H bond of the surface. On the basis of this, a selective Si deposition process is suggested for silicon versus carbon-doped silicon oxide surfaces.

  15. Behavior and structure of metal vapor arc plasma between molten electrodes

    NASA Astrophysics Data System (ADS)

    Zanner, F. J.; Williamson, R. L.; Hareland, W. A.; Bertram, L. A.

    A metal vapor arc is utilized in the industrially important vacuum arc remelting (VAR) process to produce materials by melting and resolidification which have improved structure and chemical homogeneity. Homogeneity is dependent on achieving quasi-steady conditions in the plasma because of its thermal and MHD coupling with the molten pool atop the ingot. Optimal operating conditions of low pressure (approx. = 0.01 torr) and short electrode gap (less than 15 mm) produce a diffuse arc and cathode spot behavior similar to that observed for the vacuum breaker arc. Under these conditions the arc provides a quasi-steady heat source that is considered to be the bench mark arc of the VAR process. Previous work has shown that deviation from the bench mark arc behavior can occur under production conditions, and is caused by electrode irregularities and liberation of gases such as CO from the molten pool. This study is an effort to characterize these behavioral deviations and discover operational conditions which stabilize the bench mark arc.

  16. Vapor shielding effects on energy transfer from plasma-gun generated ELM-like transient loads to material surfaces

    NASA Astrophysics Data System (ADS)

    Kikuchi, Y.; Sakuma, I.; Asai, Y.; Onishi, K.; Isono, W.; Nakazono, T.; Nakane, M.; Fukumoto, N.; Nagata, M.

    2016-02-01

    Energy transfer processes from ELM-like pulsed helium (He) plasmas with a pulse duration of ˜0.1 ms to aluminum (Al) and tungsten (W) surfaces were experimentally investigated by the use of a magnetized coaxial plasma gun device. The surface absorbed energy density of the He pulsed plasma on the W surface measured with a calorimeter was ˜0.44 MJ m-2, whereas it was ˜0.15 MJ m-2 on the Al surface. A vapor layer in front of the Al surface exposed to the He pulsed plasma was clearly identified by Al neutral emission line (Al i) measured with a high time resolution spectrometer, and fast imaging with a high-speed visible camera filtered around the Al i emission line. On the other hand, no clear evaporation in front of the W surface exposed to the He pulsed plasma was observed in the present condition. Discussions on the reduction in the surface absorbed energy density on the Al surface are provided by considering the latent heat of vaporization and radiation cooling due to the Al vapor cloud.

  17. Chemical vapor deposition reactor. [providing uniform film thickness

    NASA Technical Reports Server (NTRS)

    Chern, S. S.; Maserjian, J. (Inventor)

    1977-01-01

    An improved chemical vapor deposition reactor is characterized by a vapor deposition chamber configured to substantially eliminate non-uniformities in films deposited on substrates by control of gas flow and removing gas phase reaction materials from the chamber. Uniformity in the thickness of films is produced by having reactive gases injected through multiple jets which are placed at uniformally distributed locations. Gas phase reaction materials are removed through an exhaust chimney which is positioned above the centrally located, heated pad or platform on which substrates are placed. A baffle is situated above the heated platform below the mouth of the chimney to prevent downdraft dispersion and scattering of gas phase reactant materials.

  18. Chemical vapor deposition for automatic processing of integrated circuits

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1980-01-01

    Chemical vapor deposition for automatic processing of integrated circuits including the wafer carrier and loading from a receiving air track into automatic furnaces and unloading on to a sending air track is discussed. Passivation using electron beam deposited quartz is also considered.

  19. Development of a double plasma gun device for investigation of effects of vapor shielding on erosion of PFC materials under ELM-like pulsed plasma bombardment

    NASA Astrophysics Data System (ADS)

    Sakuma, I.; Iwamoto, D.; Kitagawa, Y.; Kikuchi, Y.; Fukumoto, N.; Nagata, M.

    2012-10-01

    It is considered that thermal transient events such as type I edge localized modes (ELMs) could limit the lifetime of plasma-facing components (PFCs) in ITER. We have investigated surface damage of tungsten (W) materials under transient heat and particle loads by using a magnetized coaxial plasma gun (MCPG) device at University of Hyogo. The capacitor bank energy for the plasma discharge is 144 kJ (2.88 mF, 10 kVmax). Surface melting of a W material was clearly observed at the energy density of ˜2 MJ/m2. It is known that surface melting and evaporation during a transient heat load could generate a vapor cloud layer in front of the target material [1]. Then, the subsequent erosion could be reduced by the vapor shielding effect. In this study, we introduce a new experiment using two MCPG devices (MCPG-1, 2) to understand vapor shielding effects of a W surface under ELM-like pulsed plasma bombardment. The capacitor bank energy of MCPG-2 is almost same as that of MCPG-1. The second plasmoid is applied with a variable delay time after the plasmoid produced by MCPG-1. Then, a vapor cloud layer could shield the second plasma load. To verify the vapor shielding effects, surface damage of a W material is investigated by changing the delay time. In the conference, the preliminary experimental results will be shown.[4pt] [1] A. Hassanein et al., J. Nucl. Mater. 390-391, pp. 777-780 (2009).

  20. Spectroscopic Observation of Chemical Interaction Between Impact-induced Vapor Clouds and the Ambient Atmosphere

    NASA Technical Reports Server (NTRS)

    Sugita, S.; Heineck, J. T.; Schultz, P. H.

    2000-01-01

    Chemical reactions within impact-induced vapor clouds were observed in laboratory experiments using a spectroscopic method. The results indicate that projectile-derived carbon-rich vapor reacts intensively with atmospheric nitrogen.

  1. Thermodynamic Properties and Transport Coefficients of Nitrogen, Hydrogen and Helium Plasma Mixed with Silver Vapor

    NASA Astrophysics Data System (ADS)

    Zhou, Xue; Cui, Xinglei; Chen, Mo; Zhai, Guofu

    2016-05-01

    Species composites of Ag-N2, Ag-H2 and Ag-He plasmas in the temperature range of 3,000-20,000 K and at 1 atmospheric pressure were calculated by using the minimization of Gibbs free energy. Thermodynamic properties and transport coefficients of nitrogen, hydrogen and helium plasmas mixed with a variety of silver vapor were then calculated based on the equilibrium composites and collision integral data. The calculation procedure was verified by comparing the results obtained in this paper with the published transport coefficients on the case of pure nitrogen plasma. The influences of the silver vapor concentration on composites, thermodynamic properties and transport coefficients were finally analyzed and summarized for all the three types of plasmas. Those physical properties were important for theoretical study and numerical calculation on arc plasma generated by silver-based electrodes in those gases in sealed electromagnetic relays and contacts. supported by National Natural Science Foundation of China (Nos. 51277038 and 51307030)

  2. Potentiometric detection of chemical vapors using molecularly imprinted polymers as receptors

    PubMed Central

    Liang, Rongning; Chen, Lusi; Qin, Wei

    2015-01-01

    Ion-selective electrode (ISE) based potentiometric gas sensors have shown to be promising analytical tools for detection of chemical vapors. However, such sensors are only capable of detecting those vapors which can be converted into ionic species in solution. This paper describes for the first time a polymer membrane ISE based potentiometric sensing system for sensitive and selective determination of neutral vapors in the gas phase. A molecularly imprinted polymer (MIP) is incorporated into the ISE membrane and used as the receptor for selective adsorption of the analyte vapor from the gas phase into the sensing membrane phase. An indicator ion with a structure similar to that of the vapor molecule is employed to indicate the change in the MIP binding sites in the membrane induced by the molecular recognition of the vapor. The toluene vapor is used as a model and benzoic acid is chosen as its indicator. Coupled to an apparatus manifold for preparation of vapor samples, the proposed ISE can be utilized to determine volatile toluene in the gas phase and allows potentiometric detection down to parts per million levels. This work demonstrates the possibility of developing a general sensing principle for detection of neutral vapors using ISEs. PMID:26215887

  3. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    The chemical vapor deposition (CVD) method for the growth of Si sheet on inexpensive substrate materials is investigated. The objective is to develop CVD techniques for producing large areas of Si sheet on inexpensive substrate materials, with sheet properties suitable for fabricating solar cells meeting the technical goals of the Low Cost Silicon Solar Array Project. Specific areas covered include: (1) modification and test of existing CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using standard and near-standard processing techniques.

  4. Methods of chemically converting first materials to second materials utilizing hybrid-plasma systems

    DOEpatents

    Kong, Peter C.; Grandy, Jon D.

    2002-01-01

    In one aspect, the invention encompasses a method of chemically converting a first material to a second material. A first plasma and a second plasma are formed, and the first plasma is in fluid communication with the second plasma. The second plasma comprises activated hydrogen and oxygen, and is formed from a water vapor. A first material is flowed into the first plasma to at least partially ionize at least a portion of the first material. The at least partially ionized first material is flowed into the second plasma to react at least some components of the first material with at least one of the activated hydrogen and activated oxygen. Such converts at least some of the first material to a second material. In another aspect, the invention encompasses a method of forming a synthetic gas by flowing a hydrocarbon-containing material into a hybrid-plasma system. In yet another aspect, the invention encompasses a method of degrading a hydrocarbon-containing material by flowing such material into a hybrid-plasma system. In yet another aspect, the invention encompasses a method of releasing an inorganic component of a complex comprising the inorganic component and an other component, wherein the complex is flowed through a hybrid-plasma system.

  5. Heteroepitaxial diamond growth on 4H-SiC using microwave plasma chemical vapor deposition.

    PubMed

    Moore, Eric; Jarrell, Joshua; Cao, Lei

    2017-09-01

    Deposition of heteroepitaxial diamond via microwave chemical vapor deposition has been performed on a 4H-SiC substrate using bias enhanced nucleation followed by a growth step. In future work, the diamond film will serve as a protective layer for an alpha particle sensor designed to function in an electrorefiner during pyroprocessing of spent fuel. The diamond deposition on the 4H-SiC substrate was carried out using a methane-hydrogen gas mixture with varying gas flow rates. The nucleation step was conducted for 30 minutes and provided sufficient nucleation sites to grow a diamond film on various locations on the substrate. The resulting diamond film was characterized using Raman spectroscopy exhibiting the strong Raman peak at 1332 cm -1 . Scanning electron microscopy was used to observe the surface morphology and the average grain size of the diamond film was observed to be on the order of ∼2-3 μm.

  6. Graphene-Based Chemical Vapor Sensors for Electronic Nose Applications

    NASA Astrophysics Data System (ADS)

    Nallon, Eric C.

    chemiresistor device and used as a chemical sensor, where its resistance is temporarily modified while exposed to chemical compounds. The inherent, broad selective nature of graphene is demonstrated by testing a sensor against a diverse set of volatile organic compounds and also against a set of chemically similar compounds. The sensor exhibits excellent selectivity and is capable of achieving high classification accuracies. The kinetics of the sensor's response are further investigated revealing a relationship between the transient behavior of the response curve and physiochemical properties of the compounds, such as the molar mass and vapor pressure. This kinetic information is also shown to provide important information for further pattern recognition and classification, which is demonstrated by increased classification accuracy of very similar compounds. Covalent modification of the graphene surface is demonstrated by means of plasma treatment and free radical exchange, and sensing performance compared to an unmodified graphene sensor. Finally, the first example of a graphene-based, cross-reactive chemical sensor array is demonstrated by applying various polymers as coatings over an array of graphene sensors. The sensor array is tested against a variety of compounds, including the complex odor of Scotch whiskies, where it is capable of perfect classification of 10 Scotch whiskey variations.

  7. Oxidation of Chemically-Vapor-Deposited Silicon Carbide in Carbon Dioxide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Nguyen, QuynhGiao N.

    1998-01-01

    Chemically-vapor-deposited silicon carbide (CVD SiC) was oxidized in carbon dioxide (CO2) at temperatures of 1200-1400 C for times between 96 and 500 h at several gas flow rates. Oxidation weight gains were monitored by thermogravimetric analysis (TGA) and were found to be very small and independent of temperature. Possible rate limiting kinetic mechanisms are discussed. Passive oxidation of SiC by CO2 is negligible compared to the rates measured for other oxidants that are also found in combustion environments, oxygen and water vapor.

  8. Structure, mechanical, and frictional properties of hydrogenated fullerene-like amorphous carbon film prepared by direct current plasma enhanced chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Yongfu; University of Chinese Academy of Sciences, Beijing 100049; Gao, Kaixiong

    In this study, fullerene like carbon (FL-C) is introduced in hydrogenated amorphous carbon (a-C:H) film by employing a direct current plasma enhanced chemical vapor deposition. The film has a low friction and wear, such as 0.011 and 2.3 × 10{sup −9}mm{sup 3}/N m in the N{sub 2}, and 0.014 and 8.4 × 10{sup −8}mm{sup 3}/N m in the humid air, and high hardness and elasticity (25.8 GPa and 83.1%), to make further engineering applications in practice. It has several nanometers ordered domains consisting of less frequently cross-linked graphitic sheet stacks. We provide new evidences for understanding the reported Raman fit model involving four vibrational frequenciesmore » from five, six, and seven C-atom rings of FL-C structures, and discuss the structure evolution before or after friction according to the change in the 1200 cm{sup −1} Raman band intensity caused by five- and seven-carbon rings. Friction inevitably facilitates the transformation of carbon into FL-C nanostructures, namely, the ultra low friction comes from both such structures within the carbon film and the sliding induced at friction interface.« less

  9. Vapors and Droplets Mixture Deposition of Metallic Coatings by Very Low Pressure Plasma Spraying

    NASA Astrophysics Data System (ADS)

    Vautherin, B.; Planche, M.-P.; Bolot, R.; Quet, A.; Bianchi, L.; Montavon, G.

    2014-04-01

    In recent years, the very low pressure plasma-spraying (VLPPS) process has been intensely developed and implemented to manufacture thin, dense and finely structured ceramic coatings for various applications, such as Y2O3 for diffusion barriers, among other examples. This paper aims at presenting developments carried out on metallic coatings. Aluminum was chosen as a demonstrative material due to its "moderate" vaporization enthalpy (i.e., 38.23 KJ cm-3) compared to the one of copper (i.e., 55.33 KJ cm-3), cobalt (i.e., 75.03 KJ cm-3), or even tantalum (i.e., 87.18 KJ cm-3). The objective of this work is primarily to better understand the behavior of a solid precursor injected into the plasma jet leading to the formation of vapors and to better control the factors affecting the coating structure. Nearly dense aluminum coatings were successfully deposited by VLPPS at 100 Pa with an intermediate power plasma torch (i.e., Sulzer Metco F4 type gun with maximum power of 45 kW). Optical emission spectroscopy (OES) was implemented to study and analyze the vapor behavior into the plasma jet. Simplified CFD modeling allowed better understanding of some of the thermo-physical mechanisms. The effect of powder-size distribution, substrate temperature and spray distance were studied. The phase composition and microstructural features of the coatings were characterized by XRD and SEM. Moreover, Vickers microhardness measurements were implemented.

  10. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    PubMed

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  11. Direct synthesis of graphene on silicon oxide by low temperature plasma enhanced chemical vapor deposition.

    PubMed

    Muñoz, Roberto; Martínez, Lidia; López-Elvira, Elena; Munuera, Carmen; Huttel, Yves; García-Hernández, Mar

    2018-06-27

    Direct graphene growth on silicon with a native oxide using plasma enhanced chemical vapour deposition at low temperatures [550 °C-650 °C] is demonstrated for the first time. It is shown that the fine-tuning of a two-step synthesis with gas mixtures C2H2/H2 yields monolayer and few layer graphene films with a controllable domain size from 50 nm to more than 300 nm and the sheet resistance ranging from 8 kΩ sq-1 to less than 1.8 kΩ sq-1. Differences are understood in terms of the interaction of the plasma species - chiefly atomic H - with the deposited graphene and the native oxide layer. The proposed low temperature direct synthesis on an insulating substrate does not require any transfer processes and improves the compatibility with the current industrial processes.

  12. Synthesis of thin films in boron-carbon-nitrogen ternary system by microwave plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kukreja, Ratandeep Singh

    The Boron Carbon Nitorgen (B-C-N) ternary system includes materials with exceptional properties such as wide band gap, excellent thermal conductivity, high bulk modulus, extreme hardness and transparency in the optical and UV range that find application in most fields ranging from micro-electronics, bio-sensors, and cutting tools to materials for space age technology. Interesting materials that belong to the B-C-N ternary system include Carbon nano-tubes, Boron Carbide, Boron Carbon Nitride (B-CN), hexagonal Boron Nitride ( h-BN), cubic Boron Nitride (c-BN), Diamond and beta Carbon Nitride (beta-C3N4). Synthesis of these materials requires precisely controlled and energetically favorable conditions. Chemical vapor deposition is widely used technique for deposition of thin films of ceramics, metals and metal-organic compounds. Microwave plasma enhanced chemical vapor deposition (MPECVD) is especially interesting because of its ability to deposit materials that are meta-stable under the deposition conditions, for e.g. diamond. In the present study, attempt has been made to synthesize beta-carbon nitride (beta-C3N4) and cubic-Boron Nitride (c-BN) thin films by MPECVD. Also included is the investigation of dependence of residual stress and thermal conductivity of the diamond thin films, deposited by MPECVD, on substrate pre-treatment and deposition temperature. Si incorporated CNx thin films are synthesized and characterized while attempting to deposit beta-C3N4 thin films on Si substrates using Methane (CH4), Nitrogen (N2), and Hydrogen (H2). It is shown that the composition and morphology of Si incorporated CNx thin film can be tailored by controlling the sequence of introduction of the precursor gases in the plasma chamber. Greater than 100mum size hexagonal crystals of N-Si-C are deposited when Nitrogen precursor is introduced first while agglomerates of nano-meter range graphitic needles of C-Si-N are deposited when Carbon precursor is introduced first in the

  13. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Campbell, A. G.; Johnson, R. E.; Kenty, J. L.; Moudy, L. A.; Shaw, G. L.; Simpson, W. I.; Yang, J. J.

    1978-01-01

    The objective was to investigate and develop chemical vapor deposition (CVD) techniques for the growth of large areas of Si sheet on inexpensive substrate materials, with resulting sheet properties suitable for fabricating solar cells that would meet the technical goals of the Low Cost Silicon Solar Array Project. The program involved six main technical tasks: (1) modification and test of an existing vertical-chamber CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using impurity diffusion and other standard and near-standard processing techniques supplemented late in the program by the in situ CVD growth of n(+)/p/p(+) sheet structures subsequently processed into experimental cells.

  14. Chemical vapor deposition modeling for high temperature materials

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1992-01-01

    The formalism for the accurate modeling of chemical vapor deposition (CVD) processes has matured based on the well established principles of transport phenomena and chemical kinetics in the gas phase and on surfaces. The utility and limitations of such models are discussed in practical applications for high temperature structural materials. Attention is drawn to the complexities and uncertainties in chemical kinetics. Traditional approaches based on only equilibrium thermochemistry and/or transport phenomena are defended as useful tools, within their validity, for engineering purposes. The role of modeling is discussed within the context of establishing the link between CVD process parameters and material microstructures/properties. It is argued that CVD modeling is an essential part of designing CVD equipment and controlling/optimizing CVD processes for the production and/or coating of high performance structural materials.

  15. Insights into gold-catalyzed plasma-assisted CVD growth of silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Wanghua, E-mail: wanghua.chen@polytechnique.edu; Roca i Cabarrocas, Pere

    2016-07-25

    Understanding and controlling effectively the behavior of metal catalyst droplets during the Vapor-Liquid-Solid growth of nanowires are crucial for their applications. In this work, silicon nanowires are produced by plasma-assisted Chemical Vapor Deposition using gold as a catalyst. The influence of hydrogen plasma on nanowire growth is investigated experimentally and theoretically. Interestingly, in contrast to conventional chemical vapor deposition, the growth rate of silicon nanowires shows a decrease as a function of their diameters, which is consistent with the incorporation of silicon via sidewall diffusion. We show that Ostwald ripening of catalyst droplets during nanowire growth is inhibited in themore » presence of a hydrogen plasma. However, when the plasma is off, the diffusion of Au atoms on the nanowire sidewall can take place. Based on this observation, we have developed a convenient method to grow silicon nanotrees.« less

  16. Comparison of InGaAs(100) Grown by Chemical Beam Epitaxy and Metal Organic Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Williams, M. D.; Greene, A. L.; Daniels-Race, T.; Lum, R. M.

    2000-01-01

    Secondary ion mass spectrometry is used to study the effects of substrate temperature on the composition and growth rate of InGaAs/InP(100) multilayers grown by chemical beam epitaxy, metal-organic chemical vapor deposition and solid source molecular beam epitaxy. The growth kinetics of the material grown by the different techniques are analyzed and compared.

  17. Effect of precursor supply on structural and morphological characteristics of fe nanomaterials synthesized via chemical vapor condensation method.

    PubMed

    Ha, Jong-Keun; Ahn, Hyo-Jun; Kim, Ki-Won; Nam, Tae-Hyun; Cho, Kwon-Koo

    2012-01-01

    Various physical, chemical and mechanical methods, such as inert gas condensation, chemical vapor condensation, sol-gel, pulsed wire evaporation, evaporation technique, and mechanical alloying, have been used to synthesize nanoparticles. Among them, chemical vapor condensation (CVC) has the benefit of its applicability to almost all materials because a wide range of precursors are available for large-scale production with a non-agglomerated state. In this work, Fe nanoparticles and nanowires were synthesized by chemical vapor condensation method using iron pentacarbonyl (Fe(CO)5) as the precursor. The effect of processing parameters on the microstructure, size and morphology of Fe nanoparticles and nanowires were studied. In particular, we investigated close correlation of size and morphology of Fe nanoparticles and nanowires with atomic quantity of inflow precursor into the electric furnace as the quantitative analysis. The atomic quantity was calculated by Boyle's ideal gas law. The Fe nanoparticles and nanowires with various diameter and morphology have successfully been synthesized by the chemical vapor condensation method.

  18. Preparation of hydrogenated amorphous carbon films using a microsecond-pulsed DC capacitive-coupled plasma chemical vapor deposition system operated at high frequency up to 400 kHz

    NASA Astrophysics Data System (ADS)

    Mamun, Md Abdullah Al; Furuta, Hiroshi; Hatta, Akimitsu

    2018-06-01

    Hydrogenated amorphous carbon (a-C:H) films are deposited on silicon (Si) substrates using a high-repetition microsecond-pulsed DC plasma chemical vapor deposition (CVD) system from acetylene (C2H2) at a gas pressure of 15 Pa inside a custom-made vacuum chamber. The plasma discharge characteristics, hydrocarbon species, and the microstructure of the resulting films are examined at various pulse repetition rates from 50 to 400 kHz and a fixed duty cycle of 50%. The optical emission spectra confirmed the increase in electron excitation energy from 1.09 to 1.82 eV and the decrease in the intensity ratio of CH/C2 from 1.04 to 0.75 with increasing pulse frequency, indicating the enhanced electron impact dissociation of C2H2 gas. With increasing pulse frequency, the deposition rate gradually increased, reaching a maximum rate of 60 nm/min at 200 kHz, after which a progressive decrease was noted, whereas the deposition area was almost uniform for all the prepared films. Clear trends of increasing sp3 content (amorphization) and decreasing hydrogen (H) content in the films were observed as the pulse repetition rate increased, while most of the hydrogen atoms bonded to carbon atoms by sp3 hybridization rather than by sp2 hybridization.

  19. Vertical graphene nanosheets synthesized by thermal chemical vapor deposition and the field emission properties

    NASA Astrophysics Data System (ADS)

    Guo, Xin; Qin, Shengchun; Bai, Shuai; Yue, Hongwei; Li, Yali; Chen, Qiang; Li, Junshuai; He, Deyan

    2016-09-01

    In this paper, we explored synthesis of vertical graphene nanosheets (VGNs) by thermal chemical vapor deposition (CVD). Through optimizing the experimental condition, growth of well aligned VGNs with uniform morphologies on nickel-coated stainless steel (SS) was realized for the first time by thermal CVD. In the meantime, influence of growth parameters on the VGN morphology was understood based on the balancing between the concentration and kinetic energy of carbon-containing radicals. Structural characterizations demonstrate that the achieved VGNs are normally composed of several graphene layers and less corrugated compared to the ones synthesized by other approaches, e.g. plasma enhanced (PE) CVD. The field emission measurement indicates that the VGNs exhibit relatively stable field emission and a field enhancement factor of about 1470, which is comparable to the values of VGNs prepared by PECVD can be achieved.

  20. Vapor Intrusion

    EPA Pesticide Factsheets

    Vapor intrusion occurs when there is a migration of volatile chemicals from contaminated groundwater or soil into an overlying building. Volatile chemicals can emit vapors that may migrate through subsurface soils and into indoor air spaces.

  1. Chemical Species in the Vapor Phase of Hanford Double-Shell Tanks: Potential Impacts on Waste Tank Corrosion Processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Felmy, Andrew R.; Qafoku, Odeta; Arey, Bruce W.

    2010-09-22

    The presence of corrosive and inhibiting chemicals on the tank walls in the vapor space, arising from the waste supernatant, dictate the type and degree of corrosion that occurs there. An understanding of how waste chemicals are transported to the walls and the affect on vapor species from changing supernatant chemistry (e.g., pH, etc.), are basic to the evaluation of risks and impacts of waste changes on vapor space corrosion (VSC). In order to address these issues the expert panel workshop on double-shell tank (DST) vapor space corrosion testing (RPP-RPT-31129) participants made several recommendations on the future data and modelingmore » needs in the area of DST corrosion. In particular, the drying of vapor phase condensates or supernatants can form salt or other deposits at the carbon steel interface resulting in a chemical composition at the near surface substantially different from that observed directly in the condensates or the supernatants. As a result, over the past three years chemical modeling and experimental studies have been performed on DST supernatants and condensates to predict the changes in chemical composition that might occur as condensates or supernatants equilibrate with the vapor space species and dry at the carbon steel surface. The experimental studies included research on both the chemical changes that occurred as the supernatants dried as well as research on how these chemical changes impact the corrosion of tank steels. The chemical modeling and associated experimental studies were performed at the Pacific Northwest National Laboratory (PNNL) and the research on tank steel corrosion at the Savannah River National Laboratory (SRNL). This report presents a summary of the research conducted at PNNL with special emphasis on the most recent studies conducted in FY10. An overall summary of the project results as well as their broader implications for vapor space corrosion of the DST’s is given at the end of this report.« less

  2. Chemical vapor deposition modeling: An assessment of current status

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1991-01-01

    The shortcomings of earlier approaches that assumed thermochemical equilibrium and used chemical vapor deposition (CVD) phase diagrams are pointed out. Significant advancements in predictive capabilities due to recent computational developments, especially those for deposition rates controlled by gas phase mass transport, are demonstrated. The importance of using the proper boundary conditions is stressed, and the availability and reliability of gas phase and surface chemical kinetic information are emphasized as the most limiting factors. Future directions for CVD are proposed on the basis of current needs for efficient and effective progress in CVD process design and optimization.

  3. Speciation of inorganic and organometallic compounds in solid biological samples by thermal vaporization and plasma emission spectrometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hanamura, S.; Smith, B.W.; Winefordner, J.D.

    1983-11-01

    By means of thermal vaporization, inorganic, organic, and metallorganic species are separated and elemental emission in a microwave plasma is detected as a function of vaporization temperature. Solid samples of 250 mg or more are used to avoid problems with sample heterogeneity. The precision of characteristic appearance temperatures is +/-2/sup 0/C. The single electrode atmosphere pressure microwave plasma system is extremely tolerant to the introduction of water, organic solvents, and air. The measurement system contained a repetition wavelength scan device to allow background correction. The plasma temperature was 5500 K. The system was used to measure C, H, N, O,more » and Hg in orchard leaves and in tuna fish. 9 figures, 5 tables.« less

  4. The lithium vapor box divertor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goldston, R. J.; Myers, R.; Schwartz, J.

    It has long been recognized that volumetric dissipation of the plasma heat flux from a fusion power system is preferable to its localized impingement on a material surface. Volumetric dissipation mitigates both the anticipated very high heat flux and intense particle-induced damage due to sputtering. Our recent projections to a tokamak demonstration power plant suggest an immense upstream parallel heat flux, of order 20 GW m -2, implying that fully detached operation may be a requirement for the success of fusion power. Building on pioneering work on the use of lithium by Nagayama et al and by Ono et almore » as well as earlier work on the gas box divertor by Watkins and Rebut, we present here a concept for a lithium vapor box divertor, in which lithium vapor extracts momentum and energy from a fusion-power-plant divertor plasma, using fully volumetric processes. Furthermore, at the high powers and pressures that are projected this requires a high density of lithium vapor, which must be isolated from the main plasma in order to avoid lithium build-up on the chamber walls or in the plasma. Isolation is achieved through a powerful multi-box differential pumping scheme available only for condensable vapors. The preliminary box-wise calculations are encouraging, but much more work is required in order to demonstrate the practical viability of this scheme, taking into account at least 2D plasma and vapor flows within and between the vapor boxes and out of the vapor boxes to the main plasma.« less

  5. The lithium vapor box divertor

    NASA Astrophysics Data System (ADS)

    Goldston, R. J.; Myers, R.; Schwartz, J.

    2016-02-01

    It has long been recognized that volumetric dissipation of the plasma heat flux from a fusion power system is preferable to its localized impingement on a material surface. Volumetric dissipation mitigates both the anticipated very high heat flux and intense particle-induced damage due to sputtering. Recent projections to a tokamak demonstration power plant suggest an immense upstream parallel heat flux, of order 20 GW m-2, implying that fully detached operation may be a requirement for the success of fusion power. Building on pioneering work on the use of lithium by Nagayama et al and by Ono et al as well as earlier work on the gas box divertor by Watkins and Rebut, we present here a concept for a lithium vapor box divertor, in which lithium vapor extracts momentum and energy from a fusion-power-plant divertor plasma, using fully volumetric processes. At the high powers and pressures that are projected this requires a high density of lithium vapor, which must be isolated from the main plasma in order to avoid lithium build-up on the chamber walls or in the plasma. Isolation is achieved through a powerful multi-box differential pumping scheme available only for condensable vapors. The preliminary box-wise calculations are encouraging, but much more work is required to demonstrate the practical viability of this scheme, taking into account at least 2D plasma and vapor flows within and between the vapor boxes and out of the vapor boxes to the main plasma.

  6. The lithium vapor box divertor

    DOE PAGES

    Goldston, R. J.; Myers, R.; Schwartz, J.

    2016-01-13

    It has long been recognized that volumetric dissipation of the plasma heat flux from a fusion power system is preferable to its localized impingement on a material surface. Volumetric dissipation mitigates both the anticipated very high heat flux and intense particle-induced damage due to sputtering. Our recent projections to a tokamak demonstration power plant suggest an immense upstream parallel heat flux, of order 20 GW m -2, implying that fully detached operation may be a requirement for the success of fusion power. Building on pioneering work on the use of lithium by Nagayama et al and by Ono et almore » as well as earlier work on the gas box divertor by Watkins and Rebut, we present here a concept for a lithium vapor box divertor, in which lithium vapor extracts momentum and energy from a fusion-power-plant divertor plasma, using fully volumetric processes. Furthermore, at the high powers and pressures that are projected this requires a high density of lithium vapor, which must be isolated from the main plasma in order to avoid lithium build-up on the chamber walls or in the plasma. Isolation is achieved through a powerful multi-box differential pumping scheme available only for condensable vapors. The preliminary box-wise calculations are encouraging, but much more work is required in order to demonstrate the practical viability of this scheme, taking into account at least 2D plasma and vapor flows within and between the vapor boxes and out of the vapor boxes to the main plasma.« less

  7. Low temperature junction growth using hot-wire chemical vapor deposition

    DOEpatents

    Wang, Qi; Page, Matthew; Iwaniczko, Eugene; Wang, Tihu; Yan, Yanfa

    2014-02-04

    A system and a process for forming a semi-conductor device, and solar cells (10) formed thereby. The process includes preparing a substrate (12) for deposition of a junction layer (14); forming the junction layer (14) on the substrate (12) using hot wire chemical vapor deposition; and, finishing the semi-conductor device.

  8. Influence of atmospheric plasma on physicochemical properties of vapor-grown graphite nanofibers.

    PubMed

    Seo, Min-Kang; Park, Soo-Jin; Lee, Sang-Kwan

    2005-05-01

    Vapor-grown graphite nanofibers (GNFs) were modified by plasma treatments using low-pressure plasmas with different gases (Ar gas only and/or Ar/O2 gases), flow rates, pressures, and powers. Surface characterizations and morphologies of the GNFs after plasma treatment were investigated by X-ray photoelectron spectroscopy (XPS), contact angle, titration, and transmission electron microscopy (TEM) measurements. Also, the investigation of thermomechanical behavior and impact strengths of the GNFs/epoxy composites was performed by dynamic-mechanical thermal analysis (DMTA) and Izod impact testing, respectively. The plasma treatment of the fibers changed the surface morphologies by forming a layer with a thickness on the order of 1 nm, mainly consisting of oxygen functional groups such as hydroxyl, carbonyl, and carboxyl groups. After functionalization of the complete surfaces, further plasma treatment did not enhance the superficial oxygen content but slightly changed the portions of the functional groups. Also, the composites with plasma-treated GNFs showed an increase in T(g) and impact strength compared to the composites containing the same amount of plasma-untreated GNFs.

  9. Investigation of the AC Plasma Torch Working Conditions for the Plasma Chemical Applications

    NASA Astrophysics Data System (ADS)

    Safronov, A. A.; Vasilieva, O. B.; Dudnik, J. D.; E Kuznetsov, V.; Shiryaev, V. N.; Subbotin, D. I.; Pavlov, A. V.

    2017-04-01

    The presented design and parameters of a three-phase AC plasma torch with the power up to 500 kW, flow rate of air 30-50 g/s (temperature up to 5000 K) could be used in different plasma chemical processes. Range of measured plasma temperature is 3500-5000 K. The paper presents investigations of the plasma torch operation modes for its application in plasma chemical technologies. Plasma chemical technologies for various purposes (processing, destruction of various wastes, including technological and hazardous waste, conversion or production of chemicals to obtain nanoscale materials, etc.) are very promising in terms of the process efficiency. Their industrial use is difficult due to the lack of inexpensive and reliable plasma torches providing the desired level of temperature, enthalpy of the working gas and other necessary conditions for the process. This problem can be solved using a considered design of a three-phase alternating current plasma torch with power of 150-500 kW with working gas flow rate of 30-50 g/s with mass average temperature up to 5000K on the basis of which an industrial plasma chemical plant can be created. The basis of the plasma torch operation is a railgun effect that is the principle of arc movement in the field of its own current field. Thanks to single supply of power to the arc, arcs forming in the discharge chamber of the plasma torch move along the electrodes under the action of electrodynamic forces resulting from the interaction of the arc current with its own magnetic field. Under the condition of the three-phase supply voltage, arc transits from the electrode to the electrode with change in the anodic and cathodic phases with frequency of 300 Hz. A special feature of this design is the ability to organize the movement of the arc attachment along the electrode, thus ensuring an even distribution of the thermal load and thus achieve long time of continuous operation of the plasma torch. The parameters of the plasma jet of the

  10. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    NASA Astrophysics Data System (ADS)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  11. Low-temperature (120 °C) growth of nanocrystalline silicon films prepared by plasma enhanced chemical vapor deposition from SiCl 4/H 2 gases: Microstructure characterization

    NASA Astrophysics Data System (ADS)

    Zhang, L.; Gao, J. H.; Xiao, J. Q.; Wen, L. S.; Gong, J.; Sun, C.

    2012-01-01

    Hydrogenated nanocrystalline silicon (nc-Si:H) films were prepared using diluted tetrachlorosilane (SiCl4) with various hydrogen flow rates (Hf) by plasma enhanced chemical vapor deposition (PECVD) at a constant substrate temperature (Ts) as low as 120 °C. Raman spectroscopy, transmission electron microscopy (TEM), X-ray photoelectron spectroscopy (XPS), infrared spectra (IR) and spectroscopic ellipsometry (SE) were employed to investigate the microstructure and hydrogen bonding of the nc-Si:H films. Our results showed that the microstructure and hydrogen content of the films could be effectively tailored by the hydrogen flow rates, and a distinct transition from amorphous to nanocrystalline phase was observed with an increase of Hf. At an optimal preparation condition, a deposition rate was as high as 3.7 nm/min and the crystallinity reached up to 64.1%. In addition, the effect of hydrogen on the low-temperature growth of nc-Si:H film was proposed in relation to the surface reaction of radicals and the hydrogen diffusion in the surface growing region.

  12. Finite Element Analysis Modeling of Chemical Vapor Deposition of Silicon Carbide

    DTIC Science & Technology

    2014-06-19

    thesis primarily focuses on mass transport by gas -phase flow and diffusion , chemical reaction in gas phase and on solid surfaces, and thin film...chemical vapor deposition (CVD). This thesis primarily focuses on mass transport by gas -phase flow and diffusion , chemical reaction in gas phase and...9 Fluid Flow…………………………………………..…………………..…………….9 Thermodynamics………………………………………..………………….….…….11 Chemical Reaction and Diffusion

  13. Chemical Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Powell, J. Anthony; Larkin, David J.; Matus, Lawrence G.; Petit, Jeremy B.

    1993-01-01

    Large single-crystal SiC boules from which wafers of large area cut now being produced commerically. Availability of wafers opens door for development of SiC semiconductor devices. Recently developed chemical vapor deposition (CVD) process produces thin single-crystal SiC films on SiC wafers. Essential step in sequence of steps used to fabricate semiconductor devices. Further development required for specific devices. Some potential high-temperature applications include sensors and control electronics for advanced turbine engines and automobile engines, power electronics for electromechanical actuators for advanced aircraft and for space power systems, and equipment used in drilling of deep wells. High-frequency applications include communication systems, high-speed computers, and microwave power transistors. High-radiation applications include sensors and controls for nuclear reactors.

  14. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  15. Chemical Vapor Deposited Zinc Sulfide. SPIE Press Monograph

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McCloy, John S.; Tustison, Randal W.

    2013-04-22

    Zinc sulfide has shown unequaled utility for infrared windows that require a combination of long-wavelength infrared transparency, mechanical durability, and elevated-temperature performance. This book reviews the physical properties of chemical vapor deposited ZnS and their relationship to the CVD process that produced them. An in-depth look at the material microstructure is included, along with a discussion of the material's optical properties. Finally, because the CVD process itself is central to the development of this material, a brief history is presented.

  16. Vaporous Decontamination Methods: Potential Uses and Research Priorities for Chemical and Biological Contamination Control

    DTIC Science & Technology

    2006-06-01

    Decontamination assessment of Bacillus anthracis, Bacillus subtilis, and Geobacillus stearothermophilus spores on indoor surfaces using a hydrogen...resistant to commonly used disinfectants and require the use of chemical sterilants † to effectively decontaminate exposed areas. Since anthrax...spores can aerosolise the use of vaporous sterilants in the remediation of contaminated areas is desirable. A number of vaporous sterilants exist which

  17. Synthesis of TiO2 Nanoparticles from Ilmenite Through the Mechanism of Vapor-Phase Reaction Process by Thermal Plasma Technology

    NASA Astrophysics Data System (ADS)

    Samal, Sneha

    2017-11-01

    Synthesis of nanoparticles of TiO2 was carried out by non-transferred arc thermal plasma reactor using ilmenite as the precursor material. The powder ilmenite was vaporized at high temperature in plasma flame and converted to a gaseous state of ions in the metastable phase. On cooling, chamber condensation process takes place on recombination of ions for the formation of nanoparticles. The top-to-bottom approach induces the disintegration of complex ilmenite phases into simpler compounds of iron oxide and titanium dioxide phases. The vapor-phase reaction mechanism was carried out in thermal plasma zone for the synthesis of nanoparticles from ilmenite compound in a plasma reactor. The easy separation of iron particles from TiO2 was taken place in the plasma chamber with deposition of light TiO2 particles at the top of the cooling chamber and iron particles at the bottom. The dissociation and combination process of mechanism and synthesis are studied briefly in this article. The product TiO2 nanoparticle shows the purity with a major phase of rutile content. TiO2 nanoparticles produced in vapor-phase reaction process shows more photo-induced capacity.

  18. Vaporization and atomization of uranium in a graphite tube electrothermal vaporizer: a mechanistic study using electrothermal vaporization inductively coupled plasma mass spectrometry and graphite furnace atomic absorption spectrometry

    NASA Astrophysics Data System (ADS)

    Goltz, D. M.; Grégoire, D. C.; Byrne, J. P.; Chakrabarti, C. L.

    1995-07-01

    The mechanism of vaporization and atomization of U in a graphite tube electrothermal vaporizer was studied using graphite furnace atomic absorption spectrometry (GFAAS) and electrothermal vaporization inductively coupled plasma mass spectrometry (ETV-ICP-MS). Graphite furnace AAS studies indicate U atoms are formed at temperatures above 2400°C. Using ETV-ICP-MS, an appearance temperature of 1100°C was obtained indicating that some U vaporizes as U oxide. Although U carbides form at temperatures above 2000°C, ETV-ICP-MS studies show that they do not vaporize until 2600°C. In the temperature range between 2200°C and 2600°C, U atoms in GFAAS are likely formed by thermal dissociation of U oxide, whereas at higher temperatures, U atoms are formed via thermal dissociation of U carbide. The origin of U signal suppression in ETV-ICP-MS by NaCl was also investigated. At temperatures above 2000°C, signal suppression may be caused by the accelerated rate of formation of carbide species while at temperatures below 2000°C, the presence of NaCl may cause intercalation of the U in the graphite layers resulting in partial retention of U during the vaporization step. The use of 0.3% freon-23 (CHF 3) mixed with the argon carrier gas was effective in preventing the intercalation of U in graphite and U carbide formation at 2700°C.

  19. Effects of spatial separation on the growth of vertically aligned carbon nanofibers produced by plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Merkulov, Vladimir I.; Melechko, Anatoli V.; Guillorn, Michael A.; Lowndes, Douglas H.; Simpson, Michael L.

    2002-01-01

    Vertically aligned carbon nanofibers (VACNFs) with vastly different spacing were grown by catalytically controlled dc glow discharge chemical vapor deposition. Both densely packed VACNFs and essentially isolated VACNFs were studied using scanning electron microscopy and x-ray energy dispersive spectroscopy. The morphology and chemical composition of isolated VACNFs were found to have a strong dependence upon the growth conditions, in particular on the C2H2/NH3 gas mixture used. This is attributed to the sidewalls of isolated VACNFs being exposed to reactive species during growth. In contrast, the sidewalls of densely packed VACNFs were shielded by the neighboring VACNFs, so that their growth occurred mainly in the vertical direction, by diffusion of carbon through the catalyst nanoparticle and subsequent precipitation at the nanofiber/nanoparticle interface. These striking differences in the growth process result in the formation of flattened carbon nanostructures (carbon nanotriangles) and also are quite important for the realization of VACNF-based devices.

  20. Impact of hydrogen dilution on optical properties of intrinsic hydrogenated amorphous silicon films prepared by high density plasma chemical vapor deposition for solar cell applications

    NASA Astrophysics Data System (ADS)

    Chen, Huai-Yi; Lee, Yao-Jen; Chang, Chien-Pin; Koo, Horng-Show; Lai, Chiung-Hui

    2013-01-01

    P-i-n single-junction hydrogenated amorphous silicon (a-Si:H) thin film solar cells were successfully fabricated in this study on a glass substrate by high density plasma chemical vapor deposition (HDP-CVD) at low power of 50 W, low temperature of 200°C and various hydrogen dilution ratios (R). The open circuit voltage (Voc ), short circuit current density (Jsc ), fill factor (FF) and conversion efficiency (η) of the solar cell as well as the refractive index (n) and absorption coefficient (α) of the i-layer at 600 nm wavelength rise with increasing R until an abrupt drop at high hydrogen dilution, i.e. R > 0.95. However, the optical energy bandgap (Eg ) of the i-layer decreases with the R increase. Voc and α are inversely correlated with Eg . The hydrogen content affects the i-layer and p/i interface quality of the a-Si:H thin film solar cell with an optimal value of R = 0.95, which corresponds to solar cell conversion efficiency of 3.85%. The proposed a-Si:H thin film solar cell is expected to be improved in performance.

  1. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    DOEpatents

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  2. Cold plasma processing of local planetary ores for oxygen and metallurgically important metals

    NASA Technical Reports Server (NTRS)

    Lynch, D. C.; Bullard, D.; Ortega, R.

    1990-01-01

    The utilization of a cold plasma in chlorination processing is described. Essential equipment and instruments were received, the experimental apparatus assembled and tested, and preliminary experiments conducted. The results of the latter lend support to the original hypothesis: a cold plasma can both significantly enhance and bias chemical reactions. In two separate experiments, a cold plasma was used to reduce TiCl4 vapor and chlorinate ilmenite. The latter, reacted in an argon-chlorine plasma, yielded oxygen. The former experiment reveals that chlorine can be recovered as HCl vapor from metal chlorides in a hydrogen plasma. Furthermore, the success of the hydrogen experiments has lead to an analysis of the feasibility of direct hydrogen reduction of metal oxides in a cold plasma. That process would produce water vapor and numerous metal by-products.

  3. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  4. Metalorganic chemical vapor deposition and characterization of ZnO materials

    NASA Astrophysics Data System (ADS)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  5. Design of ultra-compact composite plasmonic Mach-Zehnder interferometer for chemical vapor sensing

    NASA Astrophysics Data System (ADS)

    Ghosh, Souvik; Rahman, B. M. A.

    2018-02-01

    Following the Industrial advancements in the last few decades, highly flammable chemicals, such as ethanol (CH3CH2OH) and methanol (CH3OH) are widely being used in daily life. Ethanol have some degrees of carcinogenic effects in human whereas acute and chronic exposer of methanol results blurred vision and nausea. Therefore, accurate and efficient sensing of these two vapors in industrial environment are of high priorities. We have designed a novel, ultra-compact chemical vapor sensor based on composite plasmonic horizontal slot waveguide (CPHSW) where a low-index porous-ZnO (P-ZnO) layer is sandwiched in between top silver metal and lower silicon layers. Different P-ZnO templates, such as nano-spheres, nano-sheets and nanoplates could be used for high-selectivity of ethanol and methanol at different temperatures. The Lorentz-Lorenz model is used to determine the variation of P-ZnO refractive index (RI) with porosity and equivalent RI of P-ZnO layer for capillary condensation of different percentage of absorbed vapor. An in-house, new divergence modified finite element method is used to calculate effective index and attenuation sensitivity. Plasmonic modal analyses of dominant quasi-TM mode shows a high 42% power confinement in the slot. Next, an ultra-compact MZI incorporating a few micrometres long CPHSW is designed and analysed as a transducer device for accurate detection of effective index change. The device performance has been studied for different percentage of ethanol into P-ZnO with different porosity and a maximum phase sensitivity of >0.35 a.u. is achieved for both the chemical vapors at a mid-IR operating wavelength of 1550 nm.

  6. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    NASA Astrophysics Data System (ADS)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  7. Consequences of plasma oxidation and vacuum annealing on the chemical properties and electron accumulation of In2O3 surfaces

    NASA Astrophysics Data System (ADS)

    Berthold, Theresa; Rombach, Julius; Stauden, Thomas; Polyakov, Vladimir; Cimalla, Volker; Krischok, Stefan; Bierwagen, Oliver; Himmerlich, Marcel

    2016-12-01

    The influence of oxygen plasma treatments on the surface chemistry and electronic properties of unintentionally doped and Mg-doped In2O3(111) films grown by plasma-assisted molecular beam epitaxy or metal-organic chemical vapor deposition is studied by photoelectron spectroscopy. We evaluate the impact of semiconductor processing technology relevant treatments by an inductively coupled oxygen plasma on the electronic surface properties. In order to determine the underlying reaction processes and chemical changes during film surface-oxygen plasma interaction and to identify reasons for the induced electron depletion, in situ characterization was performed implementing a dielectric barrier discharge oxygen plasma as well as vacuum annealing. The strong depletion of the initial surface electron accumulation layer is identified to be caused by adsorption of reactive oxygen species, which induce an electron transfer from the semiconductor to localized adsorbate states. The chemical modification is found to be restricted to the topmost surface and adsorbate layers. The change in band bending mainly depends on the amount of attached oxygen adatoms and the film bulk electron concentration as confirmed by calculations of the influence of surface state density on the electron concentration and band edge profile using coupled Schrödinger-Poisson calculations. During plasma oxidation, hydrocarbon surface impurities are effectively removed and surface defect states, attributed to oxygen vacancies, vanish. The recurring surface electron accumulation after subsequent vacuum annealing can be consequently explained by surface oxygen vacancies.

  8. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, James G.; Roherty-Osmun, Elizabeth Lynn; Smith, Paul M.; Custer, Jonathan S.; Jones, Ronald V.; Nicolet, Marc-A.; Madar, Roland; Bernard, Claude

    1999-01-01

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF.sub.6, either silicon or boron, and nitrogen. The result is a W--Si--N or W--B--N thin film useful for diffusion barrier and micromachining applications.

  9. Plasma impregnation of wood with fire retardants

    NASA Astrophysics Data System (ADS)

    Pabeliña, Karel G.; Lumban, Carmencita O.; Ramos, Henry J.

    2012-02-01

    The efficacy of chemical and plasma treatments with phosphate and boric compounds, and nitrogen as flame retardants on wood are compared in this study. The chemical treatment involved the conventional method of spraying the solution over the wood surface at atmospheric condition and chemical vapor deposition in a vacuum chamber. The plasma treatment utilized a dielectric barrier discharge ionizing and decomposing the flame retardants into innocuous simple compounds. Wood samples are immersed in either phosphoric acid, boric acid, hydrogen or nitrogen plasmas or a plasma admixture of two or three compounds at various concentrations and impregnated by the ionized chemical reactants. Chemical changes on the wood samples were analyzed by Fourier transform infrared spectroscopy (FTIR) while the thermal changes through thermo gravimetric analysis (TGA). Plasma-treated samples exhibit superior thermal stability and fire retardant properties in terms of highest onset temperature, temperature of maximum pyrolysis, highest residual char percentage and comparably low total percentage weight loss.

  10. Simulations of chemical vapor deposition diamond film growth using a kinetic Monte Carlo model and two-dimensional models of microwave plasma and hot filament chemical vapor deposition reactors

    NASA Astrophysics Data System (ADS)

    May, P. W.; Harvey, J. N.; Allan, N. L.; Richley, J. C.; Mankelevich, Yu. A.

    2010-12-01

    A one-dimensional kinetic Monte Carlo (KMC) model has been developed to simulate the chemical vapor deposition of a diamond (100) surface under conditions used to grow single-crystal diamond (SCD), microcrystalline diamond (MCD), nanocrystalline diamond (NCD), and ultrananocrystalline diamond (UNCD) films. The model considers adsorption, etching/desorption, lattice incorporation and surface migration but not defect formation or renucleation processes. Two methods have been devised for estimation of the gas phase concentrations of species at the growing diamond surface, and are used to determine adsorption rates for C1Hx hydrocarbons for the different conditions. The rate of migration of adsorbed carbon species is governed by the availability of neighboring radical sites, which, in turn, depend upon the rates of H abstraction and of surface-radical migration. The KMC model predicts growth rates and surface roughness for each of diamond types consistent with experiment. In the absence of defect formation and renucleation the average surface diffusion length, ℓ, is a key parameter controlling surface morphology. When ℓ <2, surface migration is limited by the lack of availability of surface radical sites, and the migrating surface species simply hop back and forth between two adjacent sites but do not travel far beyond their initial adsorption site. Thus, Eley-Rideal processes dominate the growth, leading to the rough surfaces seen in NCD and UNCD. The maximum or "intrinsic" surface roughness occurs for nominally zero-migration conditions (ℓ =0) with an rms value of approximately five carbon atoms. Conversely, when migration occurs over greater distances (ℓ >2), Langmuir-Hinshelwood processes dominate the growth producing the smoother surfaces of MCD and SCD. By extrapolation, we predict that atomically smooth surfaces over large areas should occur once migrating species can travel approximately five sites (ℓ ˜5). β-scission processes are found to be

  11. Metal vapor laser including hot electrodes and integral wick

    DOEpatents

    Ault, Earl R.; Alger, Terry W.

    1995-01-01

    A metal vapor laser, specifically one utilizing copper vapor, is disclosed herein. This laser utilizes a plasma tube assembly including a thermally insulated plasma tube containing a specific metal, e.g., copper, and a buffer gas therein. The laser also utilizes means including hot electrodes located at opposite ends of the plasma tube for electrically exciting the metal vapor and heating its interior to a sufficiently high temperature to cause the metal contained therein to vaporize and for subjecting the vapor to an electrical discharge excitation in order to lase. The laser also utilizes external wicking arrangements, that is, wicking arrangements located outside the plasma tube.

  12. Metal vapor laser including hot electrodes and integral wick

    DOEpatents

    Ault, E.R.; Alger, T.W.

    1995-03-07

    A metal vapor laser, specifically one utilizing copper vapor, is disclosed herein. This laser utilizes a plasma tube assembly including a thermally insulated plasma tube containing a specific metal, e.g., copper, and a buffer gas therein. The laser also utilizes means including hot electrodes located at opposite ends of the plasma tube for electrically exciting the metal vapor and heating its interior to a sufficiently high temperature to cause the metal contained therein to vaporize and for subjecting the vapor to an electrical discharge excitation in order to lase. The laser also utilizes external wicking arrangements, that is, wicking arrangements located outside the plasma tube. 5 figs.

  13. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, J.G.; Roherty-Osmun, E.L.; Smith, P.M.; Custer, J.S.; Jones, R.V.; Nicolet, M.; Madar, R.; Bernard, C.

    1999-06-29

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF[sub 6], either silicon or boron, and nitrogen. The result is a W-Si-N or W-B-N thin film useful for diffusion barrier and micromachining applications. 10 figs.

  14. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  15. Separation of mixtures of chemical elements in plasma

    NASA Astrophysics Data System (ADS)

    Dolgolenko, D. A.; Muromkin, Yu A.

    2017-10-01

    This paper reviews proposals on the plasma processing of radioactive waste (RW) and spent nuclear fuel (SNF). The chemical processing of SNF based on the extraction of its components from water solutions is rather expensive and produces new waste. The paper considers experimental research on plasma separation of mixtures of chemical elements and isotopes, whose results can help evaluate the plasma methods of RW and SNF reprocessing. The analysis identifies the difference between ionization levels of RW and SNF components at their transition to the plasma phase as a reason why all plasma methods are difficult to apply.

  16. Effects of thermal annealing on the structural, mechanical, and tribological properties of hard fluorinated carbon films deposited by plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Maia da Costa, M. E. H.; Baumvol, I. J. R.; Radke, C.; Jacobsohn, L. G.; Zamora, R. R. M.; Freire, F. L.

    2004-11-01

    Hard amorphous fluorinated carbon films (a-C:F) deposited by plasma enhanced chemical vapor deposition were annealed in vacuum for 30 min in the temperature range of 200-600 °C. The structural and compositional modifications were followed by several analytical techniques: Rutherford backscattering spectrometry (RBS), elastic recoil detection analysis (ERDA), x-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Nanoidentation measurements and lateral force microscopy experiments were carried out in order to provide the film hardness and the friction coefficient, respectively. The internal stress and contact angle were also measured. RBS, ERDA, and XPS results indicate that both fluorine and hydrogen losses occur for annealing temperatures higher than 300 °C. Raman spectroscopy shows a progressive graphitization upon annealing, while the surface became slightly more hydrophobic as revealed by the increase of the contact angle. Following the surface wettability reduction, a decrease of the friction coefficient was observed. These results highlight the influence of the capillary condensation on the nanoscale friction. The film hardness and the internal stress are constant up to 300 °C and decrease for higher annealing temperatures, showing a direct correlation with the atomic density of the films. Since the thickness variation is negligible, the mass loss upon thermal treatment results in amorphous structures with a lower degree of cross-linking, explaining the deterioration of the mechanical properties of the a-C:F films.

  17. Method of processing materials using an inductively coupled plasma

    DOEpatents

    Hull, D.E.; Bieniewski, T.M.

    1987-04-13

    A method of processing materials. The invention enables ultrafine, ultrapure powders to be formed from solid ingots in a gas free environment. A plasma is formed directly from an ingot which insures purity. The vaporized material is expanded through a nozzle and the resultant powder settles on a cold surface. An inductively coupled plasma may also be used to process waste chemicals. Noxious chemicals are directed through a series of plasma tubes, breaking molecular bonds and resulting in relatively harmless atomic constituents. 3 figs.

  18. Passive Standoff Detection of Chemical Vapors by Differential FTIR Radiometry

    DTIC Science & Technology

    2001-01-01

    8217 utilisation d’un interferometre infrarouge a transformation de Fourier (FTIR) a double entree optimise pour la soustraction optique. En vue de sa mise...Valcartier (DREV) is currently developing a passive Fourier Transform InfraRed (FTIR) technique for the standoff detection and identification of...chemical vapors. A well-known difficulty associated with this technique is that the recorded signal also contains a large amount of unwanted background

  19. Modeling of InP metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Black, Linda R.; Clark, Ivan O.; Kui, J.; Jesser, William A.

    1991-01-01

    The growth of InP by metalorganic chemical vapor deposition (MOCVD) in a horizontal reactor is being modeled with a commercially available computational fluid dynamics modeling code. The mathematical treatment of the MOCVD process has four primary areas of concern: 1) transport phenomena, 2) chemistry, 3) boundary conditions, and 4) numerical solution methods. The transport processes involved in CVD are described by conservation of total mass, momentum, energy, and atomic species. Momentum conservation is described by a generalized form of the Navier-Stokes equation for a Newtonian fluid and laminar flow. The effect of Soret diffusion on the transport of particular chemical species and on the predicted deposition rate is examined. Both gas-phase and surface chemical reactions are employed in the model. Boundary conditions are specified at the inlet and walls of the reactor for temperature, fluid flow and chemical species. The coupled set of equations described above is solved by a finite difference method over a nonuniform rectilinear grid in both two and three dimensions. The results of the 2-D computational model is presented for gravity levels of zero- and one-g. The predicted growth rates at one-g are compared to measured growth rates on fused silica substrates.

  20. Functionalized bioinspired microstructured optical fiber pores for applications in chemical vapor sensing

    NASA Astrophysics Data System (ADS)

    Calkins, Jacob A.

    Chemical vapor sensing for defense, homeland security, environmental, and agricultural application is a challenge, which due combined requirements of ppt sensitivity, high selectivity, and rapid response, cannot be met using conventional analytical chemistry techniques. New sensing approaches and platforms are necessary in order to make progress in this rapidly evolving field. Inspired by the functionalized nanopores on moth sensilla hairs that contribute to the high selectivity and sensitivity of this biological system, a chemical vapor sensor based on the micro to nanoscale pores in microstructured optical fibers (MOFs) was designed. This MOF based chemical vapor sensor design utilizes MOF pores functionalized with organic self-assembled monolayers (SAMs) for selectivity and separations and a gold plasmonic sensor for detection and discrimination. Thin well-controlled gold films in MOF pores are critical components for the fabrication of structured plasmonic chemical vapor sensors. Thermal decomposition of dimethyl Au(II) trifluoroacetylacetonate dissolved in near-critical CO2 was used to deposit gold island films within the MOF pores. Using a 3mercatopropyltrimethoxysilane adhesion layer, continuous gold thin films as thin as 20--30 nm were deposited within MOF pores as small as 500 nm in diameter. The gold island films proved to be SERS active and were used to detect 900 ppt 2,4 DNT vapor in high pressure nitrogen and 6 ppm benzaldehyde. MOF based waveguide Raman (WGR), which can probe the air/silica interface between a waveguiding core and surrounding pores, was developed to detect and characterize SAMs and other thin films deposited in micro to nanoscale MOF pores. MOF based WGR was used to characterize an octadecyltrichlorosilane (OTS) SAM deposited in 1.6 mum diameter pores iv to demonstrate that the SAM was well-formed, uniform along the pore length, and only a single layer. MOF based WGR was used to detect a human serum albumin monolayer deposited on the

  1. The Lithium Vapor Box Divertor

    NASA Astrophysics Data System (ADS)

    Goldston, Robert; Hakim, Ammar; Hammett, Gregory; Jaworski, Michael; Myers, Rachel; Schwartz, Jacob

    2015-11-01

    Projections of scrape-off layer width to a demonstration power plant suggest an immense parallel heat flux, of order 12 GW/m2, which will necessitate nearly fully detached operation. Building on earlier work by Nagayama et al. and by Ono et al., we propose to use a series of differentially pumped boxes filled with lithium vapor to isolate the buffering vapor from the main plasma chamber, allowing stable detachment. This powerful differential pumping is only available for condensable vapors, not conventional gases. We demonstrate the properties of such a system through conservation laws for vapor mass and enthalpy, and then include plasma entrainment and ultimately an estimate of radiated power. We find that full detachment should be achievable with little leakage of lithium to the main plasma chamber. We also present progress towards solving the Navier-Stokes equation numerically for the chain of vapor boxes, including self-consistent wall boundary conditions and fully-developed shocks, as well as concepts for an initial experimental demonstration-of-concept. This work supported by DOE Contract No. DE-AC02-09CH11466.

  2. Linearization of calibration curves by aerosol carrier effect of CCl 4 vapor in electrothermal vaporization inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Kántor, Tibor; de Loos-Vollebregt, Margaretha T. C.

    2005-03-01

    Carbon tetrachloride vapor as gaseous phase modifier in a graphite furnace electrothermal vaporizer (GFETV) converts heavy volatile analyte forms to volatile and medium volatile chlorides and produces aerosol carrier effect, the latter being a less generally recognized benefit. However, the possible increase of polyatomic interferences in inductively coupled plasma mass spectrometry (GFETV-ICP-MS) by chlorine and carbon containing species due to CCl 4 vapor introduction has been discouraging with the use of low resolution, quadrupole type MS equipment. Being aware of this possible handicap, it was aimed at to investigate the feasibility of the use of this halogenating agent in ICP-MS with regard of possible hazards to the instrument, and also to explore the advantages under these specific conditions. With sample gas flow (inner gas flow) rate not higher than 900 ml min -1 Ar in the torch and 3 ml min -1 CCl 4 vapor flow rate in the furnace, the long-term stability of the instrument was ensured and the following benefits by the halocarbon were observed. The non-linearity error (defined in the text) of the calibration curves (signal versus mass functions) with matrix-free solution standards was 30-70% without, and 1-5% with CCl 4 vapor introduction, respectively, at 1 ng mass of Cu, Fe, Mn and Pb analytes. The sensitivity for these elements increased by 2-4-fold with chlorination, while the relative standard deviation (RSD) was essentially the same (2-5%) for the two cases in comparison. A vaporization temperature of 2650 °C was required for Cr in Ar atmosphere, while 2200 °C was sufficient in Ar + CCl 4 atmosphere to attain complete vaporization. Improvements in linear response and sensitivity were the highest for this least volatile element. The pyrolytic graphite layer inside the graphite tube was protected by the halocarbon, and tube life time was further increased by using traces of hydrocarbon vapor in the external sheath gas of the graphite furnace. Details

  3. Chemical vapor deposition of group IIIB metals

    DOEpatents

    Erbil, Ahmet

    1989-01-01

    Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula (I) ##STR1## where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula I is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula I and a heat decomposable tellurium compound under nonoxidizing conditions.

  4. Chemical vapor deposition of group IIIB metals

    DOEpatents

    Erbil, A.

    1989-11-21

    Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula given in the patent where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula 1 is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula 1 and a heat decomposable tellurium compound under nonoxidizing conditions.

  5. Bipolar plasma vaporization using plasma-cutting and plasma-loop electrodes versus cold-knife transurethral incision for the treatment of posterior urethral stricture: a prospective, randomized study.

    PubMed

    Cai, Wansong; Chen, Zhiyuan; Wen, Liping; Jiang, Xiangxin; Liu, Xiuheng

    2016-01-01

    Evaluate the efficiency and safety of bipolar plasma vaporization using plasma-cutting and plasma-loop electrodes for the treatment of posterior urethral stricture. Compare the outcomes following bipolar plasma vaporization with conventional cold-knife urethrotomy. A randomized trial was performed to compare patient outcomes from the bipolar and cold-knife groups. All patients were assessed at 6 and 12 months postoperatively via urethrography and uroflowmetry. At the end of the first postoperative year, ureteroscopy was performed to evaluate the efficacy of the procedure. The mean follow-up time was 13.9 months (range: 12 to 21 months). If re-stenosis was not identified by both urethrography and ureteroscopy, the procedure was considered "successful". Fifty-three male patients with posterior urethral strictures were selected and randomly divided into two groups: bipolar group (n=27) or cold-knife group (n=26). Patients in the bipolar group experienced a shorter operative time compared to the cold-knife group (23.45±7.64 hours vs 33.45±5.45 hours, respectively). The 12-month postoperative Qmax was faster in the bipolar group than in the cold-knife group (15.54±2.78 ml/sec vs 18.25±2.12 ml/sec, respectively). In the bipolar group, the recurrence-free rate was 81.5% at a mean follow-up time of 13.9 months. In the cold-knife group, the recurrence-free rate was 53.8%. The application of bipolar plasma-cutting and plasma-loop electrodes for the management of urethral stricture disease is a safe and reliable method that minimizes the morbidity of urethral stricture resection. The advantages include a lower recurrence rate and shorter operative time compared to the cold-knife technique.

  6. Microcrystalline silicon thin films deposited by matrix-distributed electron cyclotron resonance plasma enhanced chemical vapor deposition using an SiF4 /H2 chemistry

    NASA Astrophysics Data System (ADS)

    Wang, Junkang; Bulkin, Pavel; Florea, Ileana; Maurice, Jean-Luc; Johnson, Erik

    2016-07-01

    For the growth of hydrogenated microcrystalline silicon (μc-Si:H) thin films by low temperature plasma-enhanced chemical vapor deposition (PECVD), silicon tetrafluoride (SiF4) has recently attracted interest as a precursor due to the resilient optoelectronic performance of the resulting material and devices. In this work, μc-Si:H films are deposited at high rates (7 Å s-1) from a SiF4 and hydrogen (H2) gas mixture by matrix-distributed electron cyclotron resonance PECVD (MDECR-PECVD). Increased substrate temperature and moderate ion bombardment energy (IBE) are demonstrated to be of vital importance to achieve high quality μc-Si:H films under such low process pressure and high plasma density conditions, presumably due to thermally-induced and ion-induced enhancement of surface species migration. Two well-defined IBE thresholds at 12 eV and 43 eV, corresponding respectively to SiF+ ion-induced surface and bulk atomic displacement, are found to be determinant to the final film properties, namely the surface roughness, feature size and crystalline content. Moreover, a study of the growth dynamics shows that the primary challenge to producing highly crystallized μc-Si:H films by MDECR-PECVD appears to be the nucleation step. By employing a two-step method to first prepare a highly crystallized seed layer, μc-Si:H films lacking any amorphous incubation layer have been obtained. A crystalline volume fraction of 68% is achieved with a substrate temperature as low as 120 °C, which is of great interest to broaden the process window for solar cell applications.

  7. Continuous growth of single-wall carbon nanotubes using chemical vapor deposition

    DOEpatents

    Grigorian, Leonid [Raymond, OH; Hornyak, Louis [Evergreen, CO; Dillon, Anne C [Boulder, CO; Heben, Michael J [Denver, CO

    2008-10-07

    The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.

  8. Continuous growth of single-wall carbon nanotubes using chemical vapor deposition

    DOEpatents

    Grigorian, Leonid; Hornyak, Louis; Dillon, Anne C; Heben, Michael J

    2014-09-23

    The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.

  9. Combustion flame-plasma hybrid reactor systems, and chemical reactant sources

    DOEpatents

    Kong, Peter C

    2013-11-26

    Combustion flame-plasma hybrid reactor systems, chemical reactant sources, and related methods are disclosed. In one embodiment, a combustion flame-plasma hybrid reactor system comprising a reaction chamber, a combustion torch positioned to direct a flame into the reaction chamber, and one or more reactant feed assemblies configured to electrically energize at least one electrically conductive solid reactant structure to form a plasma and feed each electrically conductive solid reactant structure into the plasma to form at least one product is disclosed. In an additional embodiment, a chemical reactant source for a combustion flame-plasma hybrid reactor comprising an elongated electrically conductive reactant structure consisting essentially of at least one chemical reactant is disclosed. In further embodiments, methods of forming a chemical reactant source and methods of chemically converting at least one reactant into at least one product are disclosed.

  10. VAPOR SAMPLING DEVICE FOR INTERFACE WITH MICROTOX ASSAY FOR SCREENING TOXIC INDUSTRIAL CHEMICALS

    EPA Science Inventory

    A time-integrated sampling system interfaced with a toxicity-based assay is reported for monitoring volatile toxic industrial chemicals (TICs). Semipermeable membrane devices (SPMDs) using dimethyl sulfoxide (DMSO) as the fill solvent accumulated each of 17 TICs from the vapor...

  11. Magnetorheological finishing of chemical-vapor deposited zinc sulfide via chemically and mechanically modified fluids.

    PubMed

    Salzman, Sivan; Romanofsky, Henry J; Giannechini, Lucca J; Jacobs, Stephen D; Lambropoulos, John C

    2016-02-20

    We describe the anisotropy in the material removal rate (MRR) of the polycrystalline, chemical-vapor deposited zinc sulfide (ZnS). We define the polycrystalline anisotropy via microhardness and chemical erosion tests for four crystallographic orientations of ZnS: (100), (110), (111), and (311). Anisotropy in the MRR was studied under magnetorheological finishing (MRF) conditions. Three chemically and mechanically modified magnetorheological (MR) fluids at pH values of 4, 5, and 6 were used to test the MRR variations among the four single-crystal planes. When polishing the single-crystal planes and the polycrystalline with pH 5 and pH 6 MR fluids, variations were found in the MRR among the four single-crystal planes and surface artifacts were observed on the polycrystalline material. When polishing the single-crystal planes and the polycrystalline with the modified MR fluid at pH 4, however, minimal variation was observed in the MRR among the four orientations and a reduction in surface artifacts was achieved on the polycrystalline material.

  12. RF-plasma vapor deposition of siloxane on paper. Part 1: Physical evolution of paper surface

    NASA Astrophysics Data System (ADS)

    Sahin, Halil Turgut

    2013-01-01

    An alternative, new approach to improve the hydrophobicity and barrier properties of paper was evaluated by radio-frequency (RF) plasma octamethylcyclotetrasiloxane (OMCTSO) vapor treatment. The interaction between OMCTSO and paper, causing the increased hydophobicity, is likely through covalent bonding. The deposited thin silicone-like polymeric layer from OMCTSO plasma treatment possessed desirable hydrophobic properties. The SEM micrographs showed uniformly distributed grainy particles with various shapes on the paper surface. Deposition of the silicone polymer-like layer with the plasma treatment affects the distribution of voids in the network structure and increases the barrier against water intake and air. The water absorptivity was reduced by 44% for the OMCTSO plasma treated sheet. The highest resistance to air flow was an approximately 41% lower air permeability than virgin paper.

  13. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    NASA Astrophysics Data System (ADS)

    Vadym, Prysiazhnyi; Pavel, Slavicek; Eliska, Mikmekova; Milos, Klima

    2016-04-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure.

  14. Heteroepitaxial growth of Ba1 - xSrxTiO3/YBa2Cu3O7 - x by plasma-enhanced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chern, C. S.; Liang, S.; Shi, Z. Q.; Yoon, S.; Safari, A.; Lu, P.; Kear, B. H.; Goodreau, B. H.; Marks, T. J.; Hou, S. Y.

    1994-06-01

    Epitaxial Ba1-xSrxTiO3(BST)/YBa2Cu3O7-x heterostructures with superior electrical and dielectric properties have been fabricated by plasma-enhanced metalorganic chemical vapor deposition (PE-MOCVD). Data of x-ray diffraction and high resolution transmission electron microscopy showed that <100> oriented Ba1-xSrxTiO3 layers were epitaxially deposited on epitaxial (001) YBa2Cu3O7-x layers. The leakage current density through the Ba1-xSrxTiO3 films was about 10-7 A/cm2 at 2 V (about 2×105 V/cm) operation. Moreover, the results of capacitance-temperature measurements showed that the PE-MOCVD Ba1-xSrxTiO3 films had Curie temperatures of about 30 °C and a peak dielectric constant of 600 at zero bias voltage. The Rutherford backscattering spectrometry and x-ray diffraction results showed that the BST film composition was controlled between Ba0.75Sr0.25TiO3 and Ba0.8Sr0.2TiO3. The structural and electrical properties of the Ba1-xSrxTiO3/YBa2Cu3O7-x heterostructure indicated that conductive oxide materials with close lattice to Ba1-xSrxTiO3 can be good candidates for the bottom electrode.

  15. High pressure studies using two-stage diamond micro-anvils grown by chemical vapor deposition

    DOE PAGES

    Vohra, Yogesh K.; Samudrala, Gopi K.; Moore, Samuel L.; ...

    2015-06-10

    Ultra-high static pressures have been achieved in the laboratory using a two-stage micro-ball nanodiamond anvils as well as a two-stage micro-paired diamond anvils machined using a focused ion-beam system. The two-stage diamond anvils’ designs implemented thus far suffer from a limitation of one diamond anvil sliding past another anvil at extreme conditions. We describe a new method of fabricating two-stage diamond micro-anvils using a tungsten mask on a standard diamond anvil followed by microwave plasma chemical vapor deposition (CVD) homoepitaxial diamond growth. A prototype two stage diamond anvil with 300 μm culet and with a CVD diamond second stage ofmore » 50 μm in diameter was fabricated. We have carried out preliminary high pressure X-ray diffraction studies on a sample of rare-earth metal lutetium sample with a copper pressure standard to 86 GPa. Furthermore, the micro-anvil grown by CVD remained intact during indentation of gasket as well as on decompression from the highest pressure of 86 GPa.« less

  16. Wave propagation in a quasi-chemical equilibrium plasma

    NASA Technical Reports Server (NTRS)

    Fang, T.-M.; Baum, H. R.

    1975-01-01

    Wave propagation in a quasi-chemical equilibrium plasma is studied. The plasma is infinite and without external fields. The chemical reactions are assumed to result from the ionization and recombination processes. When the gas is near equilibrium, the dominant role describing the evolution of a reacting plasma is played by the global conservation equations. These equations are first derived and then used to study the small amplitude wave motion for a near-equilibrium situation. Nontrivial damping effects have been obtained by including the conduction current terms.

  17. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  18. Biocompatibility of Titania Nanotube Coatings Enriched with Silver Nanograins by Chemical Vapor Deposition

    PubMed Central

    Piszczek, Piotr; Lewandowska, Żaneta; Radtke, Aleksandra; Kozak, Wiesław; Sadowska, Beata; Szubka, Magdalena; Talik, Ewa; Fiori, Fabrizio

    2017-01-01

    Bioactivity investigations of titania nanotube (TNT) coatings enriched with silver nanograins (TNT/Ag) have been carried out. TNT/Ag nanocomposite materials were produced by combining the electrochemical anodization and chemical vapor deposition methods. Fabricated coatings were characterized by scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), and Raman spectroscopy. The release effect of silver ions from TNT/Ag composites immersed in bodily fluids, has been studied using inductively coupled plasma mass spectrometry (ICP-MS). The metabolic activity assay (MTT) was applied to determine the L929 murine fibroblasts adhesion and proliferation on the surface of TNT/Ag coatings. Moreover, the results of immunoassays (using peripheral blood mononuclear cells—PBMCs isolated from rats) allowed the estimation of the immunological activity of TNT/Ag surface materials. Antibacterial activity of TNT/Ag coatings with different morphological and structural features was estimated against two Staphylococcus aureus strains (ATCC 29213 and H9). The TNT/Ag nanocomposite layers produced revealed a good biocompatibility promoting the fibroblast adhesion and proliferation. A desirable anti-biofilm activity against the S. aureus reference strain was mainly noticed for these TiO2 nanotube coatings, which contain dispersed Ag nanograins deposited on their surface. PMID:28914821

  19. Fast screening of analytes for chemical reactions by reactive low-temperature plasma ionization mass spectrometry.

    PubMed

    Zhang, Wei; Huang, Guangming

    2015-11-15

    Approaches for analyte screening have been used to aid in the fine-tuning of chemical reactions. Herein, we present a simple and straightforward analyte screening method for chemical reactions via reactive low-temperature plasma ionization mass spectrometry (reactive LTP-MS). Solution-phase reagents deposited on sample substrates were desorbed into the vapor phase by action of the LTP and by thermal desorption. Treated with LTP, both reagents reacted through a vapor phase ion/molecule reaction to generate the product. Finally, protonated reagents and products were identified by LTP-MS. Reaction products from imine formation reaction, Eschweiler-Clarke methylation and the Eberlin reaction were detected via reactive LTP-MS. Products from the imine formation reaction with reagents substituted with different functional groups (26 out of 28 trials) were successfully screened in a time of 30 s each. Besides, two short-lived reactive intermediates of Eschweiler-Clarke methylation were also detected. LTP in this study serves both as an ambient ionization source for analyte identification (including reagents, intermediates and products) and as a means to produce reagent ions to assist gas-phase ion/molecule reactions. The present reactive LTP-MS method enables fast screening for several analytes from several chemical reactions, which possesses good reagent compatibility and the potential to perform high-throughput analyte screening. In addition, with the detection of various reactive intermediates (intermediates I and II of Eschweiler-Clarke methylation), the present method would also contribute to revealing and elucidating reaction mechanisms. Copyright © 2015 John Wiley & Sons, Ltd.

  20. Chemical vapor deposition fluid flow simulation modelling tool

    NASA Technical Reports Server (NTRS)

    Bullister, Edward T.

    1992-01-01

    Accurate numerical simulation of chemical vapor deposition (CVD) processes requires a general purpose computational fluid dynamics package combined with specialized capabilities for high temperature chemistry. In this report, we describe the implementation of these specialized capabilities in the spectral element code NEKTON. The thermal expansion of the gases involved is shown to be accurately approximated by the low Mach number perturbation expansion of the incompressible Navier-Stokes equations. The radiative heat transfer between multiple interacting radiating surfaces is shown to be tractable using the method of Gebhart. The disparate rates of reaction and diffusion in CVD processes are calculated via a point-implicit time integration scheme. We demonstrate the use above capabilities on prototypical CVD applications.

  1. Thermal barrier coatings on gas turbine blades: Chemical vapor deposition (Review)

    NASA Astrophysics Data System (ADS)

    Igumenov, I. K.; Aksenov, A. N.

    2017-12-01

    Schemes are presented for experimental setups (reactors) developed at leading scientific centers connected with the development of technologies for the deposition of coatings using the CVD method: at the Technical University of Braunschweig (Germany), the French Aerospace Research Center, the Materials Research Institute (Tohoku University, Japan) and the National Laboratory Oak Ridge (USA). Conditions and modes for obtaining the coatings with high operational parameters are considered. It is established that the formed thermal barrier coatings do not fundamentally differ in their properties (columnar microstructure, thermocyclic resistance, thermal conductivity coefficient) from standard electron-beam condensates, but the highest growth rates and the perfection of the crystal structure are achieved in the case of plasma-chemical processes and in reactors with additional laser or induction heating of a workpiece. It is shown that CVD reactors can serve as a basis for the development of rational and more advanced technologies for coating gas turbine blades that are not inferior to standard electron-beam plants in terms of the quality of produced coatings and have a much simpler and cheaper structure. The possibility of developing a new technology based on CVD processes for the formation of thermal barrier coatings with high operational parameters is discussed, including a set of requirements for industrial reactors, high-performance sources of vapor precursors, and promising new materials.

  2. Conformal coating of amorphous silicon and germanium by high pressure chemical vapor deposition for photovoltaic fabrics

    NASA Astrophysics Data System (ADS)

    Ji, Xiaoyu; Cheng, Hiu Yan; Grede, Alex J.; Molina, Alex; Talreja, Disha; Mohney, Suzanne E.; Giebink, Noel C.; Badding, John V.; Gopalan, Venkatraman

    2018-04-01

    Conformally coating textured, high surface area substrates with high quality semiconductors is challenging. Here, we show that a high pressure chemical vapor deposition process can be employed to conformally coat the individual fibers of several types of flexible fabrics (cotton, carbon, steel) with electronically or optoelectronically active materials. The high pressure (˜30 MPa) significantly increases the deposition rate at low temperatures. As a result, it becomes possible to deposit technologically important hydrogenated amorphous silicon (a-Si:H) from silane by a simple and very practical pyrolysis process without the use of plasma, photochemical, hot-wire, or other forms of activation. By confining gas phase reactions in microscale reactors, we show that the formation of undesired particles is inhibited within the microscale spaces between the individual wires in the fabric structures. Such a conformal coating approach enables the direct fabrication of hydrogenated amorphous silicon-based Schottky junction devices on a stainless steel fabric functioning as a solar fabric.

  3. Simulation of the dc Plasma in Carbon Nanotube Growth

    NASA Technical Reports Server (NTRS)

    Hash, David; Bose, Deepak; Govindan, T. R.; Meyyappan, M.; Biegel, Bryan (Technical Monitor)

    2003-01-01

    A model for the dc plasma used in carbon nanotube growth is presented, and one-dimensional simulations of an acetylene/ammonia/argon system are performed. The effect of dc bias is illustrated by examining electron temperature, electron and ion densities, and neutral densities. Introducing a tungsten filament in the dc plasma, as in hot filament chemical vapor deposition with plasma assistance, shows negligible influence on the system characteristics.

  4. Chemical Composition of Surfaces of Polycrystalline Silver Held in Water Vapor

    NASA Astrophysics Data System (ADS)

    Ashkhotov, O. G.; Khubezhov, S. A.; Aleroev, M. A.; Grigorkina, G. S.; Ashkhotova, I. B.; Magkoev, T. T.; Bliev, A. P.; Ramonova, A. G.; Kibizov, D. D.

    2018-01-01

    The chemical composition of surfaces and near-surface layers of massive polycrystalline silver held in water vapor for 2 h at 1073 K is studied via Auger and X-ray photoelectron spectroscopy. It is shown that the oxygen on a surface is in the molecular state. In near-surface layers at depths of up to 8 nm, it is predominantly in the atomic state typical of chemisorbed Ag2O.

  5. Synthesis and characterization of carbon nanofilms for chemical sensing

    NASA Astrophysics Data System (ADS)

    Kumar, Vivek

    Carbon nanofilms obtained by high temperature graphitization of diamond surface in inert atmospheres or vacuum are modified by treatment in plasma of different precursor gases. At temperatures above 1000 °C, a stable conductive film of thickness between 10 - 100 nm and specific resistivity 10-3-10-4 Ωm, depending upon the heating conditions and the growth atmosphere, is formed on diamond surface. A gray, thin film of high surface resistivity is obtained in high vacuum, while at low vacuum (below 10-4 mbar), a thick black film of low surface resistivity forms. It is observed that the exposure to plasma reduces the surface conductance of carbon nanofilms as result of a partial removal of carbon and the plasma-stimulated amorphization. The rate of the reduction of conductance and hence the etching ability of plasma depends on the type of precursor gas. Hydrogen reveals the strongest etching ability, followed by oxygen and argon, whereas SF6 is ineffective. The carbon nanofilms show significant sensitivity of their electrical conductance to temperature and exposure to the vapors of common organic compounds. The oxygen plasma treated films exhibit selective response to acetone and water vapors. The fast response and recovery of the conductance are the features of the carbon nanofilms. The plasma-treated carbon nanofilm on graphitized diamond surface is discussed as a promising sensing material for development of all-carbon chemical sensors, which may be suitable for biological and medical applications. An alternative approach of fabrication of temperature and chemical sensitive carbon nanofilms on insulating substrates is proposed. The films are obtained by direct deposition of sputtered carbon on highly polished quartz substrates followed by subsequent annealing at temperatures above 400 °C. It is observed that the as-deposited films are essentially amorphous, while the heating induces irreversible structural ordering and gradual conversion of amorphous carbon in

  6. Damping mechanisms in chemically vapor deposited SiC fibers

    NASA Technical Reports Server (NTRS)

    Dicarlo, James A.; Goldsby, Jon C.

    1993-01-01

    Evaluating the damping of reinforcement fibers is important for understanding their microstructures and the vibrational response of their structural composites. In this study the damping capacities of two types of chemically vapor deposited silicon carbide fibers were measured from -200 C to as high as 800 C. Measurements were made at frequencies in the range 50 to 15000 Hz on single cantilevered fibers. At least four sources were identified which contribute to fiber damping, the most significant being thermoelastic damping and grain boundary sliding. The mechanisms controlling all sources and their potential influence on fiber and composite performance are discussed.

  7. Influence of ethanol vapor addition on the surface modification of polyethylene in a dielectric barrier discharge

    NASA Astrophysics Data System (ADS)

    Van Deynse, Annick; Morent, Rino; Leys, Christophe; De Geyter, Nathalie

    2017-10-01

    In this paper, ethanol vapor up to 50% is added to an argon, air or nitrogen dielectric barrier discharge at medium pressure to profoundly investigate the effect of ethanol addition on the surface modification of low density polyethylene (LDPE). Water contact angle (WCA) and X-ray photoelectron spectroscopy (XPS) measurements show that the ethanol vapor addition effect on the LDPE surface depends on the used carrier gas. Adding ethanol to an argon plasma has no significant effect on the wettability nor on the chemical composition of LDPE compared to a pure argon plasma treatment. Ethanol addition does however slightly increase the LDPE surface roughness. Addition of small amounts of ethanol vapor to an air plasma makes it possible to incorporate additional nitrogen and oxygen groups on the LDPE surface, resulting in an extra decrease of 11% in WCA value. Moreover, the LDPE surface roughness is slightly increased due to the ethanol vapor addition. The most significant effect of ethanol addition is however observed when nitrogen is used as carrier gas. After an N2/2% ethanol plasma treatment, an 85% reduction in WCA value to 8.5° is found compared to a pure N2 plasma treatment. This very hydrophilic LDPE surface is obtained due to a significantly high incorporation of oxygen and nitrogen groups on the surface with an O/C and N/C ratio reaching 32% and 53% respectively. FTIR measurements also reveal that the observed extremely high wettability of LDPE is not the result of plasma activation but is due to plasma polymerization effects occurring on the surface resulting into the deposition of a plasma polymer containing ketones, amides as well as Cdbnd N groups. In addition, ageing studies have also been conducted and these studies reveal that for all carrier gases, ethanol addition to the discharge gas significantly suppresses the ageing effect. All the above mentioned conclusions therefore indicate that ethanol vapor based plasmas can be an excellent tool to increase

  8. Self-organization of SiO{sub 2} nanodots deposited by chemical vapor deposition using an atmospheric pressure remote microplasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Arnoult, G.; Belmonte, T.; Henrion, G.

    Self-organization of SiO{sub 2} nanodots is obtained by chemical vapor deposition out of hexamethyldisiloxane (HMDSO) and atmospheric pressure remote Ar-O{sub 2} plasma operating at high temperature (1200-1600 K). The dewetting of the film being deposited when it is still thin enough (<500 nm) is found to be partly responsible for this self-organization. When the coating becomes thicker (approx1 mum), and for relatively high contents in HMDSO, SiO{sub 2} walls forming hexagonal cells are obtained on a SiO{sub 2} sublayer. For thicker coatings (>1 mum), droplet-shaped coatings with a Gaussian distribution in thickness over their width are deposited. The coatings aremore » submitted to high compressive stress. When it is relaxed, 'nestlike structures' made of nanoribbons are synthesized.« less

  9. Physicochemical mechanisms of plasma-liquid interactions within plasma channels in liquid

    NASA Astrophysics Data System (ADS)

    Franclemont, Joshua; Fan, Xiangru; Mededovic Thagard, Selma

    2015-10-01

    The goal of this study is to advance the fundamental understanding of the physical and chemical mechanisms by which excited radical species produced by electrical plasmas directly in water, OH radicals especially, induce chemical changes in aqueous organic compounds and to exploit this for the development and optimization of drinking and wastewater plasma-based treatment systems. To achieve this goal, this study measured and correlated the production rate of hydrogen peroxide (H2O2) with physicochemical properties of 11 organic compounds. The observed individual correlations between the investigated physicochemical properties and the resulting H2O2 concentrations were used to develop an equation that would allow predicting the measured H2O2 concentration from physicochemical properties of a compound. Results reveal that the production rate of H2O2 directly depends on the surface tension of the solution and compounds’ bulk liquid concentration, hydrophobicity (K ow value), and molecular volume. Other properties such as vapor pressure, Henry’s constant, enthalpy of vaporization, ionization energy, electron affinity, and molecular dipole moment do not affect the H2O2 chemistry. K ow value and surface tension of the solution determine the compound’s concentration at the plasma interface. Once at the interface, the molecular volume determines the rate at which the molecule will react with OH radicals.

  10. Magnetorheological finishing of chemical-vapor deposited zinc sulfide via chemically and mechanically modified fluids

    DOE PAGES

    Salzman, Sivan; Romanofsky, Henry J.; Giannechini, Lucca J.; ...

    2016-02-19

    In this study, we describe the anisotropy in the material removal rate (MRR) of the polycrystalline, chemical-vapor deposited zinc sulfide (ZnS).We define the polycrystalline anisotropy via microhardness and chemical erosion tests for four crystallographic orientations of ZnS: (100), (110), (111), and (311). Anisotropy in the MRR was studied under magnetorheological finishing (MRF) conditions. Three chemically and mechanically modified magnetorheological (MR) fluids at pH values of 4, 5, and 6 were used to test the MRR variations among the four single-crystal planes. When polishing the single-crystal planes and the polycrystalline with pH 5 and pH 6MR fluids, variations were found inmore » the MRR among the four single-crystal planes and surface artifacts were observed on the polycrystalline material. When polishing the single-crystal planes and the polycrystalline with the modified MR fluid at pH 4, however, minimal variation was observed in the MRR among the four orientations and a reduction in surface artifacts was achieved on the polycrystalline material.« less

  11. Correlation of chemical evaporation rate with vapor pressure.

    PubMed

    Mackay, Donald; van Wesenbeeck, Ian

    2014-09-02

    A new one-parameter correlation is developed for the evaporation rate (ER) of chemicals as a function of molar mass (M) and vapor pressure (P) that is simpler than existing correlations. It applies only to liquid surfaces that are unaffected by the underlying solid substrate as occurs in the standard ASTM evaporation rate test and to quiescent liquid pools. The relationship has a sounder theoretical basis than previous correlations because ER is correctly correlated with PM rather than P alone. The inclusion of M increases the slope of previous log ER versus log P regressions to a value close to 1.0 and yields a simpler one-parameter correlation, namely, ER (μg m(-1) h(-1)) = 1464P (Pa) × M (g mol(-1)). Applications are discussed for the screening level assessment and ranking of chemicals for evaporation rate, such as pesticides, fumigants, and hydrocarbon carrier fluids used in pesticide formulations, liquid consumer products used indoors, and accidental spills of liquids. The mechanistic significance of the single parameter as a mass-transfer coefficient or velocity is discussed.

  12. Fuel injector utilizing non-thermal plasma activation

    DOEpatents

    Coates, Don M [Santa Fe, NM; Rosocha, Louis A [Los Alamos, NM

    2009-12-01

    A non-thermal plasma assisted combustion fuel injector that uses an inner and outer electrode to create an electric field from a high voltage power supply. A dielectric material is operatively disposed between the two electrodes to prevent arcing and to promote the formation of a non-thermal plasma. A fuel injector, which converts a liquid fuel into a dispersed mist, vapor, or aerosolized fuel, injects into the non-thermal plasma generating energetic electrons and other highly reactive chemical species.

  13. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    NASA Technical Reports Server (NTRS)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  14. Spectral diagnostics of a vapor-plasma plume produced during welding titanium with a high-power ytterbium fiber laser

    NASA Astrophysics Data System (ADS)

    Uspenskiy, S. A.; Petrovskiy, V. N.; Bykovskiy, D. P.; Mironov, V. D.; Prokopova, N. M.; Tret'yakov, E. V.

    2015-03-01

    This work is devoted to the research of welding plume during high power ytterbium fiber laser welding of a titanium alloy in the Ar shielding gas environment. High speed video observation of a vapor-plasma plume for visualization of processes occurring at laser welding was carried out. The coefficient of the inverse Bremsstrahlung absorption of laser radiation is calculated for a plasma welding plume by results of spectrometer researches. The conclusion deals with the impact of plasma on a high-power fiber laser radiation.

  15. Metal organic chemical vapor deposition of 111-v compounds on silicon

    DOEpatents

    Vernon, Stanley M.

    1986-01-01

    Expitaxial composite comprising thin films of a Group III-V compound semiconductor such as gallium arsenide (GaAs) or gallium aluminum arsenide (GaAlAs) on single crystal silicon substrates are disclosed. Also disclosed is a process for manufacturing, by chemical deposition from the vapor phase, epitaxial composites as above described, and to semiconductor devices based on such epitaxial composites. The composites have particular utility for use in making light sensitive solid state solar cells.

  16. On the existence of vapor-liquid phase transition in dusty plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kundu, M.; Sen, A.; Ganesh, R.

    2014-10-15

    The phenomenon of phase transition in a dusty-plasma system (DPS) has attracted some attention in the past. Earlier Farouki and Hamaguchi [J. Chem. Phys. 101, 9876 (1994)] have demonstrated the existence of a liquid to solid transition in DPS where the dust particles interact through a Yukawa potential. However, the question of the existence of a vapor-liquid (VL) transition in such a system remains unanswered and relatively unexplored so far. We have investigated this problem by performing extensive molecular dynamics simulations which show that the VL transition does not have a critical curve in the pressure versus volume diagram formore » a large range of the Yukawa screening parameter κ and the Coulomb coupling parameter Γ. Thus, the VL phase transition is found to be super-critical, meaning that this transition is continuous in the dusty plasma model given by Farouki and Hamaguchi. We provide an approximate analytic explanation of this finding by means of a simple model calculation.« less

  17. Effect of catalyst film thickness on carbon nanotube growth by selective area chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wei, Y. Y.; Eres, Gyula; Merkulov, V. I.; Lowndes, D. H.

    2001-03-01

    The correlation between prepatterned catalyst film thickness and carbon nanotube (CNT) growth by selective area chemical vapor deposition (CVD) was studied using Fe and Ni as catalyst. To eliminate sample-to-sample variations and create a growth environment in which the film thickness is the sole variable, samples with continuously changing catalyst film thickness from 0 to 60 nm were fabricated by electron-gun evaporation. Using thermal CVD CNTs preferentially grow as a dense mat on the thin regions of the catalyst film. Moreover, beyond a certain critical film thickness no tubes were observed. The critical film thickness for CNT growth was found to increase with substrate temperature. There appears to be no strong correlation between the film thickness and the diameter of the tubes. In contrast, using plasma enhanced CVD with Ni as catalyst, vertically oriented CNTs grow in the entire range of catalyst film thickness. The diameter of these CNTs shows a strong correlation with the catalyst film thickness. The significance of these experimental trends is discussed within the framework of the diffusion model for CNT growth.

  18. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    ERIC Educational Resources Information Center

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  19. Chemical sensing of copper phthalocyanine sol-gel glass through organic vapors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ridhi, R.; Gawri, Isha; Abbas, Saeed J.

    2015-05-15

    The sensitivities of metallophthalocyanine to vapor phase electron donors has gained significance in many areas and disciplines due to their sensing properties and ease of operation. In the present study the interaction mechanism of organic vapors in Copper Phthalocyanine (CuPc) sol-gel glass has been studied. The interaction mechanism is affected by many factors like morphology, electrical or optical properties of film. CuPc sol-gel glass has been synthesized using chemical route sol-gel method. Its structural characterization was conducted using XRD and the amorphous nature of the silicate glass was observed with characteristic α polymorph phase of CuPc at around 6.64° withmore » 13.30Å interplanar spacing. The size of the particle as determined using Debbye Scherre’s formula comes out around 15.5 nm. The presence of α phase of CuPc was confirmed using FTIR with the appearance of crystal parameter marker band at 787 cm-1. Apart from this A2u and Eu symmetry bands of CuPc have also been observed. The UV absorption spectrum of CuPc exhibits absorption peaks owing to π→ π* and n→ π* transitions. A blue shift in the prepared CuPc glass has been observed as compared to the dopant CuPc salt indicating increase of band gap. A split in B (Soret) band and Q band appears as observed with the help of Lorentzian fitting. CuPc sol gel glass has been exposed with chemical vapors of Methanol, Benzene and Bromine individually and the electrical measurements have been carried out. These measurements show the variation in conductivity and the interaction mechanism has been analyzed.« less

  20. MICHIGAN SOIL VAPOR EXTRACTION REMEDIATION (MISER) MODEL: A COMPUTER PROGRAM TO MODEL SOIL VAPOR EXTRACTION AND BIOVENTING OF ORGANIC CHEMICALS IN UNSATURATED GEOLOGICAL MATERIAL

    EPA Science Inventory

    Soil vapor extraction (SVE) and bioventing (BV) are proven strategies for remediation of unsaturated zone soils. Mathematical models are powerful tools that can be used to integrate and quantify the interaction of physical, chemical, and biological processes occurring in field sc...

  1. Relationship between the evaporation rate and vapor pressure of moderately and highly volatile chemicals.

    PubMed

    van Wesenbeeck, Ian; Driver, Jeffrey; Ross, John

    2008-04-01

    Volatilization of chemicals can be an important form of dissipation in the environment. Rates of evaporative losses from plant and soil surfaces are useful for estimating the potential for food-related dietary residues and operator and bystander exposure, and can be used as source functions for screening models that predict off-site movement of volatile materials. A regression of evaporation on vapor pressure from three datasets containing 82 pesticidal active ingredients and co-formulants, ranging in vapor pressure from 0.0001 to >30,000 Pa was developed for this purpose with a regression correlation coefficient of 0.98.

  2. Low power, lightweight vapor sensing using arrays of conducting polymer composite chemically-sensitive resistors

    NASA Technical Reports Server (NTRS)

    Ryan, M. A.; Lewis, N. S.

    2001-01-01

    Arrays of broadly responsive vapor detectors can be used to detect, identify, and quantify vapors and vapor mixtures. One implementation of this strategy involves the use of arrays of chemically-sensitive resistors made from conducting polymer composites. Sorption of an analyte into the polymer composite detector leads to swelling of the film material. The swelling is in turn transduced into a change in electrical resistance because the detector films consist of polymers filled with conducting particles such as carbon black. The differential sorption, and thus differential swelling, of an analyte into each polymer composite in the array produces a unique pattern for each different analyte of interest, Pattern recognition algorithms are then used to analyze the multivariate data arising from the responses of such a detector array. Chiral detector films can provide differential detection of the presence of certain chiral organic vapor analytes. Aspects of the spaceflight qualification and deployment of such a detector array, along with its performance for certain analytes of interest in manned life support applications, are reviewed and summarized in this article.

  3. Knowledge about chemicals in e-cigarette secondhand vapor and perceived harms of exposure among a national sample of U.S. adults

    PubMed Central

    Tan, Andy SL; Mello, Susan; Sanders-Jackson, Ashley; Bigman, Cabral A.

    2017-01-01

    Potentially harmful chemicals are detectable in e-cigarette secondhand vapor (hereafter SHV), contrary to advertising and marketing claims that it contains “only water vapor.” We assessed public knowledge about the presence of chemicals in SHV and associations between knowledge and perceived harms of exposure to SHV. We conducted an online survey of a nationally representative sample of 1449 U.S. adults (GfK's KnowledgePanel) from October-December 2013. Respondents were asked whether e-cigarette vapor contains only water vapor, contains tar, or contains formaldehyde (true/ false/ don't know). Responses to these three items were recoded (1=incorrect, 2=don't know, 3=correct) and averaged into a knowledge scale. They were also asked if they perceived breathing SHV to be harmful to one's health (two-item scale) and comparative harm of breathing SHV versus breathing secondhand smoke (SHS). Multiple regression analyses were weighted to the U.S. adult population and adjusted for potential confounders. Most respondents (58-75%) reported not knowing whether SHV contained only water vapor, if SHV contained tar, and if it contained formaldehyde. African-American respondents (versus white) and current smokers (versus non-smokers) had lower levels of knowledge about chemicals in SHV. Adjusting for covariates, correct knowledge about chemicals in SHV was associated with higher perceived harms about SHV for one's health and perceived comparative harm of SHV versus SHS. These findings suggest a need to provide accurate information about the presence of chemicals in SHV (e.g., using product ingredient labels or public education). PMID:27595498

  4. Knowledge about Chemicals in e-Cigarette Secondhand Vapor and Perceived Harms of Exposure among a National Sample of U.S. Adults.

    PubMed

    Tan, Andy S L; Mello, Susan; Sanders-Jackson, Ashley; Bigman, Cabral A

    2017-06-01

    Potentially harmful chemicals are detectable in e-cigarette secondhand vapor (hereafter SHV), contrary to advertising and marketing claims that it contains "only water vapor." We assessed public knowledge about the presence of chemicals in SHV and associations between knowledge and perceived harms of exposure to SHV. We conducted an online survey of a nationally representative sample of 1,449 U.S. adults (GfK's KnowledgePanel) from October to December 2013. Respondents were asked whether e-cigarette vapor contains only water vapor, contains tar, or contains formaldehyde (true/ false/ do not know). Responses to these three items were recoded (1 = incorrect, 2 = do not know, and 3 = correct) and averaged into a knowledge scale. They were also asked if they perceived breathing SHV to be harmful to one's health (two-item scale) and comparative harm of breathing SHV versus breathing secondhand smoke (SHS). Multiple regression analyses were weighted to the U.S. adult population and adjusted for potential confounders. Most respondents (58-75%) reported not knowing whether SHV contained only water vapor, if SHV contained tar, and if it contained formaldehyde. African-American respondents (vs. white) and current smokers (vs. nonsmokers) had lower levels of knowledge about chemicals in SHV. Adjusting for covariates, correct knowledge about chemicals in SHV was associated with higher perceived harms about SHV for one's health and perceived comparative harm of SHV versus SHS. These findings suggest a need to provide accurate information about the presence of chemicals in SHV (e.g., using product ingredient labels or public education). © 2016 Society for Risk Analysis.

  5. Mechanism analysis on finishing of reaction-sintered silicon carbide by combination of water vapor plasma oxidation and ceria slurry polishing

    NASA Astrophysics Data System (ADS)

    Shen, Xinmin; Tu, Qunzhang; Deng, Hui; Jiang, Guoliang; Yamamura, Kazuya

    2015-05-01

    Reaction-sintered silicon carbide (RS-SiC), which is considered as a promising mirror material for space telescope systems, requires a high surface property. An ultrasmooth surface with a Ra surface roughness of 0.480 nm was obtained after water vapor plasma oxidation for 90 min followed by ceria slurry polishing for 40 min. The oxidation process of RS-SiC by water vapor plasma was analyzed based on the Deal-Grove model, and the theoretical calculation results are consistent with the measured data obtained by scanning white light interferometer (SWLI), scanning electron microscopy/energy-dispersive x-ray, and atomic force microscope. The polishing process of oxidized RS-SiC by ceria slurry was investigated according to the Preston equation, which would theoretically forecast the evolutions of RS-SiC surfaces along with the increasing of polishing time, and it was experimentally verified by comparing the surface roughnesses obtained by SWLI and the surface morphologies obtained by SEM. The mechanism analysis on the finishing of RS-SiC would be effective for the optimization of water vapor plasma oxidation parameters and ceria slurry polishing parameters, which will promote the application of RS-SiC substrates by improving the surface property obtained by the oxidation-assisted polishing method.

  6. A system to investigate the remediation of organic vapors using microwave-induced plasma with fluidized carbon granules

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dawson, Elizabeth A.; Parkes, Gareth M. B.; Bond, Gary

    This article describes a system to investigate the parameters for the remediation of organic vapors using microwave-induced plasma on fluidized carbon granules. The system is based on a single mode microwave apparatus with a variable power (2.45 GHz) generator. Carbon granules are fluidized in a silica tube situated in the sample section of a waveguide incorporating two additional ports to allow plasma intensity monitoring using a light sensor and imaging with a digital camera. A fluoroptic probe is used for in situ measurement of the carbon granule temperature, while the effluent gas temperature is measured with a thermocouple situated inmore » the silica tube outside the cavity. Data acquisition and control software allow experiments using a variety of microwave power regimes while simultaneously recording the light intensity of any plasma generated within the carbon bed, together with its temperature. Evaluation using two different granular activated carbons and ethyl acetate, introduced as a vapor into the fluidizing air stream at a concentration of 1 ppm, yielded results which indicated that significant destruction of ethyl acetate, as monitored using a mass spectrometer, was achieved only with the carbon granules showing high plasma activity under pulsed microwave conditions. The system is therefore suitable for comparison of the relative microwave activities of various activated carbon granules and their performance in microwave remediation and regeneration.« less

  7. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    PubMed

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Effects of Atmospheric Conditions and the Land/Atmospheric Interface on Transport of Chemical Vapors from Subsurface Sources

    NASA Astrophysics Data System (ADS)

    Rice, A. K.; Smits, K. M.; Cihan, A.; Howington, S. E.; Illangasekare, T. H.

    2013-12-01

    Understanding the movement of chemical vapors and gas through variably saturated soil subjected to atmospheric thermal and mass flux boundary conditions at the land/atmospheric interface is important to many applications, including landmine detection, methane leakage during natural gas production from shale and CO2 leakage from deep geologic storage. New, advanced technologies exist to sense chemical signatures and gas leakage at the land/atmosphere interface, but interpretation of sensor signals remains a challenge. Chemical vapors are subject to numerous interactions while migrating through the soil environment, masking source conditions. The process governing movement of gases through porous media is often assumed to be Fickian diffusion through the air phase with minimal quantification of other processes, such as convective gas flow and temporal or spatial variation in soil moisture. Vapor migration is affected by atmospheric conditions (e.g. humidity, temperature, wind velocity), soil thermal and hydraulic properties and contaminant properties, all of which are physically and thermodynamically coupled. The complex coupling of two drastically different flow regimes in the subsurface and atmosphere is commonly ignored in modeling efforts, or simplifying assumptions are made to treat the systems as de-coupled. Experimental data under controlled laboratory settings are lacking to refine the theory for proper coupling and complex treatment of vapor migration through porous media in conversation with atmospheric flow and climate variations. Improving fundamental understanding and accurate quantification of these processes is not feasible in field settings due to lack of controlled initial and boundary conditions and inability to fully characterize the subsurface at all relevant scales. The goal of this work is to understand the influence of changes in atmospheric conditions to transport of vapors through variably saturated soil. We have developed a tank apparatus

  9. Generator of chemically active low-temperature plasma

    NASA Astrophysics Data System (ADS)

    Tyuftyaev, A. S.; Gadzhiev, M. Kh; Sargsyan, M. A.; Demirov, N. A.; Spector, N. O.

    2016-11-01

    A new generator of high enthalpy (H 0 > 40 kJ/g), chemically active nitrogen and air plasmas was designed and constructed. Main feature of the generator is an expanding channel of an output electrode; the generator belongs to the class of DC plasma torches with thermionic cathode with an efficiency of 80%. The generator ensures the formation of a slightly divergent plasma jet (2α = 12°) with a diameter of D = 10-12 mm, an electric arc maximum power of 20-50 kW, plasma forming gas flow rate 1.0-2.0 g/s, and the average plasma temperature at an outlet of 8000-11000 K.

  10. Chemical Production of Vibrationally Excited Carbon Monoxide from Carbon Vapor and Molecular Oxygen Precursors

    NASA Astrophysics Data System (ADS)

    Frederickson, Kraig; Musci, Ben; Rich, J. William; Adamovich, Igor

    2015-09-01

    Recent results demonstrating the formation of vibrationally excited carbon monoxide from carbon vapor and molecular oxygen will be presented. Previous reaction dynamics simulations and crossed molecular beam experiments have shown that gas-phase reaction of carbon atoms and molecular oxygen produces vibrationally excited carbon monoxide. The present work examines the product distribution of this reaction in a collision dominated environment, at a pressure of several Torr. Carbon vapor is produced in an AC arc discharge in argon buffer operated at a voltage of approximately 1 kV and current of 10 A, and mixed with molecular oxygen, which may also be excited by an auxiliary RF discharge, in a flowing chemical reactor. Identification of chemical reaction products and inference of their vibrational populations is performed by comparing infrared emission spectra of the flow in the reactor, taken by a Fourier Transform IR spectrometer, with synthetic spectra. Estimates of vibrationally excited carbon monoxide concentration and relative vibrational level populations will be presented.

  11. Nanocrystalline diamond thin films on titanium-6 aluminum-4 vanadium alloy temporomandibular joint prosthesis simulants by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fries, Marc Douglas

    A course of research has been performed to assess the suitability of nanocrystal-line diamond (NCD) films on Ti-6Al-4V alloy as wear-resistant coatings in biomedical implant use. A series of temporomandibular (TMJ) joint condyle simulants were polished and acid-passivated as per ASTM F86 standard for surface preparation of implants. A 3-mum-thick coating of NCD film was deposited by microwave plasma chemical vapor deposition (MPCVD) over the hemispherical articulation surfaces of the simulants. Plasma chemistry conditions were measured and monitored by optical emission spectroscopy (OES), using hydrogen as a relative standard. The films consist of diamond grains around 20 nm in diameter embedded in an amorphous carbon matrix, free of any detectable film stress gradient. Hardness averages 65 GPa and modulus measures 600 GPa at a depth of 250 nm into the film surface. A diffuse film/substrate boundary produces a minimal film adhesion toughness (GammaC) of 158 J/m2. The mean RMS roughness is 14.6 +/- 4.2 nm, with an average peak roughness of 82.6 +/- 65.9 nm. Examination of the surface morphology reveals a porous, dendritic surface. Wear testing resulted in two failed condylar coatings out of three tests. No macroscopic delamination was found on any sample, but micron-scale film pieces broke away, exposing the substrate. Electrochemical corrosion testing shows a seven-fold reduction in corrosion rate with the application of an NCD coating as opposed to polished, passivated Ti-6Al-4V, producing a corrosion rate comparable to wrought Co-Cr-Mo. In vivo biocompatibility testing indicates that implanted NCD films did not elicit an immune response in the rabbit model, and osteointegration was apparent for both compact and trabecular bone on both NCD film and bare Ti-6Al-4V. Overall, NCD thin film material is reasonably smooth, biocompatible, and very well adhered. Wear testing indicates that this material is unacceptable for use in demanding TMJ applications without

  12. Aromatic chemicals by iron-catalyzed hydrotreatment of lignin pyrolysis vapor.

    PubMed

    Olcese, Roberto Nicolas; Lardier, George; Bettahar, Mohammed; Ghanbaja, Jaafar; Fontana, Sébastien; Carré, Vincent; Aubriet, Frédéric; Petitjean, Dominique; Dufour, Anthony

    2013-08-01

    Lignin is a potential renewable material for the production of bio-sourced aromatic chemicals. We present the first hydrotreatment of lignin pyrolysis vapors, before any condensation, using inexpensive and sustainable iron-silica (Fe/SiO2 ) and iron-activated carbon (Fe/AC) catalysts. Lignin pyrolysis was conducted in a tubular reactor and vapors were injected in a fixed bed of catalysts (673 K, 1 bar) with stacks to investigate the profile of coke deposit. More than 170 GC-analyzable compounds were identified by GCxGC (heart cutting)/flame ionization detector mass spectrometry. Lignin oligomers were analyzed by very high resolution mass spectrometry, called the "petroleomic" method. They are trapped by the catalytic fixed bed and, in particular, by the AC. The catalysts showed a good selectivity for the hydrodeoxygenation of real lignin vapors to benzene, toluene, xylenes, phenol, cresols, and alkyl phenols. The spent catalysts were characterized by temperature-programmed oxidation, transmission electron microscopy (TEM), and N2 sorption. Micropores in the Fe/AC catalyst are completely plugged by coke deposits, whereas the mesoporous structure of Fe/SiO2 is unaffected. TEM images reveal two different types of coke deposit: 1) catalytic coke deposited in the vicinity of iron particles and 2) thermal coke (carbonaceous particles ≈1 μm in diameter) formed from the gas-phase growth of lignin oligomers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Epithelial cell morphology and adhesion on diamond films deposited and chemically modified by plasma processes.

    PubMed

    Rezek, Bohuslav; Ukraintsev, Egor; Krátká, Marie; Taylor, Andrew; Fendrych, Frantisek; Mandys, Vaclav

    2014-09-01

    The authors show that nanocrystalline diamond (NCD) thin films prepared by microwave plasma enhanced chemical vapor deposition apparatus with a linear antenna delivery system are well compatible with epithelial cells (5637 human bladder carcinoma) and significantly improve the cell adhesion compared to reference glass substrates. This is attributed to better adhesion of adsorbed layers to diamond as observed by atomic force microscopy (AFM) beneath the cells. Moreover, the cell morphology can be adjusted by appropriate surface treatment of diamond by using hydrogen and oxygen plasma. Cell bodies, cytoplasmic rims, and filopodia were characterized by Peakforce AFM. Oxidized NCD films perform better than other substrates under all conditions (96% of cells adhered well). A thin adsorbed layer formed from culture medium and supplemented with fetal bovine serum (FBS) covered the diamond surface and played an important role in the cell adhesion. Nevertheless, 50-100 nm large aggregates formed from the RPMI medium without FBS facilitated cell adhesion also on hydrophobic hydrogenated NCD (increase from 23% to 61%). The authors discuss applicability for biomedical uses.

  14. Test Operations Procedure (TOP) 08-2-188 Chemical Point Detector Vapor Testing

    DTIC Science & Technology

    2018-04-27

    Evaluation Command 6617 Aberdeen Boulevard Aberdeen Proving Ground, MD 21005-5001 10. SPONSOR/MONITOR’S ACRONYM(S) 11. SPONSOR/MONITOR’S REPORT NUMBER(S...AND EVALUATION COMMAND TEST OPERATIONS PROCEDURE *Test Operations Procedure 08-2-188 27 April 2018 DTIC AD No. CHEMICAL POINT DETECTOR VAPOR...188 27 April 2018 2 1. SCOPE. This Test Operations Procedure (TOP), which has been endorsed by the Test and Evaluation Capabilities and

  15. Continuous roll-to-roll growth of graphene films by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hesjedal, Thorsten

    2011-03-01

    Few-layer graphene is obtained in atmospheric chemical vapor deposition on polycrystalline copper in a roll-to-roll process. Raman and x-ray photoelectron spectroscopy were employed to confirm the few-layer nature of the graphene film, to map the inhomogeneities, and to study and optimize the growth process. This continuous growth process can be easily scaled up and enables the low-cost fabrication of graphene films for industrial applications.

  16. Highly vibrationally excited CO generated in a low-temperature chemical reaction between carbon vapor and molecular oxygen

    NASA Astrophysics Data System (ADS)

    Jans, E.; Frederickson, K.; Yurkovich, M.; Musci, B.; Rich, J. W.; Adamovich, I. V.

    2016-08-01

    A chemical flow reactor is used to study the vibrational population distribution of CO produced by a reaction between carbon vapor generated in an arc discharge and molecular oxygen. The results demonstrate formation of highly vibrationally excited CO, up to vibrational level v = 14, at low temperatures, T = 400-450 K, with population inversion at v = 4-7, in a collision-dominated environment, 15-20 Torr. The average vibrational energy per CO molecule formed by the reaction is 0.6-1.2 eV/molecule, which corresponds to 10-20% of reaction enthalpy. The results show feasibility of development of a new CO chemical laser using carbon vapor and oxygen as reactants.

  17. Low temperature deposition of nanocrystalline silicon carbide films by plasma enhanced chemical vapor deposition and their structural and optical characterization

    NASA Astrophysics Data System (ADS)

    Rajagopalan, T.; Wang, X.; Lahlouh, B.; Ramkumar, C.; Dutta, Partha; Gangopadhyay, S.

    2003-10-01

    Nanocrystalline silicon carbide (SiC) thin films were deposited by plasma enhanced chemical vapor deposition technique at different deposition temperatures (Td) ranging from 80 to 575 °C and different gas flow ratios (GFRs). While diethylsilane was used as the source for the preparation of SiC films, hydrogen, argon and helium were used as dilution gases in different concentrations. The effects of Td, GFR and dilution gases on the structural and optical properties of these films were investigated using high resolution transmission electron microscope (HRTEM), micro-Raman, Fourier transform infrared (FTIR) and ultraviolet-visible optical absorption techniques. Detailed analysis of the FTIR spectra indicates the onset of formation of SiC nanocrystals embedded in the amorphous matrix of the films deposited at a temperature of 300 °C. The degree of crystallization increases with increasing Td and the crystalline fraction (fc) is 65%±2.2% at 575 °C. The fc is the highest for the films deposited with hydrogen dilution in comparison with the films deposited with argon and helium at the same Td. The Raman spectra also confirm the occurrence of crystallization in these films. The HRTEM measurements confirm the existence of nanocrystallites in the amorphous matrix with a wide variation in the crystallite size from 2 to 10 nm. These results are in reasonable agreement with the FTIR and the micro-Raman analysis. The variation of refractive index (n) with Td is found to be quite consistent with the structural evolution of these films. The films deposited with high dilution of H2 have large band gap (Eg) and these values vary from 2.6 to 4.47 eV as Td is increased from 80 to 575 °C. The size dependent shift in the Eg value has also been investigated using effective mass approximation. Thus, the observed large band gap is attributed to the presence of nanocrystallites in the films.

  18. Metabonomics of Pig Blood Plasma Following Whole Body Exposure to Low Levels of Gb Vapor

    DTIC Science & Technology

    2005-10-01

    METABONOMICS OF PIG BLOOD PLASMA FOLLOWING WHOLE BODY EXPOSURE TO LOW LEVELS OF GB VAPOR Vicky L. H. Bevilacqua▲, Terrence G...of chemometrics and NMR will yield metabonomics data useful for establishing biochemical markers for agent exposure. Ideally, such markers would...associated with environmental change, a field recently referred to as " metabonomics ." High field nuclear magnetic resonance (NMR) has emerged as a

  19. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  20. Calibrated vapor generator source

    DOEpatents

    Davies, John P.; Larson, Ronald A.; Goodrich, Lorenzo D.; Hall, Harold J.; Stoddard, Billy D.; Davis, Sean G.; Kaser, Timothy G.; Conrad, Frank J.

    1995-01-01

    A portable vapor generator is disclosed that can provide a controlled source of chemical vapors, such as, narcotic or explosive vapors. This source can be used to test and calibrate various types of vapor detection systems by providing a known amount of vapors to the system. The vapor generator is calibrated using a reference ion mobility spectrometer. A method of providing this vapor is described, as follows: explosive or narcotic is deposited on quartz wool, placed in a chamber that can be heated or cooled (depending on the vapor pressure of the material) to control the concentration of vapors in the reservoir. A controlled flow of air is pulsed over the quartz wool releasing a preset quantity of vapors at the outlet.

  1. Calibrated vapor generator source

    DOEpatents

    Davies, J.P.; Larson, R.A.; Goodrich, L.D.; Hall, H.J.; Stoddard, B.D.; Davis, S.G.; Kaser, T.G.; Conrad, F.J.

    1995-09-26

    A portable vapor generator is disclosed that can provide a controlled source of chemical vapors, such as, narcotic or explosive vapors. This source can be used to test and calibrate various types of vapor detection systems by providing a known amount of vapors to the system. The vapor generator is calibrated using a reference ion mobility spectrometer. A method of providing this vapor is described, as follows: explosive or narcotic is deposited on quartz wool, placed in a chamber that can be heated or cooled (depending on the vapor pressure of the material) to control the concentration of vapors in the reservoir. A controlled flow of air is pulsed over the quartz wool releasing a preset quantity of vapors at the outlet. 10 figs.

  2. Discrimination of chemical vapor and temperature using an in-line modal interferometer based on an exterior hole-assisted polarization-maintaining photonic crystal fiber

    NASA Astrophysics Data System (ADS)

    Yoon, Min-Seok; Jun, Naram; Lee, Sang Bae; Han, Young-Geun

    2014-05-01

    A reflective in-line modal interferometer based on a polarization-maintaining photonic crystal fiber (PM-PCF) with two exterior air holes is proposed for simultaneous measurement of chemical vapor and temperature. After fusion-splicing the PM-PCF with a standard single-mode fiber, we collapse all of air holes in the PM-PCF resulting in two types of interference patterns between the core and the cladding modes in the PM-PCF depending on two polarization states. Since two large air holes at the facet of the proposed modal interferometer are left open, a chemical vapor can be infiltrated into the voids. Different sensitivities corresponding to input polarization states are utilized for discrimination between chemical vapor and temperature sensitivities.

  3. Modeling of chemical vapor infiltration for ceramic composites reinforced with layered, woven fabrics

    NASA Technical Reports Server (NTRS)

    Chung, Gui-Yung; Mccoy, Benjamin J.

    1991-01-01

    A homogeneous model is developed for the chemical vapor infiltration by one-dimensional diffusion into a system of layered plies consisting of woven tows containing bundles of filaments. The model predictions of the amount of deposition and the porosity of the sample as a function of time are compared with the predictions of a recent nonhomogeneous model with aligned holes formed by the weave. The nonhomogeneous model allows for diffusion through the aligned holes, into the spaces between plies, and into the gaps around filaments; i.e., three diffusion equations apply. Relative to the nonhomogeneous results, the homogeneous model underestimates the amount of deposition, since the absence of holes and spaces allows earlier occlusion of gaps around filaments and restricts the vapor infiltration.

  4. Effects of the Physical Characteristics of Cerium Oxide on Plasma-Enhanced Tetraethylorthosiliate Removal Rate of Chemical Mechanical Polishing for Shallow Trench Isolation

    NASA Astrophysics Data System (ADS)

    Kim, Sang-Kyun; Paik, Ungyu; Oh, Seong-Geun; Park, Yong-Kook; Katoh, Takeo; Park, Jea-Gun

    2003-03-01

    Ceria powders were synthesized by two different methods, solid-state displacement reaction and wet chemical precipitation, and the influence of the physical characteristics of cerium oxide on the removal rate of plasma-enhanced tetraethylorthosilicate (PETEOS) and chemical vapor deposition (CVD) nitride films in chemical mechanical planarization (CMP) was investigated. The fundamental physicochemical property and electrokinetic behavior of ceria particles in aqueous suspending media were investigated to identify the correlation between the colloidal property of ceria and the CMP performance. The surface potentials of two different ceria particles are found to have different isoelectric point (pHiep) values and differences in physical properties of ceria particles such as porosity and density were found to be the key parameters in CMP of PETEOS films. Ceria powders synthesized by the solid-state displacement reaction method yielded a higher removal rate of PETEOS and higher selectivity than powders synthesized by the wet chemical precipitation method.

  5. Friction and Wear Properties of Selected Solid Lubricating Films. Part 3; Magnetron-Sputtered and Plasma-Assisted, Chemical-Vapor-Deposited Diamondlike Carbon Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Iwaki, Masanori; Gotoh, Kenichi; Obara, Shingo; Imagawa, Kichiro

    2000-01-01

    To evaluate commercially developed dry solid film lubricants for aerospace bearing applications, an investigation was conducted to examine the friction and wear behavior of magnetron-sputtered diamondlike carbon (MS DLC) and plasma-assisted, chemical-vapor-deposited diamondlike carbon (PACVD DLC) films in sliding contact with 6-mm-diameter American Iron and Steel Institute (AISI) 440C stainless steel balls. Unidirectional sliding friction experiments were conducted with a load of 5.9 N (600 g), a mean Hertzian contact pressure of 0.79 GPa (maximum Hertzian contact pressure of L-2 GPa), and a sliding velocity of 0.2 m/s. The experiments were conducted at room temperature in three environments: ultrahigh vacuum (vacuum pressure, 7x10(exp -7) Pa), humid air (relative humidity, approx.20 percent), and dry nitrogen (relative humidity, <1 percent). The resultant films were characterized by scanning electron microscopy, energy-dispersive x-ray spectroscopy, and surface profilometry. Marked differences in the friction and wear of the DLC films investigated herein resulted from the environmental conditions. The main criteria for judging the performance of the DLC films were coefficient of friction and wear rate, which had to be less than 0.3 and on the order of 10(exp -6) cu mm/N-m or less, respectively. MS DLC films and PACVD DLC films met the criteria in humid air and dry nitrogen but failed in ultrahigh vacuum, where the coefficients of friction were greater than the criterion, 0.3. In sliding contact with 440C stainless steel balls in all three environments the PACVD DLC films exhibited better tribological performance (i.e., lower friction and wear) than the MS DLC films. All sliding involved adhesive transfer of wear materials: transfer of DLC wear debris to the counterpart 440C stainless steel and transfer of 440C stainless steel wear debris to the counterpart DLC film.

  6. Characterization of a-SiC:H films produced in a standard plasma enhanced chemical vapor deposition system for x-ray mask application

    NASA Astrophysics Data System (ADS)

    Jean, A.; Chaker, M.; Diawara, Y.; Leung, P. K.; Gat, E.; Mercier, P. P.; Pépin, H.; Gujrathi, S.; Ross, G. G.; Kieffer, J. C.

    1992-10-01

    Hydrogenated amorphous a-SixC1-x:H films with various compositions (0.2≤x≤0.8) were prepared by a radio frequency (rf 100 kHz) glow discharge decomposition of a silane and methane mixture diluted in argon. The deposition system used was a commercially available plasma enhanced chemical vapor deposition reactor allowing a high throughput (22 wafers of 4 in. diameter each run). The properties of the films such as thickness, density, and stress were investigated. The composition, including hydrogen content and Si/C ratio, and the structure of the films were systematically examined by means of several diagnostics including electron recoil detection, x-ray photoelectron spectroscopy, and infrared (IR) absorption analysis. Thickness and density of the films were dependent on the film composition, while the stress of the films was highly compressive (3×109-1×1010 dynes/cm2). Density was about 2.4 g/cm3 for nearly stoichiometric SiC films. The hydrogen content of the films was practically constant at 27 at. % over the whole investigated composition range. The IR analyses suggested that the structure of the silicon carbide films is inorganic-like over the whole range of compositions. From stoichiometric to carbon-rich films, the structure mainly consists of a tetrahedral network where silicon atoms are randomly replaced by carbon atoms and one hydrogen atom is bonded to silicon (SiH group). However, the presence of SiH2 groups and microvoids was observed in the structure of Si-rich silicon carbide films. Finally, the development of SiC membranes for x-ray lithography was presented including the control of film stress by means of rapid thermal annealing. Silicon carbide membranes of relatively high surface area (32×32 mm2) and showing high optical transparency (80%) were successfully fabricated.

  7. Hardware Modifications to the US Army Research Laboratory’s Metalorganic Chemical Vapor Deposition (MOCVD) System for Optimization of Complex Oxide Thin Film Fabrication

    DTIC Science & Technology

    2015-04-01

    studies on flow and thermal fields in MOCVD reactor. Chinese Science Bulletin. 2010;55:560–566. 36. Hampdensmith MJ, Kodas TT. Chemical vapor...Chemistry. 1995;19727–750. 47. Xu CY, Hampdensmith MJ, Kodas TT. Aerosol-assisted chemical-vapor- deposition (AACVD) of binary alloy (AGXPD1-X, CUXPD1-X

  8. Vibrationally Excited Carbon Monoxide Produced via a Chemical Reaction Between Carbon Vapor and Oxygen

    NASA Astrophysics Data System (ADS)

    Jans, Elijah R.; Eckert, Zakari; Frederickson, Kraig; Rich, Bill; Adamovich, Igor V.

    2017-06-01

    Measurements of the vibrational distribution function of carbon monoxide produced via a reaction between carbon vapor and molecular oxygen has shown a total population inversion on vibrational levels 4-7. Carbon vapor, produced using an arc discharge to sublimate graphite, is mixed with an argon oxygen flow. The excited carbon monoxide is vibrationally populated up to level v=14, at low temperatures, T=400-450 K, in a collision-dominated environment, 15-20 Torr, with total population inversions between v=4-7. The average vibrational energy per CO molecule formed by the reaction is 0.6-1.2 eV/molecule, which corresponds to 10-20% of the reaction enthalpy. Kinetic modeling of the flow reactor, including state specific vibrational processes, was performed to infer the vibrational distribution of the products of the reaction. The results show viability of developing of a new chemical CO laser from the reaction of carbon vapor and oxygen.

  9. ON-LINE CALCULATOR: VAPOR INTRUSION MODELING

    EPA Science Inventory

    Migration of volatile chemicals from the subsurface into overlying buildings is called vapor intrusion (VI). Volatile organic chemicals in contaminated soils or groundwater can emit vapors, which may migrate through subsurface soils and may enter the indoor air of overlying build...

  10. Vapor Deposition Rig

    NASA Image and Video Library

    2015-01-27

    The Plasma Spray-Physical Vapor Deposition (PS-PVD) Rig at NASA Glenn Research Center. The rig helps develop coatings for next-generation aircraft turbine components and create more efficient engines.

  11. Nano powders, components and coatings by plasma technique

    DOEpatents

    McKechnie, Timothy N [Brownsboro, AL; Antony, Leo V. M. [Huntsville, AL; O'Dell, Scott [Arab, AL; Power, Chris [Guntersville, AL; Tabor, Terry [Huntsville, AL

    2009-11-10

    Ultra fine and nanometer powders and a method of producing same are provided, preferably refractory metal and ceramic nanopowders. When certain precursors are injected into the plasma flame in a reactor chamber, the materials are heated, melted and vaporized and the chemical reaction is induced in the vapor phase. The vapor phase is quenched rapidly to solid phase to yield the ultra pure, ultra fine and nano product. With this technique, powders have been made 20 nanometers in size in a system capable of a bulk production rate of more than 10 lbs/hr. The process is particularly applicable to tungsten, molybdenum, rhenium, tungsten carbide, molybdenum carbide and other related materials.

  12. Nano powders, components and coatings by plasma technique

    NASA Technical Reports Server (NTRS)

    McKechnie, Timothy N. (Inventor); Antony, Leo V. M. (Inventor); O'Dell, Scott (Inventor); Power, Chris (Inventor); Tabor, Terry (Inventor)

    2009-01-01

    Ultra fine and nanometer powders and a method of producing same are provided, preferably refractory metal and ceramic nanopowders. When certain precursors are injected into the plasma flame in a reactor chamber, the materials are heated, melted and vaporized and the chemical reaction is induced in the vapor phase. The vapor phase is quenched rapidly to solid phase to yield the ultra pure, ultra fine and nano product. With this technique, powders have been made 20 nanometers in size in a system capable of a bulk production rate of more than 10 lbs/hr. The process is particularly applicable to tungsten, molybdenum, rhenium, tungsten carbide, molybdenum carbide and other related materials.

  13. Development of plasma assisted thermal vapor deposition technique for high-quality thin film.

    PubMed

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10 -3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq -1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  14. Development of plasma assisted thermal vapor deposition technique for high-quality thin film

    NASA Astrophysics Data System (ADS)

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10-3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq-1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  15. Basic analytical investigation of plasma-chemically modified carbon fibers1

    NASA Astrophysics Data System (ADS)

    Bubert, H.; Ai, X.; Haiber, S.; Heintze, M.; Brüser, V.; Pasch, E.; Brandl, W.; Marginean, G.

    2002-10-01

    The background of the present investigation is to enhance the overall adherence of vapor grown carbon fibers (VGCF) to the surrounding polymer matrix in different applications by forming polar groups at their surfaces and by modifying the surface morphology. This has been done by plasma treatments using a low-pressure plasma with different gases, flow rates, pressures and powers. Two different types of carbon fibers were investigated: carbon microfibers and carbon nanofibers. The characterization of fiber surfaces was achieved by photoelectron spectroscopy (XPS), contact angle measurements and titration. These investigations were accompanied by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The oxygen plasma treatment of the fibers changes the surfaces by forming a layer with a thickness of the order of one nanometer mainly consisting of functional groups like hydroxyl, carbonyl and carboxyl. After functionalization of the complete surface, a further plasma treatment does not enhance the superficial oxygen content but changes slightly the portions of the functional groups. A comparison of the methods applied provides a largely consistent image of the effect of plasma treatment.

  16. Creep of chemically vapor deposited SiC fibers

    NASA Technical Reports Server (NTRS)

    Dicarlo, J. A.

    1984-01-01

    The creep, thermal expansion, and elastic modulus properties for chemically vapor deposited SiC fibers were measured between 1000 and 1500 C. Creep strain was observed to increase logarithmically with time, monotonically with temperature, and linearly with tensile stress up to 600 MPa. The controlling activation energy was 480 + or - 20 kJ/mole. Thermal pretreatments near 1200 and 1450 C were found to significantly reduce fiber creep. These results coupled with creep recovery observations indicate that below 1400 C fiber creep is anelastic with neglible plastic component. This allowed a simple predictive method to be developed for describing fiber total deformation as a function of time, temperature, and stress. Mechanistic analysis of the property data suggests that fiber creep is the result of beta-SiC grain boundary sliding controlled by a small percent of free silicon in the grain boundaries.

  17. Atmospheric Pressure Plasma Induced Sterilization and Chemical Neutralization

    NASA Astrophysics Data System (ADS)

    Garate, Eusebio; Evans, Kirk; Gornostaeva, Olga; Alexeff, Igor; Lock Kang, Weng; Wood, Thomas K.

    1998-11-01

    We are studying chemical neutralization and surface decontamination using atmospheric pressure plasma discharges. The plasma is produced by corona discharge from an array of pins and a ground plane. The array is constructed so that various gases, like argon or helium, can be flowed past the pins where the discharge is initiated. The pin array can be biased using either DC, AC or pulsed discharges. Results indicate that the atmospheric plasma is effective in sterilizing surfaces with biological contaminants like E-coli and bacillus subtilus cells. Exposure times of less than four minutes in an air plasma result in a decrease in live colony counts by six orders of magnitude. Greater exposure times result in a decrease of live colony counts of up to ten orders of magnitude. The atmospheric pressure discharge is also effective in decomposing organic phosphate compounds that are simulants for chemical warfare agents. Details of the decomposition chemistry, by-product formation, and electrical energy consumption of the system will be discussed.

  18. Titanium nitride plasma-chemical synthesis with titanium tetrachloride raw material in the DC plasma-arc reactor

    NASA Astrophysics Data System (ADS)

    Kirpichev, D. E.; Sinaiskiy, M. A.; Samokhin, A. V.; Alexeev, N. V.

    2017-04-01

    The possibility of plasmochemical synthesis of titanium nitride is demonstrated in the paper. Results of the thermodynamic analysis of TiCl4 - H2 - N2 system are presented; key parameters of TiN synthesis process are calculated. The influence of parameters of plasma-chemical titanium nitride synthesis process in the reactor with an arc plasmatron on characteristics on the produced powders is experimentally investigated. Structure, chemical composition and morphology dependencies on plasma jet enthalpy, stoichiometric excess of hydrogen and nitrogen in a plasma jet are determined.

  19. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    NASA Astrophysics Data System (ADS)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  20. Controlled assembly of organic whispering-gallery-mode microlasers as highly sensitive chemical vapor sensors.

    PubMed

    Gao, Miaomiao; Wei, Cong; Lin, Xianqing; Liu, Yuan; Hu, Fengqin; Zhao, Yong Sheng

    2017-03-09

    We demonstrate the fabrication of organic high Q active whispering-gallery-mode (WGM) resonators from π-conjugated polymer by a controlled emulsion-solvent-evaporation method, which can simultaneously provide optical gain and act as an effective resonant cavity. By measuring the shift of their lasing modes on exposure to organic vapor, we successfully monitored the slight concentration variation in the chemical gas. These microlaser sensors demonstrated high detection sensitivity and good signal repeatability under continuous chemical gas treatments. The results offer an effective strategy to design miniaturized optical sensors.

  1. Thermal emission from large area chemical vapor deposited graphene devices

    NASA Astrophysics Data System (ADS)

    Luxmoore, I. J.; Adlem, C.; Poole, T.; Lawton, L. M.; Mahlmeister, N. H.; Nash, G. R.

    2013-09-01

    The spatial variation of thermal emission from large area graphene grown by chemical vapor deposition, transferred onto SiO2/Si substrates and fabricated into field effect transistor structures, has been investigated using infra-red microscopy. A peak in thermal emission occurs, the position of which can be altered by reversal of the current direction. The experimental results are compared with a one dimensional finite element model, which accounts for Joule heating and electrostatic effects, and it is found that the thermal emission is governed by the charge distribution in the graphene and maximum Joule heating occurs at the point of minimum charge density.

  2. Noncatalytic thermocouple coatings produced with chemical vapor deposition for flame temperature measurements.

    PubMed

    Bahlawane, N; Struckmeier, U; Kasper, T S; Osswald, P

    2007-01-01

    Chemical vapor deposition (CVD) and metal-organic chemical vapor deposition (MOCVD) have been employed to develop alumina thin films in order to protect thermocouples from catalytic overheating in flames and to minimize the intrusion presented to the combustion process. Alumina films obtained with a CVD process using AlCl(3) as the precursor are dense, not contaminated, and crystallize in the corundum structure, while MOCVD using Al(acetyl acetone)(3) allows the growth of corundum alumina with improved growth rates. These films, however, present a porous columnar structure and show some carbon contamination. Therefore, coated thermocouples using AlCl(3)-CVD were judged more suitable for flame temperature measurements and were tested in different fuels over a typical range of stoichiometries. Coated thermocouples exhibit satisfactory measurement reproducibility, no temporal drifts, and do not suffer from catalytic effects. Furthermore, their increased radiative heat loss (observed by infrared spectroscopy) allows temperature measurements over a wider range when compared to uncoated thermocouples. A flame with a well-known temperature profile established with laser-based techniques was used to determine the radiative heat loss correction to account for the difference between the apparent temperature measured by the coated thermocouple and the true flame temperature. The validity of the correction term was confirmed with temperature profile measurements for several flames previously studied in different laboratories with laser-based techniques.

  3. Solid coatings deposited from liquid methyl methacrylate via Plasma Polymerization

    NASA Astrophysics Data System (ADS)

    Wurlitzer, Lisa; Maus-Friedrichs, Wolfgang; Dahle, Sebastian

    2016-09-01

    The polymerization of methyl methacrylate via plasma discharges is well known today. Usually, plasma-enhanced chemical vapor deposition (PECVD) is used to deposit polymer coatings. Solid coatings are formed out of the liquid phase from methyl methacrylate via dielectric barrier discharge. The formation of the coating proceeds in the gas and the liquid phase. To learn more about the reactions in the two phases, the coatings from MMA monomer will be compared to those from MMA resin. Finally, attenuated total reflection infrared spectroscopy, confocal laser scanning microscopy and X-ray photoelectron spectroscopy are employed to characterize the solid coatings. In conclusion, the plasma enhanced chemical solution deposition is compared to the classical thermal polymerization of MMA.

  4. The study of lead vapor ionization in discharge with a hot cathode and efficiency of its deposition on the substrates applied for plasma separation method

    NASA Astrophysics Data System (ADS)

    Antonov, N. N.; Samokhin, A. A.; Zhabin, S. N.; Gavrikov, A. V.; Smirnov, V. P.

    2016-11-01

    Spent nuclear fuel plasma separation method approbation implies the use of model substances. Thus it is necessary to solve the problem of material conversion into a cold plasma flow, as well as the problem of deposition on collectors. For this purpose, we carried out a kinetic and hydrodynamic simulation of the discharge with hot cathode in the lead vapor (lead vapor was injected into the interelectrode gap). Dependencies of the ionization efficiency, electrostatic potential distribution, density distribution of ions and electrons in the discharge gap on the discharge current density and the model substance vapor concentration were obtained. The simulation results show that at discharge current density of about 3.5 A/cm2 and the lead vapor concentration of 2 × 1012 cm-3, the ionization efficiency is close to 60%. Experimental research of the discharge with a hot cathode in the lead vapor was carried out. We also carried out the research of the Pb condensation coefficients on various substrates. For experimental data analysis the numerical model based on Monte Carlo method was used. The research results show that deposition coefficients at medium temperatures of substrates near 70 °C do not drop lower than 75%.

  5. The Chemical Potential of Plasma Membrane Cholesterol: Implications for Cell Biology.

    PubMed

    Ayuyan, Artem G; Cohen, Fredric S

    2018-02-27

    Cholesterol is abundant in plasma membranes and exhibits a variety of interactions throughout the membrane. Chemical potential accounts for thermodynamic consequences of molecular interactions, and quantifies the effective concentration (i.e., activity) of any substance participating in a process. We have developed, to our knowledge, the first method to measure cholesterol chemical potential in plasma membranes. This was accomplished by complexing methyl-β-cyclodextrin with cholesterol in an aqueous solution and equilibrating it with an organic solvent containing dissolved cholesterol. The chemical potential of cholesterol was thereby equalized in the two phases. Because cholesterol is dilute in the organic phase, here activity and concentration were equivalent. This equivalence allowed the amount of cholesterol bound to methyl-β-cyclodextrin to be converted to cholesterol chemical potential. Our method was used to determine the chemical potential of cholesterol in erythrocytes and in plasma membranes of nucleated cells in culture. For erythrocytes, the chemical potential did not vary when the concentration was below a critical value. Above this value, the chemical potential progressively increased with concentration. We used standard cancer lines to characterize cholesterol chemical potential in plasma membranes of nucleated cells. This chemical potential was significantly greater for highly metastatic breast cancer cells than for nonmetastatic breast cancer cells. Chemical potential depended on density of the cancer cells. A method to alter and fix the cholesterol chemical potential to any value (i.e., a cholesterol chemical potential clamp) was also developed. Cholesterol content did not change when cells were clamped for 24-48 h. It was found that the level of activation of the transcription factor STAT3 increased with increasing cholesterol chemical potential. The cholesterol chemical potential may regulate signaling pathways. Copyright © 2018. Published by

  6. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance

    NASA Astrophysics Data System (ADS)

    He, Chenye; Bu, Xiuming; Yang, Siwei; He, Peng; Ding, Guqiao; Xie, Xiaoming

    2018-04-01

    Direct growth of high quality graphene on the surface of SrTiO3 (STO) was realized through chemical vapor deposition (CVD), to construct few-layer 'graphene shell' on every STO nanoparticle. The STO/graphene composite shows significantly enhanced UV light photocatalytic activity compared with the STO/rGO reference. Mechanism analysis confirms the role of special core-shell structure and chemical bond (Tisbnd C) for rapid interfacial electron transfer and effective electron-hole separation.

  7. Time dependent 14 MeV neutrons measurement using a polycrystalline chemical vapor deposited diamond detector at the JET tokamak

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Angelone, M.; Pillon, M.; Bertalot, L.

    A polycrystalline chemical vapor deposited (CVD) diamond detector was installed on a JET tokamak in order to monitor the time dependent 14 MeV neutron emission produced by D-T plasma pulses during the Trace Tritium Experiment (TTE) performed in October 2003. This was the first tentative ever attempted to use a CVD diamond detector as neutron monitor in a tokamak environment. Despite its small active volume, the detector was able to detect the 14 MeV neutron emission (>1.0x10{sup 15} n/shot) with good reliability and stability during the experimental campaign that lasted five weeks. The comparison with standard silicon detectors presently usedmore » at JET as 14 MeV neutron monitors is reported, showing excellent correlation between the measurements. The results prove that CVD diamond detectors can be reliably used in a tokamak environment and therefore confirm the potential of this technology for next step machines like ITER.« less

  8. Synthesis and Characterization of High c-axis ZnO Thin Film by Plasma Enhanced Chemical Vapor Deposition System and its UV Photodetector Application

    PubMed Central

    Chao, Chung-Hua; Wei, Da-Hua

    2015-01-01

    In this study, zinc oxide (ZnO) thin films with high c-axis (0002) preferential orientation have been successfully and effectively synthesized onto silicon (Si) substrates via different synthesized temperatures by using plasma enhanced chemical vapor deposition (PECVD) system. The effects of different synthesized temperatures on the crystal structure, surface morphologies and optical properties have been investigated. The X-ray diffraction (XRD) patterns indicated that the intensity of (0002) diffraction peak became stronger with increasing synthesized temperature until 400 oC. The diffraction intensity of (0002) peak gradually became weaker accompanying with appearance of (10-10) diffraction peak as the synthesized temperature up to excess of 400 oC. The RT photoluminescence (PL) spectra exhibited a strong near-band-edge (NBE) emission observed at around 375 nm and a negligible deep-level (DL) emission located at around 575 nm under high c-axis ZnO thin films. Field emission scanning electron microscopy (FE-SEM) images revealed the homogeneous surface and with small grain size distribution. The ZnO thin films have also been synthesized onto glass substrates under the same parameters for measuring the transmittance. For the purpose of ultraviolet (UV) photodetector application, the interdigitated platinum (Pt) thin film (thickness ~100 nm) fabricated via conventional optical lithography process and radio frequency (RF) magnetron sputtering. In order to reach Ohmic contact, the device was annealed in argon circumstances at 450 oC by rapid thermal annealing (RTA) system for 10 min. After the systematic measurements, the current-voltage (I-V) curve of photo and dark current and time-dependent photocurrent response results exhibited a good responsivity and reliability, indicating that the high c-axis ZnO thin film is a suitable sensing layer for UV photodetector application. PMID:26484561

  9. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  10. Chemical reaction between water vapor and stressed glass

    NASA Technical Reports Server (NTRS)

    Soga, N.; Okamoto, T.; Hanada, T.; Kunugi, M.

    1979-01-01

    The crack velocity in soda-lime silicate glass was determined at room temperature at water-vapor pressures of 10 to 0.04 torr using the double torsion technique. A precracked glass specimen (70 x 16 x 1.6 mm) was placed in a vacuum chamber containing a four-point bending test apparatus. The plotted experimental results show that the crack propagation curve in water agrees fairly well with that of Wiederhorn (1967). Attention is given to the effect of water vapor pressure on crack velocity at K(I) = 550,000 N/m to the 3/2 power, with (Wiederhorn's data) or without N2 present. The plotted results reveal that the present crack velocity is about two orders of magnitude higher than that of Wiederhorn at high water-vapor conditions, but the difference decreases as the water-vapor concentration diminishes or the crack velocity slows down.

  11. Comprehensive investigation of HgCdTe metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Raupp, Gregory B.

    1993-01-01

    The principal objective of this experimental and theoretical research program was to explore the possibility of depositing high quality epitaxial CdTe and HgCdTe at very low pressures through metalorganic chemical vapor deposition (MOCVD). We explored two important aspects of this potential process: (1) the interaction of molecular flow transport and deposition in an MOCVD reactor with a commercial configuration, and (2) the kinetics of metal alkyl source gas adsorption, decomposition and desorption from the growing film surface using ultra high vacuum surface science reaction techniques. To explore the transport-reaction issue, we have developed a reaction engineering analysis of a multiple wafer-in-tube ultrahigh vacuum chemical vapor deposition (UHV/CVD) reactor which allows an estimate of wafer or substrate throughput for a reactor of fixed geometry and a given deposition chemistry with specified film thickness uniformity constraints. The model employs a description of ballistic transport and reaction based on the pseudo-steady approximation to the Boltzmann equation in the limit of pure molecular flow. The model representation takes the form of an integral equation for the flux of each reactant or intermediate species to the wafer surfaces. Expressions for the reactive sticking coefficients (RSC) for each species must be incorporated in the term which represents reemission from a wafer surface. The interactions of MOCVD precursors with Si and CdTe were investigated using temperature programmed desorption (TPD) in ultra high vacuum combined with Auger electron spectroscopy (AES). These studies revealed that diethyltellurium (DETe) and dimethylcadmium (DMCd) adsorb weakly on clean Si(100) and desorb upon heating without decomposing. These precursors adsorb both weakly and strongly on CdTe(111)A, with DMCd exhibiting the stronger interaction with the surface than DETe.

  12. Low Temperature Chemical Vapor Deposition Of Thin Film Magnets

    DOEpatents

    Miller, Joel S.; Pokhodnya, Kostyantyn I.

    2003-12-09

    A thin-film magnet formed from a gas-phase reaction of tetracyanoetheylene (TCNE) OR (TCNQ), 7,7,8,8-tetracyano-P-quinodimethane, and a vanadium-containing compound such as vanadium hexcarbonyl (V(CO).sub.6) and bis(benzene)vanalium (V(C.sub.6 H.sub.6).sub.2) and a process of forming a magnetic thin film upon at least one substrate by chemical vapor deposition (CVD) at a process temperature not exceeding approximately 90.degree. C. and in the absence of a solvent. The magnetic thin film is particularly suitable for being disposed upon rigid or flexible substrates at temperatures in the range of 40.degree. C. and 70.degree. C. The present invention exhibits air-stable characteristics and qualities and is particularly suitable for providing being disposed upon a wide variety of substrates.

  13. Thermodynamic Analysis and Growth of Zirconium Carbide by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Wei, Sun; Hua, Hao Zheng; Xiang, Xiong

    Equilibrium calculations were used to optimize conditions for the chemical vapor deposition of zirconium carbide from zirconium halide + CxHy+H2+Ar system. The results show the CVD-ZrC phase diagram is divided into ZrC+C, ZrC and ZrC+Zr zones by C, Zr generating lines. For the same mole of ZrCl4 reactant, it needs higher concentration of CH4 to generate single ZrC phase than that of C3H6. Using these calculations as a guide, single-phase cubic zirconium carbide coatings were deposited onto graphite substrate.

  14. High voltage AC plasma torches with long electric arcs for plasma-chemical applications

    NASA Astrophysics Data System (ADS)

    Surov, A. V.; Popov, S. D.; Serba, E. O.; Pavlov, A. V.; Nakonechny, Gh V.; Spodobin, V. A.; Nikonov, A. V.; Subbotin, D. I.; Borovskoy, A. M.

    2017-04-01

    Powerful AC plasma torches are in demand for a number of advanced plasma chemical applications, they can provide high enthalpy of the working gas. IEE RAS specialists have developed a number of models of stationary thermal plasma torches for continuous operation on air with the power from 5 to 500 kW, and on mixture of H2O, CO2 and CH4 up to 150 kW. AC plasma torches were tested on the pilot plasmachemical installations. Powerful AC plasma torch with hollow electrodes and the gas vortex stabilization of arc in cylindrical channels and its operation characteristics are presented. Lifetime of its continuous operation on air is 2000 hours and thermal efficiency is about 92%, the electric arc length between two electrodes of the plasma torch exceeds 2 m.

  15. Determination of As, Hg and Pb in herbs using slurry sampling flow injection chemical vapor generation inductively coupled plasma mass spectrometry.

    PubMed

    Tai, Chia-Yi; Jiang, Shiuh-Jen; Sahayam, A C

    2016-02-01

    Analysis of herbs for As, Hg and Pb has been carried out using slurry sampling inductively coupled plasma mass spectrometry (ICP-MS) with flow injection vapor generation. Slurry containing 0.5% m/v herbal powder, 0.1% m/v citric acid and 2% v/v HCl was injected into the VG-ICP-MS system for the determination of As, Hg and Pb that obviate dissolution and mineralization. Standard addition and isotope dilution methods were used for quantifications in selected herbal powders. This method has been validated by the determination of As, Hg and Pb in NIST standard reference materials SRM 1547 Peach Leaves and SRM 1573a Tomato Leaves. The As, Hg and Pb analysis results of the reference materials agreed with the certified values. The precision obtained by the reported procedure was better than 7% for all determinations. The detection limit estimated from standard addition curve was 0.008, 0.003, and 0.007 ng mL(-1) for As, Hg and Pb, respectively. Copyright © 2015 Elsevier Ltd. All rights reserved.

  16. Chemical vapor deposition of high T(sub c) superconducting films in a microgravity environment

    NASA Technical Reports Server (NTRS)

    Levy, Moises; Sarma, Bimal K.

    1994-01-01

    Since the discovery of the YBaCuO bulk materials in 1987, Metalorganic Chemical Vapor Deposition (MOCVD) has been proposed for preparing HTSC high T(sub c) films. This technique is now capable of producing high-T(sub c) superconducting thin films comparable in quality to those prepared by any other methods. The MOCVD technique has demonstrated its superior advantage in making large area high quality HTSC thin films and will play a major role in the advance of device applications of HTSC thin films. The organometallic precursors used in the MOCVD preparation of HTSC oxide thin films are most frequently metal beta-diketonates. High T(sub c) superconductors are multi-component oxides which require more than one component source, with each source, containing one kind of precursor. Because the volatility and stability of the precursors are strongly dependent on temperature, system pressure, and carrier gas flow rate, it has been difficult to control the gas phase composition, and hence film stoichiometry. In order circumvent these problems we have built and tested a single source MOCVD reactor in which a specially designed vaporizer was employed. This vaporizer can be used to volatilize a stoichiometric mixture of diketonates of yttrium, barium and copper to produce a mixed vapor in a 1:2:3 ratio respectively of the organometellics. This is accomplished even though the three compounds have significantly different volatilities. We have developed a model which provides insight into the process of vaporizing mixed precursors to produce high quality thin films of Y1Ba2Cu3O7. It shows that under steady state conditions the mixed organometallic vapor must have a stoichiometric ratio of the individual organometallics identical to that in the solid mixture.

  17. NBI - plasma vaporization hybrid approach in bladder cancer endoscopic management.

    PubMed

    Stănescu, F; Geavlete, B; Georgescu, D; Jecu, M; Moldoveanu, C; Adou, L; Bulai, C; Ene, C; Geavlete, P

    2014-06-15

    A prospective study was performed aiming to evaluate the surgical efficacy, perioperative safety profile, diagnostic accuracy and medium term results of a multi-modal approach consisting in narrow band imaging (NBI) cystoscopy and bipolar plasma vaporization (BPV) when compared to the standard protocol represented by white light cystoscopy (WLC) and transurethral resection of bladder tumors (TURBT). A total of 260 patients with apparently at least one bladder tumor over 3 cm were included in the trial. In the first group, 130 patients underwent conventional and NBI cystoscopy followed by BPV, while in a similar number of cases of the second arm, classical WLC and TURBT were applied. In all non-muscle invasive bladder tumors' (NMIBT) pathologically confirmed cases, standard monopolar Re-TUR was performed at 4-6 weeks after the initial intervention, followed by one year' BCG immunotherapy. The follow-up protocol included abdominal ultrasound, urinary cytology and WLC, performed every 3 months for a period of 2 years. The obturator nerve stimulation, bladder wall perforation, mean hemoglobin level drop, postoperative bleeding, catheterization period and hospital stay were significantly reduced for the plasma vaporization technique by comparison to conventional resection. Concerning tumoral detection, the present data confirmed the NBI superiority when compared to standard WLC regardless of tumor stage (95.3% vs. 65.1% for CIS, 93.3% vs. 82.2% for pTa, 97.4% vs. 94% for pT1, 95% vs. 84.2% overall). During standard Re-TUR the overall (6.3% versus 17.4%) and primary site (3.6% versus 12.8%) residual tumors' rates were significantly lower for the NBI-BPV group. The 1 (7.2% versus 18.3%) and 2 (11.5% versus 25.8%) years' recurrence rates were substantially lower for the combined approach. NBI cystoscopy significantly improved diagnostic accuracy, while bipolar technology showed a higher surgical efficiency, lower morbidity and faster postoperative recovery. The combined

  18. Microwave plasma induced surface modification of diamond-like carbon films

    NASA Astrophysics Data System (ADS)

    Rao Polaki, Shyamala; Kumar, Niranjan; Gopala Krishna, Nanda; Madapu, Kishore; Kamruddin, Mohamed; Dash, Sitaram; Tyagi, Ashok Kumar

    2017-12-01

    Tailoring the surface of diamond-like carbon (DLC) film is technically relevant for altering the physical and chemical properties, desirable for useful applications. A physically smooth and sp3 dominated DLC film with tetrahedral coordination was prepared by plasma-enhanced chemical vapor deposition technique. The surface of the DLC film was exposed to hydrogen, oxygen and nitrogen plasma for physical and chemical modifications. The surface modification was based on the concept of adsorption-desorption of plasma species and surface entities of films. Energetic chemical species of microwave plasma are adsorbed, leading to desorbtion of the surface carbon atoms due to energy and momentum exchange. The interaction of such reactive species with DLC films enhanced the roughness, surface defects and dangling bonds of carbon atoms. Adsorbed hydrogen, oxygen and nitrogen formed a covalent network while saturating the dangling carbon bonds around the tetrahedral sp3 valency. The modified surface chemical affinity depends upon the charge carriers and electron covalency of the adsorbed atoms. The contact angle of chemically reconstructed surface increases when a water droplet interacts either through hydrogen or van dear Waals bonding. These weak interactions influenced the wetting property of the DLC surface to a great extent.

  19. Chemical vapor deposition techniques and related methods for manufacturing microminiature thermionic converters

    DOEpatents

    King, Donald B.; Sadwick, Laurence P.; Wernsman, Bernard R.

    2002-06-25

    Methods of manufacturing microminiature thermionic converters (MTCs) having high energy-conversion efficiencies and variable operating temperatures using MEMS manufacturing techniques including chemical vapor deposition. The MTCs made using the methods of the invention incorporate cathode to anode spacing of about 1 micron or less and use cathode and anode materials having work functions ranging from about 1 eV to about 3 eV. The MTCs also exhibit maximum efficiencies of just under 30%, and thousands of the devices can be fabricated at modest costs.

  20. A Citizen's Guide to Vapor Intrusion Mitigation

    EPA Pesticide Factsheets

    This guide describes how vapor intrusion is the movement of chemical vapors from contaminated soil and groundwater into nearby buildings.Vapors primarily enter through openings in the building foundation or basement walls.

  1. Analysis of organic vapors with laser induced breakdown spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nozari, Hadi; Tavassoli, Seyed Hassan; Rezaei, Fatemeh, E-mail: fatemehrezaei@kntu.ac.ir

    2015-09-15

    In this paper, laser induced breakdown spectroscopy (LIBS) is utilized in the study of acetone, ethanol, methanol, cyclohexane, and nonane vapors. Carbon, hydrogen, oxygen, and nitrogen atomic emission spectra have been recorded following laser-induced breakdown of the organic vapors that are mixed with air inside a quartz chamber at atmospheric pressure. The plasma is generated with focused, Q-switched Nd:YAG radiation at the wavelength of 1064 nm. The effects of ignition and vapor pressure are discussed in view of the appearance of the emission spectra. The recorded spectra are proportional to the vapor pressure in air. The hydrogen and oxygen contributions diminishmore » gradually with consecutive laser-plasma events without gas flow. The results show that LIBS can be used to characterize organic vapor.« less

  2. Backbone-Degradable Polymers Prepared by Chemical Vapor Deposition.

    PubMed

    Xie, Fan; Deng, Xiaopei; Kratzer, Domenic; Cheng, Kenneth C K; Friedmann, Christian; Qi, Shuhua; Solorio, Luis; Lahann, Joerg

    2017-01-02

    Polymers prepared by chemical vapor deposition (CVD) polymerization have found broad acceptance in research and industrial applications. However, their intrinsic lack of degradability has limited wider applicability in many areas, such as biomedical devices or regenerative medicine. Herein, we demonstrate, for the first time, a backbone-degradable polymer directly synthesized via CVD. The CVD co-polymerization of [2.2]para-cyclophanes with cyclic ketene acetals, specifically 5,6-benzo-2-methylene-1,3-dioxepane (BMDO), results in well-defined, hydrolytically degradable polymers, as confirmed by FTIR spectroscopy and ellipsometry. The degradation kinetics are dependent on the ratio of ketene acetals to [2.2]para-cyclophanes as well as the hydrophobicity of the films. These coatings address an unmet need in the biomedical polymer field, as they provide access to a wide range of reactive polymer coatings that combine interfacial multifunctionality with degradability. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Microwave plasma chemical synthesis of nanocrystalline carbon film structures and study their properties

    NASA Astrophysics Data System (ADS)

    Bushuev, N.; Yafarov, R.; Timoshenkov, V.; Orlov, S.; Starykh, D.

    2015-08-01

    The self-organization effect of diamond nanocrystals in polymer-graphite and carbon films is detected. The carbon materials deposition was carried from ethanol vapors out at low pressure using a highly non-equilibrium microwave plasma. Deposition processes of carbon film structures (diamond, graphite, graphene) is defined. Deposition processes of nanocrystalline structures containing diamond and graphite phases in different volume ratios is identified. The solid film was obtained under different conditions of microwave plasma chemical synthesis. We investigated the electrical properties of the nanocrystalline carbon films and identified it's from various factors. Influence of diamond-graphite film deposition mode in non-equilibrium microwave plasma at low pressure on emission characteristics was established. This effect is justified using the cluster model of the structure of amorphous carbon. It was shown that the reduction of bound hydrogen in carbon structures leads to a decrease in the threshold electric field of emission from 20-30 V/m to 5 V/m. Reducing the operating voltage field emission can improve mechanical stability of the synthesized film diamond-graphite emitters. Current density emission at least 20 A/cm2 was obtained. Nanocrystalline carbon film materials can be used to create a variety of functional elements in micro- and nanoelectronics and photonics such as cold electron source for emission in vacuum devices, photonic devices, cathodoluminescent flat display, highly efficient white light sources. The obtained graphene carbon net structure (with a net size about 6 μm) may be used for the manufacture of large-area transparent electrode for solar cells and cathodoluminescent light sources

  4. Chemical and microstructural characterizations of plasma polymer films by time-of-flight secondary ion mass spectrometry and principal component analysis

    NASA Astrophysics Data System (ADS)

    Cossement, Damien; Renaux, Fabian; Thiry, Damien; Ligot, Sylvie; Francq, Rémy; Snyders, Rony

    2015-11-01

    It is accepted that the macroscopic properties of functional plasma polymer films (PPF) are defined by their functional density and their crosslinking degree (χ) which are quantities that most of the time behave in opposite trends. If the PPF chemistry is relatively easy to evaluate, it is much more challenging for χ. This paper reviews the recent work developed in our group on the application of principal component analysis (PCA) to time-of-flight secondary ion mass spectrometric (ToF-SIMS) positive spectra data in order to extract the relative cross-linking degree (χ) of PPF. NH2-, COOR- and SH-containing PPF synthesized in our group by plasma enhanced chemical vapor deposition (PECVD) varying the applied radiofrequency power (PRF), have been used as model surfaces. For the three plasma polymer families, the scores of the first computed principal component (PC1) highlighted significant differences in the chemical composition supported by X-Ray photoelectron spectroscopy (XPS) data. The most important fragments contributing to PC1 (loadings > 90%) were used to compute an average C/H ratio index for samples synthesized at low and high PRF. This ratio being an evaluation of χ, these data, accordingly to the literature, indicates an increase of χ with PRF excepted for the SH-PPF. These results have been cross-checked by the evaluation of functional properties of the plasma polymers namely a linear correlation with the stability of NH2-PPF in ethanol and a correlation with the mechanical properties of the COOR-PPF. For the SH-PPF family, the peculiar evolution of χ is supported by the understanding of the growth mechanism of the PPF from plasma diagnostic. The whole set of data clearly demonstrates the potential of the PCA method for extracting information on the microstructure of plasma polymers from ToF-SIMS measurements.

  5. Conversion Coatings for Aluminum Alloys by Chemical Vapor Deposition Mechanisms

    NASA Technical Reports Server (NTRS)

    Reye, John T.; McFadden, Lisa S.; Gatica, Jorge E.; Morales, Wilfredo

    2004-01-01

    With the rise of environmental awareness and the renewed importance of environmentally friendly processes, the United States Environmental Protection Agency has targeted surface pre-treatment processes based on chromates. Indeed, this process has been subject to regulations under the Clean Water Act as well as other environmental initiatives, and there is today a marked movement to phase the process out in the near future. Therefore, there is a clear need for new advances in coating technology that could provide practical options for replacing present industrial practices. Depending on the final application, such coatings might be required to be resistant to corrosion, act as chemically resistant coatings, or both. This research examined a chemical vapor deposition (CVD) mechanism to deposit uniform conversion coatings onto aluminum alloy substrates. Robust protocols based on solutions of aryl phosphate ester and multi-oxide conversion coating (submicron) films were successfully grown onto the aluminum alloy samples. These films were characterized by X-ray Photoelectron Spectroscopy (XPS). Preliminary results indicate the potential of this technology to replace aqueous-based chromate processes.

  6. Isotropic plasma etching of Ge Si and SiN x films

    DOE PAGES

    Henry, Michael David; Douglas, Erica Ann

    2016-08-31

    This study reports on selective isotropic dry etching of chemically vapor deposited (CVD) Ge thin film, release layers using a Shibaura chemical downstream etcher (CDE) with NF 3 and Ar based plasma chemistry. Relative etch rates between Ge, Si and SiN x are described with etch rate reductions achieved by adjusting plasma chemistry with O 2. Formation of oxides reducing etch rates were measured for both Ge and Si, but nitrides or oxy-nitrides created using direct injection of NO into the process chamber were measured to increase Si and SiN x etch rates while retarding Ge etching.

  7. Fabrication of lightweight ceramic mirrors by means of a chemical vapor deposition process

    NASA Technical Reports Server (NTRS)

    Goela, Jitendra S. (Inventor); Taylor, Raymond L. (Inventor)

    1991-01-01

    A process to fabricate lightweigth ceramic mirrors, and in particular, silicon/silicon carbide mirrors, involves three chemical vapor deposition steps: one to produce the mirror faceplate, the second to form the lightweight backstructure which is deposited integral to the faceplate, and the third and final step which results in the deposition of a layer of optical grade material, for example, silicon, onto the front surface of the faceplate. The mirror figure and finish are fabricated into this latter material.

  8. Advanced deposition model for thermal activated chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  9. Electron emission from chemical vapor deposited diamond and amorphous carbon films observed with a simple field emission device

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Feng, Z.; Brown, I.G.; Ager, J.W. III

    Electron emission from chemical vapor deposited (CVD) diamond and amorphous carbon (a-C) films was observed with a simple field emission device (FED). Both diamond and a-C films were prepared with microwave plasma-enhanced CVD techniques. Electron emission in the field strength range +10 to {minus}10 MVm{sup {minus}1} was studied, and the field emission source was confirmed by a diode characteristic of the {ital I}-{ital V} curve, a straight line in the Fowler--Nordheim (F-N) plot, and direct observation of light emission from a fluorescent screen. The turn-on field strength was {similar_to}5 MVm{sup {minus}1}, which was similar for both kinds of carbon films.more » The highest current density for diamond films, observed at a field strength of 10 MVm{sup {minus}1}, was {similar_to}15 {mu}A cm{sup {minus}2}. Diamond films yielded a higher emission current than a-C films. The reasons for the observed field emission are discussed.« less

  10. Optical Characteristics of a Gas Discharge Plasma Based on a Mixture of Mercury Diiodide Vapor, Nitrogen, and Helium

    NASA Astrophysics Data System (ADS)

    Malinina, A. A.; Malinin, A. N.

    2016-09-01

    The results of studies of spectral, temporal, and energy characteristics of radiation in a gas discharge plasma based on a mixture of mercury diiodide vapor with helium and nitrogen in the spectral range of 350-800 nm are presented. Plasma was produced by a barrier discharge in a device with a cylindrical aperture. The electrodes 0.2 m in length were placed at a distance of 0.015 m. The amplitude of the pump pulses, their duration, and frequency were equal to 20-30 kV, 150 ns, and 1-20 kHz, respectively. Radiation of mercury monoiodide exciplex molecules was revealed in the visible spectra region. Dependences of the plasma optical characteristics on the partial pressures of the mixture components were established.

  11. Infrared Signature Masking by Air Plasma Radiation

    NASA Technical Reports Server (NTRS)

    Kruger, Charles H.; Laux, C. O.

    2001-01-01

    This report summarizes the results obtained during a research program on the infrared radiation of air plasmas conducted in the High Temperature Gasdynamics Laboratory at Stanford University under the direction of Professor Charles H. Kruger, with Dr. Christophe O. Laux as Associate Investigator. The goal of this research was to investigate the masking of infrared signatures by the air plasma formed behind the bow shock of high velocity missiles. To this end, spectral measurements and modeling were made of the radiation emitted between 2.4 and 5.5 micrometers by an atmospheric pressure air plasma in chemical and thermal equilibrium at a temperature of approximately 3000 K. The objective was to examine the spectral emission of air species including nitric oxide, atomic oxygen and nitrogen lines, molecular and atomic continua, as well as secondary species such as water vapor or carbon dioxide. The cold air stream injected in the plasma torch contained approximately 330 parts per million of CO2, which is the natural CO2 concentration in atmospheric air at room temperatures, and a small amount of water vapor with an estimated mole fraction of 3.8x10(exp -4).

  12. The nature of catalyst particles and growth mechanisms of GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition.

    PubMed

    Weng, Xiaojun; Burke, Robert A; Redwing, Joan M

    2009-02-25

    The structure and chemistry of the catalyst particles that terminate GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition were investigated using a combination of electron diffraction, high-resolution transmission electron microscopy, and x-ray energy dispersive spectrometry. The crystal symmetry, lattice parameter, and chemical composition obtained reveal that the catalyst particles are Ni(3)Ga with an ordered L 1(2) structure. The results suggest that the catalyst is a solid particle during growth and therefore favor a vapor-solid-solid mechanism for the growth of GaN nanowires under these conditions.

  13. Characterization of Metalorganic Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Jesser, W. A.

    1998-01-01

    A series of experimental and numerical investigations to develop a more complete understanding of the reactive fluid dynamics of chemical vapor deposition were conducted. In the experimental phases of the effort, a horizontal CVD reactor configuration was used for the growth of InP at UVA and for laser velocimetry measurements of the flow fields in the reactor at LaRC. This horizontal reactor configuration was developed for the growth of III-V semiconductors and has been used by our research group in the past to study the deposition of both GaAs and InP. While the ultimate resolution of many of the heat and mass transport issues will require access to a reduced-gravity environment, the series of groundbased research makes direct contributions to this area while attempting to answer the design questions for future experiments of how low must gravity be reduced and for how long must this gravity level be maintained to make the necessary measurements. It is hoped that the terrestrial experiments will be useful for the design of future microgravity experiments which likely will be designed to employ a core set of measurements for applications in the microgravity environment such as HOLOC, the Fluid Physics/Dynamics Facility, or the Schlieren photography, the Laser Imaging Velocimetry and the Laser Doppler Velocimetry instruments under development for the Advanced Fluids Experiment Module.

  14. In-Situ Analysis of the Chemical Vapor Synthesis of Nanocrystalline Silicon Carbide by Aerosol Mass Spectrometry

    DTIC Science & Technology

    2001-11-01

    ultrafine particles with a narrow size distribution and high purity. Chemical Vapor Synthesis (CVS) is a method to generate particles in the size range...high temperatures due to strong covalent bonds. Ultrafine particles of SiC are promising for the production of dense bulk solids due to the small grain

  15. Chemical vapor deposition of silicon, silicon dioxide, titanium and ferroelectric thin films

    NASA Astrophysics Data System (ADS)

    Chen, Feng

    Various silicon-based thin films (such as epitaxial, polycrystalline and amorphous silicon thin films, silicon dioxide thin films and silicon nitride thin films), titanium thin film and various ferroelectric thin films (such as BaTiO3 and PbTiO3 thin films) play critical roles in the manufacture of microelectronics circuits. For the past few years, there have been tremendous interests to search for cheap, safe and easy-to-use methods to develop those thin films with high quality and good step coverage. Silane is a critical chemical reagent widely used to deposit silicon-based thin films. Despite its wide use, silane is a dangerous material. It is pyrophoric, extremely flammable and may explode from heat, shock and/or friction. Because of the nature of silane, serious safety issues have been raised concerning the use, transportation, and storage of compressed gas cylinders of silane. Therefore it is desired to develop safer ways to deposit silicon-based films. In chapter III, I present the results of our research in the following fields: (1) Silane generator, (2) Substitutes of silane for deposition of silicon and silicon dioxide thin films, (3) Substitutes of silane for silicon dioxide thin film deposition. In chapter IV, hydropyridine is introduced as a new ligand for use in constructing precursors for chemical vapor deposition. Detachement of hydropyridine occurs by a low-temperature reaction leaving hydrogen in place of the hydropyridine ligands. Hydropyridine ligands can be attached to a variety of elements, including main group metals, such as aluminum and antimony, transition metals, such as titanium and tantalum, semiconductors such as silicon, and non-metals such as phosphorus and arsenic. In this study, hydropyridine-containing titanium compounds were synthesized and used as chemical vapor deposition precursors for deposition of titanium containing thin films. Some other titanium compounds were also studied for comparison. In chapter V, Chemical Vapor

  16. Effects of etchants in the transfer of chemical vapor deposited graphene

    NASA Astrophysics Data System (ADS)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  17. Simultaneous Chemical and Optical Patterning of Polyacrylonitrile Film by Vapor-Based Reaction.

    PubMed

    Shin, Jae-Won; Lee, Choonghyeon; Cha, Sang-Ho; Jang, Jyongsik; Lee, Kyung Jin

    2015-06-01

    The surface of polyacrylonitrile (PAN) film is treated with ethyleneamines (EDA) in a simple chemical vapor phase reaction. Successful introduction of amine functional groups on the cyano group of PAN backbone is verified by FT-IR and NMR measurements. Further UV-vis and photoluminescence analyses show a red shift of the emission peak after repeated EDA treatment, which might be attributed to the formation of imine conjugation from newly formed carbon-nitrogen bonds on the PAN backbone. Further confocal laser scanning microscopy reveals that selective patterning of EDA on PAN films is possible via local polydimethylsiloxane masking. The results indicate that both chemical and optical patterning on PAN film can be realized via a single reaction and show the potential of this novel methodology in selective patterning. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Parametric Investigation of the Isothermal Kinetics of Growth of Graphene on a Nickel Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    NASA Astrophysics Data System (ADS)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2016-11-01

    A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.

  19. Time-resolved resonance fluorescence spectroscopy for study of chemical reactions in laser-induced plasmas.

    PubMed

    Liu, Lei; Deng, Leimin; Fan, Lisha; Huang, Xi; Lu, Yao; Shen, Xiaokang; Jiang, Lan; Silvain, Jean-François; Lu, Yongfeng

    2017-10-30

    Identification of chemical intermediates and study of chemical reaction pathways and mechanisms in laser-induced plasmas are important for laser-ablated applications. Laser-induced breakdown spectroscopy (LIBS), as a promising spectroscopic technique, is efficient for elemental analyses but can only provide limited information about chemical products in laser-induced plasmas. In this work, time-resolved resonance fluorescence spectroscopy was studied as a promising tool for the study of chemical reactions in laser-induced plasmas. Resonance fluorescence excitation of diatomic aluminum monoxide (AlO) and triatomic dialuminum monoxide (Al 2 O) was used to identify these chemical intermediates. Time-resolved fluorescence spectra of AlO and Al 2 O were used to observe the temporal evolution in laser-induced Al plasmas and to study their formation in the Al-O 2 chemistry in air.

  20. Study of Cryogenic Complex Plasma

    DTIC Science & Technology

    2008-10-27

    nitrogen or liquid helium) and dust particles are introduced in the plasma. In YD-2, a cryogenic plasma is produced in the vapor of liquid helium above the...cryogenic liquid ( liquid nitrogen or liquid helium) and dust particles are introduced in the plasma. In YD-2, a cryogenic plasma is produced in the vapor...cryogenic liquid ( liquid nitrogen or liquid helium) in the Dewar bottle produces a stable plasma. We have been successful in producing a plasma (1

  1. Sequential photocatalyst-assisted digestion and vapor generation device coupled with anion exchange chromatography and inductively coupled plasma mass spectrometry for speciation analysis of selenium species in biological samples.

    PubMed

    Tsai, Yun-ni; Lin, Cheng-hsing; Hsu, I-hsiang; Sun, Yuh-chang

    2014-01-02

    We have developed an on-line sequential photocatalyst-assisted digestion and vaporization device (SPADVD), which operates through the nano-TiO2-catalyzed photo-oxidation and reduction of selenium (Se) species, for coupling between anion exchange chromatography (LC) and inductively coupled plasma mass spectrometry (ICP-MS) systems to provide a simple and sensitive hyphenated method for the speciation analysis of Se species without the need for conventional chemical digestion and vaporization techniques. Because our proposed on-line SPADVD allows both organic and inorganic Se species in the column effluent to be converted on-line into volatile Se products, which are then measured directly through ICP-MS, the complexity of the procedure and the probability of contamination arising from the use of additional chemicals are both low. Under the optimized conditions for SPADVD - using 1g of nano-TiO2 per liter, at pH 3, and illuminating for 80 s - we found that Se(IV), Se(VI), and selenomethionine (SeMet) were all converted quantitatively into volatile Se products. In addition, because the digestion and vaporization efficiencies of all the tested selenicals were improved when using our proposed on-line LC/SPADVD/ICP-MS system, the detection limits for Se(IV), Se(VI), and SeMet were all in the nanogram-per-liter range (based on 3σ). A series of validation experiments - analysis of neat and spiked extracted samples - indicated that our proposed methods could be applied satisfactorily to the speciation analysis of organic and inorganic Se species in the extracts of Se-enriched supplements. Copyright © 2013. Published by Elsevier B.V.

  2. Static gas-liquid interfacial direct current discharge plasmas using ionic liquid cathode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaneko, T.; CREST/JST, Tokyo 102-0075; Baba, K.

    Due to the unique properties of ionic liquids such as their extremely low vapor pressure and high heat capacity, we have succeeded in creating the static and stable gas (plasmas)-liquid (ionic liquids) interfacial field using a direct current discharge under a low gas pressure condition. It is clarified that the ionic liquid works as a nonmetal liquid electrode, and furthermore, a secondary electron emission coefficient of the ionic liquid is larger than that of conventional metal electrodes. The plasma potential structure of the gas-liquid interfacial region, and resultant interactions between the plasma and the ionic liquid are revealed by changingmore » a polarity of the electrode in the ionic liquid. By utilizing the ionic liquid as a cathode electrode, the positive ions in the plasma region are found to be irradiated to the ionic liquid. This ion irradiation causes physical and chemical reactions at the gas-liquid interfacial region without the vaporization of the ionic liquid.« less

  3. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    NASA Astrophysics Data System (ADS)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  4. Applying chemical engineering concepts to non-thermal plasma reactors

    NASA Astrophysics Data System (ADS)

    Pedro AFFONSO, NOBREGA; Alain, GAUNAND; Vandad, ROHANI; François, CAUNEAU; Laurent, FULCHERI

    2018-06-01

    Process scale-up remains a considerable challenge for environmental applications of non-thermal plasmas. Undersanding the impact of reactor hydrodynamics in the performance of the process is a key step to overcome this challenge. In this work, we apply chemical engineering concepts to analyse the impact that different non-thermal plasma reactor configurations and regimes, such as laminar or plug flow, may have on the reactor performance. We do this in the particular context of the removal of pollutants by non-thermal plasmas, for which a simplified model is available. We generalise this model to different reactor configurations and, under certain hypotheses, we show that a reactor in the laminar regime may have a behaviour significantly different from one in the plug flow regime, often assumed in the non-thermal plasma literature. On the other hand, we show that a packed-bed reactor behaves very similarly to one in the plug flow regime. Beyond those results, the reader will find in this work a quick introduction to chemical reaction engineering concepts.

  5. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    PubMed

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  6. Uptake rate constants and partition coefficients for vapor phase organic chemicals using semipermeable membrane devices (SPMDs)

    USGS Publications Warehouse

    Cranor, W.L.; Alvarez, D.A.; Huckins, J.N.; Petty, J.D.

    2009-01-01

    To fully utilize semipermeable membrane devices (SPMDs) as passive samplers in air monitoring, data are required to accurately estimate airborne concentrations of environmental contaminants. Limited uptake rate constants (kua) and no SPMD air partitioning coefficient (Ksa) existed for vapor-phase contaminants. This research was conducted to expand the existing body of kinetic data for SPMD air sampling by determining kua and Ksa for a number of airborne contaminants including the chemical classes: polycyclic aromatic hydrocarbons, organochlorine pesticides, brominated diphenyl ethers, phthalate esters, synthetic pyrethroids, and organophosphate/organosulfur pesticides. The kuas were obtained for 48 of 50 chemicals investigated and ranged from 0.03 to 3.07??m3??g-1??d-1. In cases where uptake was approaching equilibrium, Ksas were approximated. Ksa values (no units) were determined or estimated for 48 of the chemicals investigated and ranging from 3.84E+5 to 7.34E+7. This research utilized a test system (United States Patent 6,877,724 B1) which afforded the capability to generate and maintain constant concentrations of vapor-phase chemical mixtures. The test system and experimental design employed gave reproducible results during experimental runs spanning more than two years. This reproducibility was shown by obtaining mean kua values (n??=??3) of anthracene and p,p???-DDE at 0.96 and 1.57??m3??g-1??d-1 with relative standard deviations of 8.4% and 8.6% respectively.

  7. Chemical Vapor Deposition Synthesis of Graphene-Based Materials and Chemical Modulation of Graphene Electronics

    NASA Astrophysics Data System (ADS)

    Yan, Zheng

    Graphene, a two-dimensional sp2-bonded carbon material, has attracted enormous attention due to its excellent electrical, optical and mechanical properties. Recently developed chemical vapor deposition (CVD) methods could produce large-size and uniform polycrystalline graphene films, limited to gas carbon sources, metal catalyst substrates and degraded properties induced by grain boundaries. Meanwhile, pristine monolayer graphene exhibits a standard ambipolar behavior with a zero neutrality point in field-effect transistors (FETs), limiting its future electronic applications. This thesis starts with the investigation of CVD synthesis of pristine and N-doped graphene with controlled thickness using solid carbon sources on metal catalyst substrates (chapter 1), and then discusses the direct growth of bilayer graphene on insulating substrates, including SiO2, h-BN, Si3N4 and Al2O3, without needing further transfer-process (chapter 2). Chapter 3 discusses the synthesis of high-quality graphene single crystals and hexagonal onion-ring-like graphene domains, and also explores the basic growth mechanism of graphene on Cu substrates. To extend graphene's potential applications, both vertical and planar graphene-carbon nanotube hybrids are fabricated using CVD method and their interesting properties are investigated (chapter 4). Chapter 5 discusses how to use chemical methods to modulate graphene's electronic behaviors.

  8. Method of making AlInSb by metal-organic chemical vapor deposition

    DOEpatents

    Biefeld, Robert M.; Allerman, Andrew A.; Baucom, Kevin C.

    2000-01-01

    A method for producing aluminum-indium-antimony materials by metal-organic chemical vapor deposition (MOCVD). This invention provides a method of producing Al.sub.X In.sub.1-x Sb crystalline materials by MOCVD wherein an Al source material, an In source material and an Sb source material are supplied as a gas to a heated substrate in a chamber, said Al source material, In source material, and Sb source material decomposing at least partially below 525.degree. C. to produce Al.sub.x In.sub.1-x Sb crystalline materials wherein x is greater than 0.002 and less than one.

  9. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    NASA Astrophysics Data System (ADS)

    Alam, M. T.; Bresnehan, M. S.; Robinson, J. A.; Haque, M. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m-1 K-1, is lower than the bulk basal plane value (390 W m-1 K-1) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics.

  10. Boron coating on boron nitride coated nuclear fuels by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Durmazuçar, Hasan H.; Gündüz, Güngör

    2000-12-01

    Uranium dioxide-only and uranium dioxide-gadolinium oxide (5% and 10%) ceramic nuclear fuel pellets which were already coated with boron nitride were coated with thin boron layer by chemical vapor deposition to increase the burn-up efficiency of the fuel during reactor operation. Coating was accomplished from the reaction of boron trichloride with hydrogen at 1250 K in a tube furnace, and then sintering at 1400 and 1525 K. The deposited boron was identified by infrared spectrum. The morphology of the coating was studied by using scanning electron microscope. The plate, grainy and string (fiber)-like boron structures were observed.

  11. Plasma deposition of antimicrobial coating on organic polymer

    NASA Astrophysics Data System (ADS)

    Rżanek-Boroch, Zenobia; Dziadczyk, Paulina; Czajkowska, Danuta; Krawczyk, Krzysztof; Fabianowski, Wojciech

    2013-02-01

    Organic materials used for packing food products prevent the access of microorganisms or gases, like oxygen or water vapor. To prolong the stability of products, preservatives such as sulfur dioxide, sulfites, benzoates, nitrites and many other chemical compounds are used. To eliminate or limit the amount of preservatives added to food, so-called active packaging is sought for, which would limit the development of microorganisms. Such packaging can be achieved, among others, by plasma modification of a material to deposit on its surface substances inhibiting the growth of bacteria. In this work plasma modification was carried out in barrier discharge under atmospheric pressure. Sulfur dioxide or/and sodium oxide were used as the coating precursors. As a result of bacteriological studies it was found that sulfur containing coatings show a 16% inhibition of Salmonella bacteria growth and 8% inhibition of Staphylococcus aureus bacteria growth. Sodium containing coatings show worse (by 10%) inhibiting properties. Moreover, films with plasma deposited coatings show good sealing properties against water vapor. Contribution to the Topical Issue "13th International Symposium on High Pressure Low Temperature Plasma Chemistry (Hakone XIII)", Edited by Nicolas Gherardi, Henryca Danuta Stryczewska and Yvan Ségui.

  12. Simple, green, and clean removal of a poly(methyl methacrylate) film on chemical vapor deposited graphene

    NASA Astrophysics Data System (ADS)

    Park, J.-H.; Jung, W.; Cho, D.; Seo, J.-T.; Moon, Y.; Woo, S. H.; Lee, C.; Park, C.-Y.; Ahn, J. R.

    2013-10-01

    The clean removal of a poly(methyl methacrylate) (PMMA) film on graphene has been an essential part of the process of transferring chemical vapor deposited graphene to a specific substrate, influencing the quality of the transferred graphene. Here we demonstrate that the clean removal of PMMA can be achieved by a single heat-treatment process without the chemical treatment that was adopted in other methods of PMMA removal. The cleanness of the transferred graphene was confirmed by four-point probe measurements, synchrotron radiation x-ray photoemission spectroscopy, optical images, and Raman spectroscopy.

  13. Nanostructure Engineered Chemical Sensors for Hazardous Gas and Vapor Detection

    NASA Technical Reports Server (NTRS)

    Li, Jing; Lu, Yijiang

    2005-01-01

    A nanosensor technology has been developed using nanostructures, such as single walled carbon nanotubes (SWNTs) and metal oxides nanowires or nanobelts, on a pair of interdigitated electrodes (IDE) processed with a silicon based microfabrication and micromachining technique. The IDE fingers were fabricated using thin film metallization techniques. Both in-situ growth of nanostructure materials and casting of the nanostructure dispersions were used to make chemical sensing devices. These sensors have been exposed to hazardous gases and vapors, such as acetone, benzene, chlorine, and ammonia in the concentration range of ppm to ppb at room temperature. The electronic molecular sensing in our sensor platform can be understood by electron modulation between the nanostructure engineered device and gas molecules. As a result of the electron modulation, the conductance of nanodevice will change. Due to the large surface area, low surface energy barrier and high thermal and mechanical stability, nanostructured chemical sensors potentially can offer higher sensitivity, lower power consumption and better robustness than the state-of-the-art systems, which make them more attractive for defense and space applications. Combined with MEMS technology, light weight and compact size sensors can be made in wafer scale with low cost.

  14. Chemical reaction and dust formation studies in laboratory hydrocarbon plasmas.

    NASA Astrophysics Data System (ADS)

    Hippler, Rainer; Majumdar, Abhijit; Thejaswini, H. C.

    Plasma chemical reaction studies with relevance to, e.g., Titan's atmosphere have been per-formed in various laboratory plasmas [1,2]. Chemical reactions in a dielectric barrier discharge at medium pressure of 250-300 mbar have been studied in CH4 /N2 and CH4 /Ar gas mixtures by means of mass spectrometry. The main reaction scheme is production of H2 by fragmenta-tion of CH4 , but also production of larger hydrocarbons like Cn Hm with n up to 10 including formation of different functional CN groups is observed. [1] A. Majumdar and R. Hippler, Development of dielectric barrier discharge plasma processing apparatus for mass spectrometry and thin film deposition, Rev. Sci. Instrum. 78, 075103 (2007) [2] H.T. Do, G. Thieme, M. Frühlich, H. Kersten, and R. Hippler, Ion Molecule and Dust Particle Formation in Ar/CH4 , Ar/C2 H2 and Ar/C3 H6 Radio-frequency Plasmas, Contrib. Plasma Phys. 45, No. 5-6, 378-384 (2005)

  15. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  16. Impact Vaporization of Planetesimal Cores

    NASA Astrophysics Data System (ADS)

    Kraus, R. G.; Root, S.; Lemke, R. W.; Stewart, S. T.; Jacobsen, S. B.; Mattsson, T. R.

    2013-12-01

    The degree of mixing and chemical equilibration between the iron cores of planetesimals and the mantle of the growing Earth has important consequences for understanding the end stages of Earth's formation and planet formation in general. At the Sandia Z machine, we developed a new shock-and-release technique to determine the density on the liquid-vapor dome of iron, the entropy on the iron shock Hugoniot, and the criteria for shock-induced vaporization of iron. We find that the critical shock pressure to vaporize iron is 507(+65,-85) GPa and show that decompression from a 15 km/s impact will initiate vaporization of iron cores, which is a velocity that is readily achieved at the end stages of planet formation. Vaporization of the iron cores increases dispersal of planetesimal cores, enables more complete chemical equilibration of the planetesimal cores with Earth's mantle, and reduces the highly siderophile element abundance on the Moon relative to Earth due to the expanding iron vapor exceeding the Moon's escape velocity. Sandia National Laboratories is a multiprogram laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Securities Administration under Contract No. DE-AC04-94AL85000.

  17. Heteroepitaxial growth of Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Nouhi, Akbar; Stirn, Richard J.

    1987-01-01

    In this letter, preliminary results are reported of heteroepitaxial growth of the dilute magnetic semiconductor alloy Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition. Dimethylcadmium (DMCd), diethyltellurium (DETe), and tricarbonyl (methylcyclopentadienyl) manganese (TCPMn) were used as source materials. The TCPMn had to be heated to as high as 140 C to provide the required vapor pressure. Films with Mn atomic fractions up to 30 percent have been grown over the temperature range 410-450 C. Results of optical absorption/transmission, photoluminescence, and X-ray diffraction measurements are presented along with a scanning electron micrograph showing good surface morphology of the grown layers.

  18. Preliminary investigation of electrothermal vaporization sample introduction for inductively coupled plasma time-of-flight mass spectrometry.

    PubMed

    Mahoney, P P; Ray, S J; Li, G; Hieftje, G M

    1999-04-01

    The coupling of an electrothermal vaporization (ETV) apparatus to an inductively coupled plasma time-of-flight mass spectrometer (ICP-TOFMS) is described. The ability of the ICP-TOFMS to produce complete elemental mass spectra at high repetition rates is experimentally demonstrated. A signal-averaging data acquisition board is employed to rapidly record complete elemental spectra throughout the vaporization stage of the ETV temperature cycle; a solution containing 34 elements is analyzed. The reduction of both molecular and atomic isobaric interferences through the temperature program of the furnace is demonstrated. Isobaric overlaps among the isotopes of cadmium, tin, and indium are resolved by exploiting differences in the vaporization characteristics of the elements. Figures of merit for the system are defined with several different data acquisition schemes capable of operating at the high repetition rate of the TOF instrument. With the use of both ion counting and a boxcar averager, the dynamic range is shown to be linear over a range of at least 6 orders of magnitude. A pair of boxcar averagers are used to measure the isotope ratio for silver with a precision of 1.9% RSD, despite a cycle-to-cycle precision of 19% RSD. Detection limits of 10-80 fg are calculated for seven elements, based upon a 10-microL injection.

  19. Significance of vapor phase chemical reactions on CVD rates predicted by chemically frozen and local thermochemical equilibrium boundary layer theories

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1988-01-01

    This paper investigates the role played by vapor-phase chemical reactions on CVD rates by comparing the results of two extreme theories developed to predict CVD mass transport rates in the absence of interfacial kinetic barrier: one based on chemically frozen boundary layer and the other based on local thermochemical equilibrium. Both theories consider laminar convective-diffusion boundary layers at high Reynolds numbers and include thermal (Soret) diffusion and variable property effects. As an example, Na2SO4 deposition was studied. It was found that gas phase reactions have no important role on Na2SO4 deposition rates and on the predictions of the theories. The implications of the predictions of the two theories to other CVD systems are discussed.

  20. Diagnostics of silane and germane radio frequency plasmas by coherent anti-Stokes Raman spectroscopy

    NASA Technical Reports Server (NTRS)

    Perry, Joseph W.; Shing, Y. H.; Allevato, C. E.

    1988-01-01

    In situ plasma diagnostics using coherent anti-Stokes Raman spectroscopy have shown different dissociation characteristics for GeH4 and SiH4 in radio frequency (rf) plasma-enhanced chemical vapor deposition of amorphous silicon germanium alloy (a-SiGe:H) thin films. The GeH4 dissociation rate in rf plasmas is a factor of about 3 larger than that of SiH4. Plasma diagnostics have revealed that the hydrogen dilution of the SiH4 and GeH4 mixed plasma plays a critical role in suppressing the gas phase polymerization and enhancing the GeH4 dissociation.

  1. A Review of Carbon Nanomaterials' Synthesis via the Chemical Vapor Deposition (CVD) Method.

    PubMed

    Manawi, Yehia M; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A

    2018-05-17

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  2. Synthesis and Characterization of Tin(IV) Oxide Obtained by Chemical Vapor Deposition Method

    NASA Astrophysics Data System (ADS)

    Nagirnyak, Svitlana V.; Lutz, Victoriya A.; Dontsova, Tatiana A.; Astrelin, Igor M.

    2016-07-01

    The effect of precursors on the characteristics of tin oxide obtained by chemical vapor deposition (CVD) method was investigated. The synthesis of nanosized tin(IV) oxide was carried out with the use of two different precursors: tin(II) oxalate obtained using tin chloride(II) and oxalic acid; tin(II) oxalate obtained using tin chloride(II); and ammonium oxalate. The synthesized tin(IV) oxide samples were studied by electron microscopy, X-ray diffraction and optical spectra. The lattice parameters of tin(IV) oxide samples were defined, the bandgap of samples were calculated.

  3. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    PubMed Central

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  4. VAPOR PRESSURES AND HEATS OF VAPORIZATION OF PRIMARY COAL TARS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eric M. Suuberg; Vahur Oja

    1997-07-01

    This project had as its main focus the determination of vapor pressures of coal pyrolysis tars. It involved performing measurements of these vapor pressures and from them, developing vapor pressure correlations suitable for use in advanced pyrolysis models (those models which explicitly account for mass transport limitations). This report is divided into five main chapters. Each chapter is a relatively stand-alone section. Chapter A reviews the general nature of coal tars and gives a summary of existing vapor pressure correlations for coal tars and model compounds. Chapter B summarizes the main experimental approaches for coal tar preparation and characterization whichmore » have been used throughout the project. Chapter C is concerned with the selection of the model compounds for coal pyrolysis tars and reviews the data available to us on the vapor pressures of high boiling point aromatic compounds. This chapter also deals with the question of identifying factors that govern the vapor pressures of coal tar model materials and their mixtures. Chapter D covers the vapor pressures and heats of vaporization of primary cellulose tars. Chapter E discusses the results of the main focus of this study. In summary, this work provides improved understanding of the volatility of coal and cellulose pyrolysis tars. It has resulted in new experimentally verified vapor pressure correlations for use in pyrolysis models. Further research on this topic should aim at developing general vapor pressure correlations for all coal tars, based on their molecular weight together with certain specific chemical characteristics i.e. hydroxyl group content.« less

  5. Devitrification and delayed crazing of SiO2 on single-crystal silicon and chemically vapor-deposited silicon nitride

    NASA Technical Reports Server (NTRS)

    Choi, Doo Jin; Scott, William D.

    1987-01-01

    The linear growth rate of cristobalite was measured in thin SiO2 films on silicon and chemically vapor-deposited silicon nitride. The presence of trace impurities from alumina furnace tubes greatly increased the crystal growth rate. Under clean conditions, the growth rate was still 1 order-of-magnitude greater than that for internally nucleated crystals in bulk silica. Crystallized films cracked and lifted from the surface after exposure to atmospheric water vapor. The crystallization and subsequent crazing and lifting of protective SiO2 films on silicon nitride should be considered in long-term applications.

  6. Transport of Chemical Vapors from Subsurface Sources to Atmosphere as Affected by Shallow Subsurface and Atmospheric Conditions

    NASA Astrophysics Data System (ADS)

    Rice, A. K.; Smits, K. M.; Hosken, K.; Schulte, P.; Illangasekare, T. H.

    2012-12-01

    Understanding the movement and modeling of chemical vapor through unsaturated soil in the shallow subsurface when subjected to natural atmospheric thermal and mass flux boundary conditions at the land surface is of importance to applications such as landmine detection and vapor intrusion into subsurface structures. New, advanced technologies exist to sense chemical signatures at the land/atmosphere interface, but interpretation of these sensor signals to make assessment of source conditions remains a challenge. Chemical signatures are subject to numerous interactions while migrating through the unsaturated soil environment, attenuating signal strength and masking contaminant source conditions. The dominant process governing movement of gases through porous media is often assumed to be Fickian diffusion through the air phase with minimal or no quantification of other processes contributing to vapor migration, such as thermal diffusion, convective gas flow due to the displacement of air, expansion/contraction of air due to temperature changes, temporal and spatial variations of soil moisture and fluctuations in atmospheric pressure. Soil water evaporation and interfacial mass transfer add to the complexity of the system. The goal of this work is to perform controlled experiments under transient conditions of soil moisture, temperature and wind at the land/atmosphere interface and use the resulting dataset to test existing theories on subsurface gas flow and iterate between numerical modeling efforts and experimental data. Ultimately, we aim to update conceptual models of shallow subsurface vapor transport to include conditionally significant transport processes and inform placement of mobile sensors and/or networks. We have developed a two-dimensional tank apparatus equipped with a network of sensors and a flow-through head space for simulation of the atmospheric interface. A detailed matrix of realistic atmospheric boundary conditions was applied in a series of

  7. Silicon Cluster Tool | Photovoltaic Research | NREL

    Science.gov Websites

    Material Deposition/Device Fabrication Very-high-frequency plasma-enhanced chemical vapor deposition (VHF PECVD) for microcrystalline silicon (µc-Si:H) Combinatorial plasma-enhanced chemical vapor deposition (Combi-PECVD) for p-type a-Si:H Plasma-enhanced chemical vapor deposition (PECVD) for n-type a-Si:H

  8. Chemical vapor deposition of Mo thin films from Mo(CO){sub 6}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, P.; Bond, J.; Westmore, T.

    1995-12-01

    Low levels of carbon and/or oxygen contamination in metallic thin films significantly alter the physical and chemical properties of these films often rendering them useless for any commercial applications. These impurities are often observed in films grown by a technique called metallorganic chemical vapor deposition (MOCVD). MOCVD films are grown by heating a substrate in the presence of a metallorganic precursor. We wish to identify the source(s) of contamination in films produced from the Group VIB metal hexacarbonyls, M(CO){sub 6}. Towards attaining this goal we have initiated studies on the elemental composition of thin films deposited by MOCVD using Mo(CO){submore » 6} as the precursor. The results obtained so far indicate that the level of contamination of the films partially depends on the deposition temperature. Our results will be compared to published work on films deposited by laser assisted CVD from Mo(CO){sub 6}.« less

  9. Modeling and control of diffusion and low-pressure chemical vapor deposition furnaces

    NASA Astrophysics Data System (ADS)

    De Waard, H.; De Koning, W. L.

    1990-03-01

    In this paper a study is made of the heat transfer inside cylindrical resistance diffusion and low-pressure chemical vapor deposition furnaces, aimed at developing an improved temperature controller. A model of the thermal behavior is derived which also covers the important class of furnaces equipped with semitransparent quartz process tubes. The model takes into account the thermal behavior of the thermocouples. It is shown that currently used temperature controllers are highly inefficient for very large scale integration applications. Based on the model an alternative temperature controller of the linear-quadratic-Gaussian type is proposed which features direct wafer temperature control. Some simulation results are given.

  10. Chemical vapor deposition of low reflective cobalt (II) oxide films

    NASA Astrophysics Data System (ADS)

    Amin-Chalhoub, Eliane; Duguet, Thomas; Samélor, Diane; Debieu, Olivier; Ungureanu, Elisabeta; Vahlas, Constantin

    2016-01-01

    Low reflective CoO coatings are processed by chemical vapor deposition from Co2(CO)8 at temperatures between 120 °C and 190 °C without additional oxygen source. The optical reflectivity in the visible and near infrared regions stems from 2 to 35% depending on deposition temperature. The combination of specific microstructural features of the coatings, namely a fractal ⿿cauliflower⿿ morphology and a grain size distribution more or less covering the near UV and IR wavelength ranges enhance light scattering and gives rise to a low reflectivity. In addition, the columnar morphology results in a density gradient in the vertical direction that we interpret as a refractive index gradient lowering reflectivity further down. The coating formed at 180 °C shows the lowest average reflectivity (2.9%), and presents an interesting deep black diffuse aspect.

  11. Chemical Vapor Deposition at High Pressure in a Microgravity Environment

    NASA Technical Reports Server (NTRS)

    McCall, Sonya; Bachmann, Klaus; LeSure, Stacie; Sukidi, Nkadi; Wang, Fuchao

    1999-01-01

    In this paper we present an evaluation of critical requirements of organometallic chemical vapor deposition (OMCVD) at elevated pressure for a channel flow reactor in a microgravity environment. The objective of using high pressure is to maintain single-phase surface composition for materials that have high thermal decomposition pressure at their optimum growth temperature. Access to microgravity is needed to maintain conditions of laminar flow, which is essential for process analysis. Based on ground based observations we present an optimized reactor design for OMCVD at high pressure and reduced gravity. Also, we discuss non-intrusive real-time optical monitoring of flow dynamics coupled to homogeneous gas phase reactions, transport and surface processes. While suborbital flights may suffice for studies of initial stages of heteroepitaxy experiments in space are essential for a complete evaluation of steady-state growth.

  12. Chemical vapor infiltration of TiB{sub 2} fibrous composites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Besmann, T.M.

    1997-04-01

    This program is designed to develop a Hall-Heroult aluminum smelting cathode with substantially improved properties. The carbon cathodes in current use require significant anode-to-cathode spacing in order to prevent shorting, causing significant electrical inefficiencies. This is due to the non-wettability of carbon by aluminum which causes instability in the cathodic aluminum pad. It is suggested that a fiber reinforced-TiB{sub 2} matrix composite would have the requisite wettability, strength, strain-to-failure, cost, and lifetime to solve this problem. The approach selected to fabricate such a cathode material is chemical vapor infiltration (CVI). This process produces high purity matrix TiB{sub 2} without damagingmore » the relatively fragile fibers. The program is designed to evaluate potential fiber reinforcements, fabricate test specimens, and scale the process to provide demonstration components.« less

  13. The Synergistic Effect between Electrical and Chemical Factors in Plasma Gene/Molecule-Transfection

    NASA Astrophysics Data System (ADS)

    Jinno, Masafumi

    2016-09-01

    This study has been done to know what kind of factors in plasma and processes on cells promote plasma gene/molecule transfection. We have discovered a new plasma source using a microcapillary electrode which enables high transfection efficiency and high cell survivability simultaneously. However, the mechanism of the transfection by plasma was not clear. To clarify the transfection mechanisms by micro plasma, we focused on the effects of electrical (current, charge, field, etc.) and chemical (radicals, RONS, etc.) factors generated by the micro plasma and evaluated the contribution weight of three groups of the effects and processes, i.e. electrical, chemical and biochemical ones. At first, the necessity of the electrical factors was estimated by the laser produced plasma (LPP). Mouse L-929 fibroblast cell was cultured on a 96-well plate or 12-well micro slide chamber. Plasmids pCX-EGFP in Tris-EDTA buffer was dropped on the cells and they were exposed to the capillary discharge plasma (CDP) or the LPP. In the case of the CDP, the plasma was generated between the tip of the capillary electrode and the cells so that both electrical and chemical factors were supplied to the cells. In this setup, about 20% of average transfection efficiency was obtained. In the case of the LPP, the plasma was generated apart from the cells so that electrical factors were not supplied to the cells. In this setup, no transfection was observed. These results show that the electrical factors are necessary for the plasma gene transfection. Next, the necessity of the chemical factors was estimated the effect of catalase to remove H2O2 in CDP. The transfection efficiency decreased to 0.4 by scavenging H2O2 with catalase. However, only the solution of H2O2 caused no gene transfection in cells. These results shows that H2O2 is important species to cause gene/molecule transfection but still needs a synergistic effect with electrical or other chemical factors. This work was partly supported by

  14. The thermal conductivity of chemical-vapor-deposited diamond films on silicon

    NASA Astrophysics Data System (ADS)

    Graebner, J. E.; Mucha, J. A.; Seibles, L.; Kammlott, G. W.

    1992-04-01

    The thermal conductivity of chemical-vapor-deposited diamond films on silicon is measured for the case of heat flow parallel to the plane of the film. A new technique uses thin-film heaters and thermometers on a portion of the film which is made to be free standing by etching away the substrate. Effects of thermal radiation are carefully avoided by choosing the length scale properly. Data for several films yield thermal conductivities in the range 2-6 W/cm C. This is comparable to copper (4 W/cm C) and is in a range that would be useful as a thin-film dielectric material, provided that the interface thermal resistance can be minimized. The conductivity varies inversely with the growth rate and the Raman linewidth.

  15. Tissue ablation after 120W greenlight laser vaporization and bipolar plasma vaporization of the prostate: a comparison using transrectal three-dimensional ultrasound volumetry

    NASA Astrophysics Data System (ADS)

    Kranzbühler, Benedikt; Gross, Oliver; Fankhauser, Christian D.; Hefermehl, Lukas J.; Poyet, Cédric; Largo, Remo; Müntener, Michael; Seifert, Hans-Helge; Zimmermann, Matthias; Sulser, Tullio; Müller, Alexander; Hermanns, Thomas

    2012-02-01

    Introduction and objectives: Greenlight laser vaporization (LV) of the prostate is characterized by simultaneous vaporization and coagulation of prostatic tissue resulting in tissue ablation together with excellent hemostasis during the procedure. It has been reported that bipolar plasma vaporization (BPV) of the prostate might be an alternative for LV. So far, it has not been shown that BPV is as effective as LV in terms of tissue ablation or hemostasis. We performed transrectal three-dimensional ultrasound investigations to compare the efficiency of tissue ablation between LV and BPV. Methods: Between 11.2009 and 5.2011, 50 patients underwent pure BPV in our institution. These patients were matched with regard to the pre-operative prostate volume to 50 LV patients from our existing 3D-volumetry-database. Transrectal 3D ultrasound and planimetric volumetry of the prostate were performed pre-operatively, after catheter removal, 6 weeks and 6 months. Results: Median pre-operative prostate volume was not significantly different between the two groups (45.3ml vs. 45.4ml; p=1.0). After catheter removal, median absolute volume reduction (BPV 12.4ml, LV 6.55ml) as well as relative volume reduction (27.8% vs. 16.4%) were significantly higher in the BPV group (p<0.001). After six weeks (42.9% vs. 33.3%) and six months (47.2% vs. 39.7%), relative volume reduction remained significantly higher in the BPV group (p<0.001). Absolute volume reduction was non-significantly higher in the BPV group after six weeks (18.4ml, 13.8ml; p=0.051) and six months (20.8ml, 18ml; p=0.3). Clinical outcome parameters improved significantly in both groups without relevant differences between the groups. Conclusions: Both vaporization techniques result in efficient tissue ablation with initial prostatic swelling. BPV seems to be superior due to a higher relative volume reduction. This difference had no clinical impact after a follow-up of 6M.

  16. PumpKin: A tool to find principal pathways in plasma chemical models

    NASA Astrophysics Data System (ADS)

    Markosyan, A. H.; Luque, A.; Gordillo-Vázquez, F. J.; Ebert, U.

    2014-10-01

    PumpKin is a software package to find all principal pathways, i.e. the dominant reaction sequences, in chemical reaction systems. Although many tools are available to integrate numerically arbitrarily complex chemical reaction systems, few tools exist in order to analyze the results and interpret them in relatively simple terms. In particular, due to the large disparity in the lifetimes of the interacting components, it is often useful to group reactions into pathways that recycle the fastest species. This allows a researcher to focus on the slow chemical dynamics, eliminating the shortest timescales. Based on the algorithm described by Lehmann (2004), PumpKin automates the process of finding such pathways, allowing the user to analyze complex kinetics and to understand the consumption and production of a certain species of interest. We designed PumpKin with an emphasis on plasma chemical systems but it can also be applied to atmospheric modeling and to industrial applications such as plasma medicine and plasma-assisted combustion.

  17. CRYOCHEM, Thermodynamic Model for Cryogenic Chemical Systems: Solid-Vapor and Solid-Liquid-Vapor Phase Equilibria Toward Applications on Titan and Pluto

    NASA Astrophysics Data System (ADS)

    Tan, S. P.; Kargel, J. S.; Adidharma, H.; Marion, G. M.

    2014-12-01

    Until in-situ measurements can be made regularly on extraterrestrial bodies, thermodynamic models are the only tools to investigate the properties and behavior of chemical systems on those bodies. The resulting findings are often critical in describing physicochemical processes in the atmosphere, surface, and subsurface in planetary geochemistry and climate studies. The extremely cold conditions on Triton, Pluto and other Kuiper Belt Objects, and Titan introduce huge non-ideality that prevents conventional models from performing adequately. At such conditions, atmospheres as a whole—not components individually—are subject to phase equilibria with their equilibrium solid phases or liquid phases or both. A molecular-based thermodynamic model for cryogenic chemical systems, referred to as CRYOCHEM, the development of which is still in progress, was shown to reproduce the vertical composition profile of Titan's atmospheric methane measured by the Huygens probe (Tan et al., Icarus 2013, 222, 53). Recently, the model was also used to describe Titan's global circulation where the calculated composition of liquid in Ligeia Mare is consistent with the bathymetry and microwave absorption analysis of T91 Cassini fly-by data (Tan et al., 2014, submitted). Its capability to deal with equilibria involving solid phases has also been demonstrated (Tan et al., Fluid Phase Equilib. 2013, 360, 320). With all those previous works done, our attention is now shifting to the lower temperatures in Titan's tropopause and on Pluto's surface, where much technical development remains for CRYOCHEM to assure adequate performance at low temperatures. In these conditions, solid-vapor equilibrium (SVE) is the dominant phase behavior that determines the composition of the atmosphere and the existing ices. Another potential application is for the subsurface phase equilibrium, which also involves liquid, thus three-phase equilibrium: solid-liquid-vapor (SLV). This presentation will discuss the

  18. The preparation of in situ doped hydrogenated amorphous silicon by homogeneous chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Meyerson, B. S.; Scott, B. A.; Wolford, D. J.

    1983-03-01

    Raman scattering, infrared absorption, conductivity measurements, electron microprobe, and secondary ion mass spectrometry (SIMS) were used to characterize boron and phosphorus doped hydrogenated amorphous silicon (a-Si:H) films prepared by Homogeneous Chemical Vapor Deposition (HOMOCVD). HOMOCVD is a thermal process which relies upon the gas phase pyrolysis of a source (silane containing up to 1.0% diborane or phosphine) to generate activated species for deposition upon a cooled substrate. Doped films prepared at 275 °C by this process were found to contain ˜12-at. % hydrogen as determined by infrared absorption. We examined dopant incorporation from the gas phase, obtaining values for a distribution coefficient CD (film dopant content/gas phase dopant concentration, atomic basis) of 0.33≤CD ≤0.63 for boron, while 0.4≤CD ≤10.75 in the limits 3.3×10-5≤PH3/SiH4≤0.004. We interpret the data as indicative of the formation of an unstable phosphorus/silicon intermediate in the gas phase, leading to the observed enhancements in CD at high gas phase phosphine content. HOMOCVD films doped at least as efficiently as their prepared counterparts, but tended to achieve higher conductivities [σ≥0.1 (Ω cm)-1 for 4.0% incorporated phosphorus] in the limit of heavy doping. Raman spectra showed no evidence of crystallinity in the doped films. Film properties (conductivity, activation energy of of conduction) have not saturated at the doping levels investigated here, making the attainment of higher ``active'' dopant levels a possibility. We attribute the observation that HOMOCVD appears more amenable to high ``active'' dopant levels than plasma techniques to the low (˜0.1 eV) thermal energy at which HOMOCVD proceeds, versus ˜10-100 eV for plasma techniques. Low substrate temperature (75 °C) doped films were prepared with initial results showing these films to dope as readily as those prepared at high temperature (T˜275 °C).

  19. Highly ionized physical vapor deposition plasma source working at very low pressure

    NASA Astrophysics Data System (ADS)

    Stranak, V.; Herrendorf, A.-P.; Drache, S.; Cada, M.; Hubicka, Z.; Tichy, M.; Hippler, R.

    2012-04-01

    Highly ionized discharge for physical vapor deposition at very low pressure is presented in the paper. The discharge is generated by electron cyclotron wave resonance (ECWR) which assists with ignition of high power impulse magnetron sputtering (HiPIMS) discharge. The magnetron gun (with Ti target) was built into the single-turn coil RF electrode of the ECWR facility. ECWR assistance provides pre-ionization effect which allows significant reduction of pressure during HiPIMS operation down to p = 0.05 Pa; this is nearly more than an order of magnitude lower than at typical pressure ranges of HiPIMS discharges. We can confirm that nearly all sputtered particles are ionized (only Ti+ and Ti++ peaks are observed in the mass scan spectra). This corresponds well with high plasma density ne ˜ 1018 m-3, measured during the HiPIMS pulse.

  20. Synthesis of Monolayer MoS2 by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Withanage, Sajeevi; Lopez, Mike; Dumas, Kenneth; Jung, Yeonwoong; Khondaker, Saiful

    Finite and layer-tunable band gap of transition metal dichalcogenides (TMDs) including molybdenum disulfide (MoS2) are highlighted over the zero band gap graphene in various semiconductor applications. Weak interlayer Van der Waal bonding of bulk MoS2 allows to cleave few to single layer MoS2 using top-down methods such as mechanical and chemical exfoliation, however few micron size of these flakes limit MoS2 applications to fundamental research. Bottom-up approaches including the sulfurization of molybdenum (Mo) thin films and co-evaporation of Mo and sulfur precursors received the attention due to their potential to synthesize large area. We synthesized monolayer MoS2 on Si/SiO2 substrates by atmospheric pressure Chemical Vapor Deposition (CVD) methods using sulfur and molybdenum trioxide (MoO3) as precursors. Several growth conditions were tested including precursor amounts, growth temperature, growth time and flow rate. Raman, photoluminescence (PL) and atomic force microscopy (AFM) confirmed monolayer islands merging to create large area were observed with grain sizes up to 70 μm without using any seeds or seeding promoters. These studies provide in-depth knowledge to synthesize high quality large area MoS2 for prospective electronics applications.

  1. Modeling chemical vapor deposition of silicon dioxide in microreactors at atmospheric pressure

    NASA Astrophysics Data System (ADS)

    Konakov, S. A.; Krzhizhanovskaya, V. V.

    2015-01-01

    We developed a multiphysics mathematical model for simulation of silicon dioxide Chemical Vapor Deposition (CVD) from tetraethyl orthosilicate (TEOS) and oxygen mixture in a microreactor at atmospheric pressure. Microfluidics is a promising technology with numerous applications in chemical synthesis due to its high heat and mass transfer efficiency and well-controlled flow parameters. Experimental studies of CVD microreactor technology are slow and expensive. Analytical solution of the governing equations is impossible due to the complexity of intertwined non-linear physical and chemical processes. Computer simulation is the most effective tool for design and optimization of microreactors. Our computational fluid dynamics model employs mass, momentum and energy balance equations for a laminar transient flow of a chemically reacting gas mixture at low Reynolds number. Simulation results show the influence of microreactor configuration and process parameters on SiO2 deposition rate and uniformity. We simulated three microreactors with the central channel diameter of 5, 10, 20 micrometers, varying gas flow rate in the range of 5-100 microliters per hour and temperature in the range of 300-800 °C. For each microchannel diameter we found an optimal set of process parameters providing the best quality of deposited material. The model will be used for optimization of the microreactor configuration and technological parameters to facilitate the experimental stage of this research.

  2. Vapor deposition routes to conformal polymer thin films

    PubMed Central

    Moni, Priya; Al-Obeidi, Ahmed

    2017-01-01

    Vapor phase syntheses, including parylene chemical vapor deposition (CVD) and initiated CVD, enable the deposition of conformal polymer thin films to benefit a diverse array of applications. This short review for nanotechnologists, including those new to vapor deposition methods, covers the basic theory in designing a conformal polymer film vapor deposition, sample preparation and imaging techniques to assess film conformality, and several applications that have benefited from vapor deposited, conformal polymer thin films. PMID:28487816

  3. Global Modeling of Uranium Molecular Species Formation Using Laser-Ablated Plasmas

    NASA Astrophysics Data System (ADS)

    Curreli, Davide; Finko, Mikhail; Azer, Magdi; Armstrong, Mike; Crowhurst, Jonathan; Radousky, Harry; Rose, Timothy; Stavrou, Elissaios; Weisz, David; Zaug, Joseph

    2016-10-01

    Uranium is chemically fractionated from other refractory elements in post-detonation nuclear debris but the mechanism is poorly understood. Fractionation alters the chemistry of the nuclear debris so that it no longer reflects the chemistry of the source weapon. The conditions of a condensing fireball can be simulated by a low-temperature plasma formed by vaporizing a uranium sample via laser heating. We have developed a global plasma kinetic model in order to model the chemical evolution of U/UOx species within an ablated plasma plume. The model allows to track the time evolution of the density and energy of an uranium plasma plume moving through an oxygen atmosphere of given fugacity, as well as other relevant quantities such as average electron and gas temperature. Comparison of model predictions with absorption spectroscopy of uranium-ablated plasmas provide preliminary insights on the key chemical species and evolution pathways involved during the fractionation process. This project was sponsored by the DoD, Defense Threat Reduction Agency, Grant HDTRA1-16-1-0020. This work was performed in part under the auspices of the U.S. DoE by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344.

  4. Nanocapillary Atmospheric Pressure Plasma Jet: A Tool for Ultrafine Maskless Surface Modification at Atmospheric Pressure.

    PubMed

    Motrescu, Iuliana; Nagatsu, Masaaki

    2016-05-18

    With respect to microsized surface functionalization techniques we proposed the use of a maskless, versatile, simple tool, represented by a nano- or microcapillary atmospheric pressure plasma jet for producing microsized controlled etching, chemical vapor deposition, and chemical modification patterns on polymeric surfaces. In this work we show the possibility of size-controlled surface amination, and we discuss it as a function of different processing parameters. Moreover, we prove the successful connection of labeled sugar chains on the functionalized microscale patterns, indicating the possibility to use ultrafine capillary atmospheric pressure plasma jets as versatile tools for biosensing, tissue engineering, and related biomedical applications.

  5. Simulation of chemical-vapor-deposited silicon carbide for a cold wall vertical reactor

    NASA Astrophysics Data System (ADS)

    Lee, Y. L.; Sanchez, J. M.

    1997-07-01

    The growth rate of silicon carbide obtained by low-pressure chemical vapor deposition from tetramethylsilane is numerically simulated for a cold wall vertical reactor. The transport equations for momentum, heat, and mass transfer are simultaneously solved by employing the finite volume method. A model for reaction rate is also proposed in order to predict the measured growth rates [A. Figueras, S. Garelik, J. Santiso, R. Rodroguez-Clemente, B. Armas, C. Combescure, R. Berjoan, J.M. Saurel and R. Caplain, Mater. Sci. Eng. B 11 (1992) 83]. Finally, the effects of thermal diffusion on the growth rate are investigated.

  6. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  7. Development and study of chemical vapor deposited tantalum base alloys

    NASA Technical Reports Server (NTRS)

    Meier, G. H.; Bryant, W. A.

    1976-01-01

    A technique for the chemical vapor deposition of alloys was developed. The process, termed pulsing, involves the periodic injection of reactant gases into a previously-evacuated reaction chamber where they blanket the substrate almost instantaneously. Formation of alternating layers of the alloy components and subsequent homogenization allows the formation of an alloy of uniform composition with the composition being determined by the duration and relative numbers of the various cycles. The technique has been utilized to produce dense alloys of uniform thickness and composition (Ta- 10 wt % W) by depositing alternating layers of Ta and W by the hydrogen reduction of TaCl5 and WCl6. A similar attempt to deposit a Ta - 8 wt % W - 2 wt% Hf alloy was unsuccessful because of the difficulty in reducing HfCl4 at temperatures below those at which gas phase nucleation of Ta and W occurred.

  8. Spin-Polarized Tunneling through Chemical Vapor Deposited Multilayer Molybdenum Disulfide.

    PubMed

    Dankert, André; Pashaei, Parham; Kamalakar, M Venkata; Gaur, Anand P S; Sahoo, Satyaprakash; Rungger, Ivan; Narayan, Awadhesh; Dolui, Kapildeb; Hoque, Md Anamul; Patel, Ram Shanker; de Jong, Michel P; Katiyar, Ram S; Sanvito, Stefano; Dash, Saroj P

    2017-06-27

    The two-dimensional (2D) semiconductor molybdenum disulfide (MoS 2 ) has attracted widespread attention for its extraordinary electrical-, optical-, spin-, and valley-related properties. Here, we report on spin-polarized tunneling through chemical vapor deposited multilayer MoS 2 (∼7 nm) at room temperature in a vertically fabricated spin-valve device. A tunnel magnetoresistance (TMR) of 0.5-2% has been observed, corresponding to spin polarization of 5-10% in the measured temperature range of 300-75 K. First-principles calculations for ideal junctions result in a TMR up to 8% and a spin polarization of 26%. The detailed measurements at different temperature, bias voltages, and density functional theory calculations provide information about spin transport mechanisms in vertical multilayer MoS 2 spin-valve devices. These findings form a platform for exploring spin functionalities in 2D semiconductors and understanding the basic phenomena that control their performance.

  9. Influence of O2 or H2O in a plasma jet and its environment on plasma electrical and biochemical performances

    NASA Astrophysics Data System (ADS)

    Adhikari, Ek R.; Samara, Vladimir; Ptasinska, Sylwia

    2018-05-01

    Because environmental conditions, such as room temperature and humidity, fluctuate arbitrarily, effects of atmospheric pressure plasma jets (APPJs) used in medical applications operating at various places and time might vary. Therefore, understanding the possible effects of air components in and outside APPJs is essential for clinical use, which requires reproducibility of plasma performance. These air components can influence the formation of reactive species in the APPJ, and the type and amount of these species formed depend on the feed gas inside the APPJ and the plasma jet environment. In this study, we monitored changes in plasma current and power, as well as in the level of DNA damage attributable to plasma irradiation, by adjusting the fraction of oxygen and water vapor in the plasma jet environment and feed gas. Here, DNA was used as a molecular probe to identify chemical changes that occurred in the plasma jet under these various environmental conditions. The damaged and undamaged fractions of DNA were quantified using agarose gel electrophoresis. We obtained an optimal amount of oxygen or water vapor in the plasma jet environment, as well as in the feed gas, which increased the level of DNA damage significantly. This increase can be attributed primarily to the formation of reactive species caused by water and oxygen decomposition in the APPJ detected with mass spectrometry. Moreover, we observed that the plasma power remained the same or decreased when gas was added to the jet environment or the feed gas, respectively, but in both cases, DNA damage increased. This indicates the superiority of plasma chemistry over the electrical power applied for APPJ ignition of the plasma sources used in medical applications.

  10. Modeling and Real-Time Process Monitoring of Organometallic Chemical Vapor Deposition of III-V Phosphides and Nitrides at Low and High Pressure

    NASA Technical Reports Server (NTRS)

    Bachmann, K. J.; Cardelino, B. H.; Moore, C. E.; Cardelino, C. A.; Sukidi, N.; McCall, S.

    1999-01-01

    The purpose of this paper is to review modeling and real-time monitoring by robust methods of reflectance spectroscopy of organometallic chemical vapor deposition (OMCVD) processes in extreme regimes of pressure. The merits of p-polarized reflectance spectroscopy under the conditions of chemical beam epitaxy (CBE) and of internal transmission spectroscopy and principal angle spectroscopy at high pressure are assessed. In order to extend OMCVD to materials that exhibit large thermal decomposition pressure at their optimum growth temperature we have designed and built a differentially-pressure-controlled (DCP) OMCVD reactor for use at pressures greater than or equal to 6 atm. We also describe a compact hard-shell (CHS) reactor for extending the pressure range to 100 atm. At such very high pressure the decomposition of source vapors occurs in the vapor phase, and is coupled to flow dynamics and transport. Rate constants for homogeneous gas phase reactions can be predicted based on a combination of first principles and semi-empirical calculations. The pressure dependence of unimolecular rate constants is described by RRKM theory, but requires variational and anharmonicity corrections not included in presently available calculations with the exception of ammonia decomposition. Commercial codes that include chemical reactions and transport exist, but do not adequately cover at present the kinetics of heteroepitaxial crystal growth.

  11. Zno Micro/Nanostructures Grown on Sapphire Substrates Using Low-Temperature Vapor-Trapped Thermal Chemical Vapor Deposition: Structural and Optical Properties.

    PubMed

    Hu, Po-Sheng; Wu, Cheng-En; Chen, Guan-Lin

    2017-12-21

    In this research, the Zn(C₅H₇O₂)₂·xH₂O-based growth of ZnO micro/nanostructures in a low temperature, vapor-trapped chemical vapor deposition system was attempted to optimize structural and optical properties for potential biomedical applications. By trapping in-flow gas molecules and Zinc vapor inside a chamber tube by partially obstructing a chamber outlet, a high pressure condition can be achieved, and this experimental setup has the advantages of ease of synthesis, being a low temperature process, and cost effectiveness. Empirically, the growth process proceeded under a chamber condition of an atmospheric pressure of 730 torr, a controlled volume flow rate of input gas, N₂/O₂, of 500/500 Standard Cubic Centimeters per Minute (SCCM), and a designated oven temperature of 500 °C. Specifically, the dependence of structural and optical properties of the structures on growth duration and spatially dependent temperature were investigated utilizing scanning electron microscopy, X-ray diffraction (XRD), photoluminescence (PL), and ultraviolet-visible transmission spectroscopy. The experimental results indicate that the grown thin film observed with hexagonal structures and higher structural uniformity enables more prominent structural and optical signatures. XRD spectra present the dominant peaks along crystal planes of (002) and (101) as the main direction of crystallization. In addition, while the structures excited with laser wavelength of 325 nm emit a signature radiation around 380 nm, an ultraviolet lamp with a wavelength of 254 nm revealed distinctive photoluminescence peaks at 363.96 nm and 403.52 nm, elucidating different degrees of structural correlation as functions of growth duration and the spatial gradient of temperature. Transmittance spectra of the structures illustrate typical variation in the wavelength range of 200 nm to 400 nm, and its structural correlation is less significant when compared with PL.

  12. Zno Micro/Nanostructures Grown on Sapphire Substrates Using Low-Temperature Vapor-Trapped Thermal Chemical Vapor Deposition: Structural and Optical Properties

    PubMed Central

    Hu, Po-Sheng; Wu, Cheng-En; Chen, Guan-Lin

    2017-01-01

    In this research, the Zn(C5H7O2)2·xH2O-based growth of ZnO micro/nanostructures in a low temperature, vapor-trapped chemical vapor deposition system was attempted to optimize structural and optical properties for potential biomedical applications. By trapping in-flow gas molecules and Zinc vapor inside a chamber tube by partially obstructing a chamber outlet, a high pressure condition can be achieved, and this experimental setup has the advantages of ease of synthesis, being a low temperature process, and cost effectiveness. Empirically, the growth process proceeded under a chamber condition of an atmospheric pressure of 730 torr, a controlled volume flow rate of input gas, N2/O2, of 500/500 Standard Cubic Centimeters per Minute (SCCM), and a designated oven temperature of 500 °C. Specifically, the dependence of structural and optical properties of the structures on growth duration and spatially dependent temperature were investigated utilizing scanning electron microscopy, X-ray diffraction (XRD), photoluminescence (PL), and ultraviolet-visible transmission spectroscopy. The experimental results indicate that the grown thin film observed with hexagonal structures and higher structural uniformity enables more prominent structural and optical signatures. XRD spectra present the dominant peaks along crystal planes of (002) and (101) as the main direction of crystallization. In addition, while the structures excited with laser wavelength of 325 nm emit a signature radiation around 380 nm, an ultraviolet lamp with a wavelength of 254 nm revealed distinctive photoluminescence peaks at 363.96 nm and 403.52 nm, elucidating different degrees of structural correlation as functions of growth duration and the spatial gradient of temperature. Transmittance spectra of the structures illustrate typical variation in the wavelength range of 200 nm to 400 nm, and its structural correlation is less significant when compared with PL. PMID:29267196

  13. Controlled Synthesis of Atomically Layered Hexagonal Boron Nitride via Chemical Vapor Deposition.

    PubMed

    Liu, Juanjuan; Kutty, R Govindan; Liu, Zheng

    2016-11-29

    Hexagonal boron nitrite (h-BN) is an attractive material for many applications including electronics as a complement to graphene, anti-oxidation coatings, light emitters, etc. However, the synthesis of high-quality h-BN is still a great challenge. In this work, via controlled chemical vapor deposition, we demonstrate the synthesis of h-BN films with a controlled thickness down to atomic layers. The quality of as-grown h-BN is confirmed by complementary characterizations including high-resolution transition electron microscopy, atomic force microscopy, Raman spectroscopy and X-ray photo-electron spectroscopy. This work will pave the way for production of large-scale and high-quality h-BN and its applications as well.

  14. Speciation and quantification of vapor phases in soy biodiesel and waste cooking oil biodiesel.

    PubMed

    Peng, Chiung-Yu; Lan, Cheng-Hang; Dai, Yu-Tung

    2006-12-01

    This study characterizes the compositions of two biodiesel vapors, soy biodiesel and waste cooking oil biodiesel, to provide a comprehensive understanding of biodiesels. Vapor phases were sampled by purging oil vapors through thermal desorption tubes which were then analyzed by the thermal desorption/GC/MS system. The results show that the compounds of biodiesel vapors can be divided into four groups. They include methyl esters (the main biodiesel components), oxygenated chemicals, alkanes and alkenes, and aromatics. The first two chemical groups are only found in biodiesel vapors, not in the diesel vapor emissions. The percentages of mean concentrations for methyl esters, oxygenated chemicals, alkanes and alkenes, and aromatics are 66.1%, 22.8%, 4.8% and 6.4%, respectively for soy biodiesel, and 35.8%, 35.9%, 27.9% and 0.3%, respectively for waste cooking oil biodiesel at a temperature of 25+/-2 degrees C. These results show that biodiesels have fewer chemicals and lower concentrations in vapor phase than petroleum diesel, and the total emission rates are between one-sixteenth and one-sixth of that of diesel emission, corresponding to fuel evaporative emissions of loading losses of between 106 microg l(-1) and 283 microg l(-1). Although diesels generate more vapor phase emissions, biodiesels still generate considerable amount of vapor emissions, particularly the emissions from methyl esters and oxygenated chemicals. These two chemical groups are more reactive than alkanes and aromatics. Therefore, speciation and quantification of biodiesel vapor phases are important.

  15. Synthesis of zirconia (ZrO2) nanowires via chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Baek, M. K.; Park, S. J.; Choi, D. J.

    2017-02-01

    Monoclinic zirconia nanowires were synthesized by chemical vapor deposition using ZrCl4 powder as a starting material at 1200 °C and 760 Torr. Graphite was employed as a substrate, and an Au thin film was pre-deposited on the graphite as a catalyst. The zirconia nanostructure morphology was observed through scanning electron microscopy and transmission electron microscopy. Based on X-ray diffraction, selected area electron diffraction, and Raman spectroscopy data, the resulting crystal structure was found to be single crystalline monoclinic zirconia. The homogeneous distributions of Zr, O and Au were studied by scanning transmission electron microscopy with energy dispersive X-ray spectroscopy mapping, and there was no metal droplet at the nanowire tips despite the use of an Au metal catalyst. This result is apart from that of conventional metal catalyzed nanowires.

  16. Macrokinetics of carbon nanotubes synthesis by the chemical vapor deposition method

    NASA Astrophysics Data System (ADS)

    Rukhov, Artem; Dyachkova, Tatyana; Tugolukov, Evgeny; Besperstova, Galina

    2017-11-01

    A new approach to studying and developing basic processes which take place on the surface of a metal catalyst during the thermal decomposition of carbonaceous substances in the carbon nanotubes synthesis by the chemical vapor deposition method was proposed. In addition, an analysis was made of the interrelationships between these thermal, diffusion, hydrodynamic and other synthesis processes. A strong effect of the catalyst regeneration stage on the stage of nanotube formation has been shown. Based on the developed approach, a mathematical model was elaborated. Comparison of the calculation and the experiment carried out with the NiO-MgO catalyst at propane flow rate of 50 mL/min (standard conditions) and ethanol flow rate 0.3 mL/min (liq.) has revealed a discrepancy of less than 10%.

  17. Ultrahigh-mobility graphene devices from chemical vapor deposition on reusable copper

    PubMed Central

    Banszerus, Luca; Schmitz, Michael; Engels, Stephan; Dauber, Jan; Oellers, Martin; Haupt, Federica; Watanabe, Kenji; Taniguchi, Takashi; Beschoten, Bernd; Stampfer, Christoph

    2015-01-01

    Graphene research has prospered impressively in the past few years, and promising applications such as high-frequency transistors, magnetic field sensors, and flexible optoelectronics are just waiting for a scalable and cost-efficient fabrication technology to produce high-mobility graphene. Although significant progress has been made in chemical vapor deposition (CVD) and epitaxial growth of graphene, the carrier mobility obtained with these techniques is still significantly lower than what is achieved using exfoliated graphene. We show that the quality of CVD-grown graphene depends critically on the used transfer process, and we report on an advanced transfer technique that allows both reusing the copper substrate of the CVD growth and making devices with mobilities as high as 350,000 cm2 V–1 s–1, thus rivaling exfoliated graphene. PMID:26601221

  18. Testing of Wrought Iridium/Chemical Vapor Deposition Rhenium Rocket

    NASA Technical Reports Server (NTRS)

    Reed, Brian D.; Schneider, Steven J.

    1996-01-01

    A 22-N class, iridium/rhenium (Ir/Re) rocket chamber, composed of a thick (418 miocrometer) wrought iridium (Ir) liner and a rhenium substrate deposited via chemical vapor deposition, was tested over an extended period on gaseous oxygen/gaseous hydrogen (GO2/GH2) propellants. The test conditions were designed to produce species concentrations similar to those expected in an Earth-storable propellant combustion environment. Temperatures attained in testing were significantly higher than those expected with Earth-storable propellants, both because of the inherently higher combustion temperature of GO2/GH2 propellants and because the exterior surface of the rocket was not treated with a high-emissivity coating that would be applied to flight class rockets. Thus the test conditions were thought to represent a more severe case than for typical operational applications. The chamber successfully completed testing (over 11 hr accumulated in 44 firings), and post-test inspections showed little degradation of the Ir liner. The results indicate that use of a thick, wrought Ir liner is a viable alternative to the Ir coatings currently used for Ir/Re rockets.

  19. Chemical vapor deposition of high T sub c superconductors

    NASA Technical Reports Server (NTRS)

    Webb, G. W.; Engelhardt, J. J.

    1978-01-01

    The results are reported of an investigation into the synthesis and properties of high temperature superconducting materials. A chemical vapor deposition apparatus was designed and built which is suitable for the preparation of multicomponent metal films This apparatus was used to prepare a series of high T sub c A-15 structure superconducting films in the binary system Nb-Ge. The effect on T sub c of a variety of substrate materials was investigated. An extensive series of ternary alloys were also prepared. Conditions allowing the brittle high T sub c (approximately 18 K) A-15 structure superconductor Nb3A1 to be prepared in a low T sub c but ductile form were found. Some of the ways that the ductile (bcc) form can be cold worked or machined are described. Measurements of rate of transformation of cold worked bcc material to the high T sub c A-15 structure with low temperature annealing are given. Preliminary measurements indicate that this material has attractive high field critical current densities.

  20. Chemical vapor deposition: Stable carbons from low-rank coals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, R.K.; Kulas, R.W.; Olson, E.S.

    1996-12-31

    A chemical vapor deposition (CVD) technique has been used to increase the oxidative stability of activated carbons. Activated carbons prepared from Gascoyne lignite (North Dakota) by thermal or potassium hydroxide activations were subjected to BCI, in helium at 727{degrees}C with or without benzene for a limited period of time, followed by annealing in helium at 900{degrees}C for three days. Untreated and acid-washed coal samples were used to assess the magnitude of the effect of mineral matter in the coal on the boron coating. The oxidative stability of the boron-modified carbons was determined from the decomposition curves obtained from the thermogravimetricmore » analysis. Modification of the as-received, KOH-treated carbon yielded oxidatively stable carbons up to an initial temperature of 520{degrees}C, compared to about 350{degrees}C for the starting material. Similar results were obtained for the carbonized Gascoyne lignite. Sulfurous acid washing of the Gascoyne significantly enhanced the thermal stability (600{degrees}C) of the boron-modified carbon.« less

  1. Chemical Vapor Synthesis of Titanium Aluminides by Reaction of Aluminum Subchloride and Titanium Tetrachloride

    NASA Astrophysics Data System (ADS)

    Zakirov, Roman A.; Parfenov, Oleg G.; Solovyov, Leonid A.

    2018-02-01

    A new process for developing titanium aluminides (TiAls) using chemical vapor synthesis was investigated in a laboratory experiment. Aluminum subchloride (AlCl) was used as the reducing agent in the reaction with TiCl4 and the source of aluminum for Ti-Al alloy. Two types of products, with large crystals and fine particles, were fabricated. The large crystals were determined to be TiAl, with small amounts of Ti and Ti3Al phases. The composition of fine particles, on the other hand, varied in wide range.

  2. Growth rate of plasma-synthesized vertically aligned carbon nanofibers

    NASA Astrophysics Data System (ADS)

    Merkulov, Vladimir I.; Melechko, A. V.; Guillorn, M. A.; Lowndes, D. H.; Simpson, M. L.

    2002-08-01

    Vertically aligned carbon nanofibers (VACNFs) were synthesized by direct-current plasma enhanced chemical vapor deposition using acetylene and ammonia as the gas source. The mechanisms responsible for changing the nanofiber growth rate were studied and phenomenological models are proposed. The feedstock for VACNF growth is suggested to consist mainly of radicals formed in the plasma and not the unexcited acetylene gas molecules. The growth rate is shown to increase dramatically by changing the radical transport mechanism from diffusive to forced flow, which was accomplished by increasing the gas flow in the direction perpendicular to the substrate.

  3. Growth of normally-immiscible materials (NIMs), binary alloys, and metallic fibers by hyperbaric laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Maxwell, J. L.; Black, M. R.; Chavez, C. A.; Maskaly, K. R.; Espinoza, M.; Boman, M.; Landstrom, L.

    2008-06-01

    This work demonstrates that two or more elements of negligible solubility (and no known phase diagram) can be co-deposited in fiber form by hyperbaric-pressure laser chemical vapor deposition (HP-LCVD). For the first time, Hg-W alloys were grown as fibers from mixtures of tungsten hexafluoride, mercury vapor, and hydrogen. This new class of materials is termed normally-immiscible materials (NIMs), and includes not only immiscible materials, but also those elemental combinations that have liquid states at exclusive temperatures. This work also demonstrates that a wide variety of other binary and ternary alloys, intermetallics, and mixtures can be grown as fibers, e.g. silicon-tungsten, aluminum-silicon, boron-carbon-silicon, and titanium-carbon-nitride. In addition, pure metallic fibers of aluminum, titanium, and tungsten were deposited, demonstrating that materials of high thermal conductivity can indeed be grown in three-dimensions, provided sufficient vapor pressures are employed. A wide variety of fiber properties and microstructures resulted depending on process conditions; for example, single crystals, fine-grained alloys, and glassy metals could be deposited.

  4. Vapor-liquid nucleation: the solid touch.

    PubMed

    Yarom, Michal; Marmur, Abraham

    2015-08-01

    Vapor-liquid nucleation is a ubiquitous process that has been widely researched in many disciplines. Yet, case studies are quite scattered in the literature, and the implications of some of its basic concepts are not always clearly stated. This is especially noticeable for heterogeneous nucleation, which involves a solid surface in touch with the liquid and vapor. The current review attempts to offer a comprehensive, though concise, thermodynamic discussion of homogeneous and heterogeneous nucleation in vapor-liquid systems. The fundamental concepts of nucleation are detailed, with emphasis on the role of the chemical potential, and on intuitive explanations whenever possible. We review various types of nucleating systems and discuss the effect of the solid geometry on the characteristics of the new phase formation. In addition, we consider the effect of mixing on the vapor-liquid equilibrium. An interesting sub-case is that of a non-volatile solute that modifies the chemical potential of the liquid, but not of the vapor. Finally, we point out topics that need either further research or more exact, accurate presentation. Copyright © 2014 Elsevier B.V. All rights reserved.

  5. Vapor purification with self-cleaning filter

    DOEpatents

    Josephson, Gary B.; Heath, William O.; Aardahl, Christopher L.

    2003-12-09

    A vapor filtration device including a first electrode, a second electrode, and a filter between the first and second electrodes is disclosed. The filter is formed of dielectric material and the device is operated by applying a first electric potential between the electrodes to polarize the dielectric material such that upon passing a vapor stream through the filter, particles from the vapor stream are deposited onto the filter. After depositing the particles a second higher voltage is applied between the electrodes to form a nonthermal plasma around the filter to vaporize the collected particles thereby cleaning the filter. The filter can be a packed bed or serpentine filter mat, and an optional upstream corona wire can be utilized to charge airborne particles prior to their deposition on the filter.

  6. Bifunctional catalyst of graphite-encapsulated iron compound nanoparticle for magnetic carbon nanotubes growth by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Saraswati, Teguh Endah; Prasiwi, Oktaviana Dewi Indah; Masykur, Abu; Anwar, Miftahul

    2017-01-01

    The carbon nanotube has widely taken great attractive in carbon nanomaterial research and application. One of its preparation methods is catalytic chemical vapor deposition (CCVD) using catalyst i.e. iron, nickel, etc. Generally, except the catalyst, carbon source gasses as the precursor are still required. Here, we report the use of the bifunctional material of Fe3O4/C which has an incorporated core/shell structures of carbon-encapsulated iron compound nanoparticles. The bifunctional catalyst was prepared by submerged arc discharge that simply performed using carbon and carbon/iron oxide electrodes in ethanol 50%. The prepared material was then used as a catalyst in thermal chemical vapor deposition at 800°C flown with ethanol vapor as the primer carbon source in a low-pressure condition. This catalyst might play a dual role as a catalyst and secondary carbon source for growing carbon nanotubes at the time. The synthesized products were characterized by transmission electron microscopy (TEM) and X-ray diffraction (XRD) analysis. The successful formation of carbon nanotubes was assigned by the shifted X-ray diffracted peak of carbon C(002), the iron oxides of Fe3O4 and γ-Fe2O3, and the other peaks which were highly considered to the other carbon allotropes with sp2 hybridization structures. The other assignment was studied by electron microscopy which successfully observed the presence of single-wall carbon nanotubes. In addition, the as-prepared carbon nanotubes have a magnetic property which was induced by the remaining of metal catalyst inside the CNT.

  7. New method for comprehensive detection of chemical warfare agents using an electron-cyclotron-resonance ion-source mass spectrometer

    NASA Astrophysics Data System (ADS)

    Kidera, Masanori; Seto, Yasuo; Takahashi, Kazuya; Enomoto, Shuichi; Kishi, Shintaro; Makita, Mika; Nagamatsu, Tsuyoshi; Tanaka, Tatsuhiko; Toda, Masayoshi

    2011-03-01

    We developed a detection technology for vapor forms of chemical warfare agents (CWAs) with an element analysis system using an electron cyclotron resonance ion source. After the vapor sample was introduced directly into the ion source, the molecular material was decomposed into elements using electron cyclotron resonance plasma and ionized. The following CWAs and stimulants were examined: diisopropyl fluorophosphonate (DFP), 2-chloroethylethylsulfide (2CEES), cyanogen chloride (CNCl), and hydrogen cyanide (HCN). The type of chemical warfare agents, specifically, whether it was a nerve agent, blister agent, blood agent, or choking agent, could be determined by measuring the quantities of the monatomic ions or CN + using mass spectrometry. It was possible to detect gaseous CWAs that could not be detected by a conventional mass spectrometer. The distribution of electron temperature in the plasma could be closely controlled by adjusting the input power of the microwaves used to generate the electron cyclotron resonance plasma, and the target compounds could be detected as molecular ions or fragment ions, enabling identification of the target agents.

  8. Plasma enhanced chemical vapor deposition of metalboride interfacial layers as diffusion barriers for nanostructured diamond growth on cobalt containing alloys CoCrMo and WC-Co

    NASA Astrophysics Data System (ADS)

    Johnston, Jamin M.

    This work is a compilation of theory, finite element modeling and experimental research related to the use of microwave plasma enhanced chemical vapor deposition (MPECVD) of diborane to create metal-boride surface coatings on CoCrMo and WC-Co, including the subsequent growth of nanostructured diamond (NSD). Motivation for this research stems from the need for wear resistant coatings on industrial materials, which require improved wear resistance and product lifetime to remain competitive and satisfy growing demand. Nanostructured diamond coatings are a promising solution to material wear but cannot be directly applied to cobalt containing substrates due to graphite nucleation. Unfortunately, conventional pre-treatment methods, such as acid etching, render the substrate too brittle. Thus, the use of boron in a MPECVD process is explored to create robust interlayers which inhibit carbon-cobalt interaction. Furthermore, modeling of the MPECVD process, through the COMSOL MultiphysicsRTM platform, is performed to provide insight into plasma-surface interactions using the simulation of a real-world apparatus. Experimental investigation of MPECVD boriding and NSD deposition was conducted at surface temperatures from 700 to 1100 °C. Several well-adhered metal-boride surface layers were formed: consisting of CoB, CrB, WCoB, CoB and/or W2CoB2. Many of the interlayers were shown to be effective diffusion barriers against elemental cobalt for improving nucleation and adhesion of NSD coatings; diamond on W2CoB2 was well adhered. However, predominantly WCoB and CoB phase interlayers suffered from diamond film delamination. Metal-boride and NSD surfaces were evaluated using glancing-angle x-ray diffraction (XRD), x-ray photoelectron spectroscopy (XPS), cross-sectional scanning electron microscopy (SEM), energy dispersive x-ray spectroscopy (EDS), micro-Raman spectroscopy, nanoindentation, scratch testing and epoxy pull testing. COMSOL MultiphysicsRTM was used to construct a

  9. Why Nuclear Forensics Needs New Plasma Chemistry Data

    NASA Astrophysics Data System (ADS)

    Rose, T.; Armstrong, M.; Chernov, A.; Crowhurst, J.; Dai, Z.; Knight, K.; Koroglu, B.; Radousky, H.; Stavrou, E.; Weisz, D.; Zaug, J.; Azer, M.; Finko, M.; Curreli, D.

    2016-10-01

    The mechanisms that control the distribution of radionuclides in fallout after a nuclear detonation are not adequately constrained. Current capabilities for assessing post-detonation scenarios often rely on empirical observations and approximations. Deeper insight into chemical condensation requires a coupled experimental, theoretical, and modeling approach. The behavior of uranium during plasma condensation is perplexing. Two independent methods are being developed to investigate gas phase uranium chemistry and speciation during plasma condensation: (1) laser-induced breakdown spectroscopy and (2) a unique steady-state ICP flow reactor. Both methods use laser absorption spectroscopy to obtain in situ data for vapor phase molecular species as they form. We are developing a kinetic model to describe the relative abundance of uranium species in the evolving plasma. Characterization of the uranium-oxygen system will be followed by other chemical components, including `carrier' materials such as silica. The goal is to develop a semi-empirical model to describe the chemical fractionation of uranium during fallout formation. Prepared by LLNL under Contract DE-AC52-07NA27344. This project was sponsored in part by the Department of the Defense, Defense Threat Reduction Agency, under Grant Number HDTRA1-16-1-0020.

  10. Silicon solar cells made by a self-aligned, selective-emitter, plasma-etchback process

    DOEpatents

    Ruby, Douglas S.; Schubert, William K.; Gee, James M.

    1999-01-01

    A potentially low-cost process for forming and passivating a selective emitter. The process uses a plasma etch of the heavily doped emitter to improve its performance. The grids of the solar cell are used to mask the plasma etch so that only the emitter in the region between the grids is etched, while the region beneath the grids remains heavily doped for low contact resistance. This process is potentially low-cost because it requires no alignment. After the emitter etch, a silicon nitride layer is deposited by plasma-enhanced, chemical vapor deposition, and the solar cell is annealed in a forming gas.

  11. Destruction of chemical warfare surrogates using a portable atmospheric pressure plasma jet

    NASA Astrophysics Data System (ADS)

    Škoro, Nikola; Puač, Nevena; Živković, Suzana; Krstić-Milošević, Dijana; Cvelbar, Uroš; Malović, Gordana; Petrović, Zoran Lj.

    2018-01-01

    Today's reality is connected with mitigation of threats from the new chemical and biological warfare agents. A novel investigation of cold plasmas in contact with liquids presented in this paper demonstrated that the chemically reactive environment produced by atmospheric pressure plasma jet (APPJ) is potentially capable of rapid destruction of chemical warfare agents in a broad spectrum. The decontamination of three different chemical warfare agent surrogates dissolved in liquid is investigated by using an easily transportable APPJ. The jet is powered by a kHz signal source connected to a low-voltage DC source and with He as working gas. The detailed investigation of electrical properties is performed for various plasmas at different distances from the sample. The measurements of plasma properties in situ are supported by the optical spectrometry measurements, whereas the high performance liquid chromatography measurements before and after the treatment of aqueous solutions of Malathion, Fenitrothion and Dimethyl Methylphosphonate. These solutions are used to evaluate destruction and its efficiency for specific neural agent simulants. The particular removal rates are found to be from 56% up to 96% during 10 min treatment. The data obtained provide basis to evaluate APPJ's efficiency at different operating conditions. The presented results are promising and could be improved with different operating conditions and optimization of the decontamination process.

  12. The role of surface chemical analysis in a study to select replacement processes for TCA vapor degreasing

    NASA Technical Reports Server (NTRS)

    Lesley, Michael W.; Davis, Lawrence E.; Moulder, John F.; Carlson, Brad A.

    1995-01-01

    The role of surface-sensitive chemical analysis (ESCA, AES, and SIMS) in a study to select a process to replace 1, 1, 1-trichloroethane (TCA) vapor degreasing as a steel and aluminum bonding surface preparation method is described. The effort was primarily concerned with spray-in-air cleaning processes involving aqueous alkaline and semi-aqueous cleaners and a contamination sensitive epoxy-to-metal bondline. While all five cleaners tested produced bonding strength results equal to or better than those produced by vapor degreasing, the aqueous alkaline cleaners yielded results which were superior to those produced by the semi-aqueous cleaners. The main reason for the enhanced performance appears to be a silicate layer left behind by the aqueous alkaline cleaners. The silicate layer increases the polarity of the surface and enhances epoxy-to-metal bonding. On the other hand, one of the semi-aqueous cleaners left a nonpolar carbonaceous residue which appeared to have a negative effect on epoxy-to-metal bonding. Differences in cleaning efficiency between cleaners/processes were also identified. These differences in surface chemistry, which were sufficient to affect bonding, were not detected by conventional chemical analysis techniques.

  13. Efficacy of human serum butyrylcholinesterase against sarin vapor.

    PubMed

    Saxena, Ashima; Sun, Wei; Dabisch, Paul A; Hulet, Stanley W; Hastings, Nicholas B; Jakubowski, Edward M; Mioduszewski, Robert J; Doctor, Bhupendra P

    2008-09-25

    Human serum butyrylcholinesterase (Hu BChE) is currently under advanced development as a pretreatment drug for organophosphate (OP) poisoning in humans. It was shown to protect mice, rats, guinea pigs, and monkeys against multiple LD(50) challenges of OP nerve agents by i.v. or s.c. bolus injections. Since inhalation is the most likely route of exposure to OP nerve agents on the battlefield or in public places, the aim of this study was to evaluate the efficacy of Hu BChE against whole-body inhalation exposure to sarin (GB) vapor. Male Göttingen minipigs were subjected to one of the following treatments: (1) air exposure; (2) GB vapor exposure; (3) pretreatment with 3 mg/kg of Hu BChE followed by GB vapor exposure; (4) pretreatment with 6.5 mg/kg of Hu BChE followed by GB vapor exposure; (5) pretreatment with 7.5 mg/kg of Hu BChE followed by GB vapor exposure. Hu BChE was administered by i.m. injection, 24h prior to whole-body exposure to GB vapor at a concentration of 4.1 mg/m(3) for 60 min, a dose lethal to 99% of untreated exposed pigs (LCt99). EEG, ECG, and pupil size were monitored throughout exposure, and blood drawn from a surgically implanted jugular catheter before and throughout the exposure period, was analyzed for acetylcholinesterase (AChE) and BChE activities, and the amount of GB present in plasma. All animals exposed to GB vapor alone or pretreated with 3 or 6.5 mg/kg of Hu BChE, died following exposure to GB vapor. All five animals pretreated with 7.5 mg/kg of Hu BChE survived the GB exposure. The amount of GB bound in plasma was 200-fold higher compared to that from plasma of pigs that did not receive Hu BChE, suggesting that Hu BChE was effective in scavenging GB in blood. Additionally, pretreatment with 7.5 mg/kg of Hu BChE prevented cardiac abnormalities and seizure activity observed in untreated animals and those treated with lower doses of Hu BChE.

  14. Diagnostic Techniques Used to Study Chemical-Vapor-Deposited Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    2000-01-01

    The advantages and utility of chemical-vapor-deposited (CVD) diamond as an industrial ceramic can only be realized if the price and quality are right. Until recently, this technology was of interest only to the academic and basic research community. However, interest has grown because of advances made by leading CVD diamond suppliers: 1) Reduction of the cost of CVD polycrystalline diamond deposition below $5/carat ($8/sq cm); 2) Installation of production capacity; 3) Epitaxial growth of CVD single-crystal diamond. Thus, CVD diamond applications and business are an industrial reality. At present, CVD diamond is produced in the form of coatings or wafers. CVD diamond film technology offers a broader technological potential than do natural and high-pressure synthetic diamonds because size, geometry, and eventually cost will not be as limiting. Now that they are cost effective, diamond coatings - with their extreme properties - can be used in a variety of applications. Diamond coatings can improve many of the surface properties of engineering substrate materials, including erosion, corrosion, and wear resistance. Examples of actual and potential applications, from microelectromechanical systems to the wear parts of diamond coatings and related superhard coatings are described. For example, diamond coatings can be used as a chemical and mechanical barrier for the space shuttles check valves, particularly on the guide pins and seat assemblies.

  15. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE PAGES

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.; ...

    2018-01-31

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  16. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  17. Synthesis of New Ba Complex as Metalorganic Source for Metalorganic Chemical Vapor Deposition and Optimization of Its Molecule Structure

    NASA Astrophysics Data System (ADS)

    Zama, Hideaki; Morishita, Tadataka

    2000-10-01

    New Ba(DPM)2-amine (DPM=dipivaloylmethane) adduct compounds were attempted to be synthesized from Ba(DPM)2 and amines. Complexes obtained were evaluated based on decreases of their weight with increasing temperature by thermogravimetry. The simple vaporizing phenomenon, showing a one-step weight-reduction curve, was observed only in the case of using tetraethylenepentamine and pentaethylenehexamine (pentaen) as adduct molecules, which have a simple chain structure and five to six primary and secondary amine radicals. From the viewpoint of applicability to film growth, they have the best structure based on a survey in this study using sixteen amine molecules with distinctive structures. When we used Ba(DPM)2-pentaen as a metalorganic source for a metalorganic chemical vapor deposition method at a vaporizing temperature of 140°C, the Ba supply rate remained stable within a standard deviation of 1.6% for over 300 h.

  18. Intelligent process control of fiber chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  19. Catalytic chemical vapor deposition synthesis and electron microscopy observation of coiled carbon nanotubes

    NASA Astrophysics Data System (ADS)

    Xie, Jining; Mukhopadyay, K.; Yadev, J.; Varadan, V. K.

    2003-10-01

    Coiled carbon nanotubes exhibit excellent mechanical and electrical properties because of the combination of coil morphology and properties of nanotubes. They could have potential novel applications in nanocomposites and nano-electronic devices as well as nano-electromechanical systems. In this work, synthesis of regularly coiled carbon nanotubes is presented. It involves pyrolysis of hydrocarbon gas over metal/support catalyst by both thermal filament and microwave catalytic chemical vapor deposition methods. Scanning electron microscopy and transmission electron microscopy were performed to observe the coil morphology and nanostructure of coiled nanotubes. The growth mechanism and structural and electrical properties of coiled carbon nanotubes are also discussed.

  20. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  1. Probing the magnetosphere using chemical releases from the Combined Release and Radiation Effects Satellite

    NASA Technical Reports Server (NTRS)

    Bernhardt, P. A.

    1992-01-01

    An overview is presented of the chemical release experiments from NASA's Combined Release and Radiation Effects Satellite (CRRES) program. Preliminary results are given for the CRRES investigations of (1) stimulated electron and ion precipitation, (2) ion transport in the magnetotail, (3) critical ionization velocity, (4) field line tracing and parallel acceleration, (5) diamagnetic cavity formation and collapse, and (6) plasma instabilities. The chemical vapor properties from a thermite release mechanism are also briefly described.

  2. Alternatives to Arsine: The Atmospheric Pressure Organometallic Chemical Vapor Deposition Growth of GaAs Using Triethylarsenic.

    DTIC Science & Technology

    1987-08-15

    SUPPLEMENTARY NOTATION 17. COSATI CODES 18 SUBJECT TERMS (Corinue on reverse if necessary and identify by block number) FIELD GROUP SUB-GROUP Epitaxy GaAs 9...Zr leiK m I141’ FIGURES 1 . Effect of Growth Parameters on Residual Doping Type ................... 7 2. Photoluminescence Spectrum of a GaAs Epilayer... 1 3 Successful homoepitaxial growth of high purity, unintentionally doped GaAs epilayers by organometallic chemical vapor deposition (OMCVD) has

  3. Near-equilibrium chemical vapor deposition of high-quality single-crystal graphene directly on various dielectric substrates.

    PubMed

    Chen, Jianyi; Guo, Yunlong; Jiang, Lili; Xu, Zhiping; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Hu, Wenping; Yu, Gui; Liu, Yunqi

    2014-03-05

    By using near-equilibrium chemical vapor deposition, it is demonstrated that high-quality single-crystal graphene can be grown on dielectric substrates. The maximum size is about 11 μm. The carrier mobility can reach about 5650 cm(2) V(-1) s(-1) , which is comparable to those of some metal-catalyzed graphene crystals, reflecting the good quality of the graphene lattice. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Surface nanostructuring in the carbon–silicon(100) system upon microwave plasma treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yafarov, R. K., E-mail: pirpc@yandex.ru; Shanygin, V. Ya.

    2017-04-15

    The study is concerned with the physical and chemical processes and the mechanisms of the effect of plasma preparation of a surface on the systematic features of condensation and surface phase transformations during the formation of Si–C mask domains on p-Si(100) crystals by the deposition of submonolayer C coatings in the microwave plasma of low-pressure ethanol vapors. It is shown that, at short durations of the deposition of carbon onto silicon wafers with a natural-oxide coating at a temperature of 100°C, the formation of domains is observed. The lateral dimensions of the domains lie in the range from 10–15 tomore » 200 nm, and the heights of ridges produced by the plasma chemical etching of silicon through the mask domain coatings vary in the range from 40 to 80 nm.« less

  5. CHARACTERISTICS OF A FAST RISE TIME POWER SUPPLY FOR A PULSED PLASMA REACTOR FOR CHEMICAL VAPOR DESTRUCTION

    EPA Science Inventory

    Rotating spark gap devices for switching high-voltage direct current (dc) into a corona plasma reactor can achieve pulse rise times in the range of tens of nanoseconds. The fast rise times lead to vigorous plasma generation without sparking at instantaneous applied voltages highe...

  6. Preparation of dilute magnetic semiconductor films by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Nouhi, Akbar (Inventor); Stirn, Richard J. (Inventor)

    1990-01-01

    A method for preparation of a dilute magnetic semiconductor (DMS) film is provided, wherein a Group II metal source, a Group VI metal source and a transition metal magnetic ion source are pyrolyzed in the reactor of a metalorganic chemical vapor deposition (MOCVD) system by contact with a heated substrate. As an example, the preparation of films of Cd.sub.1-x Mn.sub.x Te, wherein 0.ltoreq..times..ltoreq.0.7, on suitable substrates (e.g., GaAs) is described. As a source of manganese, tricarbonyl (methylcyclopentadienyl) maganese (TCPMn) is employed. To prevent TCPMn condensation during the introduction thereof int the reactor, the gas lines, valves and reactor tubes are heated. A thin-film solar cell of n-i-p structure, wherein the i-type layer comprises a DMS, is also described; the i-type layer is suitably prepared by MOCVD.

  7. What Drives Metal-Surface Step Bunching in Graphene Chemical Vapor Deposition?

    NASA Astrophysics Data System (ADS)

    Yi, Ding; Luo, Da; Wang, Zhu-Jun; Dong, Jichen; Zhang, Xu; Willinger, Marc-Georg; Ruoff, Rodney S.; Ding, Feng

    2018-06-01

    Compressive strain relaxation of a chemical vapor deposition (CVD) grown graphene overlayer has been considered to be the main driving force behind metal surface step bunching (SB) in CVD graphene growth. Here, by combining theoretical studies with experimental observations, we prove that the SB can occur even in the absence of a compressive strain, is enabled by the rapid diffusion of metal adatoms beneath the graphene and is driven by the release of the bending energy of the graphene overlayer in the vicinity of steps. Based on this new understanding, we explain a number of experimental observations such as the temperature dependence of SB, and how SB depends on the thickness of the graphene film. This study also shows that SB is a general phenomenon that can occur in all substrates covered by films of two-dimensional (2D) materials.

  8. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    PubMed

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  9. Direct Growth of Graphene on Silicon by Metal-Free Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Tai, Lixuan; Zhu, Daming; Liu, Xing; Yang, Tieying; Wang, Lei; Wang, Rui; Jiang, Sheng; Chen, Zhenhua; Xu, Zhongmin; Li, Xiaolong

    2018-06-01

    The metal-free synthesis of graphene on single-crystal silicon substrates, the most common commercial semiconductor, is of paramount significance for many technological applications. In this work, we report the growth of graphene directly on an upside-down placed, single-crystal silicon substrate using metal-free, ambient-pressure chemical vapor deposition. By controlling the growth temperature, in-plane propagation, edge-propagation, and core-propagation, the process of graphene growth on silicon can be identified. This process produces atomically flat monolayer or bilayer graphene domains, concave bilayer graphene domains, and bulging few-layer graphene domains. This work would be a significant step toward the synthesis of large-area and layer-controlled, high-quality graphene on single-crystal silicon substrates. [Figure not available: see fulltext.

  10. Stability study: Transparent conducting oxides in chemically reactive plasmas

    NASA Astrophysics Data System (ADS)

    Manjunatha, Krishna Nama; Paul, Shashi

    2017-12-01

    Effect of plasma treatment on transparent conductive oxides (TCOs) including indium-doped tin oxide (ITO), fluorine-doped tin oxide (FTO) and aluminium-doped zinc oxide (AZO) are discussed. Stability of electrical and optical properties of TCOs, when exposed to plasma species generated from gases such as hydrogen and silane, are studied extensively. ITO and FTO thin films are unstable and reduce to their counterparts such as Indium and Tin when subjected to plasma. On the other hand, AZO is not only stable but also shows superior electrical and optical properties. The stability of AZO makes it suitable for electronic applications, such as solar cells and transistors that are fabricated under plasma environment. TCOs exposed to plasma with different fabrication parameters are used in the fabrication of silicon nanowire solar cells. The performance of solar cells, which is mired by the plasma, fabricated on ITO and FTO is discussed with respect to plasma exposure parameters while showing the advantages of using chemically stable AZO as an ideal TCO for solar cells. Additionally, in-situ diagnostic tool (optical emission spectroscopy) is used to monitor the deposition process and damage caused to TCOs.

  11. Pulsed plasma chemical synthesis of SixCyOz composite nanopowder

    NASA Astrophysics Data System (ADS)

    Kholodnaya, G.; Sazonov, R.; Ponomarev, D.; Remnev, G.

    2017-05-01

    SixCyOz composite nanopowder with an average size of particles about 10-50 nm was produced using the pulsed plasma chemical method. The experiments on the synthesis of nanosized composite were carried out using a TEA-500 pulsed electron accelerator. To produce a composite, SiCl4, O2, and CH4 were used. The major part of experiments was conducted using a plasma chemical reactor (quartz, 140 mm diameter, 6 l volume). The initial reagents were injected into the reactor, then a pulsed electron beam was injected which initiated the chemical reactions whose products were the SixCyOz composite nanopowder. To define the morphology of the particles, the JEOL-II-100 transmission electron microscope (TEM) with an accelerating voltage of 100 kV was used. The substances in the composition of the composite nanopowder were identified using the infrared absorption optical spectrum. To conduct this analysis, the Nicolet 5700 FT-IR spectrometer was used.

  12. Enhanced Chemisorption of Cu(hfac)2 on Parylene Surface by N2 Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Pimanpang, S.; Wang, P.-I.; Ye, D.-X.; Juneja, J. S.; Wang, G.-C.; Lu, T.-M.

    2006-03-01

    The metallization of polymers has been intensively studied due to its wide industrial applications. We report a study of interfacial interaction of metalorganic Cu(hfac)2 with the Parylene surface. Parylene is a low k dielectric polymer prepared by a chemical vapor deposition technique. The as-deposited Parylene surface is shown to be hydrophobic with a measured water droplet contact angle ˜72 . However, after the N2 plasma treatment, the water droplet contact angle decreases to ˜40 due to the formation of oxygen and nitrogen functional groups on the surface, as observed by x-ray photoelectron spectroscopy (XPS). These functional groups improve Cu(hfac)2 chemisorption on the plasma treated Parylene surface. Further studies by XPS show that chemisorption of Cu(hfac)2 is self-limiting up to 20 sec of Cu(hfac)2 precursor exposure time. The enhancement of chemisorption of metalorganic precursors on the polymer surface is an important step for chemical vapor deposition or atomic layer deposition of metal. ^a Supported by Thai govt. fellowship (SP) and SRC (JSJ).

  13. Methylmercury determination in seafood by photochemical vapor generation capacitively coupled plasma microtorch optical emission spectrometry.

    PubMed

    Covaci, Eniko; Senila, Marin; Ponta, Michaela; Darvasi, Eugen; Petreus, Dorin; Frentiu, Maria; Frentiu, Tiberiu

    2017-08-01

    A non-chromatographic method based on double liquid-liquid extraction and measurements by UV photochemical vapor generation capacitively coupled plasma microtorch optical emission spectrometry was developed and characterized for methylmercury determination in seafood. Samples were prepared following the procedure recommended in JRC Technical Report of European Commission formerly proposed for the determination of methylmercury in seafood by thermal decomposition atomic absorption spectrometry, namely confinement of Hg species in 47% HBr solution, extraction of CH 3 Hg + in toluene and back-extraction in 1% l-cysteine aqueous solution. Mercury cold vapor was generated by flow injection UV photo-reduction from CH 3 Hg + in 0.6molL -1 HCOOH, while quantification was performed against external Hg 2+ aqueous standards and measuring Hg 253.652nm emission using a low power/Ar consumption plasma microtorch (15W, 100mLmin -1 ) and a low resolution microspectrometer (Ocean Optics). The figures of merit and analytical capability were assessed by analyzing certified reference materials and test samples of fish fillet and discussed in relation with requirements for Hg determination in seafood in European legislation (Decisions 2007/333/EC and 2002/657/EC) as well as compared to performances achieved in thermal decomposition atomic absorption spectrometry. The limit of detection and quantification of 2µgkg -1 and 6µgkg -1 respectively, precision of 2.7-9.4% and accuracy of 99±8% of the proposed method for the determination of CH 3 Hg + fulfill the demands of European legislation for Hg quantification. The limit of detection and quantification were better than those in the used reference method or other non-/chromatographic methods taken for comparison. The analysis of certified reference materials and the Bland and Altman test performed on 12 test samples confirmed trueness of the proposed method and its reliability for the determination of traces of CH 3 Hg + with 95

  14. 40 CFR 796.1950 - Vapor pressure.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... to a wide variety of chemical types and structures, EPA has sponsored research and development work... Chemistry, 3:664-670 (1969). (3) Spencer, W.F. and Cliath, M.M. “Vapor Density and Apparent Vapor Pressure of Lindane,” Journal of Agricultural and Food Chemistry, 18:529-530 (1970). [50 FR 39252, Sept. 27...

  15. 40 CFR 796.1950 - Vapor pressure.

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... to a wide variety of chemical types and structures, EPA has sponsored research and development work... Chemistry, 3:664-670 (1969). (3) Spencer, W.F. and Cliath, M.M. “Vapor Density and Apparent Vapor Pressure of Lindane,” Journal of Agricultural and Food Chemistry, 18:529-530 (1970). [50 FR 39252, Sept. 27...

  16. 40 CFR 796.1950 - Vapor pressure.

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... to a wide variety of chemical types and structures, EPA has sponsored research and development work... Chemistry, 3:664-670 (1969). (3) Spencer, W.F. and Cliath, M.M. “Vapor Density and Apparent Vapor Pressure of Lindane,” Journal of Agricultural and Food Chemistry, 18:529-530 (1970). [50 FR 39252, Sept. 27...

  17. 40 CFR 796.1950 - Vapor pressure.

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... to a wide variety of chemical types and structures, EPA has sponsored research and development work... Chemistry, 3:664-670 (1969). (3) Spencer, W.F. and Cliath, M.M. “Vapor Density and Apparent Vapor Pressure of Lindane,” Journal of Agricultural and Food Chemistry, 18:529-530 (1970). [50 FR 39252, Sept. 27...

  18. High-resolution mass spectrometric analysis of biomass pyrolysis vapors

    DOE PAGES

    Christensen, Earl; Evans, Robert J.; Carpenter, Daniel

    2017-01-19

    Vapors generated from the pyrolysis of lignocellulosic biomass are made up of a complex mixture of oxygenated compounds. Direct analysis of these vapors provides insight into the mechanisms of depolymerization of cellulose, hemicellulose, and lignin as well as insight into reactions that may occur during condensation of pyrolysis vapors into bio-oil. Studies utilizing pyrolysis molecular beam mass spectrometry have provided valuable information regarding the chemical composition of pyrolysis vapors. Mass spectrometers generally employed with these instruments have low mass resolution of approximately a mass unit. The presence of chemical species with identical unit mass but differing elemental formulas cannot bemore » resolved with these instruments and are therefore detected as a single ion. In this study we analyzed the pyrolysis vapors of several biomass sources using a high-resolution double focusing mass spectrometer. High-resolution analysis of pyrolysis vapors allowed for speciation of several compounds that would be detected as a single ion with unit mass resolution. Lastly, these data not only provide greater detail into the composition of pyrolysis vapors but also highlight differences between vapors generated from multiple biomass feedstocks.« less

  19. Plasma Amino Acid Coatings for a Conformal Growth of Titania Nanoparticles

    DTIC Science & Technology

    2010-04-01

    of dry nitrogen. Periodic porous polymer SU8 templates fabricated using multi-beam IL according to published procedures have been supplied by Thomas...3D periodic polymer structures via plasma enhanced chemical vapor deposition. We demonstrate the efficient utilization of this functional amino acid...nanoparticles were grown directly on histidine-functionalized planar and 3D polymer substrates by a wet-chemistry method that showed uniform surface

  20. Control of electromagnetic edge effects in electrically-small rectangular plasma reactors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trampel, Christopher P.; Stieler, Daniel S.; PowerFilm, Inc., 2337 230th Street, Ames, Iowa 50014

    Electromagnetic fields supported by rectangular reactors for plasma enhanced chemical vapor deposition are studied theoretically. Expressions for the fields in an electrically-small rectangular reactor with plasma in the chamber are derived. Modal field decompositions are employed under the homogeneous plasma slab approximation. The amplitude of each mode is determined analytically. It is shown that the field can be represented by the standing wave, evanescent waves tied to the edges, and an evanescent wave tied to the corners of the reactor. The impact of boundary conditions at the plasma edge on nonuniformity is quantified. Uniformity may be improved by placing amore » lossy magnetic layer on the reactor sidewalls. It is demonstrated that nonuniformity is a decreasing function of layer thickness.« less

  1. Low-pressure chemical vapor deposition of low in situ phosphorus doped silicon thin films

    NASA Astrophysics Data System (ADS)

    Sarret, M.; Liba, A.; Bonnaud, O.

    1991-09-01

    In situ low phosphorus doped silicon films are deposited onto glass substrates by low-pressure chemical vapor deposition method. The deposition parameters, temperature, total pressure, and pure silane gas flow are, respectively, fixed at 550 °C, 0.08 Torr, and 50 sccm. The varying deposition parameter is phosphine/silane mole ratio; when this ratio varies from 2×10-6 to 4×10-4, the phosphorus concentration and the resistivity after annealing, respectively, vary from 2×1018 to 3×1020 atoms cm-3 and from 1.5 Ω cm to 2.5×10-3 Ω cm.

  2. Improved amorphous/crystalline silicon interface passivation for heterojunction solar cells by low-temperature chemical vapor deposition and post-annealing treatment.

    PubMed

    Wang, Fengyou; Zhang, Xiaodan; Wang, Liguo; Jiang, Yuanjian; Wei, Changchun; Xu, Shengzhi; Zhao, Ying

    2014-10-07

    In this study, hydrogenated amorphous silicon (a-Si:H) thin films are deposited using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) system. The Si-H configuration of the a-Si:H/c-Si interface is regulated by optimizing the deposition temperature and post-annealing duration to improve the minority carrier lifetime (τeff) of a commercial Czochralski (Cz) silicon wafer. The mechanism of this improvement involves saturation of the microstructural defects with hydrogen evolved within the a-Si:H films due to the transformation from SiH2 into SiH during the annealing process. The post-annealing temperature is controlled to ∼180 °C so that silicon heterojunction solar cells (SHJ) could be prepared without an additional annealing step. To achieve better performance of the SHJ solar cells, we also optimize the thickness of the a-Si:H passivation layer. Finally, complete SHJ solar cells are fabricated using different temperatures for the a-Si:H film deposition to study the influence of the deposition temperature on the solar cell parameters. For the optimized a-Si:H deposition conditions, an efficiency of 18.41% is achieved on a textured Cz silicon wafer.

  3. Growth and characterization of boron doped graphene by Hot Filament Chemical Vapor Deposition Technique (HFCVD)

    NASA Astrophysics Data System (ADS)

    Jafari, A.; Ghoranneviss, M.; Salar Elahi, A.

    2016-03-01

    Large-area boron doped graphene was synthesized on Cu foil (as a catalyst) by Hot Filament Chemical Vapor Deposition (HFCVD) using boron oxide powder and ethanol vapor. To investigate the effect of different boron percentages, grow time and the growth mechanism of boron-doped graphene, scanning electron microscopy (SEM), Raman scattering and X-ray photoelectron spectroscopy (XPS) were applied. Also in this experiment, the I-V characteristic carried out for study of electrical property of graphene with keithley 2361 system. Nucleation of graphene domains with an average domain size of ~20 μm was observed when the growth time is 9 min that has full covered on the Cu surface. The Raman spectroscopy show that the frequency of the 2D band down-shifts with B doping, consistent with the increase of the in-plane lattice constant, and a weakening of the B-C in-plane bond strength relative to that of C-C bond. Also the shifts of the G-band frequencies can be interpreted in terms of the size of the C-C ring and the changes in the electronic structure of graphene in the presence of boron atoms. The study of electrical property shows that by increasing the grow time the conductance increases which this result in agree with SEM images and graphene grain boundary. Also by increasing the boron percentage in gas mixer the conductance decreases since doping graphene with boron creates a band-gap in graphene band structure. The XPS results of B doped graphene confirm the existence of boron in doped graphene, which indicates the boron atoms doped in the graphene lattice are mainly in the form of BC3. The results showed that boron-doped graphene can be successfully synthesized using boron oxide powder and ethanol vapor via a HFCVD method and also chemical boron doping can be change the electrical conductivity of the graphene.

  4. Observations of chemical releases from high flying aircraft. [investigation of barium and lithium vapor releases in the thermosphere

    NASA Technical Reports Server (NTRS)

    Bedinger, J. F.; Constantinides, E.

    1973-01-01

    Barium and lithium vapors were released from sounding rockets in the thermosphere and observed from aboard the NASA Convair 990 at an altitude of 40,000 ft. The purpose of the releases was to (1) check out observational and operational procedures associated with the large high altitude barium release from a Scout rocket (BIC); (2) develop an all-weather technique for observing chemical releases; (3) evaluate methods of observing daytime releases, and (4) investigate the possibilities of observations from a manned satellite. The initial analysis indicates that the previous limitations on the usage of the vapor release method have been removed by the use of the aircraft and innovative photographic techniques. Methods of analysis and applications to the investigation of the thermosphere are discussed.

  5. Mechanical properties of ultrahigh molecular weight PHEMA hydrogels synthesized using initiated chemical vapor deposition.

    PubMed

    Bose, Ranjita K; Lau, Kenneth K S

    2010-08-09

    In this work, poly(2-hydroxyethyl methacrylate) (PHEMA), a widely used hydrogel, is synthesized using initiated chemical vapor deposition (iCVD), a one-step surface polymerization that does not use any solvents. iCVD synthesis is capable of producing linear stoichiometric polymers that are free from entrained unreacted monomer or solvent and, thus, do not require additional purification steps. The resulting films, therefore, are found to be noncytotoxic and also have low nonspecific protein adsorption. The kinetics of iCVD polymerization are tuned so as to achieve rapid deposition rates ( approximately 1.5 microm/min), which in turn yield ultrahigh molecular weight polymer films that are mechanically robust with good water transport and swellability. The films have an extremely high degree of physical chain entanglement giving rise to high tensile modulus and storage modulus without the need for chemical cross-linking that compromises hydrophilicity.

  6. Effect of deposition pressure on the morphology and structural properties of carbon nanotubes synthesized by hot-filament chemical vapor deposition.

    PubMed

    Arendse, C J; Malgas, G F; Scriba, M R; Cummings, F R; Knoesen, D

    2007-10-01

    Hot-filament chemical vapor deposition has developed into an attractive method for the synthesis of various carbon nanostructures, including carbon nanotubes. This is primarily due to its versatility, low cost, repeatability, up-scalability, and ease of production. The resulting nano-material synthesized by this technique is dependent on the deposition conditions which can be easily controlled. In this paper we report on the effect of the deposition pressure on the structural properties and morphology of carbon nanotubes synthesized by hot-filament chemical vapor deposition, using Raman spectroscopy and high-resolution scanning electron microscopy, respectively. A 10 nm-thick Ni layer, deposited on a SiO2/Si substrate, was used as catalyst for carbon nanotube growth. Multi-walled carbon nanotubes with diameters ranging from 20-100 nm were synthesized at 500 degrees C with high structural perfection at deposition pressures between 150 and 200 Torr. Raman spectroscopy measurements confirm that the carbon nanotube deposit is homogeneous across the entire substrate area.

  7. Estimating enthalpy of vaporization from vapor pressure using Trouton's rule.

    PubMed

    MacLeod, Matthew; Scheringer, Martin; Hungerbühler, Konrad

    2007-04-15

    The enthalpy of vaporization of liquids and subcooled liquids at 298 K (delta H(VAP)) is an important parameter in environmental fate assessments that consider spatial and temporal variability in environmental conditions. It has been shown that delta H(VAP)P for non-hydrogen-bonding substances can be estimated from vapor pressure at 298 K (P(L)) using an empirically derived linear relationship. Here, we demonstrate that the relationship between delta H(VAP)and PL is consistent with Trouton's rule and the ClausiusClapeyron equation under the assumption that delta H(VAP) is linearly dependent on temperature between 298 K and the boiling point temperature. Our interpretation based on Trouton's rule substantiates the empirical relationship between delta H(VAP) degree and P(L) degrees for non-hydrogen-bonding chemicals with subcooled liquid vapor pressures ranging over 15 orders of magnitude. We apply the relationship between delta H(VAP) degrees and P(L) degrees to evaluate data reported in literature reviews for several important classes of semivolatile environmental contaminants, including polycyclic aromatic hydrocarbons, chlorobenzenes, polychlorinated biphenyls and polychlorinated dibenzo-dioxins and -furans and illustrate the temperature dependence of results from a multimedia model presented as a partitioning map. The uncertainty associated with estimating delta H(VAP)degrees from P(L) degrees using this relationship is acceptable for most environmental fate modeling of non-hydrogen-bonding semivolatile organic chemicals.

  8. Effect of the carrier gas flow rate on the microstructure evolution and the generation of the charged nanoparticles during silicon chemical vapor deposition.

    PubMed

    Youn, Woong-Kyu; Kim, Chan-Soo; Hwang, Nong-Moon

    2013-10-01

    The generation of charged nanoparticles in the gas phase has been continually reported in many chemical vapor deposition processes. Charged silicon nanoparticles in the gas phase were measured using a differential mobility analyzer connected to an atmospheric-pressure chemical vapor deposition reactor at various nitrogen carrier gas flow rates (300-1000 standard cubic centimeter per minute) under typical conditions for silicon deposition at the reactor temperature of 900 degrees C. The carrier gas flow rate affected not only the growth behavior of nanostructures but also the number concentration and size distribution of both negatively and positively charged nanoparticles. As the carrier gas flow rate decreased, the growth behavior changed from films to nanowires, which grew without catalytic metal nanoparticles on a quartz substrate.

  9. Plasma Spraying of Ceramics with Particular Difficulties in Processing

    NASA Astrophysics Data System (ADS)

    Mauer, G.; Schlegel, N.; Guignard, A.; Jarligo, M. O.; Rezanka, S.; Hospach, A.; Vaßen, R.

    2015-01-01

    Emerging new applications and growing demands of plasma-sprayed coatings initiate the development of new materials. Regarding ceramics, often complex compositions are employed to achieve advanced material properties, e.g., high thermal stability, low thermal conductivity, high electronic and ionic conductivity as well as specific thermo-mechanical properties and microstructures. Such materials however, often involve particular difficulties in processing by plasma spraying. The inhomogeneous dissociation and evaporation behavior of individual constituents can lead to changes of the chemical composition and the formation of secondary phases in the deposited coatings. Hence, undesired effects on the coating characteristics are encountered. In this work, examples of such challenging materials are investigated, namely pyrochlores applied for thermal barrier coatings as well as perovskites for gas separation membranes. In particular, new plasma spray processes like suspension plasma spraying and plasma spray-physical vapor deposition are considered. In some cases, plasma diagnostics are applied to analyze the processing conditions.

  10. The electrical properties of low pressure chemical vapor deposition Ga doped ZnO thin films depending on chemical bonding configuration

    NASA Astrophysics Data System (ADS)

    Jung, Hanearl; Kim, Doyoung; Kim, Hyungjun

    2014-04-01

    The electrical and chemical properties of low pressure chemical vapor deposition (LP-CVD) Ga doped ZnO (ZnO:Ga) films were systematically investigated using Hall measurement and X-ray photoemission spectroscopy (XPS). Diethylzinc (DEZ) and O2 gas were used as precursor and reactant gas, respectively, and trimethyl gallium (TMGa) was used as a Ga doping source. Initially, the electrical properties of undoped LP-CVD ZnO films depending on the partial pressure of DEZ and O2 ratio were investigated using X-ray diffraction (XRD) by changing partial pressure of DEZ from 40 to 140 mTorr and that of O2 from 40 to 80 mTorr. The resistivity was reduced by Ga doping from 7.24 × 10-3 Ω cm for undoped ZnO to 2.05 × 10-3 Ω cm for Ga doped ZnO at the TMG pressure of 8 mTorr. The change of electric properties of Ga doped ZnO with varying the amount of Ga dopants was systematically discussed based on the structural crystallinity and chemical bonding configuration, analyzed by XRD and XPS, respectively.

  11. Chemical Changes in Nonthermal Plasma-Treated N-Acetylcysteine (NAC) Solution and Their Contribution to Bacterial Inactivation.

    PubMed

    Ercan, Utku K; Smith, Josh; Ji, Hai-Feng; Brooks, Ari D; Joshi, Suresh G

    2016-02-02

    In continuation of our previous reports on the broad-spectrum antimicrobial activity of atmospheric non-thermal dielectric barrier discharge (DBD) plasma treated N-Acetylcysteine (NAC) solution against planktonic and biofilm forms of different multidrug resistant microorganisms, we present here the chemical changes that mediate inactivation of Escherichia coli. In this study, the mechanism and products of the chemical reactions in plasma-treated NAC solution are shown. UV-visible spectrometry, FT-IR, NMR, and colorimetric assays were utilized for chemical characterization of plasma treated NAC solution. The characterization results were correlated with the antimicrobial assays using determined chemical species in solution in order to confirm the major species that are responsible for antimicrobial inactivation. Our results have revealed that plasma treatment of NAC solution creates predominantly reactive nitrogen species versus reactive oxygen species, and the generated peroxynitrite is responsible for significant bacterial inactivation.

  12. Pretreated Butterfly Wings for Tuning the Selective Vapor Sensing.

    PubMed

    Piszter, Gábor; Kertész, Krisztián; Bálint, Zsolt; Biró, László Péter

    2016-09-07

    Photonic nanoarchitectures occurring in the scales of Blue butterflies are responsible for their vivid blue wing coloration. These nanoarchitectures are quasi-ordered nanocomposites which are constituted from a chitin matrix with embedded air holes. Therefore, they can act as chemically selective sensors due to their color changes when mixing volatile vapors in the surrounding atmosphere which condensate into the nanoarchitecture through capillary condensation. Using a home-built vapor-mixing setup, the spectral changes caused by the different air + vapor mixtures were efficiently characterized. It was found that the spectral shift is vapor-specific and proportional with the vapor concentration. We showed that the conformal modification of the scale surface by atomic layer deposition and by ethanol pretreatment can significantly alter the optical response and chemical selectivity, which points the way to the efficient production of sensor arrays based on the knowledge obtained through the investigation of modified butterfly wings.

  13. Pretreated Butterfly Wings for Tuning the Selective Vapor Sensing

    PubMed Central

    Piszter, Gábor; Kertész, Krisztián; Bálint, Zsolt; Biró, László Péter

    2016-01-01

    Photonic nanoarchitectures occurring in the scales of Blue butterflies are responsible for their vivid blue wing coloration. These nanoarchitectures are quasi-ordered nanocomposites which are constituted from a chitin matrix with embedded air holes. Therefore, they can act as chemically selective sensors due to their color changes when mixing volatile vapors in the surrounding atmosphere which condensate into the nanoarchitecture through capillary condensation. Using a home-built vapor-mixing setup, the spectral changes caused by the different air + vapor mixtures were efficiently characterized. It was found that the spectral shift is vapor-specific and proportional with the vapor concentration. We showed that the conformal modification of the scale surface by atomic layer deposition and by ethanol pretreatment can significantly alter the optical response and chemical selectivity, which points the way to the efficient production of sensor arrays based on the knowledge obtained through the investigation of modified butterfly wings. PMID:27618045

  14. DSMC simulations of vapor transport toward development of the lithium vapor box divertor concept

    NASA Astrophysics Data System (ADS)

    Jagoe, Christopher; Schwartz, Jacob; Goldston, Robert

    2016-10-01

    The lithium vapor divertor box concept attempts to achieve volumetric dissipation of the high heat efflux from a fusion power system. The vapor extracts the heat of the incoming plasma by ionization and radiation, while remaining localized in the vapor box due to differential pumping based on rapid condensation. Preliminary calculations with lithium vapor at densities appropriate for an NSTX-U-scale machine give Knudsen numbers between 0.01 and 1, outside both the range of continuum fluid dynamics and of collisionless Monte Carlo. The direct-simulation Monte Carlo (DSMC) method, however, can simulate rarefied gas flows in this regime. Using the solver contained in the OpenFOAM package, pressure-driven flows of water vapor will be analyzed. The use of water vapor in the relevant range of Knudsen number allows for a flexible similarity experiment to verify the reliability of the code before moving to tests with lithium. The simulation geometry consists of chains of boxes on a temperature gradient, connected by slots with widths that are a representative fraction of the dimensions of the box. We expect choked flow, sonic shocks, and order-of-magnitude pressure and density drops from box to box, but this expectation will be tested in the simulation and then experiment. This work is supported by the Princeton Environmental Institute.

  15. Thermodynamic properties and transport coefficients of a two-temperature polytetrafluoroethylene vapor plasma for ablation-controlled discharge applications

    NASA Astrophysics Data System (ADS)

    Wang, Haiyan; Wang, Weizong; Yan, Joseph D.; Qi, Haiyang; Geng, Jinyue; Wu, Yaowu

    2017-10-01

    Ablation-controlled plasmas have been used in a range of technical applications where local thermodynamic equilibrium (LTE) is often violated near the wall due to the strong cooling effect caused by the ablation of wall materials. The thermodynamic and transport properties of ablated polytetrafluoroethylene (PTFE) vapor, which determine the flowing plasma behavior in such applications, are calculated based on a two-temperature model at atmospheric pressure. To our knowledge, no data for PTFE have been reported in the literature. The species composition and thermodynamic properties are numerically determined using the two-temperature Saha equation and the Guldberg-Waage equation according to van de Sanden et al’s derivation. The transport coefficients, including viscosity, thermal conductivity and electrical conductivity, are calculated with the most recent collision interaction potentials using Devoto’s electron and heavy-particle decoupling approach but expanded to the third-order approximation (second-order for viscosity) in the frame of the Chapman-Enskog method. Results are computed for different degrees of thermal non-equilibrium, i.e. the ratio of electron to heavy-particle temperatures, from 1 to 10, with electron temperature ranging from 300 to 40 000 K. Plasma transport properties in the LTE state obtained from the present work are compared with existing published results and the causes for the discrepancy analyzed. The two-temperature plasma properties calculated in the present work enable the modeling of wall ablation-controlled plasma processes.

  16. Influence of Alumina Reaction Tube Impurities on the Oxidation of Chemically-Vapor-Deposited Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth

    1995-01-01

    Pure coupons of chemically vapor deposited (CVD) SiC were oxidized for 100 h in dry flowing oxygen at 1300 C. The oxidation kinetics were monitored using thermogravimetry (TGA). The experiments were first performed using high-purity alumina reaction tubes. The experiments were then repeated using fused quartz reaction tubes. Differences in oxidation kinetics, scale composition, and scale morphology were observed. These differences were attributed to impurities in the alumina tubes. Investigators interested in high-temperature oxidation of silica formers should be aware that high-purity alumina can have significant effects on experiment results.

  17. Porous tungsten prepared by atmospheric-pressure chemical vapor deposition with WF6 and its characterization

    NASA Astrophysics Data System (ADS)

    Li, Ying; Yu, Xiaodong; Tan, Chengwen; Wang, Fuchi; Ma, Honglei; Yue, Jintao

    2017-05-01

    Porous tungsten (W) is used in aeronautic and aerospace engineering, power electronics field and metallurgical industry. In this study, porous W with 98wt% W was prepared on a carbon foam substrate by atmospheric-pressure chemical vapor deposition (CVD) with tungsten fluoride (WF6) as the precursor. The porous W with 78.1346% porosity displayed a pure α-W phase and the uniform surface. The mode pore diameter of porous W is 208.0 µm. In a compression test, the fracture strength of porous W is 20.3 MPa.

  18. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma

    NASA Astrophysics Data System (ADS)

    Liu, Zecheng; Ishikawa, Kenji; Imamura, Masato; Tsutsumi, Takayoshi; Kondo, Hiroki; Oda, Osamu; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Plasma-induced damage (PID) on GaN was optimally reduced by high-temperature chlorine plasma etching. Energetic ion bombardments primarily induced PID involving stoichiometry, surface roughness, and photoluminescence (PL) degradation. Chemical reactions under ultraviolet (UV) irradiation and chlorine radical exposure at temperatures higher than 400 °C can be controlled by taking into account the synergism of simultaneous photon and radical irradiations to effectively reduce PID.

  19. Prediction of the vapor pressure and vaporization enthalpy of 1-n-alkyl-3-methylimidazolium-bis-(trifluoromethanesulfonyl) amide ionic liquids.

    PubMed

    Diedenhofen, Michael; Klamt, Andreas; Marsh, Kenneth; Schäfer, Ansgar

    2007-09-07

    The vapor pressures and vaporization enthalpies of a series of 1-n-alkyl-3-methylimidazolium-bis-(trifluoromethanesulfonyl) amide ionic liquids have been predicted with two different approaches using the COSMO-RS method and quantum chemical gas phase calculations. While the calculated enthalpies are in good agreement with the experimental data, COSMO-RS seems to underestimate the vapor pressures by roughly 0.5-4 log units dependent on the IL and approach used.

  20. Medium term outcome of bipolar plasma vaporization in prostate cancer patients--a palliative modality of preserving spontaneous voiding.

    PubMed

    Geavlete, B; Moldoveanu, C; Niţă, Gh; Stănescu, F; Jecu, M; Geavlete, P

    2012-12-15

    This retrospective analysis evaluated the efficiency, safety, and medium term postoperative results of bipolar plasma vaporization (BPV) in prostate cancer (PCa) cases associating complete urinary retention. A series of 40 patients diagnosed with locally advanced or metastatic PCa and complete urinary retention requiring a Foley catheter indwelling underwent BPV aiming to restore spontaneous voiding. A total of 35 patients completed the one year evaluation protocol consisting of International Prostate Symptom Score (IPSS), quality of life score (QoL), maximum flow rate (Q(max)) and post-voiding residual urinary volume (PVR), measured at 1, 3, 6 and 12 months after surgery. BPV was successfully performed in all cases with satisfactory efficiency, as confirmed by the mean operation time (42.8 minutes) and hemoglobin drop (0.7 g/dl). A fast and safe postoperative recovery period was described in this series (hematuria rate--7.5%; mean catheterization period--36 hours; mean hospital stay--2.5 days; early-irritative symptoms' rate--15%). At 1, 3, 6 and 12 months, satisfactory values were determined in terms of IPSS, Qmax, QoL and PVR. These parameters emphasized a stable evolution throughout the entire follow-up, as 88.6% of the patients maintained spontaneous voiding. The present trial confirmed the plasma-button vaporization as a promising therapeutic approach in PCa cases associating complete urinary retention. The technique displayed good efficacy, low perioperative morbidity, short convalescence, and satisfactory urodynamics and symptom score parameters during the one-year follow-up period.