Sample records for semiinsulating gaas irradiated

  1. Characterisation of semi-insulating GaAs

    NASA Technical Reports Server (NTRS)

    Walukiewicz, W.; Pawlowicz, L.; Lagowski, J.; Gatos, H. C.

    1982-01-01

    Hole and electron mobilities as functions of temperature and ionised impurity concentration are calculated for GaAs. It is shown that these calculations, when used to analyse electrical properties of semi-insulating GaAs, enable an assessment of the Fermi energy position and ionised impurity concentration to be made. In contrast to previous work, the analysis does not require any phenomenological assumptions.

  2. Gettering of donor impurities by V in GaAs and the growth of semi-insulating crystals

    NASA Technical Reports Server (NTRS)

    Ko, K. Y.; Lagowski, J.; Gatos, H. C.

    1989-01-01

    Vanadium added to the GaAs melt getters shallow donor impurities (Si and S) and decreases their concentration in the grown crystals. This gettering is driven by chemical reactions in the melt rather than in the solid. Employing V gettering, reproducibly semi-insulating GaAs were grown by horizontal Bridgman and liquid-encapsulated Czochralski techniques, although V did not introduce any midgap energy levels. The compensation mechanism in these crystals was controlled by the balance between the native midgap donor EL2 and residual shallow acceptors. Vanadium gettering contributed to the reduction of the concentration of shallow donors below the concentration of acceptors. The present findings clarify the long-standing controversy on the role of V in achieving semi-insulating GaAs.

  3. Radiation hardness study of semi-insulating GaAs detectors against 5 MeV electrons

    NASA Astrophysics Data System (ADS)

    Šagátová, A.; Zaťko, B.; Nečas, V.; Sedlačková, K.; Boháček, P.; Fülöp, M.; Pavlovič, M.

    2018-01-01

    A radiation hardness study of Semi-Insulating (SI) GaAs detectors against 5 MeV electrons is described in this paper. The influence of two parameters, the accumulative absorbed dose (from 1 to 200 kGy) and the applied dose rate (20, 40 or 80 kGy/h), on detector spectrometric properties were studied. The accumulative dose has influenced all evaluated spectrometric properties and also negatively affected the detector CCE (Charge Collection Efficiency). We have observed its systematic reduction from an initial 79% before irradiation down to about 51% at maximum dose of 200 kGy. Relative energy resolution was also influenced by electron irradiation. Its degradation was obvious in the range of doses from 24 up to a maximum dose of 200 kGy, where an increase from 19% up to 31% at 200 V reverse voltage was noticed. On the other hand, a global increase of detection efficiency with accumulative absorbed dose was observed for all samples. Concerning the actual detector degradation we can assume that the tested SI GaAs detectors will be able to operate up to a dose of 300 kGy at least, when irradiated by 5 MeV electrons. The second investigated parameter of irradiation, the dose rate of chosen ranges, did not greatly alter the spectrometric properties of studied detectors.

  4. Imaging performance of a Timepix detector based on semi-insulating GaAs

    NASA Astrophysics Data System (ADS)

    Zaťko, B.; Zápražný, Z.; Jakůbek, J.; Šagátová, A.; Boháček, P.; Sekáčová, M.; Korytár, D.; Nečas, V.; Žemlička, J.; Mora, Y.; Pichotka, M.

    2018-01-01

    This work focused on a Timepix chip [1] coupled with a bulk semi-insulating GaAs sensor. The sensor consisted of a matrix of 256 × 256 pixels with a pitch of 55 μm bump-bonded to a Timepix ASIC. The sensor was processed on a 350 μm-thick SI GaAs wafer. We carried out detector adjustment to optimize its performance. This included threshold equalization with setting up parameters of the Timepix chip, such as Ikrum, Pream, Vfbk, and so on. The energy calibration of the GaAs Timepix detector was realized using a 241Am radioisotope in two Timepix detector modes: time-over-threshold and threshold scan. An energy resolution of 4.4 keV in FWHM (Full Width at Half Maximum) was observed for 59.5 keV γ-photons using threshold scan mode. The X-ray imaging quality of the GaAs Timepix detector was tested using various samples irradiated by an X-ray source with a focal spot size smaller than 8 μm and accelerating voltage up to 80 kV. A 700 μm × 700 μm gold testing object (X-500-200-16Au with Siemens star) fabricated with high precision was used for the spatial resolution testing at different values of X-ray image magnification (up to 45). The measured spatial resolution of our X-ray imaging system was about 4 μm.

  5. Study on the high-power semi-insulating GaAs PCSS with quantum well structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luan, Chongbiao; Wang, Bo; Huang, Yupeng

    A high-power semi-insulating GaAs photoconductive semiconductor switch (PCSS) with quantum well structure was fabricated. The AlGaAs layer was deposited on the surface of the GaAs material, and the reflecting film and the antireflection film have been made on the surface of the GaAs and AlGaAs, respectively. When the prepared PCSS worked at a bias voltage of 9.8 kV and triggered by a laser pulse with an incident optical energy of 5.4 mJ, a wavelength of 1064 nm and an optical pulse width of 25 ns, the on-state resistance of the AlGaAs/GaAs PCSS was only 0.45 Ω, and the longevity ofmore » the AlGaAs/GaAs PCSS was larger than 10{sup 6} shots. The results show that this structure reduces the on-state resistance and extends the longevity of the GaAs PCSS.« less

  6. First tests of Timepix detectors based on semi-insulating GaAs matrix of different pixel size

    NASA Astrophysics Data System (ADS)

    Zaťko, B.; Kubanda, D.; Žemlička, J.; Šagátová, A.; Zápražný, Z.; Boháček, P.; Nečas, V.; Mora, Y.; Pichotka, M.; Dudák, J.

    2018-02-01

    In this work, we have focused on Timepix detectors coupled with the semi-insulating GaAs material sensor. We used undoped bulk GaAs material with the thickness of 350 μm. We prepared and tested four pixelated detectors with 165 μm and 220 μm pixel size with two versions of technology preparation, without and with wet chemically etched trenches around each pixel. We have carried out adjustment of GaAs Timepix detectors to optimize their performance. The energy calibration of one GaAs Timepix detector in Time-over-threshold mode was performed with the use of 241Am and 133Ba radioisotopes. We were able to detect γ-photons with the energy up to 160 keV. The X-ray imaging quality of GaAs Timepix detector was tested with X-ray source using various samples. After flat field we obtained very promising imaging performance of tested GaAs Timepix detectors.

  7. EBIC spectroscopy - A new approach to microscale characterization of deep levels in semi-insulating GaAs

    NASA Technical Reports Server (NTRS)

    Li, C.-J.; Sun, Q.; Lagowski, J.; Gatos, H. C.

    1985-01-01

    The microscale characterization of electronic defects in (SI) GaAs has been a challenging issue in connection with materials problems encountered in GaAs IC technology. The main obstacle which limits the applicability of high resolution electron beam methods such as Electron Beam-Induced Current (EBIC) and cathodoluminescence (CL) is the low concentration of free carriers in semiinsulating (SI) GaAs. The present paper provides a new photo-EBIC characterization approach which combines the spectroscopic advantages of optical methods with the high spatial resolution and scanning capability of EBIC. A scanning electron microscope modified for electronic characterization studies is shown schematically. The instrument can operate in the standard SEM mode, in the EBIC modes (including photo-EBIC and thermally stimulated EBIC /TS-EBIC/), and in the cathodo-luminescence (CL) and scanning modes. Attention is given to the use of CL, Photo-EBIC, and TS-EBIC techniques.

  8. A study of the nature of the emission centres and mechanisms of radiative recombination in semi-insulating GaAs crystals (in English)

    NASA Astrophysics Data System (ADS)

    Komarov, V. G.; Motsnyi, F. V.; Motsnyi, V. F.; Zinets, O. S.

    The low temperature photoluminescence spectra of semi-insulating GaAs crystals grown by Czochralski method at different technological conditions have been studied. One of the main background impurities in such materials is carbon. The traditional high temperature annealing of semi-insulating GaAs wafers significantly aggravates their structure perfection because near the surface the creation of conductive layers with the thickness of several microns takes place. The fine structure of the bands of 1.514 and 1.490 eV has been registered. This structure caused by a) polariton emission from upper and low polariton branches; b) radiative recombination of free holes on shallow neutral donors (D^0, h); c) radiative recombination of excitons bound to shallow neutral donors (D^0, X) and to shallow carbon acceptors (C^0_{As}, X); d) excitons bound to the point structure defects (d, X); e) electron transitions between the conduction band and shallow neutral carbon acceptor; f) the electron transitions between donor-acceptor pairs in which carbon and possibly zinc are acceptors in the ground 1S_{3/2} state. The lux-intensity dependencies of the polariton emission from upper polariton branch and photoluminescence of (D^0, h), (C^0_{As}, X), (d, X) complexes are in good agreement with the theory. It is shown that one of the best available semi-insulating GaAs materials is a new commercial AGCP-5V material which differs from others by considerable concentration of shallow donors and new acceptors alongside of the known shallow C^0_{As} acceptor centres.

  9. Effects of macroscopic inhomogeneities on electron mobility in semi-insulating GaAs

    NASA Technical Reports Server (NTRS)

    Walukiewicz, W.; Wang, L.; Pawlowicz, L. M.; Lagowski, J.; Gatos, H. C.

    1986-01-01

    It is shown that defect inhomogeneities of sizes larger than the electron mean free path are responsible for the low values and anomalous temperature dependence of the electron mobility in semi-insulating (SI) GaAs. The room-temperature electron mobility values below about 6000 sq cm/V s cannot be uniquely used for the determination of the concentration of ionized defects, since the contribution from inhomogeneities usually exceeds that from scattering by ionized impurities. The effects of the macroscopically inhomogeneous distribution of residual acceptors and the major deep donor EL2 diminish at elevated temperatures between 600 and 900 K, which offers a means for identification of inhomogeneities, and furthermore explains recently reported steplike mobility versus temperature behavior in SI-GaAs.

  10. LEC GaAs for integrated circuit applications

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, C. G.; Chen, R. T.; Homes, D. E.; Asbeck, P. M.; Elliott, K. R.; Fairman, R. D.; Oliver, J. D.

    1984-01-01

    Recent developments in liquid encapsulated Czochralski techniques for the growth of semiinsulating GaAs for integrated circuit applications have resulted in significant improvements in the quality and quantity of GaAs material suitable for device processing. The emergence of high performance GaAs integrated circuit technologies has accelerated the demand for high quality, large diameter semiinsulating GaAs substrates. The new device technologies, including digital integrated circuits, monolithic microwave integrated circuits and charge coupled devices have largely adopted direct ion implantation for the formation of doped layers. Ion implantation lends itself to good uniformity and reproducibility, high yield and low cost; however, this technique also places stringent demands on the quality of the semiinsulating GaAs substrates. Although significant progress was made in developing a viable planar ion implantation technology, the variability and poor quality of GaAs substrates have hindered progress in process development.

  11. On the optical evaluation of the EL2 deep level concentration in semi-insulating GaAs

    NASA Technical Reports Server (NTRS)

    Walukiewicz, W.; Lagowski, J.; Gatos, H. C.

    1983-01-01

    A practical procedure for the evaluation of the Fermi energy in semi-insulating (SI)GaAs from electrical measurements is presented. This procedure makes it possible to reliably extend the determination of the major deep level (EL2) concentration, by near-infrared absorption measurements, to SIGaAs. Employing this procedure, it is shown that the EL2 concentration in Czochralski-grown GaAs increases monotonically with increasing As/Ga ratio (throughout the conversion from SI n type to semiconducting p-type crystals) rather than abruptly as previously proposed.

  12. Light controlled prebreakdown characteristics of a semi-insulating GaAs photoconductive switch

    NASA Astrophysics Data System (ADS)

    Xiangrong, Ma; Wei, Shi; Weili, Ji; Hong, Xue

    2011-12-01

    A 4 mm gap semi-insulating (SI) GaAs photoconductive switch (PCSS) was triggered by a pulse laser with a wavelength of 1064 nm and a pulse energy of 0.5 mJ. In the experiment, when the bias field was 4 kV, the switch did not induce self-maintained discharge but worked in nonlinear (lock-on) mode. The phenomenon is analyzed as follows: an exciton effect contributes to photoconduction in the generation and dissociation of excitons. Collision ionization, avalanche multiplication and the exciton effect can supply carrier concentration and energy when an outside light source was removed. Under the combined influence of these factors, the SI-GaAs PCSS develops into self-maintained discharge rather than just in the light-controlled prebreakdown status. The characteristics of the filament affect the degree of damage to the switch.

  13. Current oscillations in semi-insulating GaAs associated with field-enhanced capture of electrons by the major deep donor EL2

    NASA Technical Reports Server (NTRS)

    Kaminska, M.; Parsey, J. M.; Lagowski, J.; Gatos, H. C.

    1982-01-01

    Current oscillations thermally activated by the release of electrons from deep levels in undoped semiinsulating GaAs were observed for the first time. They were attributed to electric field-enhanced capture of electrons by the dominant deep donor EL2 (antisite AsGa defect). This enhanced capture is due to the configurational energy barrier of EL2, which is readily penetrated by hot electrons.

  14. Inverted thermal conversion - GaAs, a new alternative material for integrated circuits

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Gatos, H. C.; Kang, C. H.; Skowronski, M.; Ko, K. Y.

    1986-01-01

    A new type of GaAs is developed which exhibits inverted thermal conversion (ITC); i.e., it converts from conducting to semiinsulating upon annealing at about 850 C. In device fabrication, its low resistivity prior to high-temperature processing differentiates ITC GaAs from the standard semiinsulating GaAs. The ITC characteristics are obtained through control of the concentration of the midgap donor EL2 based on heat treatment and crystal-growth modification. Thus EL2 does not exist in the conducting state of ITC GaAs. Conversion to the semiinsulating state during 850 C annealing is caused by the formation of EL2.

  15. Digital X-ray portable scanner based on monolithic semi-insulating GaAs detectors: General description and first “quantum” images

    NASA Astrophysics Data System (ADS)

    Dubecký, F.; Perd'ochová, A.; Ščepko, P.; Zat'ko, B.; Sekerka, V.; Nečas, V.; Sekáčová, M.; Hudec, M.; Boháček, P.; Huran, J.

    2005-07-01

    The present work describes a portable digital X-ray scanner based on bulk undoped semi-insulating (SI) GaAs monolithic strip line detectors. The scanner operates in "quantum" imaging mode ("single photon counting"), with potential improvement of the dynamic range in contrast of the observed X-ray images. The "heart" of the scanner (detection unit) is based on SI GaAs strip line detectors. The measured detection efficiency of the SI GaAs detector reached a value of over 60 % (compared to the theoretical one of ˜75 %) for the detection of 60 keV photons at a reverse bias of 200 V. The read-out electronics consists of 20 modules fabricated using a progressive SMD technology with automatic assembly of electronic devices. Signals from counters included in the digital parts of the modules are collected in a PC via a USB port and evaluated by custom developed software allowing X-ray image reconstruction. The collected data were used for the creation of the first X-ray "quantum" images of various test objects using the imaging software developed.

  16. Effect of H{sup +} implantation on the optical properties of semi-insulating GaAs crystals in the IR spectral region

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Klyui, N. I.; Lozinskii, V. B., E-mail: lvb@isp.kiev.ua; Liptuga, A. I.

    2017-03-15

    The optical properties of semi-insulating GaAs crystals subjected to multienergy hydrogen-ion implantation and treatment in a high-frequency electromagnetic field are studied in the infrared spectral region. It is established that such combined treatment provides a means for substantially increasing the transmittance of GaAs crystals to values characteristic of crystals of high optical quality. On the basis of analysis of the infrared transmittance and reflectance data, Raman spectroscopy data, and atomic-force microscopy data on the surface morphology of the crystals, a physical model is proposed to interpret the effects experimentally observed in the crystals. The model takes into account the interactionmore » of radiation defects with the initial structural defects in the crystals as well as the effect of compensation of defect centers by hydrogen during high-frequency treatment.« less

  17. EL2 deep-level transient study in semi-insulating GaAs using positron-lifetime spectroscopy

    NASA Astrophysics Data System (ADS)

    Shan, Y. Y.; Ling, C. C.; Deng, A. H.; Panda, B. K.; Beling, C. D.; Fung, S.

    1997-03-01

    Positron lifetime measurements performed on Au/GaAs samples at room temperature with an applied square-wave ac bias show a frequency dependent interface related lifetime intensity that peaks around 0.4 Hz. The observation is explained by the ionization of the deep-donor level EL2 to EL2+ in the GaAs region adjacent to the Au/GaAs interface, causing a transient electric field to be experienced by positrons drifting towards the interface. Without resorting to temperature scanning or any Arrhenius plot the EL2 donor level is found to be located 0.80+/-0.01+/-0.05 eV below the conduction-band minimum, where the first error estimate is statistical and the second systematic. The result suggests positron annihilation may, in some instances, act as an alternative to capacitance transient spectroscopies in characterizing deep levels in both semiconductors and semi-insulators.

  18. Design and fabrication of GaAs OMIST photodetector

    NASA Astrophysics Data System (ADS)

    Kang, Xuejun; Lin, ShiMing; Liao, Qiwei; Gao, Junhua; Liu, Shi'an; Cheng, Peng; Wang, Hongjie; Zhang, Chunhui; Wang, Qiming

    1998-08-01

    We designed and fabricated GaAs OMIST (Optical-controlled Metal-Insulator-Semiconductor Thyristor) device. Using oxidation of AlAs layer that is grown by MBE forms the Ultra- Thin semi-Insulating layer (UTI) of the GAAS OMIST. The accurate control and formation of high quality semi-insulating layer (AlxOy) are the key processes for fabricating GaAs OMIST. The device exhibits a current-controlled negative resistance region in its I-V characteristics. When illuminated, the major effect of optical excitation is the reduction of the switching voltage. If the GaAs OMIST device is biased at a voltage below its dark switching voltage Vs, sufficient incident light can switch OMIST from high impedance low current 'off' state to low impedance high current 'on' state. The absorbing material of OMIST is GaAS, so if the wavelength of incident light within 600 to approximately 850 nm can be detected effectively. It is suitable to be used as photodetector for digital optical data process. The other attractive features of GaAs OMIST device include suitable conducted current, switching voltage and power levels for OEIC, high switch speed and high sensitivity to light or current injection.

  19. Optical characterization of semi-insulating GaAs - Determination of the Fermi energy, the concentraion of the midgap EL2 level and its occupancy

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Bugajski, M.; Matsui, M.; Gatos, H. C.

    1987-01-01

    The key electronic characteristics of semiinsulating GaAs, i.e., the Fermi energy, concentration, and occupancy of the midgap donor EL2, and the net concentration of ionized acceptors can all be determined from high-resolution measurements of the EL2 intracenter absorption. The procedure is based on the measurement of zero-phonon line intensity before and after the complete transfer of EL2 to its metastable state followed by thermal recovery. The procedure is quantitative, involves no fitting parameters, and unlike existing methods, is applicable even when a significant part of the EL2 is ionized.

  20. Investigation of new semiinsulating behavior of III-V compounds

    NASA Technical Reports Server (NTRS)

    Lagowski, Jacek

    1990-01-01

    The investigation of defect interactions and properties related to semiinsulating behavior of III-V semiconductors resulted in about twenty original publications, six doctoral thesis, one masters thesis and numerous conference presentations. The studies of new compensation mechanisms involving transition metal impurities have defined direct effects associated with deep donor/acceptor levels acting as compensating centers. Electrical and optical properties of vanadium and titanium levels were determined in GaAs, InP and also in ternary compounds InGaAs. The experimental data provided basis for the verification of chemical trends and the VRBE method. They also defined compositional range for III-V mixed crystals whereby semiinsulating behavior can be achieved using transition elements deep levels and a suitable codoping with shallow donor/acceptor impurities.

  1. Photoreflectance from GaAs and GaAs/GaAs interfaces

    NASA Astrophysics Data System (ADS)

    Sydor, Michael; Angelo, James; Wilson, Jerome J.; Mitchel, W. C.; Yen, M. Y.

    1989-10-01

    Photoreflectance from semi-insulating GaAs, and GaAs/GaAs interfaces, is discussed in terms of its behavior with temperature, doping, epilayer thickness, and laser intensity. Semi-insulating substrates show an exciton-related band-edge signal below 200 K and an impurity-related photoreflectance above 400 K. At intermediate temperatures the band-edge signal from thin GaAs epilayers contains a contribution from the epilayer-substrate interface. The interface effect depends on the epilayer's thickness, doping, and carrier mobility. The effect broadens the band-edge photoreflectance by 5-10 meV, and artifically lowers the estimates for the critical-point energy, ECP, obtained through the customary third-derivative functional fit to the data.

  2. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    NASA Astrophysics Data System (ADS)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    2018-05-01

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. The difference in the two materials may be due to the occupation of the substrate acceptor states in the presence of the midgap state EL2.

  3. Characteristics of GaAs with inverted thermal conversion

    NASA Technical Reports Server (NTRS)

    Kang, C. H.; Lagowski, J.; Gatos, H. C.

    1987-01-01

    GaAs crystals exhibiting inverted thermal conversion (ITC) of resistivity were investigated in conjunction with standard semiinsulating (SI) GaAs regarding characteristics important in device processing. It was established that dislocation density and Si implant activation are unaffected by transformation to the ITC state. However, in ITC GaAs the controlled increase of the EL2 (native midgap donor) concentration during annealing makes it possible to attain resistivities one order of magnitude greater (e.g., about 10 to the 9th ohm cm of 300 K) than those attained in standard SI GaAs (e.g., 10 to the 7th-10 to the 8th ohm cm).

  4. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. Furthermore, the difference in the two materials may be due to the occupation of the substrate acceptormore » states in the presence of the midgap state EL2.« less

  5. First results from GaAs double-sided detectors

    NASA Astrophysics Data System (ADS)

    Beaumont, S. P.; Bertin, R.; Booth, C. N.; Buttar, C.; Carraresi, L.; Cindolo, F.; Colocci, M.; Combley, F. H.; D'Auria, S.; del Papa, C.; Dogru, M.; Edwards, M.; Foster, F.; Francescato, A.; Gowdy, S.; Gray, R.; Hill, G.; Hou, Y.; Houston, P.; Hughes, G.; Jones, B. K.; Lynch, J. G.; Lisowski, B.; Matheson, J.; Nava, F.; Nuti, M.; O'Shea, V.; Pelfer, P. G.; Raine, C.; Santana, J.; Saunders, I. J.; Seller, P. H.; Shankar, K.; Sharp, P. H.; Skillicorn, I. O.; Sloan, T.; Smith, K. M.; ten Have, I.; Turnbull, R. M.; Vanni, U.; Zichichi, A.

    1994-09-01

    Preliminary results are presented on the performance of double-sided microstrip detectors using Schottky contacts on both sides of a semi-insulating (SI) GaAs substrate wafer, after exposure to 10 14 neutrons cm -2 at the ISIS facility. A qualitative explanation of the device behaviour is given.

  6. Photo-recovery of electron-irradiated GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Meulenberg, Andrew

    1995-01-01

    The first long-term (3000 hours) UV testing of unirradiated and 1 MeV electron-irradiated GaAs solar cells, with multilayer-coated coverslides to reduce solar array operating temperature, has produced some unexpected and important results. Two results, independent of the coverslide coatings, are of particular importance in terms of the predictability of GaAs solar-array lifetime in space: ( 1) The GaAs/Ge solar cells used for this series of tests displayed a much higher radiation degradation than that predicted based on JPL Solar Cell Radiation Handbook data. Covered cells degraded more in Isc than did bare cells. Short-term illumination at 60 C did not produce significant recovery (-1%) of the radiation damage. (2) However, electron radiation damage to these GaAs solar celIs anneals at 40 C when exposed to approximately 1 sun AM0 UV light sources for extended periods. The effect appears to be roughly linear with time (-1% of lsc per 1000 UVSH), is large (greater than or equal to 3%), and has not yet saturated (at 3000 hours). This photo-recovery of radiation damage to GaAs solar cells is a new effect and potentially important to the spacecraft community. The figure compares the effects of extended UV on irradiated and unirradiated GaAs solar cells with INTELSAT-6 Si cells. The effect and its generality, the extent of and conditions for photo-recovery, and the implications of such recovery for missions in radiation environments have not yet been determined.

  7. The Growth of Expitaxial GaAs and GaAlAs on Silicon Substrates by OMVPE

    DTIC Science & Technology

    1988-08-01

    structures have been grown on semi-insulating gallium arsenide substrates, and on high-resistivity silicon substrates using a two stage growth technique...fully in Quarter 9. 2. MATERIALS GROWTH 2.1 DOPING OF GALLIUM ARSENIDE FOR FETs As reported in quarter 7, doping levels for GaAs/SI 4ere found to be a...FET structures on both GaAs and Si substrates. A number of FET layers have been grown to the GAT4 specification on semi-insulating gallium arsenide

  8. Analysis of uniformity of as prepared and irradiated S.I. GaAs radiation detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nava, F.; Vanni, P.; Canali, C.

    1998-06-01

    SI (semi-insulating) LEC (Liquid Encapsulated Czochralsky) GaAs (gallium arsenide) Schottky barrier detectors have been irradiated with high energy protons (24 GeV/c, fluence up to 16.45 {times} 10{sup 13} p/cm{sup 2}). The detectors have been characterized in terms of I/V curves, charge collection efficiency (cce) for incident 5.48 MeV {alpha}-, 2 MeV proton and minimum ionizing {beta}-particles and of cce maps by microprobe technique IBIC (Ion Beam Induced Charge). At the highest fluence a significant degradation of the electron and hole collection efficiencies and a remarkable improvement of the Full Width Half Maximum (FWHM) energy resolution have been measured with {alpha}-more » and proton particles. Furthermore, the reduction in the cce is greater than the one measured with {beta}-particles and the energy resolution worsens with increasing the applied bias, V{sub a}, above the voltage V{sub d} necessary to extend the electric field al the way to the ohmic contact. On the contrary, in the unirradiated detectors the charge collection efficiencies with {alpha}-, {beta}- and proton particles are quite similar and the energy resolution improves with increasing V{sub a} > V{sub d}. IBIC spectra and IBIC space maps obtained by scanning a focused (8 {micro}m{sup 2}) 2 MeV proton microbeam on front (Schottky) and back (ohmic) contacts, support the observed electric field dependence of the energy resolution both in unirradiated and most irradiated detectors. The results obtained let them explain the effect of the electric field strength and the plasma on the collection of the charge carriers and the FWHM energy resolution.« less

  9. Poole-Frenkel effect and phonon-assisted tunneling in GaAs nanowires.

    PubMed

    Katzenmeyer, Aaron M; Léonard, François; Talin, A Alec; Wong, Ping-Show; Huffaker, Diana L

    2010-12-08

    We present electronic transport measurements of GaAs nanowires grown by catalyst-free metal-organic chemical vapor deposition. Despite the nanowires being doped with a relatively high concentration of substitutional impurities, we find them inordinately resistive. By measuring sufficiently high aspect ratio nanowires individually in situ, we decouple the role of the contacts and show that this semi-insulating electrical behavior is the result of trap-mediated carrier transport. We observe Poole-Frenkel transport that crosses over to phonon-assisted tunneling at higher fields, with a tunneling time found to depend predominantly on fundamental physical constants as predicted by theory. By using in situ electron beam irradiation of individual nanowires, we probe the nanowire electronic transport when free carriers are made available, thus revealing the nature of the contacts.

  10. Giant and reversible enhancement of the electrical resistance of GaAs1-xNx by hydrogen irradiation

    NASA Astrophysics Data System (ADS)

    Alvarez, J.; Kleider, J.-P.; Trotta, R.; Polimeni, A.; Capizzi, M.; Martelli, F.; Mariucci, L.; Rubini, S.

    2011-08-01

    The electrical properties of untreated and hydrogen-irradiated GaAs1-xNx are investigated by conductive-probe atomic force microscopy (CP-AFM). After hydrogen irradiation, the resistance R of GaAs1-xNx increases by more than three orders of magnitude while that of a N-free GaAs reference slightly decreases. Thermal annealing at 550 °C of H-irradiated GaAs1-xNx restores the pristine electrical properties of the as-grown sample thus demonstrating that this phenomenon is fully reversible. These effects are attributed to the nitrogen-hydrogen complexes that passivate N in GaAs1-xNx (thus restoring the energy gap of N-free GaAs) and, moreover, reduce the carrier scattering time by more than one order of magnitude. This opens up a route to the fabrication of planar conductive/resistive/conductive heterostructures with submicrometer spatial resolution, which is also reported here.

  11. Oxygen in GaAs - Direct and indirect effects

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Skowronski, M.; Pawlowicz, L.; Lagowski, J.

    1984-01-01

    Oxygen has profound effects on the key electronic properties and point defects of GaAs crystals. Thus, when added in the growth system, it decreases the free electron concentration and enhances the concentration of deep donors in the resulting crystals. Both of these effects are highly beneficial for achieving semi-insulating material and have been utilized for that purpose. They have been attributed to the tendency of oxygen to getter silicon impurities during crystal growth. Only recently, it has been found that oxygen in GaAs introduces also a midgap level, ELO, with essentially the same activation energy as EL2 but with four times greater electron capture cross section. The present report reassesses the electrical and optical properties of the midgap levels in GaAs crystals grown by the horizontal Bridgman (HB) and the Czochralski-LEC techniques. Emphasis is placed on the identification of the specific effects of ELO.

  12. Photoluminescence Study of N-Type Thermal Conversion in Semi-Insulating GaAs.

    DTIC Science & Technology

    1982-12-01

    free electron to the crystal. For example, in GaAs, a tellurium atom on an arsenic site (TeAs) or a silicon atom on a gallium site (SiGa) are donor atoms...Photoconductivity Photoluminescenc Silicon, SiGa 5.81 6.80 Germanium, GeGa 6.08 Sulfur, SAs 6.10 Selenium, SeAs 5.89 6.10 Tellurium , TeAs When an electron...34 to the neutral donor or acceptor (Ref 16:15). The following excitonic com- plexes have been observed in GaAs: (i) exciton bound to a neutron donor at

  13. Preparation of Large-Diameter GaAs Crystals.

    DTIC Science & Technology

    1981-09-18

    ionized impurity content for 40 n-type semi-insulating GaAs. Figure 17 Analysis (in wt %) of impurities in B203 after crystal growth 41 from PBN and quartz...encapsulant to the generation of defect clusters in LEC InP. (15 ) Statistics relative to the incidence of twinning for growth with dry ( ppm wt OH...and wet (> 1000 ppm wt OH) B203 are given in Fig. 5 for growths from fused-SiO 2 and PBN crucibles. A crystal is defined as having twinned if it

  14. Observed circuit limits to time resolution in correlation measurements with Si-on-sapphire, GaAs, and InP picosecond photoconductors

    NASA Astrophysics Data System (ADS)

    Hammond, R. B.; Paulter, N. G.; Wagner, R. S.

    1984-08-01

    Cross-correlation measurements of the response of photoconductor pulsers and sampling gates excited by a femtosecond laser are reported. The photoconductors were fabricated in microstrip transmission line structures on Si-on-sapphire, semiinsulating GaAs, and semiinsulating InP wafers. The photoconductor sampling gates were ion beam-damaged to produce short carrier lifetimes (less than 3 ps in one case). Damage was introduced with 6 MeV Ne-20 on the Si-on-sapphire, 2 MeV H-2 on the GaAs, and 2 MeV He-4 on the InP. Doses in the range 10 to the 12th - 10 to the 15th were used. Results show circuit limits to the time resolution in correlation measurements from two sources: (1) RC time constants due to photoconductor gap capacitance and transmission line characteristic impedance and (2) dispersion in microstrip transmission lines.

  15. Performance and temperature dependencies of proton irradiated n/p GaAs and n/p silicon cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Swartz, C. K.; Hart, R. E., Jr.

    1985-01-01

    The n/p homojunction GaAs cell is found to be more radiation resistant than p/nheteroface GaAs under 10 MeV proton irradiation. Both GaAs cell types outperform conventional silicon n/p cells under the same conditions. An increase temperature dependency of maximum power for the GaAs n/p cells is attributed largely to differences in Voc between the two GaAs cell types. These results and diffusion length considerations are consistent with the conclusion that p-type GaAs is more radiation resistant than n-type and therefore that the n/p configuration is possibly favored for use in the space radiation environment. However, it is concluded that additional work is required in order to choose between the two GaAs cell configurations.

  16. The Influence of Heat Treatment on the Electrical Characteristics of Semi-Insulating SiC Layers Obtained by Irradiating n-SiC with High-Energy Argon Ions

    NASA Astrophysics Data System (ADS)

    Ivanov, P. A.; Potapov, A. S.; Kudoyarov, M. F.; Kozlovskii, M. A.; Samsonova, T. P.

    2018-03-01

    Irradiation of crystalline n-type silicon carbide ( n-SiC) with high-energy (53-MeV) argon ions was used to create near-surface semi-insulating ( i-SiC) layers. The influence of subsequent heat treatment on the electrical characteristics of i-SiC layers has been studied. The most high-ohmic ion-irradiated i-SiC layers with room-temperature resistivity of no less than 1.6 × 1013 Ω cm were obtained upon the heat treatment at 600°C, whereas the resistivity of such layers heat-treated at 230°C was about 5 × 107 Ω cm.

  17. Deep levels in H-irradiated GaAs1-xNx (x < 0.01) grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shafi, M.; Mari, R. H.; Khatab, A.; Henini, M.; Polimeni, A.; Capizzi, M.; Hopkinson, M.

    2011-12-01

    Dilute nitride GaAs1-xNx layers have been grown by molecular beam epitaxy with nitrogen concentration ranging from 0.2% to 0.8%. These samples have been studied before and after hydrogen irradiation by using standard deep level transient spectroscopy (DLTS) and high resolution Laplace DLTS techniques. The activation energy, capture cross section and density of the electron traps have been estimated and compared with results obtained in N-free as-grown and H-irradiated bulk GaAs.

  18. Performance and temperature dependencies of proton irradiated n/p and p/n GaAs and n/p silicon cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Swartz, C. K.; Hart, R. E., Jr.

    1985-01-01

    n/p homojunction GaAs cells are found to be more radiation resistant than p/n heteroface GaAs under 10 MeV proton irradiation. Both GaAs cell types outperform conventional silicon n/p cells under the same conditions. An increased temperature dependency of maximum power for the GaAs n/p cells is attributed to differences in Voc between the two GaAs cell types. These results and diffusion length considerations are consistent with the conclusion that p-type GaAs is more radiation resistant than n-type and therefore that the n/p configuration is possibly favored for use in the space radiation environment. However, it is concluded that additional work is required in order to choose between the two GaAs cell configurations.

  19. The effects of illumination on deep levels observed in as-grown and low-energy electron irradiated high-purity semi-insulating 4H-SiC

    NASA Astrophysics Data System (ADS)

    Alfieri, G.; Knoll, L.; Kranz, L.; Sundaramoorthy, V.

    2018-05-01

    High-purity semi-insulating 4H-SiC can find a variety of applications, ranging from power electronics to quantum computing applications. However, data on the electronic properties of deep levels in this material are scarce. For this reason, we present a deep level transient spectroscopy study on HPSI 4H-SiC substrates, both as-grown and irradiated with low-energy electrons (to displace only C-atoms). Our investigation reveals the presence of four deep levels with activation energies in the 0.4-0.9 eV range. The concentrations of three of these levels increase by at least one order of magnitude after irradiation. Furthermore, we analyzed the behavior of these traps under sub- and above-band gap illumination. The nature of the traps is discussed in the light of the present data and results reported in the literature.

  20. EL2 and related defects in GaAs - Challenges and pitfalls

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1985-01-01

    The incorporation process of nonequilibrium vacancies in melt-grown GaAs is strongly complicated by deviations from stoichiometry, and the presence of two sublattices. Many of the microdefects originating in these vacancies and their interactions introduce energy levels (shallow and deep) within the energy gap. The direct identification of the chemical or structural signature of these defects and its direct correlation to their electronic behavior is not generally possible. It is therefore necessary to rely on indirect methods and phenomenological models and be confronted with the associated pitfalls. EL2, a microdefect introducing a deep donor level, has been in the limelight in recent years because it is believed to be responsible for the semi-insulating behavior of undoped GaAs. Although much progress has been made towards understanding its origin and nature, some relevant questions remain unanswered. An attempt is made to assess the present status of understanding of EL2 in the light of the most recent results.

  1. In-plane InSb nanowires grown by selective area molecular beam epitaxy on semi-insulating substrate.

    PubMed

    Desplanque, L; Bucamp, A; Troadec, D; Patriarche, G; Wallart, X

    2018-07-27

    In-plane InSb nanostructures are grown on a semi-insulating GaAs substrate using an AlGaSb buffer layer covered with a patterned SiO 2 mask and selective area molecular beam epitaxy. The shape of these nanostructures is defined by the aperture in the silicon dioxide layer used as a selective mask thanks to the use of an atomic hydrogen flux during the growth. Transmission electron microscopy reveals that the mismatch accommodation between InSb and GaAs is obtained in two steps via the formation of an array of misfit dislocations both at the AlGaSb buffer layer/GaAs and at the InSb nanostructures/AlGaSb interfaces. Several micron long in-plane nanowires (NWs) can be achieved as well as more complex nanostructures such as branched NWs. The electrical properties of the material are investigated by the characterization of an InSb NW MOSFET down to 77 K. The resulting room temperature field effect mobility values are comparable with those reported on back-gated MOSFETs based on InSb NWs obtained by vapor liquid solid growth or electrodeposition. This growth method paves the way to the fabrication of complex InSb-based nanostructures.

  2. Effect of dopant density on contact potential difference across n-type GaAs homojunctions using Kelvin Probe Force Microscopy

    NASA Astrophysics Data System (ADS)

    Boumenou, C. Kameni; Urgessa, Z. N.; Djiokap, S. R. Tankio; Botha, J. R.; Nel, J.

    2018-04-01

    In this study, cross-sectional surface potential imaging of n+/semi-insulating GaAs junctions is investigated by using amplitude mode kelvin probe force microscopy. The measurements have shown two different potential profiles, related to the difference in surface potential between the semi-insulating (SI) substrate and the epilayers. It is shown that the contact potential difference (CPD) between the tip and the sample is higher on the semi-insulating substrate side than on the n-type epilayer side. This change in CPD across the interface has been explained by means of energy band diagrams indicating the relative Fermi level positions. In addition, it has also been found that the CPD values across the interface are much smaller than the calculated values (on average about 25% of the theoretical values) and increase with the electron density. Therefore, the results presented in study are only in qualitative agreement with the theory.

  3. Advanced BCD technology with vertical DMOS based on a semi-insulation structure

    NASA Astrophysics Data System (ADS)

    Kui, Ma; Xinghua, Fu; Jiexin, Lin; Fashun, Yang

    2016-07-01

    A new semi-insulation structure in which one isolated island is connected to the substrate was proposed. Based on this semi-insulation structure, an advanced BCD technology which can integrate a vertical device without extra internal interconnection structure was presented. The manufacturing of the new semi-insulation structure employed multi-epitaxy and selectively multi-doping. Isolated islands are insulated with the substrate by reverse-biased PN junctions. Adjacent isolated islands are insulated by isolation wall or deep dielectric trenches. The proposed semi-insulation structure and devices fixed in it were simulated through two-dimensional numerical computer simulators. Based on the new BCD technology, a smart power integrated circuit was designed and fabricated. The simulated and tested results of Vertical DMOS, MOSFETs, BJTs, resistors and diodes indicated that the proposed semi-insulation structure is reasonable and the advanced BCD technology is validated. Project supported by the National Natural Science Foundation of China (No. 61464002), the Science and Technology Fund of Guizhou Province (No. Qian Ke He J Zi [2014]2066), and the Dr. Fund of Guizhou University (No. Gui Da Ren Ji He Zi (2013)20Hao).

  4. Defect characterization of proton irradiated GaAs pn-junction diodes with layers of InAs quantum dots

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sato, Shin-ichiro, E-mail: sato.shinichiro@jaea.go.jp; Optoelectronics and Radiation Effects Branch, U.S. Naval Research Laboratory, Washington, DC 20375; Schmieder, Kenneth J.

    2016-05-14

    In order to expand the technology of III-V semiconductor devices with quantum structures to both terrestrial and space use, radiation induced defects as well as native defects generated in the quantum structures should be clarified. Electrically active defects in GaAs p{sup +}n diodes with embedded ten layers of InAs quantum dots (QDs) are investigated using Deep Level Transient Fourier Spectroscopy. Both majority carrier (electron) and minority carrier (hole) traps are characterized. In the devices of this study, GaP layers are embedded in between the QD layers to offset the compressive stress introduced during growth of InAs QDs. Devices are irradiatedmore » with high energy protons for three different fluences at room temperature in order to characterize radiation induced defects. Seven majority electron traps and one minority hole trap are found after proton irradiation. It is shown that four electron traps induced by proton irradiation increase in proportion to the fluence, whereas the EL2 trap, which appears before irradiation, is not affected by irradiation. These defects correspond to electron traps previously identified in GaAs. In addition, a 0.53 eV electron trap and a 0.14 eV hole trap are found in the QD layers before proton irradiation. It is shown that these native traps are also unaffected by irradiation. The nature of the 0.14 eV hole trap is thought to be Ga-vacancies in the GaP strain balancing layers.« less

  5. Enhancement of conductance of GaAs sub-microwires under external stimuli

    NASA Astrophysics Data System (ADS)

    Qu, Xianlin; Deng, Qingsong; Zheng, Kun

    2018-03-01

    Semiconductors with one dimension on the micro-nanometer scale have many unique physical properties that are remarkably different from those of their bulk counterparts. Moreover, changes in the external field will further modulate the properties of the semiconductor micro-nanomaterials. In this study, we used focused ion beam technology to prepare freestanding ⟨111⟩-oriented GaAs sub-microwires from a GaAs substrate. The effects of laser irradiation and bending or buckling deformation induced by compression on the electrical transport properties of an individual GaAs sub-microwire were studied. The experimental results indicate that both laser irradiation and bending deformation can enhance their electrical transport properties, the laser irradiation resulted in a conductance enhancement of ˜30% compared to the result with no irradiation, and in addition, bending deformation changed the conductance by as much as ˜180% when the average strain was approximately 1%. The corresponding mechanisms are also discussed. This study provides beneficial insight into the fabrication of electronic and optoelectronic devices based on GaAs micro/nano-wires.

  6. EL2 and related defects in GaAs - Challenges and pitfalls. [microdefect introducing a deep donor level

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1985-01-01

    The incorporation process of nonequilibrium vacancies in melt-grown GaAs is strongly complicated by deviations from stoichiometry and the presence of two sublattices. Many of the microdefects originating in these vacancies and their interactions introduce energy levels (shallow and deep) within the energy gap. The direct identification of the chemical or structural signature of these defects and its direct correlation to their electronic behavior is not generally possible. It is necessary, therefore, to rely on indirect methods and phenomenological models and deal with the associated pitfalls. EL2, a microdefect introducing a deep donor level, has been in the limelight in recent years because it is believed to be responsible for the semi-insulating behavior of undoped GaAs. Although much progress has been made towards understanding its origin and nature, some relevant questions remain unanswered. An attempt is made to assess the present status of understanding of EL2 in the light of most recent results.

  7. GaAs Solar Cell Radiation Handbook

    NASA Technical Reports Server (NTRS)

    Anspaugh, B. E.

    1996-01-01

    The handbook discusses the history of GaAs solar cell development, presents equations useful for working with GaAs solar cells, describes commonly used instrumentation techniques for assessing radiation effects in solar cells and fundamental processes occurring in solar cells exposed to ionizing radiation, and explains why radiation decreases the electrical performance of solar cells. Three basic elements required to perform solar array degradation calculations: degradation data for GaAs solar cells after irradiation with 1 MeV electrons at normal incidence; relative damage coefficients for omnidirectional electron and proton exposure; and the definition of the space radiation environment for the orbit of interest, are developed and used to perform a solar array degradation calculation.

  8. Modelling of OPNMR phenomena using photon energy-dependent 〈Sz〉 in GaAs and InP.

    PubMed

    Wheeler, Dustin D; Willmering, Matthew M; Sesti, Erika L; Pan, Xingyuan; Saha, Dipta; Stanton, Christopher J; Hayes, Sophia E

    2016-12-01

    We have modified the model for optically-pumped NMR (OPNMR) to incorporate a revised expression for the expectation value of the z-projection of the electron spin, 〈S z 〉 and apply this model to both bulk GaAs and a new material, InP. This expression includes the photon energy dependence of the electron polarization when optically pumping direct-gap semiconductors in excess of the bandgap energy, E g . Rather than using a fixed value arising from coefficients (the matrix elements) for the optical transitions at the k=0 bandedge, we define a new parameter, S opt (E ph ). Incorporating this revised element into the expression for 〈S z 〉, we have simulated the photon energy dependence of the OPNMR signals from bulk semi-insulating GaAs and semi-insulating InP. In earlier work, we matched calculations of electron spin polarization (alone) to features in a plot of OPNMR signal intensity versus photon energy for optical pumping (Ramaswamy et al., 2010). By incorporating an electron spin polarization which varies with pump wavelength into the penetration depth model of OPNMR signal, we are able to model features in both III-V semiconductors. The agreement between the OPNMR data and the corresponding model demonstrates that fluctuations in the OPNMR intensity have particular sensitivity to light hole-to-conduction band transitions in bulk systems. We provide detailed plots of the theoretical predictions for optical pumping transition probabilities with circularly-polarized light for both helicities of light, broken down into illustrative plots of optical magnetoabsorption and spin polarization, shown separately for heavy-hole and light-hole transitions. These plots serve as an effective roadmap of transitions, which are helpful to other researchers investigating optical pumping effects. Copyright © 2016 Elsevier Inc. All rights reserved.

  9. Modelling of OPNMR phenomena using photon energy-dependent 〈Sz〉 in GaAs and InP

    NASA Astrophysics Data System (ADS)

    Wheeler, Dustin D.; Willmering, Matthew M.; Sesti, Erika L.; Pan, Xingyuan; Saha, Dipta; Stanton, Christopher J.; Hayes, Sophia E.

    2016-12-01

    We have modified the model for optically-pumped NMR (OPNMR) to incorporate a revised expression for the expectation value of the z-projection of the electron spin, 〈Sz 〉 and apply this model to both bulk GaAs and a new material, InP. This expression includes the photon energy dependence of the electron polarization when optically pumping direct-gap semiconductors in excess of the bandgap energy, Eg . Rather than using a fixed value arising from coefficients (the matrix elements) for the optical transitions at the k = 0 bandedge, we define a new parameter, Sopt (Eph) . Incorporating this revised element into the expression for 〈Sz 〉 , we have simulated the photon energy dependence of the OPNMR signals from bulk semi-insulating GaAs and semi-insulating InP. In earlier work, we matched calculations of electron spin polarization (alone) to features in a plot of OPNMR signal intensity versus photon energy for optical pumping (Ramaswamy et al., 2010). By incorporating an electron spin polarization which varies with pump wavelength into the penetration depth model of OPNMR signal, we are able to model features in both III-V semiconductors. The agreement between the OPNMR data and the corresponding model demonstrates that fluctuations in the OPNMR intensity have particular sensitivity to light hole-to-conduction band transitions in bulk systems. We provide detailed plots of the theoretical predictions for optical pumping transition probabilities with circularly-polarized light for both helicities of light, broken down into illustrative plots of optical magnetoabsorption and spin polarization, shown separately for heavy-hole and light-hole transitions. These plots serve as an effective roadmap of transitions, which are helpful to other researchers investigating optical pumping effects.

  10. A model for proton-irradiated GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Wilson, J. W.; Walker, G. H.; Outlaw, R. A.; Stock, L. V.

    1982-01-01

    A simple model for proton radiation damage in GaAs heteroface solar cells is developed. The model includes the effects of spatial nonuniformity of low energy proton damage. Agreement between the model and experimental proton damage data for GaAs heteroface solar cells is satisfactory. An extension of the model to include angular isotropy, as is appropriate for protons in space, is shown to result in significantly less cell damage than for normal proton incidence.

  11. Surface patterning of GaAs under irradiation with very heavy polyatomic Au ions

    NASA Astrophysics Data System (ADS)

    Bischoff, L.; Böttger, R.; Heinig, K.-H.; Facsko, S.; Pilz, W.

    2014-08-01

    Self-organization of surface patterns on GaAs under irradiation with heavy polyatomic Au ions has been observed. The patterns depend on the ion mass, and the substrate temperature as well as the incidence angle of the ions. At room temperature, under normal incidence the surface remains flat, whereas above 200 °C nanodroplets of Ga appear after irradiation with monatomic, biatomic as well as triatomic Au ions of kinetic energies in the range of 10-30 keV per atom. In the intermediate temperature range of 100-200 °C meander- and dot-like patterns form, which are not related to Ga excess. Under oblique ion incidence up to 45° from the surface normal, at room temperature the surface remains flat for mon- and polyatomic Au ions. For bi- and triatomic ions in the range of 60° ≤ α ≤ 70° ripple patterns have been found, which become shingle-like for α ≥ 80°, whereas the surface remains flat for monatomic ions.

  12. Defects with Deep Levels in GaAs Induced by Plastic Deformation and Electron Irradiation

    NASA Astrophysics Data System (ADS)

    Haga, Toru; Suezawa, Masashi; Sumino, Koji

    1988-10-01

    Defects with deep electronic energy levels induced by plastic deformation at 450°C or electron irradiation at room temperature in boat-grown GaAs crystals are investigated by means of optical absorption. The optical absorption spectra associated with the induced defects are compared with that of grown-in defects EL2. Thermal stabilities of the defects are studied by tracing the changes in the absorption spectra due to isochronal annealing of the specimens. The defects induced by the above two procedures are identified not to be EL2, even though some part of the defects gives rise to absorption similar to that caused by EL2 in the spectral shape. The absorptions in both the deformed and the irradiated samples are mostly photo-unquenchable. Deformation-induced defects responsible for this absorption are found to be AsGa antisite-related defects which are less thermally stable than EL2. Irradiation-induced defects giving rise to this kind of absorption are far more unstable in comparison with the deformation-induced defects, and are mostly eliminated by annealing at temperatures lower than 300°C.

  13. Semi-insulating GaAs and Au Schottky barrier photodetectors for near-infrared detection (1280 nm)

    NASA Astrophysics Data System (ADS)

    Nusir, A. I.; Makableh, Y. F.; Manasreh, O.

    2015-08-01

    Schottky barriers formed between metal (Au) and semiconductor (GaAs) can be used to detect photons with energy lower than the bandgap of the semiconductor. In this study, photodetectors based on Schottky barriers were fabricated and characterized for the detection of light at wavelength of 1280 nm. The device structure consists of three gold fingers with 1.75 mm long and separated by 0.95 mm, creating an E shape while the middle finger is disconnected from the outer frame. When the device is biased, electric field is stretched between the middle finger and the two outermost electrodes. The device was characterized by measuring the current-voltage (I-V) curve at room temperature. This showed low dark current on the order of 10-10 A, while the photocurrent was higher than the dark current by four orders of magnitude. The detectivity of the device at room temperature was extracted from the I-V curve and estimated to be on the order of 5.3x1010 cm.Hz0.5/W at 5 V. The step response of the device was measured from time-resolved photocurrent curve at 5 V bias with multiple on/off cycles. From which the average recovery time was estimated to be 0.63 second when the photocurrent decreases by four orders of magnitude, and the average rise time was measured to be 0.897 second. Furthermore, the spectral response spectrum of the device exhibits a strong peak close to the optical communication wavelength (~1.3 μm), which is attributed to the internal photoemission of electrons above the Schottky barrier formed between Au and GaAs.

  14. H irradiation effects on the GaAs-like Raman modes in GaAs1-xNx/GaAs1-xNx:H planar heterostructures

    NASA Astrophysics Data System (ADS)

    Giulotto, E.; Geddo, M.; Patrini, M.; Guizzetti, G.; Felici, M.; Capizzi, M.; Polimeni, A.; Martelli, F.; Rubini, S.

    2014-12-01

    The GaAs-like longitudinal optical phonon frequency in two hydrogenated GaAs1-xNx/GaAs1-xNx:H microwire heterostructures—with similar N concentration, but different H dose and implantation conditions—has been investigated by micro-Raman mapping. In the case of GaAs0.991N0.009 wires embedded in barriers where GaAs-like properties are recovered through H irradiation, the phonon frequency in the barriers undergoes a blue shift with respect to the wires. In GaAs0.992N0.008 wires embedded in less hydrogenated barriers, the phonon frequency exhibits an opposite behavior (red shift). Strain, disorder, phonon localization effects induced by H-irradiation on the GaAs-like phonon frequency are discussed and related to different types of N-H complexes formed in the hydrogenated barriers. It is shown that the red (blue) character of the frequency shift is related to the dominant N-2H (N-3H) type of complexes. Moreover, for specific experimental conditions, an all-optical determination of the uniaxial strain field is obtained. This may improve the design of recently presented devices that exploit the correlation between uniaxial stress and the degree of polarization of photoluminescence.

  15. Photodetectors based on carbon nanotubes deposited by using a spray technique on semi-insulating gallium arsenide

    PubMed Central

    Nitti, Maria Angela; Valentini, Marco; Valentini, Antonio; Ligonzo, Teresa; De Pascali, Giuseppe; Ambrico, Marianna

    2014-01-01

    Summary In this paper, a spray technique is used to perform low temperature deposition of multi-wall carbon nanotubes on semi-insulating gallium arsenide in order to obtain photodectors. A dispersion of nanotube powder in non-polar 1,2-dichloroethane is used as starting material. The morphological properties of the deposited films has been analysed by means of electron microscopy, in scanning and transmission mode. Detectors with different layouts have been prepared and current–voltage characteristics have been recorded in the dark and under irradiation with light in the range from ultraviolet to near infrared. The device spectral efficiency obtained from the electrical characterization is finally reported and an improvement of the photodetector behavior due to the nanotubes is presented and discussed. PMID:25383309

  16. Germanium diffusion with vapor-phase GeAs and oxygen co-incorporation in GaAs

    NASA Astrophysics Data System (ADS)

    Wang, Wei-Fu; Cheng, Kai-Yuan; Hsieh, Kuang-Chien

    2018-01-01

    Vapor-phase germanium diffusion has been demonstrated in Zn-doped and semi-insulating GaAs in sealed ampoules with GeAs powders and excess arsenic. Secondary-ion-mass spectroscopy (SIMS) profiles indicate the presence of unintentional co-incorporation of oxygen in high densities (>1017/cm3) along with diffused germanium donors whose concentration (>>1018/cm3) determined by electro-chemical capacitance-voltage (ECV) profiler shows significant compensation near the surface. The source of oxygen mainly originates from the GeAs powder which contains Ge-O surface oxides. Variable-temperature photoluminescence (PL) shows that in GeAs-diffused samples, a broad peak ranging from 0.86-1.38 eV with the peak position around 1.1 eV predominates at low temperatures while the near band-edge luminescence quenches. The broad band is attributed to the GeGa-VGa self-activated (SA) centers possibly associated with nearby oxygen-related defect complex, and its luminescence persists up to 400 K. The configurational-coordinate modeling finds that the SA defect complex has a thermal activation energy of 150-180 meV and a vibrational energy 26.8 meV. The presence of oxygen does not much affect the SA emission intensity but may have influenced the peak position, vibration frequency and activation energy as compared to other common donor-VGa defects in GaAs.

  17. Growth factor of Fe-doped semi-insulating InP by LP-MOCVD

    NASA Astrophysics Data System (ADS)

    Yan, Xuejin; Zhu, Hongliang; Wang, Wei; Xu, Guoyang; Zhou, Fan; Ma, Chaohua; Wang, Xiaojie; Tian, Huijiang; Zhang, Jingyuan; Wu, Rong Han; Wang, Qiming

    1998-08-01

    The semi-insulating InP has been grown using ferrocene as a dopant source by low pressure MOCVD. Fe doped semi-insulating InP material whose resistivity is equal to 2.0 X 108(Omega) *cm and the breakdown field is greater than 4.0 X 104Vcm-1 has been achieved. It is found that the magnitude of resistivity increases with growing pressure enhancement under keeping TMIn, PH3, ferrocene [Fe(C5H5)2] flow constant at 620 degrees Celsius growth temperature. Moreover, the experimental results which resistivity varies with ferrocene mole fraction are given. It is estimated that active Fe doping efficiency, (eta) , is equal to 8.7 X 10-4 at 20 mbar growth pressure and 620 degrees Celsius growth temperature by the comparison of calculated and experimental results.

  18. A I-V analysis of irradiated Gallium Arsenide solar cells

    NASA Technical Reports Server (NTRS)

    Heulenberg, A.; Maurer, R. H.; Kinnison, J. D.

    1991-01-01

    A computer program was used to analyze the illuminated I-V characteristics of four sets of gallium arsenide (GaAs) solar cells irradiated with 1-MeV electrons and 10-MeV protons. It was concluded that junction regions (J sub r) dominate nearly all GaAs cells tested, except for irradiated Mitsubishi cells, which appear to have a different doping profile. Irradiation maintains or increases the dominance by J sub r. Proton irradiation increases J sub r more than does electron irradiation. The U.S. cells were optimized for beginning of life (BOL) and the Japanese for end of life (EOL). I-V analysis indicates ways of improving both the BOL and EOL performance of GaAs solar cells.

  19. Gallium arsenide (GaAs) power conversion concept

    NASA Technical Reports Server (NTRS)

    Nussberger, A. A.

    1980-01-01

    A summary design analysis of a GaAs power conversion system for the solar power satellite (SPS) is presented. Eight different satellite configuration options for the solar arrays are compared. Solar cell annealing effects after proton irradiation are considered. Mass estimates for the SPS and the effect of solar cell parameters on SPS array design are discussed.

  20. Structural modifications of silicon-implanted GaAs induced by the athermal annealing technique

    NASA Astrophysics Data System (ADS)

    Qadri, S. B.; Yousuf, M.; Kendziora, C. A.; Nachumi, B.; Fischer, R.; Grun, J.; Rao, M. V.; Tucker, J.; Siddiqui, S.; Ridgway, M. C.

    2004-12-01

    We have used high-resolution X-ray diffraction and Raman spectroscopy to investigate structural modifications inside and outside the focal region of Si-implanted GaAs samples that have been irradiated at high power by a focused short-pulse laser. Si atoms implanted into the GaAs matrix generate exciton-induced local lattice expansion, resulting in a satellite on the lower-angle side of the Bragg peak. After the laser pulse irradiation, surface features inside and outside the focal spot suggest the presence of Bernard convection cells, indicating that a rapid melting and re-crystallization has taken place. Moreover, the laser irradiation induces a compressive strain inside the focal spot, since the satellite appears on the higher-angle side of the Bragg peak. The stress maximizes at the center of the focal spot and extends far outside the irradiated area (approximately 2.5-mm away from the bull’s eye), suggesting the propagation of a laser-induced mechanical wave. The maximum compressive stress inside the focal spot corresponds to 2.7 GPa. Raman spectra inside the focal spot resemble that of pristine GaAs, indicating that rapid melting has introduced significant heterogeneity, with zones of high and low Si concentration. X-ray measurements indicate that areas inside the focal spot and annealed areas outside of the focal spot contain overtones of a minor tetragonal distortion of the lattice, consistent with the observed relaxation of Raman selection rules when compared with the parent zinc-blende structure.

  1. High-efficiency, radiation-resistant GaAs space cells

    NASA Technical Reports Server (NTRS)

    Bertness, K. A.; Ristow, M. Ladle; Grounner, M.; Kuryla, M. S.; Werthen, J. G.

    1991-01-01

    Although many GaAs solar cells are intended for space applicatons, few measurements of cell degradation after radiation are available, particularly for cells with efficiencies exceeding 20 percent (one-sun, AMO). Often the cell performance is optimized for the highest beginning-of-life (BOL) efficiency, despite the unknown effect of such design on end-of-life (EOL) efficiencies. The results of a study of the radiation effects on p-n GaAs cells are presented. The EOL efficiency of GaAs space cell can be increased by adjusting materials growth parameters, resulting in a demonstration of 16 percent EOL efficiency at one-sun, AMO. Reducing base doping levels to below 3 x 10(exp 17)/cu m and decreasing emitter thickness to 0.3 to 0.5 micron for p-n cells led to significant improvements in radiation hardness as measured by EOL/BOL efficiency ratios for irradiation of 10(exp -15)/sq cm electrons at 1 MeV. BOL efficiency was not affected by changes in emitter thickness but did improve with lower base doping.

  2. Some optical and electron microscope comparative studies of excimer laser-assisted and nonassisted molecular-beam epitaxically grown thin GaAs films on Si

    NASA Technical Reports Server (NTRS)

    Lao, Pudong; Tang, Wade C.; Rajkumar, K. C.; Guha, S.; Madhukar, A.; Liu, J. K.; Grunthaner, F. J.

    1990-01-01

    The quality of GaAs thin films grown via MBE under pulsed excimer laser irradiation on Si substrates is examined in both laser-irradiated and nonirradiated areas using Raman scattering, Rayleigh scattering, and by photoluminescence (PL), as a function of temperature, and by TEM. The temperature dependence of the PL and Raman peak positions indicates the presence of compressive stress in the thin GaAs films in both laser-irradiated and nonirradiated areas. This indicates incomplete homogeneous strain relaxation by dislocations at the growth temperature. The residual compressive strain at the growth temperature is large enough such that even with the introduction of tensile strain arising from the difference in thermal expansion coefficients of GaAs and Si, a compressive strain is still present at room temperature for these thin GaAs/Si films.

  3. Subgap time of flight: A spectroscopic study of deep levels in semi-insulating CdTe:Cl

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pousset, J.; Farella, I.; Cola, A., E-mail: adriano.cola@le.imm.cnr.it

    2016-03-14

    We report on a study of deep levels in semi-insulating CdTe:Cl by means of a time-of-flight spectral approach. By varying the wavelength of a pulsed optical source within the CdTe energy gap, transitions to/from localized levels generate free carriers which are analysed through the induced photocurrent transients. Both acceptor-like centers, related to the A-center, and a midgap level, 0.725 eV from the valence band, have been detected. The midgap level is close to the Fermi level and is possibly a recombination center responsible for the compensation mechanism. When the irradiance is varied, either linear or quadratic dependence of the electron andmore » hole collected charge are observed, depending on the dominant optical transitions. The analysis discloses the potentiality of such a novel approach exploitable in the field of photorefractive materials as well as for deep levels spectroscopy.« less

  4. GaAs shallow-homojunction solar cells

    NASA Technical Reports Server (NTRS)

    Fan, J. C.

    1980-01-01

    With the objective of demonstrating the feasibility of fabricating 2 x 2 cm efficient, shallow homojunction GaAs solar cells for space applications, this program addresses the basic problems of material preparation and device fabrication. Significant progress was made and conversion efficiencies close to 16 percent at AM0 were obtained on 2 x 2 cm cells. Measurements and computer analyses on the n(+)/p/p(+) shallow homojunction cells indicate that such cell configuration should be very resistant to 1 MeV electron irradiation.

  5. Burst annealing of high temperature GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Brothers, P. R.; Horne, W. E.

    1991-01-01

    One of the major limitations of solar cells in space power systems is their vulnerability to radiation damage. One solution to this problem is to periodically heat the cells to anneal the radiation damage. Annealing was demonstrated with silicon cells. The obstacle to annealing of GaAs cells was their susceptibility to thermal damage at the temperatures required to completely anneal the radiation damage. GaAs cells with high temperature contacts and encapsulation were developed. The cells tested are designed for concentrator use at 30 suns AMO. The circular active area is 2.5 mm in diameter for an area of 0.05 sq cm. Typical one sun AMO efficiency of these cells is over 18 percent. The cells were demonstrated to be resistant to damage after thermal excursions in excess of 600 C. This high temperature tolerance should allow these cells to survive the annealing of radiation damage. A limited set of experiments were devised to investigate the feasibility of annealing these high temperature cells. The effect of repeated cycles of electron and proton irradiation was tested. The damage mechanisms were analyzed. Limitations in annealing recovery suggested improvements in cell design for more complete recovery. These preliminary experiments also indicate the need for further study to isolate damage mechanisms. The primary objective of the experiments was to demonstrate and quantify the annealing behavior of high temperature GaAs cells. Secondary objectives were to measure the radiation degradation and to determine the effect of repeated irradiation and anneal cycles.

  6. Fabrication of Ohmic contact on semi-insulating 4H-SiC substrate by laser thermal annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cheng, Yue; Lu, Wu-yue; Wang, Tao

    The Ni contact layer was deposited on semi-insulating 4H-SiC substrate by magnetron sputtering. The as-deposited samples were treated by rapid thermal annealing (RTA) and KrF excimer laser thermal annealing (LTA), respectively. The RTA annealed sample is rectifying while the LTA sample is Ohmic. The specific contact resistance (ρ{sub c}) is 1.97 × 10{sup −3} Ω·cm{sup 2}, which was determined by the circular transmission line model. High resolution transmission electron microscopy morphologies and selected area electron diffraction patterns demonstrate that the 3C-SiC transition zone is formed in the near-interface region of the SiC after the as-deposited sample is treated by LTA,more » which is responsible for the Ohmic contact formation in the semi-insulating 4H-SiC.« less

  7. Breakover mechanism of GaAs photoconductive switch triggering spark gap for high power applications

    NASA Astrophysics Data System (ADS)

    Tian, Liqiang; Shi, Wei; Feng, Qingqing

    2011-11-01

    A spark gap (SG) triggered by a semi-insulating GaAs photoconductive semiconductor switch (PCSS) is presented. Currents as high as 5.6 kA have been generated using the combined switch, which is excited by a laser pulse with energy of 1.8 mJ and under a bias of 4 kV. Based on the transferred-electron effect and gas streamer theory, the breakover characteristics of the combined switch are analyzed. The photoexcited carrier density in the PCSS is calculated. The calculation and analysis indicate that the PCSS breakover is caused by nucleation of the photoactivated avalanching charge domain. It is shown that the high output current is generated by the discharge of a high-energy gas streamer induced by the strong local electric field distortion or by overvoltage of the SG resulting from quenching of the avalanching domain, and periodic oscillation of the current is caused by interaction between the gas streamer and the charge domain. The cycle of the current oscillation is determined by the rise time of the triggering electric pulse generated by the PCSS, the pulse transmission time between the PCSS and the SG, and the streamer transit time in the SG.

  8. High quality of InAsSb epilayer with cutoff wavelength longer than 10 μm grown on GaAs by the modified LPE technique

    NASA Astrophysics Data System (ADS)

    Hu, S. H.; Sun, C. H.; Sun, Y.; Ge, J.; Wang, R.; Wu, J.; Wang, Q. W.; Dai, N.

    2009-04-01

    The InAsSb epilayers with a cutoff wavelength of 11.5 μm were successfully grown on highly lattice-mismatched semi-insulating (1 0 0) GaAs substrate by the modified liquid phase epitaxy (LPE) technique. Fourier transform infrared (FTIR) transmission spectrum revealed a strong band gap narrowing for this alloy. The electrical properties were investigated by the Van der Pauw measurements at 300 and 77 K. InAsSb epilayers showed high Hall mobilities being 11,800 cm 2/V s at room temperature (RT). After an annealing treament for 10 h, the electron mobility at 77 K were improved from 1730 cm 2/V s (prior to annealing) to 13,470 cm 2/V s. Wet etching was used to display the surface etch pits prior to and after annealing treatment, showing that the mobility improvement was due to the reduction of the etch pits density.

  9. Effect of gamma-ray irradiation on structural properties of GaAsN films grown by metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Klangtakai, Pawinee; Sanorpim, Sakuntam; Wattanawareekul, Atiwat; Suwanyangyaun, Pattana; Srepusharawoot, Pornjuk; Onabe, Kentaro

    2015-05-01

    The effects of gamma-ray irradiation on the structural properties of GaAs1-xNx films (N concentration=1.9 and 5.1 at%) grown by metal organic vapor phase epitaxy on GaAs (001) substrates were investigated. The GaAs1-xNx films were irradiated by gamma rays with irradiation strength of 0-2.0 MGy. Scanning electron microscopy and atomic force microscopy results showed that a gamma ray with a strength of 0, 0.5, 1.0, 1.5, and 2.0 MGy formed holes with a density of 0.0, 8.8, 9.4, 11.5, and 11.9 μm-2, respectively, on the surface of a GaAs0.981N0.019 film with low N content. On the other hand, the irradiated high-N-content GaAs0.949N0.051 film exhibited a cross-hatch pattern, which was induced by partial strain relaxation at high N levels, with a line density of 0.0, 0.21, 0.37, 0.67, and 0.26 μm-1 corresponding to an irradiation strength of 0, 0.5, 1.0, 1.5, and 2.0 MGy, respectively. The high-resolution X-ray diffraction and Raman scattering results revealed an increase in N incorporation and strain relaxation after irradiation. In addition, the GaAs0.949N0.051 films exhibited phase separation, which took place via N out-diffusion across the interface when the irradiation strength exceeded 1.0 MGy. Based on these results, the main cause of structural change was determined to be the irradiation effects including displacement damage and gamma-ray heating.

  10. Semi-insulating 4H-SiC layers formed by the implantation of high-energy (53 MeV) argon ions into n-type epitaxial films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ivanov, P. A., E-mail: Pavel.Ivanov@mail.ioffe.ru; Kudoyarov, M. F.; Kozlovski, M. A.

    It is shown that 9-μm-thick semi-insulating surface layers can be formed in moderately doped n-type silicon carbide (donor concentration 2 × 10{sup 16} cm{sup –3}) via the comparatively low-dose (7 × 10{sup 11} cm{sup –2}) implantation of high-energy (53 MeV) argon ions. The free-carrier removal rate is estimated at ~10{sup 4} cm{sup –1}. The resistivity of the semi-insulator is no less than 7 × 10{sup 12} Ω cm. Analysis of the monopolar current of electron injection into the semi-insulator shows that the impurity-conductivity compensation is due to radiation induced defects pinning the equilibrium Fermi level at a depth of 1.16more » eV below the conduction-band bottom. The density of defect states at the Fermi level is 2.7 × 10{sup 16} cm{sup 2} eV{sup –1}.« less

  11. Resistivity dependence on Zn concentration in semi-insulating (Cd,Zn)Te

    NASA Astrophysics Data System (ADS)

    Fiederle, Michael; Fauler, Alex; Babentsov, Vladimir N.; Franc, Jan; Benz, Klaus Werner

    2003-01-01

    The resistivity dependence on Zn concentration had been investigated in semi-insulating (Cd,Zn)Te crystals grown by the vertical Bridgman method. A coorelation between the zinc concentration and the resistivity distribution could be found. The obtained resistivity was in the interval of 2 ×109-1010 Ω cm as expected from the model of compensation. The main deep compensating levels detected by Photo Induced Current Transient Spectroscopy (PICTS) were at 0.64 +/- 0.02 eV and close the middle of the band gap at 0.80 +/- 0.02 eV.

  12. Microprocessor design for GaAs technology

    NASA Astrophysics Data System (ADS)

    Milutinovic, Veljko M.

    Recent advances in the design of GaAs microprocessor chips are examined in chapters contributed by leading experts; the work is intended as reading material for a graduate engineering course or as a practical R&D reference. Topics addressed include the methodology used for the architecture, organization, and design of GaAs processors; GaAs device physics and circuit design; design concepts for microprocessor-based GaAs systems; a 32-bit GaAs microprocessor; a 32-bit processor implemented in GaAs JFET; and a direct coupled-FET-logic E/D-MESFET experimental RISC machine. Drawings, micrographs, and extensive circuit diagrams are provided.

  13. N/P GaAs concentrator solar cells with an improved grid and bushbar contact design

    NASA Technical Reports Server (NTRS)

    Desalvo, G. C.; Mueller, E. H.; Barnett, A. M.

    1985-01-01

    The major requirements for a solar cell used in space applications are high efficiency at AMO irradiance and resistance to high energy radiation. Gallium arsenide, with a band gap of 1.43 eV, is one of the most efficient sunlight to electricity converters (25%) when the the simple diode model is used to calculate efficiencies at AMO irradiance, GaAs solar cells are more radiation resistant than silicon solar cells and the N/P GaAs device has been reported to be more radiation resistant than similar P/N solar cells. This higher resistance is probably due to the fact that only 37% of the current is generated in the top N layer of the N/P cell compared to 69% in the top layer of a P/N solar cell. This top layer of the cell is most affected by radiation. It has also been theoretically calculated that the optimized N/P device will prove to have a higher efficiency than a similar P/N device. The use of a GaP window layer on a GaAs solar cell will avoid many of the inherent problems normally associated with a GaAlAs window while still proving good passivation of the GaAs surface. An optimized circular grid design for solar cell concentrators has been shown which incorporates a multi-layer metallization scheme. This multi-layer design allows for a greater current carrying capacity for a unit area of shading, which results in a better output efficiency.

  14. Nanoscale Semiconductor Electronics

    DTIC Science & Technology

    2015-02-25

    GaAs into Ga2O3 . Compared with LHO along the Al0.98Ga0.02As layer, however, the vertical oxidation into the GaAs capping is very slow. Its rate is...Then, NH4NO3 reacts with GaAs and results in Ga2O3 and As2O3. The oxidation rate is critically affected by pH and temperature. A high oxidation rate...shrinkage 500 nm Al0.98Ga0.02As Semi-insulating GaAs(001) 100 nm n+-GaAs Al2O3 100 nm SiO2 Ga2O3 n+-GaAs stripe ~20‐25 m LHO condition Temperature

  15. Excitation and De-Excitation Mechanisms of Er-Doped GaAs and A1GaAs.

    DTIC Science & Technology

    1992-12-01

    AD-A258 814 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs DISSERTATION David W. Elsaesser, Captain, USAF DTICY. ft £ICTE’’ )AN...0 8 1993U -o Wo- .%Approved for public release; Distribution unlimited 93 1 04 022 AFIT/DS/ENP/92-5 EXCITATION AND DE -EXCITATION MECHANISMS OF Er...public release; Distribution unlimited AFIT/DS/ENP/92D-005 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs 4 toFlor -- David W

  16. GaAs Computer Technology

    DTIC Science & Technology

    1992-01-07

    AD-A259 259 FASTC-ID FOREIGN AEROSPACE SCIENCE AND TECHNOLOGY CENTER GaAs COMPUTER TECHNOLOGY (1) by Wang Qiao-yu 93-00999 Distrir bution t,,,Nm ted...FASTC- ID(RS)T-0310-92 HUMAN TRANSLATION FASTC-ID(RS)T-0310-92 7 January 1993 GaAs COMPUTER TECHNOLOGY (1) By: Wang Qiao-yu English pages: 6 Source...the best quality copy available. j C] " ------ GaAs Computer Technology (1) Wang Qiao-yu (Li-Shan Microelectronics Institute) Abstract: The paper

  17. Defect studies in one MeV electron irradiated GaAs and in Al/sub x Ga/sub l-x As P-N junction solar cells

    NASA Technical Reports Server (NTRS)

    Li, S. S.; Wang, W. L.; Loo, R. Y.; Rahilly, W. P.

    1984-01-01

    Deep level transient spectroscopy reveals that the main electron traps for one-MeV electron irradiated GaAs cells are E9c)-0.31, E(c)-0.90 eV, and the main hole trap is due to the level. Electron trap density was found to vary from 3/tens-trillion ccm for 2/one quadrillion cm 3/3.7 quadrillion cm for 21 sextillion cm electron fluence for electron fluence; a similar result was also obtained for the hole trap density. As for the grown-in defects in the Al(x)Ga(1-x)As p-n junciton cells, only two electron traps with energies of E(c)-0.20 and E(c)-0.34 eV were observed in samples with x = 0.17, and none was found for x 0.05. Auger analysis on the Al(x)Ga(1-x) As window layer of the GaAs solar cell showed a large amount of oxygen and carbon contaminants near the surface of the AlGaAs epilayer. Thermal annealing experiment performed at 250 C for up to 100 min. showed a reduction in the density of both electron traps.

  18. GaAs shallow-homojunction solar cells

    NASA Technical Reports Server (NTRS)

    Fan, J. C. C.

    1981-01-01

    The feasibility of fabricating space resistant, high efficiency, light weight, low cost GaAs shallow homojunction solar cells for space application is investigated. The material preparation of ultrathin GaAs single crystal layers, and the fabrication of efficient GaAs solar cells on bulk GaAs substrates are discussed. Considerable progress was made in both areas, and conversion efficiency about 16% AMO was obtained using anodic oxide as a single layer antireflection coating. A computer design shows that even better cells can be obtained with double layer antireflection coating. Ultrathin, high efficiency solar cells were obtained from GaAs films prepared by the CLEFT process, with conversion efficiency as high as 17% at AMI from a 10 micrometers thick GaAs film. A organometallic CVD was designed and constructed.

  19. Periodic surface structure bifurcation induced by ultrafast laser generated point defect diffusion in GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abere, Michael J.; Yalisove, Steven M.; Torralva, Ben

    2016-04-11

    The formation of high spatial frequency laser induced periodic surface structures (HSFL) with period <0.3 λ in GaAs after irradiation with femtosecond laser pulses in air is studied. We have identified a point defect generation mechanism that operates in a specific range of fluences in semiconductors between the band-gap closure and ultrafast-melt thresholds that produces vacancy/interstitial pairs. Stress relaxation, via diffusing defects, forms the 350–400 nm tall and ∼90 nm wide structures through a bifurcation process of lower spatial frequency surface structures. The resulting HSFL are predominately epitaxial single crystals and retain the original GaAs stoichiometry.

  20. Semiconductor technology program: Progress briefs

    NASA Technical Reports Server (NTRS)

    Galloway, K. F.; Scace, R. I.; Walters, E. J.

    1981-01-01

    Measurement technology for semiconductor materials, process control, and devices, is discussed. Silicon and silicon based devices are emphasized. Highlighted activities include semiinsulating GaAs characterization, an automatic scanning spectroscopic ellipsometer, linewidth measurement and coherence, bandgap narrowing effects in silicon, the evaluation of electrical linewidth uniformity, and arsenicomplanted profiles in silicon.

  1. Tunneling Spectroscopy of Chemically Treated Surfaces of GaAs(001)

    NASA Astrophysics Data System (ADS)

    Fan, Jia-Fa; Tokumoto, Hiroshi

    1996-03-01

    Effect of surface chemical treatment on the surface electronic properties of GaAs(001) was studied by tunneling spectroscopy. Samples of highly-Si-doped GaAs were first cleaned and etched using conventional processes, then soaked in aqueous solutions of (NH_4)_2Sx and/or NH_4F for few hours, and finally rinsed in ethanol. The constant separation spectroscopy was done under pure N2 ambient at room temperature (295K) with our scanning tunneling microscope (STM). As a result, the sulfide treament lead to electron tunnelings starting typically at the sample voltages of -0.50 V and 0.90 V at initial settings of 1.50 V and 0.20 nA. For etched-only surface, however, the starting voltages were -0.70 V and 0.70 V. Effects of heating, laser-irradiation, and the fluoride treatment will be presented. Also, the mechanism of the shift of the surface Fermi level will be discussed.

  2. Fabrication of 4H-SiC lateral double implanted MOSFET on an on-axis semi-insulating substrate without using epi-layer

    NASA Astrophysics Data System (ADS)

    Kim, Hyoung Woo; Seok, Ogyun; Moon, Jeong Hyun; Bahng, Wook; Jo, Jungyol

    2017-12-01

    4H-SiC lateral double implanted metal-oxide-semiconductor field effect transistors (LDIMOSFET) were fabricated on on-axis semi-insulating SiC substrates without using an epi-layer. The LDIMOSFET adopted a current path layer (CPL), which was formed by ion-implantation. The CPL works as a drift region between gate and drain. By using on-axis semi-insulating substrate and optimized CPL parameters, breakdown voltage (BV) of 1093 V and specific on-resistance (R on,sp) of 89.8 mΩ·cm2 were obtained in devices with 20 µm long CPL. Experimentally extracted field-effect channel mobility was 21.7 cm2·V-1·s-1 and the figure-of-merit (BV2/R on,sp) was 13.3 MW/cm2.

  3. Manufacturable Tri-Stack AlSb/InAs HEMT Low-Noise Amplifiers Using Wafer-Level-Packaging Technology for Light-Weight and Ultralow-Power Applications

    DTIC Science & Technology

    2009-05-01

    shown in Fig. 1 was grown by molecular - beam epitaxy (MBE) on 3-inch semi-insulating GaAs substrates. AlGaSb was used as a buffer. AlSb was used as... beam epitaxy for low-power applications,” J. Vac. Sci. Technol. B. 24, pp. 2581-2585, 2006. [12] Y. C. Chou, L. J. Lee, J. M. Yang, M. D. Lange, P...passivation AlGaSb buffer Figure 1: Cross section of an AlSb/InAs HEMT device on a 3-inch GaAs substrate. The interface region between the

  4. GaAs MOEMS Technology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    SPAHN, OLGA B.; GROSSETETE, GRANT D.; CICH, MICHAEL J.

    2003-03-01

    Many MEMS-based components require optical monitoring techniques using optoelectronic devices for converting mechanical position information into useful electronic signals. While the constituent piece-parts of such hybrid opto-MEMS components can be separately optimized, the resulting component performance, size, ruggedness and cost are substantially compromised due to assembly and packaging limitations. GaAs MOEMS offers the possibility of monolithically integrating high-performance optoelectronics with simple mechanical structures built in very low-stress epitaxial layers with a resulting component performance determined only by GaAs microfabrication technology limitations. GaAs MOEMS implicitly integrates the capability for radiation-hardened optical communications into the MEMS sensor or actuator component, a vitalmore » step towards rugged integrated autonomous microsystems that sense, act, and communicate. This project establishes a new foundational technology that monolithically combines GaAs optoelectronics with simple mechanics. Critical process issues addressed include selectivity, electrochemical characteristics, and anisotropy of the release chemistry, and post-release drying and coating processes. Several types of devices incorporating this novel technology are demonstrated.« less

  5. Evaluation of GaAs low noise and power MMIC technologies to neutron, ionizing dose and dose rate effects

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Derewonko, H.; Bosella, A.; Pataut, G.

    1996-06-01

    An evaluation program of Thomson CSF-TCS GaAs low noise and power MMIC technologies to 1 MeV equivalent neutron fluence levels, up to 1 {times} 10{sup 15} n/cm{sup 2}, ionizing 1.17--1.33 MeV CO{sup 60} dose levels in excess of 200 Mrad(GaAs) and dose rate levels reaching 1.89 {times} 10{sup 11} rad(GaAs)/s is presented in terms of proper components and parameter choices, DC/RF electrical measurements and test methods under irradiation. Experimental results are explained together with drift analyses of electrical parameters that have determined threshold limits of component degradations. Modelling the effects of radiation on GaAs components relies on degradation analysis ofmore » active layer which appears to be the most sensitive factor. MMICs degradation under neutron fluence was simulated from irradiated FET data. Finally, based on sensitivity of technological parameters, rad-hard design including material, technology and MMIC design enhancement is discussed.« less

  6. Design optimization of GaAs betavoltaic batteries

    NASA Astrophysics Data System (ADS)

    Chen, Haiyanag; Jiang, Lan; Chen, Xuyuan

    2011-06-01

    GaAs junctions are designed and fabricated for betavoltaic batteries. The design is optimized according to the characteristics of GaAs interface states and the diffusion length in the depletion region of GaAs carriers. Under an illumination of 10 mCi cm-2 63Ni, the open circuit voltage of the optimized batteries is about ~0.3 V. It is found that the GaAs interface states induce depletion layers on P-type GaAs surfaces. The depletion layer along the P+PN+ junction edge isolates the perimeter surface from the bulk junction, which tends to significantly reduce the battery dark current and leads to a high open circuit voltage. The short circuit current density of the optimized junction is about 28 nA cm-2, which indicates a carrier diffusion length of less than 1 µm. The overall results show that multi-layer P+PN+ junctions are the preferred structures for GaAs betavoltaic battery design.

  7. Electronic properties of deep-level defects in proton irradiated AlGaAs-GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Li, S. S.

    1981-01-01

    Deep level transient spectroscopy and capacitance voltage techniques as well as analysis of the forward current voltage (I-V) characteristics and SEM-EIC data were carried out for proton irradiated GaAs solar cells over a wide range of proton energies and proton fluences. Defect and recombination parameters such as defect energy levels and density, carrier capture cross sections and lifetimes as well as diffusion lengths in the undoped n-GaAs LPE layers were determined. Good correlation between these defect parameters and solar cell performance parameters was obtained for GaAs solar cells irradiated by 200 and 290 KeV protons. It was found that 200 to 290 KeV protons will produce the most defects and damages to the GaAs solar cell structure used. The influence of the low temperature (200 to 400 C) periodic thermal annealing on the deep level defects and the performance of the 200 KeV proton irradiated cells is discussed.

  8. GaAs Monolithic Microwave Subsystem Technology Base

    DTIC Science & Technology

    1980-01-01

    To provide a captive source of reliable, high-quality GaAs substrates, a new crystal growth and substrate preparation facility which utilizes a high...Symp. GaAs and Related Compounds, Inst. Phys. Conf. Ser. 24, 6. 20. Wood, Woodcock and Harris (1978) GaAs and Related Compounds, Inst. Phys. Conf

  9. Low Level Laser Irradiation of Nerve Cells In Vitro

    DTIC Science & Technology

    1996-01-01

    Advisor Michael Miloro, D.M.D., M.D. College of Dentistry ABSTRACT Low energy laser treatment of patients with nerve injuries has been reported to achieve...Isolation and Culture 15 vii Cell Lines 17 Cell Expansion 19 Cell Freezing 20 Experimental Design 20 GaA1As Laser Diode 22 Radiation Schedule 23...of 1 six well plate. Two groups served as controls. The remaining groups were irradiated with a 70 mW GaA1As laser diode , wavelength 820-830 nm

  10. Study and modeling of the transport mechanism in a Schottky diode on the basis of a GaAs semiinsulator

    NASA Astrophysics Data System (ADS)

    Resfa, A.; Smahi, Bourzig Y.; Menezla, Brahimi R.

    2011-12-01

    The current through a metal—semiconductor junction is mainly due to the majority carriers. Three distinctly different mechanisms exist in a Schottky diode: diffusion of the semiconductor carriers in metal, thermionic emission-diffusion (TED) of carriers through a Schottky gate, and a mechanical quantum that pierces a tunnel through the gate. The system was solved by using a coupled Poisson—Boltzmann algorithm. Schottky BH is defined as the difference in energy between the Fermi level and the metal band carrier majority of the metal—semiconductor junction to the semiconductor contacts. The insulating layer converts the MS device in an MIS device and has a strong influence on its current—voltage (I—V) and the parameters of a Schottky barrier from 3.7 to 15 eV. There are several possible reasons for the error that causes a deviation of the ideal behaviour of Schottky diodes with and without an interfacial insulator layer. These include the particular distribution of interface states, the series resistance, bias voltage and temperature. The GaAs and its large concentration values of trap centers will participate in an increase in the process of thermionic electrons and holes, which will in turn act on the I—V characteristic of the diode, and an overflow maximum value [NT = 3 × 1020] is obtained. The I—V characteristics of Schottky diodes are in the hypothesis of a parabolic summit.

  11. New Passivation Methods of GaAs.

    DTIC Science & Technology

    1980-01-01

    Fabrication of Thin Nitride Layers on GaAs 33 - 35 CHAPTER 7 Passivation of InGaAsP 36 - 37 CHAPTER 8 Emulsions on GaAs Surfaces 38 - 42 APPENDIX...not yet given any useful results. The deposition of SiO2 by using emulsions is pursued and first results on the possibility of GaAs doping are...glycol-tartaric acid based aqueous solution was used in order to anodically oxidise the gate notch after the source and drain ohmic contacts were formed

  12. Gallium Arsenide Monolithic Optoelectronic Circuits

    NASA Astrophysics Data System (ADS)

    Bar-Chaim, N.; Katz, J.; Margalit, S.; Ury, I.; Wilt, D.; Yariv, A.

    1981-07-01

    The optical properties of GaAs make it a very useful material for the fabrication of optical emitters and detectors. GaAs also possesses electronic properties which allow the fabrication of high speed electronic devices which are superior to conventional silicon devices. Monolithic optoelectronic circuits are formed by the integration of optical and electronic devices on a single GaAs substrate. Integration of many devices is most easily accomplished on a semi-insulating (SI) sub-strate. Several laser structures have been fabricated on SI GaAs substrates. Some of these lasers have been integrated with Gunn diodes and with metal semiconductor field effect transistors (MESFETs). An integrated optical repeater has been demonstrated in which MESFETs are used for optical detection and electronic amplification, and a laser is used to regenerate the optical signal. Monolithic optoelectronic circuits have also been constructed on conducting substrates. A heterojunction bipolar transistor driver has been integrated with a laser on an n-type GaAs substrate.

  13. Phosphine Functionalization GaAs(111)A Surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Traub, M.; Biteen, J; Michalak, D

    Phosphorus-functionalized GaAs surfaces have been prepared by exposure of Cl-terminated GaAs(111)A surfaces to triethylphosphine (PEt3) or trichlorophosphine (PCl3), or by the direct functionalization of the native-oxide terminated GaAs(111)A surface with PCl3. The presence of phosphorus on each functionalized surface was confirmed by X-ray photoelectron spectroscopy. High-resolution, soft X-ray photoelectron spectroscopy was used to evaluate the As and Ga 3d regions of such surfaces. On PEt3 treated surfaces, the Ga 3d spectra exhibited a bulk Ga peak as well as peaks that were shifted to 0.35, 0.92 and 1.86 eV higher binding energy. These peaks were assigned to residual Cl-terminated Gamore » surface sites, surficial Ga2O and surficial Ga2O3, respectively. For PCl3-treated surfaces, the Ga 3d spectra displayed peaks ascribable to bulk Ga(As), Ga2O, and Ga2O3, as well as a peak shifted 0.30 eV to higher binding energy relative to the bulk signal. A peak corresponding to Ga(OH)3, observed on the Cl-terminated surface, was absent from all of the phosphine-functionalized surfaces. After reaction of the Cl-terminated GaAs(111)A surface with PCl3 or PEt3, the As 3d spectral region was free of As oxides and As0. Although native oxide-terminated GaAs surfaces were free of As oxides after reaction with PCl3, such surfaces contained detectable amounts of As0. Photoluminescence measurements indicted that phosphine-functionalized surfaces prepared from Cl-terminated GaAs(111)A surfaces had better electrical properties than the native-oxide capped GaAs(111)A surface, while the native-oxide covered surface treated with PCl3 showed no enhancement in PL intensity.« less

  14. Nitridation of porous GaAs by an ECR ammonia plasma

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  15. GaAs VLSI technology and circuit elements for DSP

    NASA Astrophysics Data System (ADS)

    Mikkelson, James M.

    1990-10-01

    Recent progress in digital GaAs circuit performance and complexity is presented to demonstrate the current capabilities of GaAs components. High density GaAs process technology and circuit design techniques are described and critical issues for achieving favorable complexity speed power and cost tradeoffs are reviewed. Some DSP building blocks are described to provide examples of what types of DSP systems could be implemented with present GaAs technology. DIGITAL GaAs CIRCUIT CAPABILITIES In the past few years the capabilities of digital GaAs circuits have dramatically increased to the VLSI level. Major gains in circuit complexity and power-delay products have been achieved by the use of silicon-like process technologies and simple circuit topologies. The very high speed and low power consumption of digital GaAs VLSI circuits have made GaAs a desirable alternative to high performance silicon in hardware intensive high speed system applications. An example of the performance and integration complexity available with GaAs VLSI circuits is the 64x64 crosspoint switch shown in figure 1. This switch which is the most complex GaAs circuit currently available is designed on a 30 gate GaAs gate array. It operates at 200 MHz and dissipates only 8 watts of power. The reasons for increasing the level of integration of GaAs circuits are similar to the reasons for the continued increase of silicon circuit complexity. The market factors driving GaAs VLSI are system design methodology system cost power and reliability. System designers are hesitant or unwilling to go backwards to previous design techniques and lower levels of integration. A more highly integrated system in a lower performance technology can often approach the performance of a system in a higher performance technology at a lower level of integration. Higher levels of integration also lower the system component count which reduces the system cost size and power consumption while improving the system reliability

  16. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs < 111>B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs < 111>A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be < 111>-oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around < 111> directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  17. The electronic and optical properties of quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs: a first-principles study.

    PubMed

    Ma, Xiaoyang; Li, Dechun; Zhao, Shengzhi; Li, Guiqiu; Yang, Kejian

    2014-01-01

    First-principles calculations based on density functional theory have been performed for the quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs. Using the state-of-the-art computational method with the Heyd-Scuseria-Ernzerhof (HSE) hybrid functional, electronic, and optical properties were obtained, including band structures, density of states (DOSs), dielectric function, absorption coefficient, refractive index, energy loss function, and reflectivity. It is found that the lattice constant of GaAs1-x-y N x Bi y alloy with y/x =1.718 can match to GaAs. With the incorporation of N and Bi into GaAs, the band gap of GaAs1-x-y N x Bi y becomes small and remains direct. The calculated optical properties indicate that GaAs1-x-y N x Bi y has higher optical efficiency as it has less energy loss than GaAs. In addition, it is also found that the electronic and optical properties of GaAs1-x-y N x Bi y alloy can be further controlled by tuning the N and Bi compositions in this alloy. These results suggest promising applications of GaAs1-x-y N x Bi y quaternary alloys in optoelectronic devices.

  18. Electrodeposition of Metal on GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  19. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1979-01-01

    The optimization of space processing of GaAs is described. The detailed compositional, structural, and electronic characterization of GaAs on a macro- and microscale and the relationships between growth parameters and the properties of GaAs are among the factors discussed. The key parameters limiting device performance are assessed.

  20. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    NASA Astrophysics Data System (ADS)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  1. Effects of 915 nm GaAs diode laser on mitochondria of human dermal fibroblasts: analysis with confocal microscopy.

    PubMed

    Belletti, Silvana; Uggeri, Jacopo; Mergoni, Giovanni; Vescovi, Paolo; Merigo, Elisabetta; Fornaini, Carlo; Nammour, Samir; Manfredi, Maddalena; Gatti, Rita

    2015-01-01

    Low-level laser therapy (LLLT) is widely used in tissue regeneration and pain therapy. Mitochondria are supposed to be one of the main cellular targets, due to the presence of cytochrome C oxidase as photo-acceptor. Laser stimulation could influence mitochondria metabolism affecting mainly transmembrane mitochondrial potential (Δψm). The aim of our study is to evaluate "in vitro" the early mitochondrial response after irradiation with a 915 GaAs laser. Since some evidences suggest that cellular response to LLLT can be differently modulated by the mode of irradiation, we would like to evaluate whether there are changes in the mitochondrial potential linked to the use of the laser treatments applied with continuous wave (CW) in respect to those applied with pulsed wave (PW). In this study, we analyzed effects of irradiation with a 915-nm GaAs diode laser on human dermal fibroblast. We compared effects of irradiation applied with either CW or PW at different fluences 45-15-5 J/cm(2) on Δψm. Laser scanning microscopy (LSM) was used in living cells to detect ROS (reactive oxygen species) using calcein AM and real-time changes of and Δψm following distribution of the potentiometric probe tetramethylrhodamine methyl ester (TMRM). At higher doses (45-15 J/cm(2)), fibroblasts showed a dose-dependent decrement of Δψm in either the modalities employed, with higher amplitudes in CW-treated cells. This behavior is transient and not followed by any sign of toxicity, even if reactive oxygen species generation was observed. At 5 J/cm(2), CW irradiation determined a little decrease (5%) of the baseline level of Δψm, while opposite behavior was shown when cells were irradiated with PW, with a 10% increment. Our results suggest that different responses observed at cellular level with low doses of irradiation, could be at the basis of efficacy of LLLT in clinical application, performed with PW rather than CW modalities.

  2. Defects and annealing studies in 1-Me electron irradiated (AlGa)As-GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Li, S. S.; Wang, W. L.; Loo, R. Y.; Rahilly, W. P.

    1982-01-01

    The deep-level defects and recombination mechanisms in the one-MeV electron irradiated (AlGa)As-GaAs solar cells under various irradiation and annealing conditions are discussed. Deep-level transient spectroscopy (DLTS) and capacitance-voltage (CV) techniques were used to determine the defect and recombination parameters such as energy levels and defect density, carrier capture cross sections and lifetimes for both electron and hole traps as well as hole diffusion lengths in these electron irradiated GaAs solar cells. GaAs solar cells used in this study were prepared by the infinite solution melt liquid phase epitaxial (LPE) technique at Hughes Research Lab., with (Al0.9Ga0.1)-As window layer, Be-diffused p-GaAs layer on Sn-doped n-GaAs or undoped n-GaAs active layer grown on n(+)-GaAs substrate. Mesa structure with area of 5.86x1000 sq cm was fabricated. Three different irradiation and annealing experiments were performed on these solar cells.

  3. Detection of oxygen-related defects in GaAs by exo-electron emission spectroscopy

    NASA Astrophysics Data System (ADS)

    Hulluvarad, Shiva S.; Naddaf, M.; Bhoraskar, S. V.

    2001-10-01

    The influence of intentional introduction of oxygen, at the surface of GaAs, on its native surface states was studied. Oxygen was made to interact with the surface of GaAs by three different means: (1) by growing native oxides, (2) exposing to oxygen plasma in an electron cyclotron resonance (ECR) plasma reactor and by (3) high energy oxygen ion irradiation. Thermally stimulated exo-electron emission (TSEE) spectroscopy was used to estimate the relative densities and energies of the surface states induced by the three different modes of introducing oxygen. Out of the two native defect levels found in GaAs by TSEE; at 325 K (0.7 eV below Ec) and at 415 K (0.9 below Ec); the former is seen to get broadened or split into multiple peaks in each of the methods. Multiple peaks in TSEE signify the presence of a closely spaced band of defect levels. Therefore the results exclusively point out that oxygen-related complexes contribute to the formation of a band of defects centered at 325 K in TSEE which is correlated to an energy level 0.7 eV below Ec known as the EL2 defect level. The results reported in this paper thus confirm that the TSEE peak at 0.7 eV below Ec is related to oxygen induced defects whereas the peak at 0.9 eV is not affected by the presence of oxygen-related species.

  4. Technology requirements for GaAs photovoltaic arrays

    NASA Technical Reports Server (NTRS)

    Scott-Monck, J.; Rockey, D.

    1981-01-01

    An analysis based on percent GaAs solar cell weight and cost is performed to assess the utility of this cell for future space missions. It is shown that the GaAs substrate cost and the end-of-life (EOL) advantage the cell can provide over the space qualified silicon solar cell are the dominant factors determining potential use. Examples are presented to show that system level advantages resulting from reduction in solar panel area may warrant the use of GaAs at its current weight and projected initial cost provided the EOL advantage over silicon is at least 20 percent.

  5. Crystal Growth of Device Quality Gaas in Space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.

    1985-01-01

    The GaAs research evolves about these key thrust areas. The overall program combines: (1) studies of crystal growth on novel approaches to engineering of semiconductor material (i.e., GaAs and related compounds); (2) investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; and (3) investigation of electronic properties and phenomena controlling device applications and device performance. This effort is aimed at the essential ground-based program which would insure successful experimentation with and eventually processing of GaAs in near zero gravity environment. It is believed that this program addresses in a unique way materials engineering aspects which bear directly on the future exploitation of the potential of GaAs and related materials in device and systems applications.

  6. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1984-01-01

    The crystal growth, device processing and device related properties and phenomena of GaAs are investigated. Our GaAs research evolves about these key thrust areas. The overall program combines: (1) studies of crystal growth on novel approaches to engineering of semiconductor materials (i.e., GaAs and related compounds); (2) investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; (3) investigation of electronic properties and phenomena controlling device applications and device performance. The ground based program is developed which would insure successful experimentation with and eventually processing of GaAs in a near zero gravity environment.

  7. Dielectric properties of semi-insulating Fe-doped InP in the terahertz spectral region.

    PubMed

    Alyabyeva, L N; Zhukova, E S; Belkin, M A; Gorshunov, B P

    2017-08-04

    We report the values and the spectral dependence of the real and imaginary parts of the dielectric permittivity of semi-insulating Fe-doped InP crystalline wafers in the 2-700 cm -1 (0.06-21 THz) spectral region at room temperature. The data shows a number of absorption bands that are assigned to one- and two-phonon and impurity-related absorption processes. Unlike the previous studies of undoped or low-doped InP material, our data unveil the dielectric properties of InP that are not screened by strong free-carrier absorption and will be useful for designing a wide variety of InP-based electronic and photonic devices operating in the terahertz spectral range.

  8. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    DOE PAGES

    Auden, E. C.; Vizkelethy, G.; Serkland, D. K.; ...

    2017-03-24

    Here, the Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al 0.3Ga 0.7As/GaAs/Al 0.25Ga 0.75As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation asmore » photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.« less

  9. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    NASA Astrophysics Data System (ADS)

    Auden, E. C.; Vizkelethy, G.; Serkland, D. K.; Bossert, D. J.; Doyle, B. L.

    2017-05-01

    The Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al0.3Ga0.7As/GaAs/Al0.25Ga0.75As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation as photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.

  10. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Auden, E. C.; Vizkelethy, G.; Serkland, D. K.

    Here, the Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al 0.3Ga 0.7As/GaAs/Al 0.25Ga 0.75As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation asmore » photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.« less

  11. Supernormal hardness increase of dilute Ga(As, N) thin films

    NASA Astrophysics Data System (ADS)

    Berggren, Jonas; Hanke, Michael; Luna, Esperanza; Trampert, Achim

    2017-03-01

    Hardness of epitaxial GaAs1-xNx films on GaAs(001) with different film thicknesses, varying from 80 to 700 nm, and nitrogen compositions x between zero (pure GaAs) and 0.031, were studied by means of nano-indentation. As a result, a disproportionate and monotonic increase by 17% in hardness was proved in the dilute range from GaAs to GaAs0.969N0.031. We are tracing this observation to solid solution strengthening, an extrinsic effect based on dislocation pinning due to interstitial nitrogen. On the other hand, intrinsic effects related to different electronegativities of As and N (i.e., altered bonding conditions) could be ruled out. Furthermore, in tensilely strained GaAs1-xNx layers, the appearance of cracks acts as the main strain relieving mechanism. A correlation between cracking and hardness reduction is investigated and discussed as a further relaxation pathway.

  12. Space qualification of IR-reflecting coverslides for GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Meulenberg, Andrew

    1995-01-01

    Improvements to GaAs solar array performance, from the use on solar cell coverslides of several reflecting coatings that reject unusable portions of the solar spectrum, are quantified. Blue-red-rejection (BRR) coverslides provide both infrared reflection (IRR) and ultraviolet rejection (UVR). BRR coverslides were compared to conventional antireflection (AR) and ultraviolet (UV) coated coverslides. A 2% improvement in peak-power output, relative to that from Ar-coated coverslides, is seen for cells utilizing BRR coverslides with the widest bandpass. Coverslide BRR-filter bandpass width and covered-solar-cell short-circuit current is a function of incident light angle and the observed narrower-bandpass filters are more sensitive to change in angle from the normal than are wide-bandpass filters. The first long-term (3000 hours) UV testing of unirradiated and 1 MeV electron-irradiated GaAs solar cells, with multilayer-coated coverslides to reduce solar array operating temperature, has indicated that all multilayer coatings on coverslides and solar cells will experience degradation from the space environment (UV and/or electrons). Five types of coverslide coatings, designed for GaAs solar cells, were tested as part of a NASA-sponsored space-flight qualification for BRR, multi-layer-coated, coverslides. The reponse to the different radiations varied with the coatings. The extent of degradation and its consequences on the solar cell electrical characteristics depend upon the coatings and the radiation. In some cases, an improved optical coupling was observed during long-term UV exposure to the optical stack. The benefits of multi-layered solar cell optics may depend upon both the duration and the radiation environment of a mission.

  13. Doping assessment in GaAs nanowires.

    PubMed

    Goktas, N Isik; Fiordaliso, E M; LaPierre, R R

    2018-06-08

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  14. Doping assessment in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Isik Goktas, N.; Fiordaliso, E. M.; LaPierre, R. R.

    2018-06-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p–n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  15. Photoemission study of CaF2- and SrF2-GaAs(110) interfaces formed at room temperature

    NASA Astrophysics Data System (ADS)

    Mao, D.; Young, K.; Kahn, A.; Zanoni, R.; McKinley, J.; Margaritondo, G.

    1989-06-01

    Interfaces formed by evaporating CaF2 or SrF2 on room-temperature GaAs(110) are studied with synchrotron-radiation photoemission spectroscopy. The fluoride films grow uniformly on the GaAs surface. The deposition of CaF2 and SrF2 induces a large initial band bending on p-type GaAs (~0.9 eV) and a small initial band bending on n-type GaAs (~0.25 eV). The valence band is dominated by the F 2p peak which shifts toward high binding energies by ~1.5 eV after the deposition of >=16 Å fluoride. This shift reflects an increase in the valence-band offset between the two materials as the film forms. The final band offsets are estimated at 7.7 and 8.0 eV for CaF2 and SrF2, respectively, and are in qualitative agreement with those expected from the fluoride-Si data. Core-level measurements indicate that no reaction or decomposition of the MF2 molecule takes place at the interface. The F 2s core-level line shape and the increase in the binding-energy separation of F 2s and Ca 3p with increasing coverage suggest the presence of an interface F component. Contrary to the CaF2/Si case, no measurable Ca-substrate bonding effect is observed. The dissociative effect of uv irradiation on the CaF2 film is also investigated.

  16. GaAs photoconductive semiconductor switch

    DOEpatents

    Loubriel, Guillermo M.; Baca, Albert G.; Zutavern, Fred J.

    1998-01-01

    A high gain, optically triggered, photoconductive semiconductor switch (PCSS) implemented in GaAs as a reverse-biased pin structure with a passivation layer above the intrinsic GaAs substrate in the gap between the two electrodes of the device. The reverse-biased configuration in combination with the addition of the passivation layer greatly reduces surface current leakage that has been a problem for prior PCSS devices and enables employment of the much less expensive and more reliable DC charging systems instead of the pulsed charging systems that needed to be used with prior PCSS devices.

  17. Structure of high-index GaAs surfaces - the discovery of the stable GaAs(2511) surface

    NASA Astrophysics Data System (ADS)

    Jacobi, K.; Geelhaar, L.; Márquez, J.

    We present a brief overview of surface structures of high-index GaAs surfaces, putting emphasis on recent progress in our own laboratory. By adapting a commercial scanning tunneling microscope (STM) to our molecular beam epitaxy and ultra high vacuum analysis chamber system, we have been able to atomically resolve the GaAs( {1} {1} {3})B(8 ×1), (114)Aα2(2×1), (137), (3715), and (2511) surface structures. In cooperation with P. Kratzer and M. Scheffler from the Theory Department of the Fritz-Haber Institute we determined the structure of some of these surfaces by comparing total-energy calculations and STM image simulations with the atomically resolved STM images. We present the results for the {112}, {113}, and {114} surfaces. Then we describe what led us to proceed into the inner parts of the stereographic triangle and to discover the hitherto unknown stable GaAs(2511) surface.

  18. Electrode pattern design for GaAs betavoltaic batteries

    NASA Astrophysics Data System (ADS)

    Haiyang, Chen; Jianhua, Yin; Darang, Li

    2011-08-01

    The sensitivities of betavoltaic batteries and photovoltaic batteries to series and parallel resistance are studied. Based on the study, an electrode pattern design principle of GaAs betavoltaic batteries is proposed. GaAs PIN junctions with and without the proposed electrode pattern are fabricated and measured under the illumination of 63Ni. Results show that the proposed electrode can reduce the backscattering and shadowing for the beta particles from 63Ni to increase the GaAs betavoltaic battery short circuit currents effectively but has little impact on the fill factors and ideal factors.

  19. GaAs core--shell nanowires for photovoltaic applications.

    PubMed

    Czaban, Josef A; Thompson, David A; LaPierre, Ray R

    2009-01-01

    We report the use of Te as an n-type dopant in GaAs core-shell p-n junction nanowires for use in photovoltaic devices. Te produced significant change in the morphology of GaAs nanowires grown by the vapor-liquid-solid process in a molecular beam epitaxy system. The increase in radial growth of nanowires due to the surfactant effect of Te had a significant impact on the operating characteristics of photovoltaic devices. A decrease in solar cell efficiency occurred when the Te-doped GaAs growth duration was increased.

  20. Understanding and Curing Structural Defects in Colloidal GaAs Nanocrystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Srivastava, Vishwas; Liu, Wenyong; Janke, Eric M.

    2017-02-22

    Nearly three decades since the first report on the synthesis of colloidal GaAs nanocrystals (NCs), the preparation and properties of this material remain highly controversial. Traditional synthetic routes either fail to produce the GaAs phase or result in materials that do not show expected optical properties such as excitonic transitions. In this work, we demonstrate a variety of synthetic routes toward crystalline GaAs NCs. By using a combination of Raman, EXAFS and transient absorption spectroscopies, we conclude that unusual optical properties of 2 colloidal GaAs NCs can be related to the presence of vacancies and lattice disorder. We introduce novelmore » molten salt based annealing approach to alleviate these structural defects and show the emergence of size-dependent excitonic transitions in colloidal GaAs quantum dots.« less

  1. Conference on Semi-Insulating III-V Materials (2nd), held 19-21 Apr 82, Evian (France),

    DTIC Science & Technology

    1983-02-28

    Dist Special 19. KEY WORDS (Continue on reverse side If neceary mud Identity by block numb ) Semiconductor devices Field effect transitors Integrated...doped GaAs sub- 4 strates. The results showed no The catalog of defects includes statistically significant differ- vacancies, interstitials, anti...orientation also had high level profiles of GaAs active transconductance. In addition,the statistical scatter-uni-layers and their correlation to o m

  2. GaAs homojunction solar cell development

    NASA Technical Reports Server (NTRS)

    Flood, D. J.; Swartz, C. K.; Hart, R. E., Jr.

    1980-01-01

    The Lincoln Laboratory n(+)/p/p(+) GaAs shallow homojunction cell structure was successfully demonstrated on 2 by 2 cm GaAs substrates. Air mass zero efficiencies of the seven cells produced to date range from 13.6 to 15.6 percent. Current voltage (I-V) characteristics, spectral response, and measurements were made on all seven cells. Preliminary analysis of 1 MeV electron radiation damage data indicate excellent radiation resistance for these cells.

  3. Gate Drain Underlapped-PNIN-GAA-TFET for Comprehensively Upgraded Analog/RF Performance

    NASA Astrophysics Data System (ADS)

    Madan, Jaya; Chaujar, Rishu

    2017-02-01

    This work integrates the merits of gate-drain underlapping (GDU) and N+ source pocket on cylindrical gate all around tunnel FET (GAA-TFET) to form GDU-PNIN-GAA-TFET. It is analysed that the source pocket located at the source-channel junction narrows the tunneling barrier width at the tunneling junction and thereby enhances the ON-state current of GAA-TFET. Further, it is obtained that the GDU resists the extension of carrier density (built-up under the gated region) towards the drain side (under the underlapped length), thereby suppressing the ambipolar current and reducing the parasitic capacitances of GAA-TFET. Consequently, the amalgamated merits of both engineering schemes are obtained in GDU-PNIN-GAA-TFET that thus conquers the greatest challenges faced by TFET. Thus, GDU-PNIN-GAA-TFET results in an up-gradation in the overall performance of GAA-TFET. Moreover, it is realised that the RF figure of merits FOMs such as cut-off frequency (fT) and maximum oscillation frequency (fMAX) are also considerably improved with integration of source pocket on GAA-TFET. Thus, the improved analog and RF performance of GDU-PNIN-GAA-TFET makes it ideal for low power and high-speed applications.

  4. Annealing results on low-energy proton-irradiated GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Kachare, R.; Anspaugh, B. E.; O'Meara, L.

    1988-01-01

    AlGaAs/GaAs solar cells with an approximately 0.5-micron-thick Al(0.85)Ga(0.15)As window layer were irradiated using normal and isotropic incident protons having energies between 50 and 500 keV with fluence up to 1 x 10 to the 12th protons/sq cm. The irradiated cells were annealed at temperatures between 150 and 300 C in nitrogen ambient. The annealing results reveal that significant recovery in spectral response at longer wavelengths occurred. However, the short-wavelength spectral response showed negligible annealing, irrespective of the irradiation energy and annealing conditions. This indicates that the damage produced near the AlGaAs/GaAs interface and the space-charge region anneals differently than damage produced in the bulk. This is explained by using a model in which the as-grown dislocations interact with irradiation-induced point defects to produce thermally stable defects.

  5. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  6. GaAs photoconductive semiconductor switch

    DOEpatents

    Loubriel, G.M.; Baca, A.G.; Zutavern, F.J.

    1998-09-08

    A high gain, optically triggered, photoconductive semiconductor switch (PCSS) implemented in GaAs as a reverse-biased pin structure with a passivation layer above the intrinsic GaAs substrate in the gap between the two electrodes of the device is disclosed. The reverse-biased configuration in combination with the addition of the passivation layer greatly reduces surface current leakage that has been a problem for prior PCSS devices and enables employment of the much less expensive and more reliable DC charging systems instead of the pulsed charging systems that needed to be used with prior PCSS devices. 5 figs.

  7. Panel fabrication utilizing GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Mardesich, N.

    1984-01-01

    The development of the GaAs solar cells for space applications is described. The activities in the fabrication of GaAs solar panels are outlined. Panels were fabricated while introducing improved quality control, soldering laydown and testing procedures. These panels include LIPS II, San Marco Satellite, and a low concentration panel for Rockwells' evaluation. The panels and their present status are discussed.

  8. Comparison of heavy-ion- and electron-beam upset data for GaAS SRAMS. Technical report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Flesner, L.D.; Zuleeg, R.; Kolasinski, W.A.

    1992-07-16

    We report the results of experiments designed to evaluate the extent to which focused electron-beam pulses simulate energetic ion upset phenomena in GaAs memory circuits fabricated by the McDonnell Douglas Astronautics Company. The results of two experimental methods were compared, irradiation by heavy-ion particle beams, and upset mapping using focused electron pulses. Linear energy transfer (LET) thresholds and upset cross sections are derived from the data for both methods. A comparison of results shows good agreement, indicating that for these circuits electron-beam pulse mapping is a viable simulation technique.

  9. GaAs Photovoltaics on Polycrystalline Ge Substrates

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Pal, AnnaMaria T.; McNatt, Jeremiah S.; Wolford, David S.; Landis, Geoffrey A.; Smith, Mark A.; Scheiman, David; Jenkins, Phillip P.; McElroy Bruce

    2007-01-01

    High efficiency III-V multijunction solar cells deposited on metal foil or even polymer substrates can provide tremendous advantages in mass and stowage, particularly for planetary missions. As a first step towards that goal, poly-crystalline p/i/n GaAs solar cells are under development on polycrystalline Ge substrates. Organo Metallic Vapor Phase Epitaxy (OMVPE) parameters for pre-growth bake, nucleation and deposition have been examined. Single junction p/i/n GaAs photovoltaic devices, incorporating InGaP front and back window layers, have been grown and processed. Device performance has shown a dependence upon the thickness of a GaAs buffer layer deposited between the Ge substrate and the active device structure. A thick (2 m) GaAs buffer provides for both increased average device performance as well as reduced sensitivity to variations in grain size and orientation. Illumination under IR light (lambda > 1 micron), the cells showed a Voc, demonstrating the presence of an unintended photoactive junction at the GaAs/Ge interface. The presence of this junction limited the efficiency to approx.13% (estimated with an anti-refection coating) due to the current mismatch and lack of tunnel junction interconnect.

  10. Comparisons of single event vulnerability of GaAs SRAMS

    NASA Astrophysics Data System (ADS)

    Weatherford, T. R.; Hauser, J. R.; Diehl, S. E.

    1986-12-01

    A GaAs MESFET/JFET model incorporated into SPICE has been used to accurately describe C-EJFET, E/D MESFET and D MESFET/resistor GaAs memory technologies. These cells have been evaluated for critical charges due to gate-to-drain and drain-to-source charge collection. Low gate-to-drain critical charges limit conventional GaAs SRAM soft error rates to approximately 1E-6 errors/bit-day. SEU hardening approaches including decoupling resistors, diodes, and FETs have been investigated. Results predict GaAs RAM cell critical charges can be increased to over 0.1 pC. Soft error rates in such hardened memories may approach 1E-7 errors/bit-day without significantly reducing memory speed. Tradeoffs between hardening level, performance and fabrication complexity are discussed.

  11. Prediction of dislocation generation during Bridgman growth of GaAs crystals

    NASA Technical Reports Server (NTRS)

    Tsai, C. T.; Yao, M. W.; Chait, Arnon

    1992-01-01

    Dislocation densities are generated in GaAs single crystals due to the excessive thermal stresses induced by temperature variations during growth. A viscoplastic material model for GaAs, which takes into account the movement and multiplication of dislocations in the plastic deformation, is developed according to Haasen's theory. The dislocation density is expressed as an internal state variable in this dynamic viscoplastic model. The deformation process is a nonlinear function of stress, strain rate, dislocation density and temperature. The dislocation density in the GaAs crystal during vertical Bridgman growth is calculated using a nonlinear finite element model. The dislocation multiplication in GaAs crystals for several temperature fields obtained from thermal modeling of both the GTE GaAs experimental data and artificially designed data are investigated.

  12. Prediction of dislocation generation during Bridgman growth of GaAs crystals

    NASA Astrophysics Data System (ADS)

    Tsai, C. T.; Yao, M. W.; Chait, Arnon

    1992-11-01

    Dislocation densities are generated in GaAs single crystals due to the excessive thermal stresses induced by temperature variations during growth. A viscoplastic material model for GaAs, which takes into account the movement and multiplication of dislocations in the plastic deformation, is developed according to Haasen's theory. The dislocation density is expressed as an internal state variable in this dynamic viscoplastic model. The deformation process is a nonlinear function of stress, strain rate, dislocation density and temperature. The dislocation density in the GaAs crystal during vertical Bridgman growth is calculated using a nonlinear finite element model. The dislocation multiplication in GaAs crystals for several temperature fields obtained from thermal modeling of both the GTE GaAs experimental data and artificially designed data are investigated.

  13. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1983-01-01

    GaAs device technology has recently reached a new phase of rapid advancement, made possible by the improvement of the quality of GaAs bulk crystals. At the same time, the transition to the next generation of GaAs integrated circuits and optoelectronic systems for commercial and government applications hinges on new quantum steps in three interrelated areas: crystal growth, device processing and device-related properties and phenomena. Special emphasis is placed on the establishment of quantitative relationships among crystal growth parameters-material properties-electronic properties and device applications. The overall program combines studies of crystal growth on novel approaches to engineering of semiconductor material (i.e., GaAs and related compounds); investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; and investigation of electronic properties and phenomena controlling device applications and device performance.

  14. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    PubMed Central

    Ohno, Takeo; Oyama, Yutaka

    2012-01-01

    In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE), in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor. PMID:27877466

  15. Heteroepitaxial growth of GaAs on (100) Ge/Si using migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanoto, H.; Loke, W. K.; Yoon, S. F.

    In this paper, heteroepitaxial growth of GaAs on nominal (100) Ge/Si substrate was investigated. The root-mean square surface roughness of the sample where the first few monolayers of the GaAs were nucleated by migration enhanced epitaxy (MEE) is four times smaller compared to the sample without such a process, indicating better surface planarity. From the (004) x-ray diffraction rocking curve measurement, the full width at half maximum of the GaAs layer nucleated by MEE is 40% lower compared to that of the GaAs layer without such a process, indicating better crystal quality. Furthermore, it was found that the sample wheremore » the GaAs layer was nucleated by MEE experienced early relaxation. As the MEE process promotes two-dimensional growth, the GaAs layer where nucleation was initiated by such a process has fewer islandlike formations. This leads to a pseudomorphically grown GaAs layer, which experiences higher strain compared to the GaAs layer with more islandlike formations, where most relaxation occurs on the free surface of the islands. Therefore, for the same layer thickness, the GaAs layer on (100) Ge/Si substrate where nucleation was initiated by MEE relaxed first.« less

  16. IR Window Studies

    DTIC Science & Technology

    1974-09-15

    molten gallium but still have a lew resistivity. Stabilized zirconia was used to remove and monitor oxygen. KC1 crystals with a-j« 5 m = lO...information that GaAs grown from Ga solutions at low temperatures can be made with higher purities than that grown at the melting point . The initial...goals were to grow thick films below the melting point which would be semi-insulating and to measure their absorption coefficients. This goal was to

  17. Advanced 3-V semiconductor technology assessment

    NASA Technical Reports Server (NTRS)

    Nowogrodzki, M.

    1983-01-01

    Components required for extensions of currently planned space communications systems are discussed for large antennas, crosslink systems, single sideband systems, Aerostat systems, and digital signal processing. Systems using advanced modulation concepts and new concepts in communications satellites are included. The current status and trends in materials technology are examined with emphasis on bulk growth of semi-insulating GaAs and InP, epitaxial growth, and ion implantation. Microwave solid state discrete active devices, multigigabit rate GaAs digital integrated circuits, microwave integrated circuits, and the exploratory development of GaInAs devices, heterojunction devices, and quasi-ballistic devices is considered. Competing technologies such as RF power generation, filter structures, and microwave circuit fabrication are discussed. The fundamental limits of semiconductor devices and problems in implementation are explored.

  18. Intrinsic radiation tolerance of ultra-thin GaAs solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hirst, L. C.; Yakes, M. K.; Warner, J. H.

    2016-07-18

    Radiation tolerance is a critical performance criterion of photovoltaic devices for space power applications. In this paper we demonstrate the intrinsic radiation tolerance of an ultra-thin solar cell geometry. Device characteristics of GaAs solar cells with absorber layer thicknesses 80 nm and 800 nm were compared before and after 3 MeV proton irradiation. Both cells showed a similar degradation in V{sub oc} with increasing fluence; however, the 80 nm cell showed no degradation in I{sub sc} for fluences up to 10{sup 14 }p{sup +} cm{sup −2}. For the same exposure, the I{sub sc} of the 800 nm cell had severely degraded leaving a remaining factor ofmore » 0.26.« less

  19. Investigation of New Semiinsulating Behavior of III-V Compounds.

    DTIC Science & Technology

    1990-02-23

    load (I 10) directions, respectively. Open circles correspond to p-type samples cell . The sample with the length Io of 7 mm, was placed deformed in the...DISCUSSION at a constant rate dl /dt of 0.05 mm/min. The load cell was used to monitor the applied force. All samples used in this A. Free-carrier...the growth of epitaxial quality GaAs bulk crystals (Bryskiewicz et al 1987b). A schematic diagram of the growth cell used in our growth experi- S-nts

  20. Long-term radiation effects on GaAs solar cell characteristics

    NASA Technical Reports Server (NTRS)

    Heinbockel, J. H.; Doviak, M. J.

    1978-01-01

    This report investigates preliminary design considerations which should be considered for a space experiment involving Gallium Arsenide (GaAs) solar cells. The electron radiation effects on GaAs solar cells were conducted in a laboratory environment, and a statistical analysis of the data is presented. In order to augment the limited laboratory data, a theoretical investigation of the effect of radiation on GaAs solar cells is also developed. The results of this study are empirical prediction equations which can be used to estimate the actual damage of electrical characteristics in a space environment. The experimental and theoretical studies also indicate how GaAs solar cell parameters should be designed in order to withstand the effects of electron radiation damage.

  1. GaAs thin films and methods of making and using the same

    DOEpatents

    Boettcher, Shannon; Ritenour, Andrew; Boucher, Jason; Greenaway, Ann

    2016-06-14

    Disclosed herein are embodiments of methods for making GaAs thin films, such as photovoltaic GaAs thin films. The methods disclosed herein utilize sources, precursors, and reagents that do not produce (or require) toxic gas and that are readily available and relatively low in cost. In some embodiments, the methods are readily scalable for industrial applications and can provide GaAs thin films having properties that are at least comparable to or potentially superior to GaAs films obtained from conventional methods.

  2. High-efficiency thin-film GaAs solar cells, phase2

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.

    1981-01-01

    Thin GaAs epi-layers with good crystallographic quality were grown using a (100) Si-substrate on which a thin Ge epi-interlayer was grown by CVD from germane. Both antireflection-coated metal oxide semiconductor (AMOS) and n(+)/p homojunction structures were studied. The AMOS cells were fabricated on undoped-GaAs epi-layers deposited on bulk poly-Ge substrates using organo-metallic CVD film-growth, with the best achieved AM1 conversion efficiency being 9.1%. Both p-type and n(+)-type GaAs growth were optimized using 50 ppm dimethyl zinc and 1% hydrogen sulfide, respectively. A direct GaAs deposition method in fabricating ultra-thin top layer, epitaxial n(+)/p shallow homojunction solar cells on (100) GaAs substrates (without anodic thinning) was developed to produce large area (1 sq/cm) cells, with 19.4% AM1 conversion efficiency achieved. Additionally, an AM1 conversion efficiency of 18.4% (17.5% with 5% grid coverage) was achieved for a single crystal GaAs n(+)/p cell grown by OM-CVD on a Ge wafer.

  3. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiev, G. B.; Klimov, E. A.; Vasiliev, A. L.

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers ofmore » arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.« less

  4. Growth and characteristics of p-type doped GaAs nanowire

    NASA Astrophysics Data System (ADS)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  5. Sulfur doping of GaAs with (NH4)2Sx solution

    NASA Astrophysics Data System (ADS)

    Lee, Jong-Lam

    1999-01-01

    A novel technique for sulfur doping to GaAs was demonstrated. The surface of GaAs was treated with (NH4)2Sx solution, subsequent to annealing using either furnace or rapid thermal processing. Sulfur atoms adsorbed at the surface of GaAs during the (NH4)2Sx treatment diffuse into GaAs during the annealing. The diffusion profiles of sulfur in both types of annealing treatments show a concave shape from the GaAs surface. Diffusion constants of sulfur determined using the Boltzmann-Matano technique increase with the decrease of sulfur concentration via the depth from the surface of GaAs. This suggests that immobile sulfur donor SAs+ forms at the near surface interacts with a Ga divacancy, and results in the production of mobile As interstitials, IAs. The IAs moves fast toward the inside of GaAs and kickout the SAs+ donor, producing a fast diffusing species of interstitial S atoms. The diffusion coefficients of sulfur determined are 2.5×10-14 cm2/s at 840 °C and 5×10-12 cm2/s at 900 °C. The sulfur doping technique is applied to the fabrication of metal-semiconductor field-effect transistors (MESFETs). The MESFETs with 1.0 μm gate length exhibit transconductance of 190 mS/mm, demonstrating the applicability of this technique to the formation of active channel layer of MESFETs.

  6. Relation between trinucleotide GAA repeat length and sensory neuropathy in Friedreich's ataxia.

    PubMed

    Santoro, L; De Michele, G; Perretti, A; Crisci, C; Cocozza, S; Cavalcanti, F; Ragno, M; Monticelli, A; Filla, A; Caruso, G

    1999-01-01

    To verify if GAA expansion size in Friedreich's ataxia could account for the severity of sensory neuropathy. Retrospective study of 56 patients with Friedreich's ataxia selected according to homozygosity for GAA expansion and availability of electrophysiological findings. Orthodromic sensory conduction velocity in the median nerve was available in all patients and that of the tibial nerve in 46 of them. Data of sural nerve biopsy and of a morphometric analysis were available in 12 of the selected patients. The sensory action potential amplitude at the wrist (wSAP) and at the medial malleolus (m mal SAP) and the percentage of myelinated fibres with diameter larger than 7, 9, and 11 microm in the sural nerve were correlated with disease duration and GAA expansion size on the shorter (GAA1) and larger (GAA2) expanded allele in each pair. Pearson's correlation test and stepwise multiple regression were used for statistical analysis. A significant inverse correlation between GAA1 size and wSAP, m mal SAP, and percentage of myelinated fibres was found. Stepwise multiple regression showed that GAA1 size significantly affects electrophysiological and morphometric data, whereas duration of disease has no effect. The data suggest that the severity of the sensory neuropathy is probably genetically determined and that it is not progressive.

  7. Comparison of photoemission characteristics between square and circular wire array GaAs photocathodes.

    PubMed

    Deng, Wenjuan; Peng, Xincun; Zou, Jijun; Wang, Weilu; Liu, Yun; Zhang, Tao; Zhang, Yijun; Zhang, Daoli

    2017-11-10

    Two types of negative electron affinity gallium arsenide (GaAs) wire array photocathodes were fabricated by reactive ion etching and inductively coupled plasma etching of bulk GaAs material. High density GaAs wire arrays with high periodicity and good morphology were verified using scanning electron microscopy, and photoluminescence spectra confirmed the wire arrays had good crystalline quality. Reflection spectra showed that circular GaAs wire arrays had superior light trapping compared with square ones. However, after Cs/O activation, the square GaAs wire array photocathodes showed enhanced spectral response. The integral sensitivity of the square wire array photocathodes was approximately 2.8 times that of the circular arrays.

  8. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  9. The electrical properties of 60 keV zinc ions implanted into semi-insulating gallium arsenide

    NASA Technical Reports Server (NTRS)

    Littlejohn, M. A.; Anikara, R.

    1972-01-01

    The electrical behavior of zinc ions implanted into chromium-doped semiinsulating gallium arsenide was investigated by measurements of the sheet resistivity and Hall effect. Room temperature implantations were performed using fluence values from 10 to the 12th to 10 to the 15th power/sq cm at 60 keV. The samples were annealed for 30 minutes in a nitrogen atmosphere up to 800 C in steps of 200 C and the effect of this annealing on the Hall effect and sheet resistivity was studied at room temperature using the Van der Pauw technique. The temperature dependence of sheet resistivity and mobility was measured from liquid nitrogen temperature to room temperature. Finally, a measurement of the implanted profile was obtained using a layer removal technique combined with the Hall effect and sheet resistivity measurements.

  10. Microinhomogeneities in Semi-Insulating Cd(Zn)Te

    DOE PAGES

    Fochuk, P.; Nykoniuk, Y.; Zakharuk, Z.; ...

    2017-09-04

    Here, we investigated the temperature dependences (TDs) in the range of 290-423 K for the Hall constant R H and the Hall carrier mobility μn (σ R H) in semi-insulating Cd 0.9 Zn 0.1 Te:In (CZT) crystals. As-grown, CZT material has nonequilibrium distributions of native and impurity-related defects. Thus, before taking any measurements, the samples were kept inside the test chamber in the dark at 423 K to reach an equilibrium state at T <; 423 K. For all the tested samples, the R H TD could be described by two activation energies. At the transitional point, the TD ofmore » the carrier mobility also changes from “normal” at high temperatures to “exponential” at low temperatures. The latter is a result of the collective effect of drift barriers due to microinhomogeneity. Therefore, only the high-temperature activation energies can be assigned to the ionization energies of the compensated deep donors (ε D). For different samples, the values for ε D 0 (at absolute zero) were found to be in the range of 0.50-0.78 eV, and the degree of donor compensation [D +]/[D] is between 0.3 and 0.98. The low-temperature region, where there are strong effects of crystal microinhomogeneities, cannot be used to characterize the ionization energy of donors. Therefore, we describe the activation energy as ε 1 = ε D - αε b, where ε b is the drift barrier height found from the TD of the carrier mobility and α takes a value close to unity. Values of ε b for our studied samples lie within (0.05-0.35) eV.« less

  11. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    PubMed Central

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  12. Two-Photon Pumped Synchronously Mode-Locked Bulk GaAs Laser

    NASA Astrophysics Data System (ADS)

    Cao, W. L.; Vaucher, A. M.; Ling, J. D.; Lee, C. H.

    1982-04-01

    Pulses 7 picoseconds or less in duration have been generated from a bulk GaAs crystal by a synchronous mode-locking technique. The GaAs crystal was optically pumped by two-photon absorption of the emission from a mode-locked Nd:glass laser. Two-photon absorption as the means of excitation increases the volume of the gain medium by increasing the pene-tration depth of the pump intensity, enabling generation of intra-cavity pulses with peak power in the megawatt range. Tuning of the wavelength of the GaAs emission is achieved by varying the temperature. A tuning range covering 840 nm to 885 nm has been observed over a temperature range from 97°K to 260°K. The intensity of the GaAs emission has also been observed to decrease as the temperature of the crystal is increased.

  13. Interface demarcation in GaAs by current pulsing

    NASA Technical Reports Server (NTRS)

    Matthiesen, D. H.; Kafalas, J. A.; Duchene, G. A.; Bellows, A. H.

    1990-01-01

    GTE Laboratories is currently conducting a program to investigate the effect of convection in the melt on the properties of bulk grown gallium arsenide (GaAs). In addition to extensive ground based experimentation, a Get Away Special growth system has been developed to grow two GaAs crystals aboard the Space Shuttle, each with a one inch diameter. In order to perform a complete segregation analysis of the crystals grown in space, it is necessary to measure the interface shape and growth rate as well as the spatial distribution of the selenium dopant. The techniques for interface demarcation in selenium doped GaAs by current pulsing have been developed at GTE Laboratories and successful interface demarcation has been achieved for current pulses ranging from 20 to 90 amps, in both single crystal and polycrystalline regions.

  14. Microwave GaAs Integrated Circuits On Quartz Substrates

    NASA Technical Reports Server (NTRS)

    Siegel, Peter H.; Mehdi, Imran; Wilson, Barbara

    1994-01-01

    Integrated circuits for use in detecting electromagnetic radiation at millimeter and submillimeter wavelengths constructed by bonding GaAs-based integrated circuits onto quartz-substrate-based stripline circuits. Approach offers combined advantages of high-speed semiconductor active devices made only on epitaxially deposited GaAs substrates with low-dielectric-loss, mechanically rugged quartz substrates. Other potential applications include integration of antenna elements with active devices, using carrier substrates other than quartz to meet particular requirements using lifted-off GaAs layer in membrane configuration with quartz substrate supporting edges only, and using lift-off technique to fabricate ultrathin discrete devices diced separately and inserted into predefined larger circuits. In different device concept, quartz substrate utilized as transparent support for GaAs devices excited from back side by optical radiation.

  15. Studies of molecular-beam epitaxy growth of GaAs on porous Si substrates

    NASA Technical Reports Server (NTRS)

    Mii, Y. J.; Kao, Y. C.; Wu, B. J.; Wang, K. L.; Lin, T. L.; Liu, J. K.

    1988-01-01

    GaAs has been grown on porous Si directly and on Si buffer layer-porous Si substrates by molecular-beam epitaxy. In the case of GaAs growth on porous Si, transmission electron microscopy (TEM) reveals that the dominant defects in GaAs layers grown on porous Si are microtwins and stacking faults, which originate from the GaAs/porous Si interface. GaAs is found to penetrate into the porous Si layers. By using a thin Si buffer layer (50 nm), GaAs penetration diminishes and the density of microtwins and stacking faults is largely reduced and localized at the GaAs/Si buffer interface. However, there is a high density of threading dislocations remaining. Both Si (100) aligned and four degree tilted substrates have been examined in this study. TEM results show no observable effect of the tilted substrates on the quality of the GaAs epitaxial layer.

  16. Advanced GaAs Process Modeling. Volume 1

    DTIC Science & Technology

    1989-05-01

    COSATI CODES 18 . SUBJECT TERMS (Continue on reverse if necessary and identify by block number) FIELD GROUP SUB-GROUP Gallium Arsenide, MESFET, Process...Background 9 3.2 Model Calculations 10 3.3 Conclusions 17 IV. ION-IMPLANTATION INTO GaAs PROFILE DETERMINATION 18 4.1 Ion Implantation Profile...Determination in GaAs 18 4.1.1. Background 18 4.1.2. Experimental Measurements 20 4.1.3. Results 22 4.1.3.1 Ion-Energy Dependence 22 4.1.3.2. Tilt and Rotation

  17. Rare-earth gate oxides for GaAs MOSFET application

    NASA Astrophysics Data System (ADS)

    Kwon, Kwang-Ho; Yang, Jun-Kyu; Park, Hyung-Ho; Kim, Jongdae; Roh, Tae Moon

    2006-08-01

    Rare-earth oxide films for gate dielectric on n-GaAs have been investigated. The oxide films were e-beam evaporated on S-passivated GaAs, considering interfacial chemical bonding state and energy band structure. Rare-earth oxides such as Gd 2O 3, (Gd xLa 1- x) 2O 3, and Gd-silicate were employed due to high resistivity and no chemical reaction with GaAs. Structural and bonding properties were characterized by X-ray photoemission, absorption, and diffraction. The electrical characteristics of metal-oxide-semiconductor (MOS) diodes were correlated with material properties and energy band structures to guarantee the feasibility for MOS field effect transistor (FET) application. Gd 2O 3 films were grown epitaxially on S-passivated GaAs (0 0 1) at 400 °C. The passivation induced a lowering of crystallization temperature with an epitaxial relationship of Gd 2O 3 (4 4 0) and GaAs (0 0 1). A better lattice matching relation between Gd 2O 3 and GaAs substrate was accomplished by the substitution of Gd with La, which has larger ionic radius. The in-plane relationship of (Gd xLa 1- x) 2O 3 (4 4 0) with GaAs (0 0 1) was found and the epitaxial films showed an improved crystalline quality. Amorphous Gd-silicate film was synthesized by the incorporation of SiO 2 into Gd 2O 3. These amorphous Gd-silicate films excluded defect traps or current flow path due to grain boundaries and showed a relatively larger energy band gap dependent on the contents of SiO 2. Energy band parameters such as Δ EC, Δ EV, and Eg were effectively controlled by the film composition.

  18. Failure Mechanisms of GaAs Transistors - A Literature Survey

    DTIC Science & Technology

    1990-03-01

    doping profile cannot be as sharp as with epitaxial methods. This is the result of the statistics of the implantation and the general diffusion that...Speed GaAs Logic Gates 5.1 GaAs PLANAR TRANSITOR STRUCTURES USED IN IC’S Some planar transistor structures used in IC’s with examples of the

  19. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    NASA Astrophysics Data System (ADS)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  20. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bagraev, N. T., E-mail: Bagraev@mail.ioffe.ru; Chaikina, E. I.; Danilovskii, E. Yu.

    The sulfur passivation of the semi-insulating GaAs bulk (SI GaAs) grown in an excess phase of arsenic is used to observe the transition from the Coulomb blockade to the weak localization regime at room temperature. The I–V characteristics of the SI GaAs device reveal nonlinear behavior that appears to be evidence of the Coulomb blockade process as well as the Coulomb oscillations. The sulfur passivation of the SI GaAs device surface results in enormous transformation of the I–V characteristics that demonstrate the strong increase of the resistance and Coulomb blockade regime is replaced by the electron tunneling processes. The resultsmore » obtained are analyzed within frameworks of disordering SI GaAs surface that is caused by inhomogeneous distribution of the donor and acceptor anti-site defects which affects the conditions of quantum- mechanical tunneling. Weak localization processes caused by the preservation of the Fermi level pinning are demonstrated by measuring the negative magnetoresistance in weak magnetic fields at room temperature. Finally, the studies of the magnetoresistance at higher magnetic fields reveal the h/2e Aharonov–Altshuler–Spivak oscillations with the complicated behavior due to possible statistical mismatch of the interference paths in the presence of different microdefects.« less

  1. Mechanisms of the passage of dark currents through Cd(Zn)Te semi-insulating crystals

    NASA Astrophysics Data System (ADS)

    Sklyarchuk, V.; Fochuk, P.; Rarenko, I.; Zakharuk, Z.; Sklyarchuk, O.; Nykoniuk, Ye.; Rybka, A.; Kutny, V.; Bolotnikov, A. E.; James, R. B.

    2014-09-01

    We investigated the passage of dark currents through semi-insulating crystals of Cd(Zn)Te with weak n-type conductivity that are used widely as detectors of ionizing radiation. The crystals were grown from a tellurium solution melt at 800 оС by the zone-melting method, in which a polycrystalline rod in a quartz ampoule was moved through a zone heater at a rate of 2 mm per day. The synthesis of the rod was carried out at ~1150 оС. We determined the important electro-physical parameters of this semiconductor, using techniques based on a parallel study of the temperature dependence of current-voltage characteristics in both the ohmic and the space-charge-limited current regions. We established in these crystals the relationship between the energy levels and the concentrations of deep-level impurity states, responsible for dark conductivity and their usefulness as detectors.

  2. Photon counting microstrip X-ray detectors with GaAs sensors

    NASA Astrophysics Data System (ADS)

    Ruat, M.; Andrä, M.; Bergamaschi, A.; Barten, R.; Brückner, M.; Dinapoli, R.; Fröjdh, E.; Greiffenberg, D.; Lopez-Cuenca, C.; Lozinskaya, A. D.; Mezza, D.; Mozzanica, A.; Novikov, V. A.; Ramilli, M.; Redford, S.; Ruder, C.; Schmitt, B.; Shi, X.; Thattil, D.; Tinti, G.; Tolbanov, O. P.; Tyazhev, A.; Vetter, S.; Zarubin, A. N.; Zhang, J.

    2018-01-01

    High-Z sensors are increasingly used to overcome the poor efficiency of Si sensors above 15 keV, and further extend the energy range of synchrotron and FEL experiments. Detector-grade GaAs sensors of 500 μm thickness offer 98% absorption efficiency at 30 keV and 50% at 50 keV . In this work we assess the usability of GaAs sensors in combination with the MYTHEN photon-counting microstrip readout chip developed at PSI. Different strip length and pitch are compared, and the detector performance is evaluated in regard of the sensor material properties. Despite increased leakage current and noise, photon-counting strips mounted with GaAs sensors can be used with photons of energy as low as 5 keV, and exhibit excellent linearity with energy. The charge sharing is doubled as compared to silicon strips, due to the high diffusion coefficient of electrons in GaAs.

  3. Laser Induced Electrodeposition on Polyimide and GaAs Substrates

    DTIC Science & Technology

    1983-10-01

    6 3.1 Laser Gold Plating on Undoped Ga As Substrate ........... 6 3.1.1 Deposit Formation...22 iv LIST OF ILLUSTRATIONS Figure Page 1. Experimental Set-Up . . . . . .................. 4 2. Laser Gold Pla’ting Undoped GaAs (100...9 3. Laser Gold Plating Undoped GaAs (100) Deposit Resistance Measurement ......................... .10 4. Laser Gold Plating on Polyimide

  4. Investigation of ZnSe-coated silicon substrates for GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Huber, Daniel A.; Olsen, Larry C.; Dunham, Glen; Addis, F. William

    1993-01-01

    Studies are being carried out to determine the feasibility of using ZnSe as a buffer layer for GaAs solar cells grown on silicon. This study was motivated by reports in the literature indicating ZnSe films had been grown by metallorganic chemical vapor deposition (MOCVD) onto silicon with EPD values of 2 x 10(exp 5) cm(sup -2), even though the lattice mismatch between silicon and ZnSe is 4.16 percent. These results combined with the fact that ZnSe and GaAs are lattice matched to within 0.24 percent suggest that the prospects for growing high efficiency GaAs solar cells onto ZnSe-coated silicon are very good. Work to date has emphasized development of procedures for MOCVD growth of (100) ZnSe onto (100) silicon wafers, and subsequent growth of GaAs films on ZnSe/Si substrates. In order to grow high quality single crystal GaAs with a (100) orientation, which is desirable for solar cells, one must grow single crystal (100) ZnSe onto silicon substrates. A process for growth of (100) ZnSe was developed involving a two-step growth procedure at 450 C. Single crystal, (100) GaAs films were grown onto the (100) ZnSe/Si substrates at 610 C that are adherent and specular. Minority carrier diffusion lengths for the GaAs films grown on ZnSe/Si substrates were determined from photoresponse properties of Al/GaAs Schottky barriers. Diffusion lengths for n-type GaAs films are currently on the order of 0.3 microns compared to 2.0 microns for films grown simultaneously by homoepitaxy.

  5. Cathodoluminescence Characterization of Ion Implanted GaAs.

    DTIC Science & Technology

    1980-03-01

    technique that can be used to characterize the semiconductor device "in situ" before further processing can save the Air Force valuable time as well...Patterson Air Force Base,Ohio i! i ill i I ;Wow AFIT/DS/PH/80- I.i1I LEVELOO CATHODOLUMINESCENCE CHARACTERIZATION OF ION IPLANTED GaAs D I SSERUrAT ION...CATODOLUMINESCENCE CHARACTERIZATION .’ a .... OF ION IMPLANTED GaAs’ - .. .. Dtriy’ t’ c:’/ A’: t 1. - Cc;-,P by an i’or Milton L one B.S., M.S. Major USAF Approved

  6. Amplification in Double Heterostructure GaAs Lasers.

    DTIC Science & Technology

    1981-03-15

    done, for example, in the book by Siegman . When the laser signal which is to be amplified is a single mode, it is important to include the possibility...k A’AD-A097 862 AEROSPACE CORP EL SEGUNDO CA ELECTRONICS RESEARCH LAP) P 5 20/5 I AMPLIFICATION IN DOUBLE HETEROSTRUCTURE GAAS LASERS .(U IMAR al E...GARMIRE, M CHANG F04701-80-C-0081I UNCLASSIFIED TR GO81(6930 03)-2 SD-TA8-30 NL Amplification in Double Heterostructure GaAs Lasers E. GARMIRE nd M

  7. GaAs Surface Passivation for Device Applications.

    DTIC Science & Technology

    1981-12-01

    Ga203.’" . QI. a) / b) x 2.5 •• 24 21 18 As3d a) b) x 2. / 0 II 2 46 43 40 BINDING ENERGY (eV) Fig. 3 XPS spectra from a Ga2O3 covered GaAs surface of Ga...wU 24 21 Gas 18 SAs3d As2O3 ) .. 46 43 40 BINDING ENERGY (e) Fig. 4 XPS spectra from a AsJ03- Ga2O3 covered GaAs surface of Ga 3d (upper panel) and As

  8. Dynamics of reflection high-energy electron diffraction intensity oscillations during molecular beam epitaxial growth of GaAs on (111)B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Yen, M. Y.; Haas, T. W.

    1990-06-01

    We have observed intensity oscillations in reflection high-energy electron diffraction during molecular beam epitaxial growth of GaAs on (111)B GaAs substrates. These oscillations only exist over a narrow range of growth conditions and their behavior is strongly dependent on the migration kinetics of group III and the molecular dissociative reaction of group V elements.

  9. Integration of GaAs vertical-cavity surface emitting laser on Si by substrate removal

    NASA Astrophysics Data System (ADS)

    Yeh, Hsi-Jen J.; Smith, John S.

    1994-03-01

    The successful integration of strained quantum well InGaAs vertical-cavity surface-emitting lasers (VCSELs) on both Si and Cu substrates was described using a GaAs substrate removal technique. The GaAs VCSEL structure was metallized and bonded to the Si substrate after growth. The GaAs substrate was then removed by selective chemical wet etching. Finally, the bonded GaAs film metallized on the top (emitting) side and separate lasers were defined. This is the first time a VCSEL had been integrated on a Si substrate with its substrate removed. The performance enhancement of GaAs VCSELs bonded on good thermal conductors are demonstrated.

  10. Lightweight, Light-Trapped, Thin GaAs Solar Cells for Spacecraft Applications.

    DTIC Science & Technology

    1995-10-05

    improve the efficiency of this type of cell. 2 The high efficiency and light weight of the cover glass supported GaAs solar cell can have a significant...is a 3-mil cover glass and 1-mil silicone adhesive on the front surface of the GaAs solar cell. Power Output 3000 400 -{ 2400 { N 300 S18200 W/m2...the ultra-thin, light-trapped GaAs solar ceill 3. Incorporate light trapping. 0 external quantum efficiency at 850 nm increased by 5.2% 4. Develop

  11. The mismatch repair system protects against intergenerational GAA repeat instability in a Friedreich ataxia mouse model.

    PubMed

    Ezzatizadeh, Vahid; Pinto, Ricardo Mouro; Sandi, Chiranjeevi; Sandi, Madhavi; Al-Mahdawi, Sahar; Te Riele, Hein; Pook, Mark A

    2012-04-01

    Friedreich ataxia (FRDA) is an autosomal recessive neurodegenerative disorder caused by a dynamic GAA repeat expansion mutation within intron 1 of the FXN gene. Studies of mouse models for other trinucleotide repeat (TNR) disorders have revealed an important role of mismatch repair (MMR) proteins in TNR instability. To explore the potential role of MMR proteins on intergenerational GAA repeat instability in FRDA, we have analyzed the transmission of unstable GAA repeat expansions from FXN transgenic mice which have been crossed with mice that are deficient for Msh2, Msh3, Msh6 or Pms2. We find in all cases that absence of parental MMR protein not only maintains transmission of GAA expansions and contractions, but also increases GAA repeat mutability (expansions and/or contractions) in the offspring. This indicates that Msh2, Msh3, Msh6 and Pms2 proteins are not the cause of intergenerational GAA expansions or contractions, but act in their canonical MMR capacity to protect against GAA repeat instability. We further identified differential modes of action for the four MMR proteins. Thus, Msh2 and Msh3 protect against GAA repeat contractions, while Msh6 protects against both GAA repeat expansions and contractions, and Pms2 protects against GAA repeat expansions and also promotes contractions. Furthermore, we detected enhanced occupancy of Msh2 and Msh3 proteins downstream of the FXN expanded GAA repeat, suggesting a model in which Msh2/3 dimers are recruited to this region to repair mismatches that would otherwise produce intergenerational GAA contractions. These findings reveal substantial differences in the intergenerational dynamics of expanded GAA repeat sequences compared with expanded CAG/CTG repeats, where Msh2 and Msh3 are thought to actively promote repeat expansions. Copyright © 2012 Elsevier Inc. All rights reserved.

  12. The mismatch repair system protects against intergenerational GAA repeat instability in a Friedreich ataxia mouse model

    PubMed Central

    Ezzatizadeh, Vahid; Pinto, Ricardo Mouro; Sandi, Chiranjeevi; Sandi, Madhavi; Al-Mahdawi, Sahar; te Riele, Hein; Pook, Mark A.

    2013-01-01

    Friedreich ataxia (FRDA) is an autosomal recessive neurodegenerative disorder caused by a dynamic GAA repeat expansion mutation within intron 1 of the FXN gene. Studies of mouse models for other trinucleotide repeat (TNR) disorders have revealed an important role of mismatch repair (MMR) proteins in TNR instability. To explore the potential role of MMR proteins on intergenerational GAA repeat instability in FRDA, we have analyzed the transmission of unstable GAA repeat expansions from FXN transgenic mice which have been crossed with mice that are deficient for Msh2, Msh3, Msh6 or Pms2. We find in all cases that absence of parental MMR protein not only maintains transmission of GAA expansions and contractions, but also increases GAA repeat mutability (expansions and/or contractions) in the offspring. This indicates that Msh2, Msh3, Msh6 and Pms2 proteins are not the cause of intergenerational GAA expansions or contractions, but act in their canonical MMR capacity to protect against GAA repeat instability. We further identified differential modes of action for the four MMR proteins. Thus, Msh2 and Msh3 protect against GAA repeat contractions, while Msh6 protects against both GAA repeat expansions and contractions, and Pms2 protects against GAA repeat expansions and also promotes contractions. Furthermore, we detected enhanced occupancy of Msh2 and Msh3 proteins downstream of the FXN expanded GAA repeat, suggesting a model in which Msh2/3 dimers are recruited to this region to repair mismatches that would otherwise produce intergenerational GAA contractions. These findings reveal substantial differences in the intergenerational dynamics of expanded GAA repeat sequences compared with expanded CAG/CTG repeats, where Msh2 and Msh3 are thought to actively promote repeat expansions. PMID:22289650

  13. GaAs Spectrometer for Electron Spectroscopy at Europa

    NASA Astrophysics Data System (ADS)

    Lioliou, G.; Barnett, A. M.

    2016-12-01

    We propose a GaAs based electron spectrometer for a hypothetical future mission orbiting Europa. Previous observations at Europa's South Pole with the Hubble Space Telescope of hydrogen Lyman-α and oxygen OI 130.4 nm emissions were consistent with water vapor plumes [Roth et al., 2014, Science 343, 171]. Future observations and analysis of plumes on Europa could provide information about its subsurface structure and the distribution of liquid water within its icy shells [Rhoden at al. 2015, Icarus 253, 169]. In situ low energy (1keV - 100keV) electron spectroscopy along with UV imaging either in situ or with the Hubble Space Telescope Wide Field Camera 3 or similar would allow verification of the auroral observations being due to electron impact excitation of water vapor plumes. The proposed spectrometer includes a novel GaAs p+-i-n+ photodiode and a custom-made charge-sensitive preamplifier. The use of an early prototype GaAs detector for direct electron spectroscopy has already been demonstrated in ground based applications [Barnett et al., 2012, J. Instrum. 7, P09012]. Based on previous radiation hardness measurements of GaAs, the expected duration of the mission without degradation of the detector performance is estimated to be 4 months. Simulations and laboratory experiments characterising the detection performance of the proposed system are presented.

  14. Defect interactions in GaAs single crystals

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1984-01-01

    The two-sublattice structural configuration of GaAs and deviations from stoichiometry render the generation and interaction of electrically active point defects (and point defect complexes) critically important for device applications and very complex. Of the defect-induced energy levels, those lying deep into the energy band are very effective lifetime ""killers". The level 0.82 eV below the condition band, commonly referred to as EL2, is a major deep level, particularly in melt-grown GaAs. This level is associated with an antisite defect complex (AsGa - VAS). Possible mechanisms of its formation and its annihilation were further developed.

  15. Heterojunction photovoltaics using GaAs nanowires and conjugated polymers.

    PubMed

    Ren, Shenqiang; Zhao, Ni; Crawford, Samuel C; Tambe, Michael; Bulović, Vladimir; Gradecak, Silvija

    2011-02-09

    We demonstrate an organic/inorganic solar cell architecture based on a blend of poly(3-hexylthiophene) (P3HT) and narrow bandgap GaAs nanowires. The measured increase of device photocurrent with increased nanowire loading is correlated with structural ordering within the active layer that enhances charge transport. Coating the GaAs nanowires with TiO(x) shells passivates nanowire surface states and further improves the photovoltaic performance. We find that the P3HT/nanowire cells yield power conversion efficiencies of 2.36% under white LED illumination for devices containing 50 wt % of TiO(x)-coated GaAs nanowires. Our results constitute important progress for the use of nanowires in large area solution processed hybrid photovoltaic cells and provide insight into the role of structural ordering in the device performance.

  16. Transient GaAs plasmonic metasurfaces at terahertz frequencies

    DOE PAGES

    Yang, Yuanmu; Kamaraju, N.; Campione, Salvatore; ...

    2016-12-09

    Here we demonstrate the ultrafast formation of terahertz (THz) metasurfaces through all-optical creation of spatially modulated carrier density profiles in a deep-subwavelength GaAs film. The switch-on of the transient plasmon mode, governed by the GaAs effective electron mass and electron–phonon interactions, is revealed by structured-optical pump THz probe spectroscopy, on a time scale of 500 fs. By modulating the carrier density using different pump fluences, we observe a wide tuning of the electric dipole resonance of the transient GaAs metasurface from 0.5 THz to 1.7 THz. Furthermore, we numerically demonstrate that the metasurface presented here can be generalized to moremore » complex architectures for realizing functionalities such as perfect absorption, leading to a 30 dB modulation depth. In conclusion, the platform also provides a pathway to achieve ultrafast manipulation of infrared beams in the linear and, potentially, nonlinear regime.« less

  17. Analysis of Deep and Shallow Traps in Semi-Insulating CdZnTe

    DOE PAGES

    Kim, Kihyun; Yoon, Yongsu; James, Ralph B.

    2018-03-13

    Trap levels which are deep or shallow play an important role in the electrical and the optical properties of a semiconductor; thus, a trap level analysis is very important in most semiconductor devices. Deep-level defects in CdZnTe are essential in Fermi level pinning at the middle of the bandgap and are responsible for incomplete charge collection and polarization effects. However, a deep level analysis in semi-insulating CdZnTe (CZT) is very difficult. Theoretical capacitance calculation for a metal/insulator/CZT (MIS) device with deep-level defects exhibits inflection points when the donor/acceptor level crosses the Fermi level in the surface-charge layer (SCL). Three CZTmore » samples with different resistivities, 2 × 10 4 (n-type), 2 × 10 6 (p-type), and 2 × 10 10 (p-type) Ω·cm, were used in fabricating the MIS devices. These devices showed several peaks in their capacitance measurements due to upward/downward band bending that depend on the surface potential. In conclusion, theoretical and experimental capacitance measurements were in agreement, except in the fully compensated case.« less

  18. Analysis of Deep and Shallow Traps in Semi-Insulating CdZnTe

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Kihyun; Yoon, Yongsu; James, Ralph B.

    Trap levels which are deep or shallow play an important role in the electrical and the optical properties of a semiconductor; thus, a trap level analysis is very important in most semiconductor devices. Deep-level defects in CdZnTe are essential in Fermi level pinning at the middle of the bandgap and are responsible for incomplete charge collection and polarization effects. However, a deep level analysis in semi-insulating CdZnTe (CZT) is very difficult. Theoretical capacitance calculation for a metal/insulator/CZT (MIS) device with deep-level defects exhibits inflection points when the donor/acceptor level crosses the Fermi level in the surface-charge layer (SCL). Three CZTmore » samples with different resistivities, 2 × 10 4 (n-type), 2 × 10 6 (p-type), and 2 × 10 10 (p-type) Ω·cm, were used in fabricating the MIS devices. These devices showed several peaks in their capacitance measurements due to upward/downward band bending that depend on the surface potential. In conclusion, theoretical and experimental capacitance measurements were in agreement, except in the fully compensated case.« less

  19. GaAs QWIP Array Containing More Than a Million Pixels

    NASA Technical Reports Server (NTRS)

    Jhabvala, Murzy; Choi, K. K.; Gunapala, Sarath

    2005-01-01

    A 1,024 x 1,024-pixel array of quantum-well infrared photodetectors (QWIPs) has been built on a 1.8 x 1.8- cm GaAs chip. In tests, the array was found to perform well in detecting images at wavelengths from 8 to 9 m in operation at temperatures between 60 and 70 K. The largest-format QWIP prior array that performed successfully in tests contained 512 x 640 pixels. There is continuing development effort directed toward satisfying actual and anticipated demands to increase numbers of pixels and pixel sizes in order to increase the imaging resolution of infrared photodetector arrays. A 1,024 x 1,024-pixel and even larger formats have been achieved in the InSb and HgCdTe material systems, but photodetector arrays in these material systems are very expensive and manufactured by fewer than half a dozen large companies. In contrast, GaAs-photodetector-array technology is very mature, and photodetectors in the GaAs material system can be readily manufactured by a wide range of industrial technologists, by universities, and government laboratories. There is much similarity between processing in the GaAs industry and processing in the pervasive silicon industry. With respect to yield and cost, the performance of GaAs technology substantially exceeds that of InSb and HgCdTe technologies. In addition, GaAs detectors can be designed to respond to any portion of the wavelength range from 3 to about 16 micrometers - a feature that is very desirable for infrared imaging. GaAs QWIP arrays, like the present one, have potential for use as imaging sensors in infrared measuring instruments, infrared medical imaging systems, and infrared cameras.

  20. Spin-lattice relaxation of optically polarized nuclei in p -type GaAs

    NASA Astrophysics Data System (ADS)

    Kotur, M.; Dzhioev, R. I.; Vladimirova, M.; Cherbunin, R. V.; Sokolov, P. S.; Yakovlev, D. R.; Bayer, M.; Suter, D.; Kavokin, K. V.

    2018-04-01

    Spin-lattice relaxation of the nuclear spin system in p -type GaAs is studied using a three-stage experimental protocol including optical pumping and measuring the difference of the nuclear spin polarization before and after a dark interval of variable length. This method allows us to measure the spin-lattice relaxation time T1 of optically pumped nuclei "in the dark," that is, in the absence of illumination. The measured T1 values fall into the subsecond time range, being three orders of magnitude shorter than in earlier studied n -type GaAs. The drastic difference is further emphasized by magnetic-field and temperature dependencies of T1 in p -GaAs, showing no similarity to those in n -GaAs. This unexpected behavior finds its explanation in the spatial selectivity of the optical pumping in p -GaAs, that is only efficient in the vicinity of shallow donors, together with the quadrupole relaxation of nuclear spins, which is induced by electric fields within closely spaced donor-acceptor pairs. The developed theoretical model explains the whole set of experimental results.

  1. Multilayer self-organization of InGaAs quantum wires on GaAs surfaces

    NASA Astrophysics Data System (ADS)

    Wang, Zhiming M.; Kunets, Vasyl P.; Xie, Yanze Z.; Schmidbauer, Martin; Dorogan, Vitaliy G.; Mazur, Yuriy I.; Salamo, Gregory J.

    2010-12-01

    Molecular-Beam Epitaxy growth of multiple In 0.4Ga 0.6As layers on GaAs (311)A and GaAs (331)A has been investigated by Atomic Force Microscopy and Photoluminescence. On GaAs (311)A, uniformly distributed In 0.4Ga 0.6As quantum wires (QWRs) with wider lateral separation were achieved, presenting a significant improvement in comparison with the result on single layer [H. Wen, Z.M. Wang, G.J. Salamo, Appl. Phys. Lett. 84 (2004) 1756]. On GaAs (331)A, In 0.4Ga 0.6As QWRs were revealed to be much straighter than in the previous report on multilayer growth [Z. Gong, Z. Niu, Z. Fang, Nanotechnology 17 (2006) 1140]. These observations are discussed in terms of the strain-field interaction among multilayers, enhancement of surface mobility at high temperature, and surface stability of GaAs (311)A and (331)A surfaces.

  2. Effects of in-situ UV irradiation on the uniformity and optical properties of GaAsBi epi-layers grown by MBE

    NASA Astrophysics Data System (ADS)

    Beaton, Daniel A.; Steger, M.; Christian, T.; Mascarenhas, A.

    2018-02-01

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs1-xBix epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  3. Effects of in-situ UV irradiation on the uniformity and optical properties of GaAsBi epi-layers grown by MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beaton, Daniel A.; Steger, M.; Christian, T.

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs 1-xBi x epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  4. Effects of in-situ UV irradiation on the uniformity and optical properties of GaAsBi epi-layers grown by MBE

    DOE PAGES

    Beaton, Daniel A.; Steger, M.; Christian, T.; ...

    2017-12-14

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs 1-xBi x epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  5. Implementation and Performance of GaAs Digital Signal Processing ASICs

    NASA Technical Reports Server (NTRS)

    Whitaker, William D.; Buchanan, Jeffrey R.; Burke, Gary R.; Chow, Terrance W.; Graham, J. Scott; Kowalski, James E.; Lam, Barbara; Siavoshi, Fardad; Thompson, Matthew S.; Johnson, Robert A.

    1993-01-01

    The feasibility of performing high speed digital signal processing in GaAs gate array technology has been demonstrated with the successful implementation of a VLSI communications chip set for NASA's Deep Space Network. This paper describes the techniques developed to solve some of the technology and implementation problems associated with large scale integration of GaAs gate arrays.

  6. Paths to light trapping in thin film GaAs solar cells.

    PubMed

    Xiao, Jianling; Fang, Hanlin; Su, Rongbin; Li, Kezheng; Song, Jindong; Krauss, Thomas F; Li, Juntao; Martins, Emiliano R

    2018-03-19

    It is now well established that light trapping is an essential element of thin film solar cell design. Numerous light trapping geometries have already been applied to thin film cells, especially to silicon-based devices. Less attention has been paid to light trapping in GaAs thin film cells, mainly because light trapping is considered less attractive due to the material's direct bandgap and the fact that GaAs suffers from strong surface recombination, which particularly affects etched nanostructures. Here, we study light trapping structures that are implemented in a high-bandgap material on the back of the GaAs active layer, thereby not perturbing the integrity of the GaAs active layer. We study photonic crystal and quasi-random nanostructures both by simulation and by experiment and find that the photonic crystal structures are superior because they exhibit fewer but stronger resonances that are better matched to the narrow wavelength range where GaAs benefits from light trapping. In fact, we show that a 1500 nm thick cell with photonic crystals achieves the same short circuit current as an unpatterned 4000 nm thick cell. These findings are significant because they afford a sizeable reduction in active layer thickness, and therefore a reduction in expensive epitaxial growth time and cost, yet without compromising performance.

  7. Luminescence and Electroluminescence of Nd, Tm and Yb Doped GaAs and some II-Vi Compounds

    DTIC Science & Technology

    1994-02-28

    from the bandgap discontinuity (as was proposed in my publications [1,2]). Also, by using superlattice structure A1GaAs / GaAs: Er / AlGaAs, we could...n ipact ightemiting evic 10 3. The AlGaAs/GaAs: Er/A1GaAs superlattice structure. For the first time we designed the unipolar n’ - superlattice - n...structure as shown in Figure 5. The GaAs: Er/Alo.45Gao.55As superlattice was grown by MBE on an n’ GaAs: Si substrate. It consisted of 60 periods of

  8. Crystal growth of GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.; Pawlowicz, L. M.; Dabkowski, F.; Li, C. J.

    1984-01-01

    It is shown that stoichiometry variations in the GaAs melt during growth constitute the most critical parameter regarding defect formations and their interactions; this defect structure determines all relevant characteristics of GaAs. Convection in the melt leads to stoichiometric variations. Growth in axial magnetic fields reduces convection and permits the study of defect structure. In order to control stoichiometry in space and to accommodate expansion during solidification, a partially confined configuration was developed. A triangular prism is employed to contain the growth melt. This configuration permits the presence of the desired vapor phase in contact with the melt for controlling the melt stoichiometry.

  9. Low Resistance, Unannealed ohmic Contacts to n-Type InAs0.66Sb0.34

    DTIC Science & Technology

    2007-11-08

    by solid-source molecular beam epitaxy (MBE). Structures consisted of a semi-insulating GaAs substrate, a 1.0 mm undoped AlSb buffer, and 1.0 mm n...6.1 Å-based HEMTs have been demonstrated recently [1, 2]. New materials such as InxGa1-xSb, InAsySb1-y, and InxAl1-xAsySb1-y, with lattice constants...speed operation [3]. Initial work on HEMTs and InAs heterojunction bipolar transistors (HBTs) has been promising [1, 4–7], but the fabrication of 6.2 Å

  10. High efficiency thin-film GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.

    1977-01-01

    Several oxidation techniques are discussed which have been found to increase the open circuit (V sub oc) of metal-GaAs Schottky barrier solar cells, the oxide chemistry, attempts to measure surface state parameters, the evolving characteristics of the solar cell as background contamination (has been decreased, but not eliminated), results of focused Nd/YAG laser beam recrystallization of Ge films evaporated onto tungsten, and studies of AMOS solar cells fabricated on sliced polycrystalline GaAs wafers. Also discussed are projected materials availability and costs for GaAs thin-film solar cells.

  11. Temporal behavior of RHEED intensity oscillations during molecular beam epitaxial growth of GaAs and AlGaAs on (111)B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Yen, Ming Y.; Haas, T. W.

    1990-10-01

    We present the temporal behavior of intensity oscillations in reflection high-energy electron diffraction (RHEED) during molecular beam epitaxial (MBE) growth of GaAs and A1GaAs on (1 1 1)B GaAs substrates. The RHEED intensity oscillations were examined as a function of growth parameters in order to provide the insight into the dynamic characteristics and to identify the optimal condition for the two-dimensional layer-by-layer growth. The most intense RHEED oscillation was found to occur within a very narrow temperature range which seems to optimize the surface migration kinetics of the arriving group III elements and the molecular dissodiative reaction of the group V elements. The appearance of an initial transient of the intensity upon commencement of the growth and its implications are described.

  12. DFT algorithms for bit-serial GaAs array processor architectures

    NASA Technical Reports Server (NTRS)

    Mcmillan, Gary B.

    1988-01-01

    Systems and Processes Engineering Corporation (SPEC) has developed an innovative array processor architecture for computing Fourier transforms and other commonly used signal processing algorithms. This architecture is designed to extract the highest possible array performance from state-of-the-art GaAs technology. SPEC's architectural design includes a high performance RISC processor implemented in GaAs, along with a Floating Point Coprocessor and a unique Array Communications Coprocessor, also implemented in GaAs technology. Together, these data processors represent the latest in technology, both from an architectural and implementation viewpoint. SPEC has examined numerous algorithms and parallel processing architectures to determine the optimum array processor architecture. SPEC has developed an array processor architecture with integral communications ability to provide maximum node connectivity. The Array Communications Coprocessor embeds communications operations directly in the core of the processor architecture. A Floating Point Coprocessor architecture has been defined that utilizes Bit-Serial arithmetic units, operating at very high frequency, to perform floating point operations. These Bit-Serial devices reduce the device integration level and complexity to a level compatible with state-of-the-art GaAs device technology.

  13. Effects of surface passivation on twin-free GaAs nanosheets.

    PubMed

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  14. Influence of GaAs substrate properties on the congruent evaporation temperature

    NASA Astrophysics Data System (ADS)

    Spirina, A. A.; Nastovjak, A. G.; Shwartz, N. L.

    2018-03-01

    High-temperature annealing of GaAs(111)A and GaAs(111)B substrates under Langmuir evaporation conditions was studied using Monte Carlo simulation. The maximal value of the congruent evaporation temperature was estimated. The congruent evaporation temperature was demonstrated to be dependent on the surface orientation and concentration of surface defects.

  15. Formation of embedded plasmonic Ga nanoparticle arrays and their influence on GaAs photoluminescence

    NASA Astrophysics Data System (ADS)

    Kang, M.; Jeon, S.; Jen, T.; Lee, J.-E.; Sih, V.; Goldman, R. S.

    2017-07-01

    We introduce a novel approach to the seamless integration of plasmonic nanoparticle (NP) arrays into semiconductor layers and demonstrate their enhanced photoluminescence (PL) efficiency. Our approach utilizes focused ion beam-induced self-assembly of close-packed arrays of Ga NPs with tailorable NP diameters, followed by overgrowth of GaAs layers using molecular beam epitaxy. Using a combination of PL spectroscopy and electromagnetic computations, we identify a regime of Ga NP diameter and overgrown GaAs layer thickness where NP-array-enhanced absorption in GaAs leads to enhanced GaAs near-band-edge (NBE) PL efficiency, surpassing that of high-quality epitaxial GaAs layers. As the NP array depth and size are increased, the reduction in spontaneous emission rate overwhelms the NP-array-enhanced absorption, leading to a reduced NBE PL efficiency. This approach provides an opportunity to enhance the PL efficiency of a wide variety of semiconductor heterostructures.

  16. Self-assembled InAs quantum dot formation on GaAs ring-like nanostructure templates

    PubMed Central

    Strom, NW; Wang, Zh M; AbuWaar, ZY; Mazur, Yu I; Salamo, GJ

    2007-01-01

    The evolution of InAs quantum dot (QD) formation is studied on GaAs ring-like nanostructures fabricated by droplet homo-epitaxy. This growth mode, exclusively performed by a hybrid approach of droplet homo-epitaxy and Stransky-Krastanor (S-K) based QD self-assembly, enables one to form new QD morphologies that may find use in optoelectronic applications. Increased deposition of InAs on the GaAs ring first produced a QD in the hole followed by QDs around the GaAs ring and on the GaAs (100) surface. This behavior indicates that the QDs prefer to nucleate at locations of high monolayer (ML) step density.

  17. GaAs quantum dots in a GaP nanowire photodetector

    NASA Astrophysics Data System (ADS)

    Kuyanov, P.; McNamee, S. A.; LaPierre, R. R.

    2018-03-01

    We report the structural, optical and electrical properties of GaAs quantum dots (QDs) embedded along GaP nanowires. The GaP nanowires contained p-i-n junctions with 15 consecutively grown GaAs QDs within the intrinsic region. The nanowires were grown by molecular beam epitaxy using the self-assisted vapor-liquid-solid process. The crystal structure of the NWs alternated between twinned ZB and WZ as the composition along the NW alternated between the GaP barriers and the GaAs QDs, respectively, leading to a polytypic structure with a periodic modulation of the NW sidewall facets. Photodetector devices containing QDs showed absorption beyond the bandgap of GaP in comparison to nanowires without QDs. Voltage-dependent measurements suggested a field emission process of carriers from the QDs.

  18. GaAs optoelectronic neuron arrays

    NASA Technical Reports Server (NTRS)

    Lin, Steven; Grot, Annette; Luo, Jiafu; Psaltis, Demetri

    1993-01-01

    A simple optoelectronic circuit integrated monolithically in GaAs to implement sigmoidal neuron responses is presented. The circuit integrates a light-emitting diode with one or two transistors and one or two photodetectors. The design considerations for building arrays with densities of up to 10,000/sq cm are discussed.

  19. Investigation of high efficiency GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Olsen, Larry C.; Dunham, Glen; Addis, F. W.; Huber, Dan; Linden, Kurt

    1989-01-01

    Investigations of basic mechanisms which limit the performance of high efficiency GaAs solar cells are discussed. P/N heteroface structures have been fabricated from MOCVD epiwafers. Typical AM1 efficiencies are in the 21 to 22 percent range, with a SERI measurement for one cell being 21.5 percent. The cells are nominally 1.5 x 1.5 cm in size. Studies have involved photoresponse, T-I-V analyses, and interpretation of data in terms of appropriate models to determine key cell parameters. Results of these studies are utilized to determine future approaches for increasing GaAs solar cell efficiencies.

  20. Response of GaAs charge storage devices to transient ionizing radiation

    NASA Astrophysics Data System (ADS)

    Hetherington, D. L.; Klem, J. F.; Hughes, R. C.; Weaver, H. T.

    Charge storage devices in which non-equilibrium depletion regions represent stored charge are sensitive to ionizing radiation. This results since the radiation generates electron-hole pairs that neutralize excess ionized dopant charge. Silicon structures, such as dynamic RAM or CCD cells are particularly sensitive to radiation since carrier diffusion lengths in this material are often much longer than the depletion width, allowing collection of significant quantities of charge from quasi-neutral sections of the device. For GaAs the situation is somewhat different in that minority carrier diffusion lengths are shorter than in silicon, and although mobilities are higher, we expect a reduction of radiation sensitivity as suggested by observations of reduced quantum efficiency in GaAs solar cells. Dynamic memory cells in GaAs have potential increased retention times. In this paper, we report the response of a novel GaAs dynamic memory element to transient ionizing radiation. The charge readout technique is nondestructive over a reasonable applied voltage range and is more sensitive to stored charge than a simple capacitor.

  1. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1985-01-01

    The present program has been aimed at solving the fundamental and technological problems associated with Crystal Growth of Device Quality in Space. The initial stage of the program was devoted strictly to ground-based research. The unsolved problems associated with the growth of bulk GaAs in the presence of gravitational forces were explored. Reliable chemical, structural and electronic characterization methods were developed which would permit the direct relation of the salient materials parameters (particularly those affected by zero gravity conditions) to the electronic characteristics of single crystal GaAs, in turn to device performance. These relationships are essential for the development of optimum approaches and techniques. It was concluded that the findings on elemental semiconductors Ge and Si regarding crystal growth, segregation, chemical composition, defect interactions, and materials properties-electronic properties relationships are not necessarily applicable to GaAs (and to other semiconductor compounds). In many instances totally unexpected relationships were found to prevail.

  2. Blueish green photoluminescence from nitrided GaAs(100) surfaces

    NASA Astrophysics Data System (ADS)

    Shimaoka, Goro; Udagawa, Takashi

    1999-04-01

    Optical and structural studies were made on the Si-doped (100)GaAs surfaces nitrided at a temperature between 650° and 750°C for 15 min in the flowing NH 3 gas. The wavelength of photoluminescence (PL) spectra were observed to be shortened from 820 nm of the GaAs nitrided at 650°C with increasing nitridation temperature. Blueish green PL with wavelengths of approx. 490 nm and 470 nm were emitted from the nitrided surfaces at 700° and 750°C, respectively. Results of AES and SIMS indicated that the surfaces are nitrided as GaAs 1- xN x, (0< x≤1) alloy layer, and the nitrided region also tended to increase as the temperature raised. High-resolution transmission electron microscopic (HRTEM), transmission electron diffraction (TED) and energy dispersive X-ray (EDX) results showed that films peeled off from the nitrided surfaces consisted mainly of hexagonal, wurtzite-type gallium nitride (GaN) with stacking faults and microtwins.

  3. GaAs High Breakdown Voltage Front and Back Side Processed Schottky Detectors for X-Ray Detection

    DTIC Science & Technology

    2007-11-01

    front and back side processed, unintentionally doped bulk gallium -arsenic (GaAs) Schottky detectors and determined that GaAs detectors with a large...a few materials that fulfill these requirements are gallium -arsenic (GaAs) and cadmium-zinc-tellurium (CdZnTe or CZT). They are viable alternative...Whitehill, C.; Pospíšil, S.; Wilhem, I.; Doležal, Z.; Juergensen, H.; Heuken, M. Development of low-pressure vapour -phase epitaxial GaAs for medical imaging

  4. Room temperature lasing of GaAs quantum wire vertical-cavity surface-emitting lasers grown on (7 7 5) B GaAs substrates by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Higuchi, Y.; Osaki, S.; Kitada, T.; Shimomura, S.; Takasuka, Y.; Ogura, M.; Hiyamizu, S.

    2006-06-01

    Self-organized GaAs/(GaAs) 4(AlAs) 2 quantum wires (QWRs) grown on (7 7 5) B-oriented GaAs substrates by molecular beam epitaxy have been applied to an active region of vertical-cavity surface-emitting lasers (VCSELs). The (7 7 5) B GaAs QWR-VCSEL with an aperture diameter of 3 μm lased at a wavelength of 765 nm with a threshold current of 0.38 mA at room temperature. This is the first demonstration of laser operation of the QWR-VCSEL by current injection. The light output was linearly polarized in the direction parallel to the QWRs due to the optical anisotropy of the self-organized (7 7 5) B GaAs QWRs.

  5. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  6. ZnSe Window Layers for GaAs and GaInP2 Solar Cells

    NASA Technical Reports Server (NTRS)

    Olsen, Larry C.

    1997-01-01

    This report concerns studies of the use of n-type ZnSe as a window layer for n/p GaAs and GaInP2 solar cells. Emphasis was placed in this phase of the project on characterizing the interface between n-type ZnSe films grown on epi-GaAs films grown onto single crystal GaAs. Epi-GaAs and heteroepitaxial ZnSe films were grown by MOCVD with a Spire 50OXT Reactor. After growing epitaxial GaAs films on single crystal GaAs wafers, well-oriented crystalline ZnSe films were grown by MOCVD. ZnSe films were grown with substrate temperatures ranging from 250 C to 450 C. Photoluminescence studies carried out by researchers at NASA Lewis determined that the surface recombination velocity at a GaAs surface was significantly reduced after the deposition of a heteroepitaxial layer of ZnSe. The optimum temperature for ZnSe deposition appears to be on the order of 350 C.

  7. Low-temperature photoluminescence study of thin epitaxial GaAs films on Ge substrates

    NASA Astrophysics Data System (ADS)

    Brammertz, Guy; Mols, Yves; Degroote, Stefan; Motsnyi, Vasyl; Leys, Maarten; Borghs, Gustaaf; Caymax, Matty

    2006-05-01

    Thin epitaxial GaAs films, with thickness varying from 140 to 1000 nm and different Si doping levels, were grown at 650 °C by organometallic vapor phase epitaxy on Ge substrates and analyzed by low-temperature photoluminescence (PL) spectroscopy. All spectra of thin GaAs on Ge show two different structures, one narrow band-to-band (B2B) structure at an energy of ~1.5 eV and a broad inner-band-gap (IB) structure at an energy of ~1.1 eV. Small strain in the thin GaAs films causes the B2B structure to be separated into a light-hole and a heavy-hole peak. At 2.5 K the good structural quality of the thin GaAs films on Ge can be observed from the narrow excitonic peaks. Peak widths of less than 1 meV are measured. GaAs films with thickness smaller than 200 nm show B2B PL spectra with characteristics of an n-type doping level of approximately 1018 at./cm3. This is caused by heavy Ge diffusion from the substrate into the GaAs at the heterointerface between the two materials. The IB structure observed in all films consists of two Gaussian peaks with energies of 1.04 and 1.17 eV. These deep trapping states arise from Ge-based complexes formed within the GaAs at the Ge-GaAs heterointerface, due to strong diffusion of Ge atoms into the GaAs. Because of similarities with Si-based complexes, the peak at 1.04 eV was identified to be due to a GeGa-GeAs complex, whereas the peak at 1.17 eV was attributed to the GeGa-VGa complex. The intensity of the IB structure decreases strongly as the GaAs film thickness is increased. PL intensity of undoped GaAs films containing antiphase domains (APDs) is four orders of magnitude lower than for similar films without APDs. This reduction in intensity is due to the electrically active Ga-Ga and As-As bonds at the boundaries between the different APDs. When the Si doping level is increased, the PL intensity of the APD-containing films is increased again as well. A film containing APDs with a Si doping level of ~1018 at./cm3 has only a factor 10

  8. Maskless micro/nanofabrication on GaAs surface by friction-induced selective etching

    PubMed Central

    2014-01-01

    In the present study, a friction-induced selective etching method was developed to produce nanostructures on GaAs surface. Without any resist mask, the nanofabrication can be achieved by scratching and post-etching in sulfuric acid solution. The effects of the applied normal load and etching period on the formation of the nanostructure were studied. Results showed that the height of the nanostructure increased with the normal load or the etching period. XPS and Raman detection demonstrated that residual compressive stress and lattice densification were probably the main reason for selective etching, which eventually led to the protrusive nanostructures from the scratched area on the GaAs surface. Through a homemade multi-probe instrument, the capability of this fabrication method was demonstrated by producing various nanostructures on the GaAs surface, such as linear array, intersecting parallel, surface mesas, and special letters. In summary, the proposed method provided a straightforward and more maneuverable micro/nanofabrication method on the GaAs surface. PMID:24495647

  9. The ZnSe(110) puzzle - Comparison with GaAs(110)

    NASA Technical Reports Server (NTRS)

    Duke, C. B.; Paton, A.; Kahn, A.; Tu, D.-W.

    1984-01-01

    The surface structure of monocrystalline ZnSe(110) and of 4-5-nm-thick ZnSe(110) layers epitaxially grown on GaAs(110) is investigated by means of elastic LEED and AES; the results are analyzed using the computer programs and R-factor methods of Duke et al. (1981 and 1983), presented in graphs and tables, and compared to those for GaAs(110). Significant differences are attributed to bond-length-conserving outward rotation of Se and inward rotation of Zn in the top layer, with an angle of 4 deg between the actual plane of the cation-anion chain and the truncated bulk surface. The R intensities measured for ZnSe(110) and GaAs(110) are given as Rx = 0.22 and RI = 0.21 and Rx = 0.24 and RI = 0.16, respectively.

  10. GaAs laser diode pumped Nd:YAG laser

    NASA Technical Reports Server (NTRS)

    Conant, L. C.; Reno, C. W.

    1974-01-01

    A 1.5-mm by 3-cm neodymium-ion doped YAG laser rod has been side pumped using a GaAs laser diode array tuned to the 8680-A absorption line, achieving a multimode average output power of 120 mW for a total input power of 20 W to the final-stage laser diode drivers. The pumped arrangement was designed to take advantage of the high brightness of a conventional GaAs array as a linear source by introducing the pump light through a slit into a close-wrapped gold coated pump cavity. This cavity forms an integrating chamber for the pump light.

  11. Effects of ultrathin oxides in conducting MIS structures on GaAs

    NASA Technical Reports Server (NTRS)

    Childs, R. B.; Ruths, J. M.; Sullivan, T. E.; Fonash, S. J.

    1978-01-01

    Schottky barrier-type GaAs baseline devices (semiconductor surface etched and then immediately metalized) and GaAs conducting metal oxide-semiconductor devices are fabricated and characterized. The baseline surfaces (no purposeful oxide) are prepared by a basic or an acidic etch, while the surface for the MIS devices are prepared by oxidizing after the etch step. The metallizations used are thin-film Au, Ag, Pd, and Al. It is shown that the introduction of purposeful oxide into these Schottky barrier-type structures examined on n-type GaAs modifies the barrier formation, and that thin interfacial layers can modify barrier formation through trapping and perhaps chemical reactions. For Au- and Pd-devices, enhanced photovoltaic performance of the MIS configuration is due to increased barrier height.

  12. Real-time observation of FIB-created dots and ripples on GaAs

    NASA Astrophysics Data System (ADS)

    Rose, F.; Fujita, H.; Kawakatsu, H.

    2008-01-01

    We report a phenomenological study of Ga dots and ripples created by a focused ion beam (FIB) on the GaAs(001) surface. Real-time observation of dot diffusion and ripple formation was made possible by recording FIB movies. In the case of FIB irradiation with a 40 nA current of Ga+ ions accelerated under 40 kV with an incidence angle of θ = 30°, increasing ion dose gives rise to three different regimes. In Regime 1, dots with lateral sizes in the range 50-460 nm are formed. Dots diffuse under continuous sputtering. In Regime 2, dots self-assemble into Bradley and Harper (BH) type ripples with a pseudo-period of λ = 1150 ± 25 nm. In Regime 3, ripples are eroded and the surface topology evolves into microplanes. In the case of normal incidence, FIB sputtering leads only to the formation of dots, without surface rippling.

  13. Probability of twin formation on self-catalyzed GaAs nanowires on Si substrate

    PubMed Central

    2012-01-01

    We attempted to control the incorporation of twin boundaries in self-catalyzed GaAs nanowires (NWs). Self-catalyzed GaAs NWs were grown on a Si substrate under various arsenic pressures using molecular beam epitaxy and the vapor-liquid-solid method. When the arsenic flux is low, wurtzite structures are dominant in the GaAs NWs. On the other hand, zinc blende structures become dominant as the arsenic flux rises. We discussed this phenomenon on the basis of thermodynamics and examined the probability of twin-boundary formation in detail. PMID:23043754

  14. A GaAs pixel detectors-based digital mammographic system: Performances and imaging tests results

    NASA Astrophysics Data System (ADS)

    Annovazzi, A.; Amendolia, S. R.; Bigongiari, A.; Bisogni, M. G.; Catarsi, F.; Cesqui, F.; Cetronio, A.; Colombo, F.; Delogu, P.; Fantacci, M. E.; Gilberti, A.; Lanzieri, C.; Lavagna, S.; Novelli, M.; Passuello, G.; Paternoster, G.; Pieracci, M.; Poletti, M.; Quattrocchi, M.; Rosso, V.; Stefanini, A.; Testa, A.; Venturelli, L.

    2007-06-01

    The prototype presented in this paper is based on GaAs pixel detectors read-out by the PCC/MEDIPIX I circuit. The active area of a sensor is about 1 cm 2 therefore to cover the typical irradiation field used in mammography (18×24 cm 2), 18 GaAs detection units have been organized in two staggered rows of nine chips each and moved by a stepper motor in the orthogonal direction. The system is integrated in a mammographic equipment which comprehends the X-ray tube, the bias and data acquisition systems and the PC-based control system. The prototype has been developed in the framework of the Integrated Mammographic Imaging (IMI) project, an industrial research activity aiming to develop innovative instrumentation for morphologic and functional imaging. The project has been supported by the Italian Ministry of Education, University and Research (MIUR) and by five Italian High Tech companies, Alenia Marconi Systems (AMS), CAEN, Gilardoni, LABEN and Poli.Hi.Tech., in collaboration with the universities of Ferrara, Roma "La Sapienza", Pisa and the Istituto Nazionale di Fisica Nucleare (INFN). In this paper, we report on the electrical characterization and the first imaging test results of the digital mammographic system. To assess the imaging capability of such a detector we have built a phantom, which simulates the breast tissue with malignancies. The radiographs of the phantom, obtained by delivering an entrance dose of 4.8 mGy, have shown particulars with a measured contrast below 1%.

  15. Modified energetics and growth kinetics on H-terminated GaAs (110)

    NASA Astrophysics Data System (ADS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Lorbek, S.; Hlawacek, G.; Teichert, C.; Tejedor, P.

    2013-10-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As4, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  16. Surface segregation and the Al problem in GaAs quantum wells

    NASA Astrophysics Data System (ADS)

    Chung, Yoon Jang; Baldwin, K. W.; West, K. W.; Shayegan, M.; Pfeiffer, L. N.

    2018-03-01

    Low-defect two-dimensional electron systems (2DESs) are essential for studies of fragile many-body interactions that only emerge in nearly-ideal systems. As a result, numerous efforts have been made to improve the quality of modulation-doped AlxGa1 -xAs /GaAs quantum wells (QWs), with an emphasis on purifying the source material of the QW itself or achieving better vacuum in the deposition chamber. However, this approach overlooks another crucial component that comprises such QWs, the AlxGa1 -xAs barrier. Here we show that having a clean Al source and hence a clean barrier is instrumental to obtain a high-quality GaAs 2DES in a QW. We observe that the mobility of the 2DES in GaAs QWs declines as the thickness or Al content of the AlxGa1 -xAs barrier beneath the QW is increased, which we attribute to the surface segregation of oxygen atoms that originate from the Al source. This conjecture is supported by the improved mobility in the GaAs QWs as the Al cell is cleaned out by baking.

  17. Large Signal Modeling and Analysis of the GaAs MESFET.

    DTIC Science & Technology

    1986-07-09

    various dimensions and physical parameters. A powerful computer aided design system can be developed by automating the circuit element and parameter...circuit model of the GaAs MESFET to aid in the designs of microwave MESFET circuits. The circuit elements of this model are obtained either directly...34. -. ’ Abstract The purpose of this work is to develop a large signal signal lumped circuit model of the GaAs MESFET to aid In the designs of microwave MESFET

  18. Structural and electronic properties of isovalent boron atoms in GaAs

    NASA Astrophysics Data System (ADS)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the <110 > directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  19. Effects of low temperature periodic annealing on the deep-level defects in 200 keV proton irradiated AlGaAs-GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Li, S. S.; Chiu, T. T.; Loo, R. Y.

    1981-01-01

    The GaAs solar cell has shown good potential for space applications. However, degradation in performance occurred when the cells were irradiated by high energy electrons and protons in the space environment. The considered investigation is concerned with the effect of periodic thermal annealing on the deep-level defects induced by the 200 keV protons in the AlGaAs-GaAs solar cells. Protons at a fluence of 10 to the 11th P/sq cm were used in the irradiation cycle, while annealing temperatures of 200 C (for 24 hours), 300 C (six hours), and 400 C (six hours) were employed. The most likely candidate for the E(c) -0.71 eV electron trap observed in the 200 keV proton irradiated samples may be due to GaAs antisite, while the observed E(v) +0.18 eV hole trap has been attributed to the gallium vacancy related defect. The obtained results show that periodic annealing in the considered case does not offer any advantages over the one time annealing process.

  20. Testing a GaAs cathode in SRF gun

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, E.; Kewisch, J.; Ben-Zvi, I.

    RF electron guns with a strained superlattice GaAs cathode are expected to generate polarized electron beams of higher brightness and lower emittance than do DC guns, due to their higher field gradient at the cathode's surface and lower cathode temperature. We plan to install a bulk GaAs:Cs in a SRF gun to evaluate the performance of both the gun and the cathode in this environment. The status of this project is: In our 1.3 GHz 1/2 cell SRF gun, the vacuum can be maintained at nearly 10{sup -12} Torr because of cryo-pumping at 2K. With conventional activation of bulk GaAs,more » we obtained a QE of 10% at 532 nm, with lifetime of more than 3 days in the preparation chamber and have shown that it can survive in transport from the preparation chamber to the gun. The beam line has been assembled and we are exploring the best conditions for baking the cathode under vacuum. We report here the progress of our test of the GaAs cathode in the SRF gun. Future particle accelerators, such as eRHIC and the ILC require high-brightness, high-current polarized electrons. Strained superlattice GaAs:Cs has been shown to be an efficient cathode for producing polarized electrons. Activation of GaAs with Cs,O(F) lowers the electron affinity and makes it energetically possible for all the electrons, excited into the conduction band that drift or diffuse to the emission surface, to escape into the vacuum. Presently, all operating polarized electron sources, such as the CEBAF, are DC guns. In these devices, the excellent ultra-high vacuum extends the lifetime of the cathode. However, the low field gradient on the photocathode's emission surface of the DC guns limits the beam quality. The higher accelerating gradients, possible in the RF guns, generate a far better beam. Until recently, most RF guns operated at room temperature, limiting the vacuum to {approx}10{sup -9} Torr. This destroys the GaAs's NEA surface. The SRF guns combine the excellent vacuum conditions of DC guns and the

  1. Design of quantum efficiency measurement system for variable doping GaAs photocathode

    NASA Astrophysics Data System (ADS)

    Chen, Liang; Yang, Kai; Liu, HongLin; Chang, Benkang

    2008-03-01

    To achieve high quantum efficiency and good stability has been a main direction to develop GaAs photocathode recently. Through early research, we proved that variable doping structure is executable and practical, and has great potential. In order to optimize variable doping GaAs photocathode preparation techniques and study the variable doping theory deeply, a real-time quantum efficiency measurement system for GaAs Photocathode has been designed. The system uses FPGA (Field-programmable gate array) device, and high speed A/D converter to design a high signal noise ratio and high speed data acquisition card. ARM (Advanced RISC Machines) core processor s3c2410 and real-time embedded system are used to obtain and show measurement results. The measurement precision of photocurrent could reach 1nA, and measurement range of spectral response curve is within 400~1000nm. GaAs photocathode preparation process can be real-time monitored by using this system. This system could easily be added other functions to show the physic variation of photocathode during the preparation process more roundly in the future.

  2. Epitaxial lateral overgrowth of GaAs: effect of doping on LPE growth behaviour

    NASA Astrophysics Data System (ADS)

    Zytkiewicz, Z. R.; Dobosz, D.; Pawlowska, M.

    1999-05-01

    Results of epitaxial lateral overgrowth (ELO) of GaAs on (001) GaAs substrates by liquid phase epitaxy are reported. We show that by introducing Si, Sn or Te impurities to the Ga-As solution the vertical growth rate is reduced while the lateral growth rate is significantly enhanced, which leads to a growth habit modification. Furthermore, the impurity incorporation into the growing layer is different on the upper and side surfaces of the ELO, reflecting the fundamental differences between the lateral and vertical growth modes. This phenomenon can be applied for studying the temporal development of ELO layers.

  3. Electric field effects on the optical properties of buckled GaAs monolayer

    NASA Astrophysics Data System (ADS)

    Bahuguna, Bhagwati Prasad; Saini, L. K.; Sharma, Rajesh O.

    2018-04-01

    Buckled GaAs monolayer has a direct band gap semiconductor with energy gap of 1.31 eV in the absence of electric field. When we applied transverse electric field, the value of band gap decreases with increasing of electric field strength. In our previous work [1], it is observed that the buckled GaAs monolayer becomes metallic at 1.3 V/Å. In the present work, we investigate the optical properties such as photon energy-dependent dielectric functions, extinction coefficient, refractive index, absorption spectrum and reflectivity of buckled GaAs monolayer in the semiconducting phase i.e. absence of external electric field and metallic phase i.e. presence of external electric field using density functional theory.

  4. Nuclear spin warm up in bulk n -GaAs

    NASA Astrophysics Data System (ADS)

    Kotur, M.; Dzhioev, R. I.; Vladimirova, M.; Jouault, B.; Korenev, V. L.; Kavokin, K. V.

    2016-08-01

    We show that the spin-lattice relaxation in n -type insulating GaAs is dramatically accelerated at low magnetic fields. The origin of this effect, which cannot be explained in terms of well-known diffusion-limited hyperfine relaxation, is found in the quadrupole relaxation, induced by fluctuating donor charges. Therefore, quadrupole relaxation, which governs low field nuclear spin relaxation in semiconductor quantum dots, but was so far supposed to be harmless to bulk nuclei spins in the absence of optical pumping, can be studied and harnessed in the much simpler model environment of n -GaAs bulk crystal.

  5. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  6. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  7. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  8. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  9. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  10. GaAs Solar Cell Radiation Handbook

    NASA Technical Reports Server (NTRS)

    Anspaugh, B. E.

    1996-01-01

    History of GaAs solar cell development is provided. Photovoltaic equations are described along with instrumentation techniques for measuring solar cells. Radiation effects in solar cells, electrical performance, and spacecraft flight data for solar cells are discussed. The space radiation environment and solar array degradation calculations are addressed.

  11. Interface dynamics and crystal phase switching in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C.; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A.; Ross, Frances M.

    2016-03-01

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  12. Periodic annealing of radiation damage in GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Loo, R. Y.; Knechtli, R. C.; Kamath, G. S.

    1980-01-01

    Continuous annealing of GaAs solar cells is compared with periodic annealing to determine their relative effectiveness in minimizing proton radiation damage. It is concluded that continuous annealing of the cells in space at 150 C can effectively reduce the proton radiation damage to the GaAs solar cells. Periodic annealing is most effective if it can be initiated at relatively low fluences (approximating continuous annealing), especially if low temperatures of less than 200 C are to be used. If annealing is started only after the fluence of the damaging protons has accumulated to a high value 10 to the 11th power sq/pcm), effective annealing is still possible at relatively high temperatures. Finally, since electron radiation damage anneals even more easily than proton radiation damage, substantial improvements in GaAs solar cell life can be achieved by incorporating the proper annealing capabilities in solar panels for practical space missions where both electron and proton radiation damage have to be minimized.

  13. Interface dynamics and crystal phase switching in GaAs nanowires.

    PubMed

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A; Ross, Frances M

    2016-03-17

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  14. GaSb thermophotovoltaic cells grown on GaAs by molecular beam epitaxy using interfacial misfit arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Juang, Bor-Chau, E-mail: bcjuang@ucla.edu; Laghumavarapu, Ramesh B.; Foggo, Brandon J.

    There exists a long-term need for foreign substrates on which to grow GaSb-based optoelectronic devices. We address this need by using interfacial misfit arrays to grow GaSb-based thermophotovoltaic cells directly on GaAs (001) substrates and demonstrate promising performance. We compare these cells to control devices grown on GaSb substrates to assess device properties and material quality. The room temperature dark current densities show similar characteristics for both cells on GaAs and on GaSb. Under solar simulation the cells on GaAs exhibit an open-circuit voltage of 0.121 V and a short-circuit current density of 15.5 mA/cm{sup 2}. In addition, the cells on GaAsmore » substrates maintain 10% difference in spectral response to those of the control cells over a large range of wavelengths. While the cells on GaSb substrates in general offer better performance than the cells on GaAs substrates, the cost-savings and scalability offered by GaAs substrates could potentially outweigh the reduction in performance. By further optimizing GaSb buffer growth on GaAs substrates, Sb-based compound semiconductors grown on GaAs substrates with similar performance to devices grown directly on GaSb substrates could be realized.« less

  15. Disruption of Higher Order DNA Structures in Friedreich’s Ataxia (GAA)n Repeats by PNA or LNA Targeting

    PubMed Central

    Bergquist, Helen; Rocha, Cristina S. J.; Álvarez-Asencio, Rubén; Nguyen, Chi-Hung; Rutland, Mark. W.; Smith, C. I. Edvard; Good, Liam; Nielsen, Peter E.; Zain, Rula

    2016-01-01

    Expansion of (GAA)n repeats in the first intron of the Frataxin gene is associated with reduced mRNA and protein levels and the development of Friedreich’s ataxia. (GAA)n expansions form non-canonical structures, including intramolecular triplex (H-DNA), and R-loops and are associated with epigenetic modifications. With the aim of interfering with higher order H-DNA (like) DNA structures within pathological (GAA)n expansions, we examined sequence-specific interaction of peptide nucleic acid (PNA) with (GAA)n repeats of different lengths (short: n=9, medium: n=75 or long: n=115) by chemical probing of triple helical and single stranded regions. We found that a triplex structure (H-DNA) forms at GAA repeats of different lengths; however, single stranded regions were not detected within the medium size pathological repeat, suggesting the presence of a more complex structure. Furthermore, (GAA)4-PNA binding of the repeat abolished all detectable triplex DNA structures, whereas (CTT)5-PNA did not. We present evidence that (GAA)4-PNA can invade the DNA at the repeat region by binding the DNA CTT strand, thereby preventing non-canonical-DNA formation, and that triplex invasion complexes by (CTT)5-PNA form at the GAA repeats. Locked nucleic acid (LNA) oligonucleotides also inhibited triplex formation at GAA repeat expansions, and atomic force microscopy analysis showed significant relaxation of plasmid morphology in the presence of GAA-LNA. Thus, by inhibiting disease related higher order DNA structures in the Frataxin gene, such PNA and LNA oligomers may have potential for discovery of drugs aiming at recovering Frataxin expression. PMID:27846236

  16. A comparison of GaAs and Si hybrid solar power systems

    NASA Technical Reports Server (NTRS)

    Heinbockel, J. H.; Roberts, A. S., Jr.

    1977-01-01

    Five different hybrid solar power systems using silicon solar cells to produce thermal and electric power are modeled and compared with a hybrid system using a GaAs cell. Among the indices determined are capital cost per unit electric power plus mechanical power, annual cost per unit electric energy, and annual cost per unit electric plus mechanical work. Current costs are taken to be $35,000/sq m for GaAs cells with an efficiency of 15% and $1000/sq m for Si cells with an efficiency of 10%. It is shown that hybrid systems can be competitive with existing methods of practical energy conversion. Limiting values for annual costs of Si and GaAs cells are calculated to be 10.3 cents/kWh and 6.8 cents/kWh, respectively. Results for both systems indicate that for a given flow rate there is an optimal operating condition for minimum cost photovoltaic output. For Si cell costs of $50/sq m optimal performance can be achieved at concentrations of about 10; for GaAs cells costing 1000/sq m, optimal performance can be obtained at concentrations of around 100. High concentration hybrid systems offer a distinct cost advantage over flat systems.

  17. Basic mechanisms study for MIS solar cell structures on GaAs

    NASA Technical Reports Server (NTRS)

    Fonash, S. J.

    1978-01-01

    The solar cell structure examined is the MIS configuration on (n) GaAs. The metal room temperature oxide/(n) GaAs materials system was studied. Metals with electronegativities varying from 2.4 (Au) to 1.5 (Al) were used as the upper electrode. The thinnest metallization that did not interfere with the measurement techniques (by introducing essentially transmission line series resistance problems across a device) was used. Photovoltaic response was not optimized.

  18. Chemical beam epitaxy of GaAs1-xNx using MMHy and DMHy precursors, modeled by ab initio study of GaAs(100) surfaces stability over As2, H2 and N2

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2017-06-01

    Using ab initio calculations, a simple model for GaAs1-xNx vapor-phase epitaxy on (100) surface of GaAs was created. By studying As2 and H2 molecules adsorptions and As/N atom substitutions on (100) GaAs surfaces, we obtain a relative stability diagram of all stable surfaces under varying As2, H2, and N2 conditions. We previously proved that this model could describe the vapor-phase epitaxy of GaAs1-x Nx with simple, fully decomposed, precursors. In this paper, we show that in more complex reaction conditions using monomethylhydrazine (MMHy), and dimethylhydrazine (DMHy), it is still possible to use our model to obtain an accurate description of the temperature and pressure stability domains for each surfaces, linked to chemical beam epitaxy (CBE) growth conditions. Moreover, the different N-incorporation regimes observed experimentally at different temperature can be explain and predict by our model. The use of MMHy and DMHy precursors can also be rationalized. Our model should then help to better understand the conditions needed to obtain an high quality GaAs1-xNx using vapor-phase epitaxy.

  19. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    NASA Astrophysics Data System (ADS)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  20. Monolithic optical integrated control circuitry for GaAs MMIC-based phased arrays

    NASA Technical Reports Server (NTRS)

    Bhasin, K. B.; Ponchak, G. E.; Kascak, T. J.

    1985-01-01

    Gallium arsenide (GaAs) monolithic microwave integrated circuits (MMIC's) show promise in phased-array antenna applications for future space communications systems. Their efficient usage will depend on the control of amplitude and phase signals for each MMIC element in the phased array and in the low-loss radiofrequency feed. For a phased array contining several MMIC elements a complex system is required to control and feed each element. The characteristics of GaAs MMIC's for 20/30-GHz phased-array systems are discussed. The optical/MMIC interface and the desired characteristics of optical integrated circuits (OIC's) for such an interface are described. Anticipated fabrication considerations for eventual full monolithic integration of optical integrated circuits with MMIC's on a GaAs substrate are presented.

  1. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    PubMed

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  2. High purity low dislocation GaAs single crystals

    NASA Technical Reports Server (NTRS)

    Chen, R. T.; Holmes, D. E.; Kirkpatrick, C. G.

    1982-01-01

    Recent advances in GaAs bulk crystal growth using the LEC (liquid encapsulated Czochralski) technique are described. The dependence of the background impurity concentration and the dislocation density distribution on the materials synthesis and growth conditions were investigated. Background impurity concentrations as low as 4 x 10 to the 15th power were observed in undoped LEC GaAs. The dislocation density in selected regions of individual ingots was very low, below the 3000 cm .3000/sq cm threshold. The average dislocation density over a large annular ring on the wafers fell below the 10000/sq cm level for 3 inch diameter ingots. The diameter control during the program advanced to a diameter variation along a 3 inch ingot less than 2 mm.

  3. Insertion of GaAs MMICs into EW systems

    NASA Astrophysics Data System (ADS)

    Schineller, E. R.; Pospishil, A.; Grzyb, J.

    1989-09-01

    Development activities on a microwave/mm-wave monolithic IC (MIMIC) program are described, as well as the methodology for inserting these GaAs IC chips into several EW systems. The generic EW chip set developed on the MIMIC program consists of 23 broadband chip types, including amplifiers, oscillators, mixers, switches, variable attenuators, power dividers, and power combiners. These chips are being designed for fabrication using the multifunction self-aligned gate process. The benefits from GaAs IC insertion are quantified by a comparison of hardware units fabricated with existing MIC and digital ECL technology and the same units manufactured with monolithic technology. It is found that major improvements in cost, reliability, size, weight, and performance can be realized. Examples illustrating the methodology for technology insertion are presented.

  4. Highly efficient single-junction GaAs thin-film solar cell on flexible substrate.

    PubMed

    Moon, Sunghyun; Kim, Kangho; Kim, Youngjo; Heo, Junseok; Lee, Jaejin

    2016-07-20

    There has been much interest in developing a thin-film solar cell because it is lightweight and flexible. The GaAs thin-film solar cell is a top contender in the thin-film solar cell market in that it has a high power conversion efficiency (PCE) compared to that of other thin-film solar cells. There are two common structures for the GaAs solar cell: n (emitter)-on-p (base) and p-on-n. The former performs better due to its high collection efficiency because the electron diffusion length of the p-type base region is much longer than the hole diffusion length of the n-type base region. However, it has been limited to fabricate highly efficient n-on-p single-junction GaAs thin film solar cell on a flexible substrate due to technical obstacles. We investigated a simple and fast epitaxial lift-off (ELO) method that uses a stress originating from a Cr/Au bilayer on a 125-μm-thick flexible substrate. A metal combination of AuBe/Pt/Au is employed as a new p-type ohmic contact with which an n-on-p single-junction GaAs thin-film solar cell on flexible substrate was successfully fabricated. The PCE of the fabricated single-junction GaAs thin-film solar cells reached 22.08% under air mass 1.5 global illumination.

  5. SEMICONDUCTOR TECHNOLOGY: GaAs surface wet cleaning by a novel treatment in revolving ultrasonic atomization solution

    NASA Astrophysics Data System (ADS)

    Zaijin, Li; Liming, Hu; Ye, Wang; Ye, Yang; Hangyu, Peng; Jinlong, Zhang; Li, Qin; Yun, Liu; Lijun, Wang

    2010-03-01

    A novel process for the wet cleaning of GaAs surface is presented. It is designed for technological simplicity and minimum damage generated within the GaAs surface. It combines GaAs cleaning with three conditions consisting of (1) removal of thermodynamically unstable species and (2) surface oxide layers must be completely removed after thermal cleaning, and (3) a smooth surface must be provided. Revolving ultrasonic atomization technology is adopted in the cleaning process. At first impurity removal is achieved by organic solvents; second NH4OH:H2O2:H2O = 1:1:10 solution and HCl: H2O2:H2O = 1:1:20 solution in succession to etch a very thin GaAs layer, the goal of the step is removing metallic contaminants and forming a very thin oxidation layer on the GaAs wafer surface; NH4OH:H2O = 1:5 solution is used as the removed oxide layers in the end. The effectiveness of the process is demonstrated by the operation of the GaAs wafer. Characterization of the oxide composition was carried out by X-ray photoelectron spectroscopy. Metal-contamination and surface morphology was observed by a total reflection X-ray fluorescence spectroscopy and atomic force microscope. The research results show that the cleaned surface is without contamination or metal contamination. Also, the GaAs substrates surface is very smooth for epitaxial growth using the rotary ultrasonic atomization technology.

  6. Radiation testing of GaAs on CRRES and LIPS experiment

    NASA Technical Reports Server (NTRS)

    Trumble, T. M.; Masloski, K.

    1984-01-01

    The radiation damage of solar cells has become a prime concern to the U.S. Air Force due to longer satellite lifetime requirements. Flight experiments were undertaken on the Navy Living Plume Shield (LPS) satellite and the NASA/Air Force Combined Release and Radiation Effects Satellite (CRRES) to complement existing radiation testing. Each experiment, the rationale behind it, and its approach and status are presented. The effect of space radiation on gallium arsenide (GaAs) solar cells was the central parameter investigated. Specifications of the GaAs solar cells are given.

  7. Core-level photoemission investigation of atomic-fluorine adsorption on GaAs(110)

    NASA Astrophysics Data System (ADS)

    McLean, A. B.; Terminello, L. J.; McFeely, F. R.

    1989-12-01

    The adsorption of atomic F on the cleaved GaAs(110) surface has been studied with use of high-resolution core-level photoelectron spectroscopy by exposing the GaAs(110) surfaces to XeF2, which adsorbs dissociatively, leaving atomic F behind. This surface reaction produces two chemically shifted components in the Ga 3d core-level emission which are attributed to an interfacial monofluoride and a stable trifluoride reaction product, respectively. The As 3d core level develops only one chemically shifted component and from its exposure-dependent behavior it is attributed to an interfacial monofluoride. Least-squares analysis of the core-level line shapes revealed that (i) the F bonds to both the anion and the cation , (ii) the GaF3 component (characteristic of strong interfacial reaction) and the surface core-level shifted component (characteristic of a well ordered, atomically clean surface) are present together over a relatively large range of XeF2 exposures, and (iii) it is the initial disruption of the GaAs(110) surface that is the rate-limiting step in this surface reaction. These results are compared with similar studies of Cl and O adsorption on GaAs(110).

  8. Self-catalyzed GaAs nanowires on silicon by hydride vapor phase epitaxy.

    PubMed

    Dong, Zhenning; André, Yamina; Dubrovskii, Vladimir G; Bougerol, Catherine; Leroux, Christine; Ramdani, Mohammed R; Monier, Guillaume; Trassoudaine, Agnès; Castelluci, Dominique; Gil, Evelyne

    2017-03-24

    Gold-free GaAs nanowires on silicon substrates can pave the way for monolithic integration of photonic nanodevices with silicon electronic platforms. It is extensively documented that the self-catalyzed approach works well in molecular beam epitaxy but is much more difficult to implement in vapor phase epitaxies. Here, we report the first gallium-catalyzed hydride vapor phase epitaxy growth of long (more than 10 μm) GaAs nanowires on Si(111) substrates with a high integrated growth rate up to 60 μm h -1 and pure zincblende crystal structure. The growth is achieved by combining a low temperature of 600 °C with high gaseous GaCl/As flow ratios to enable dechlorination and formation of gallium droplets. GaAs nanowires exhibit an interesting bottle-like shape with strongly tapered bases, followed by straight tops with radii as small as 5 nm. We present a model that explains the peculiar growth mechanism in which the gallium droplets nucleate and rapidly swell on the silicon surface but then are gradually consumed to reach a stationary size. Our results unravel the necessary conditions for obtaining gallium-catalyzed GaAs nanowires by vapor phase epitaxy techniques.

  9. Enhanced second-harmonic generation from resonant GaAs gratings.

    PubMed

    de Ceglia, D; D'Aguanno, G; Mattiucci, N; Vincenti, M A; Scalora, M

    2011-03-01

    We theoretically study second harmonic generation in nonlinear, GaAs gratings. We find large enhancement of conversion efficiency when the pump field excites the guided mode resonances of the grating. Under these circumstances the spectrum near the pump wavelength displays sharp resonances characterized by dramatic enhancements of local fields and favorable conditions for second-harmonic generation, even in regimes of strong linear absorption at the harmonic wavelength. In particular, in a GaAs grating pumped at 1064 nm, we predict second-harmonic conversion efficiencies approximately 5 orders of magnitude larger than conversion rates achievable in either bulk or etalon structures of the same material.

  10. Scanning microwave microscopy applied to semiconducting GaAs structures

    NASA Astrophysics Data System (ADS)

    Buchter, Arne; Hoffmann, Johannes; Delvallée, Alexandra; Brinciotti, Enrico; Hapiuk, Dimitri; Licitra, Christophe; Louarn, Kevin; Arnoult, Alexandre; Almuneau, Guilhem; Piquemal, François; Zeier, Markus; Kienberger, Ferry

    2018-02-01

    A calibration algorithm based on one-port vector network analyzer (VNA) calibration for scanning microwave microscopes (SMMs) is presented and used to extract quantitative carrier densities from a semiconducting n-doped GaAs multilayer sample. This robust and versatile algorithm is instrument and frequency independent, as we demonstrate by analyzing experimental data from two different, cantilever- and tuning fork-based, microscope setups operating in a wide frequency range up to 27.5 GHz. To benchmark the SMM results, comparison with secondary ion mass spectrometry is undertaken. Furthermore, we show SMM data on a GaAs p-n junction distinguishing p- and n-doped layers.

  11. Theoretical and experimental study of highly textured GaAs on silicon using a graphene buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alaskar, Yazeed; Arafin, Shamsul; Lin, Qiyin

    2015-09-01

    A novel heteroepitaxial growth technique, quasi-van der Waals epitaxy, promises the ability to deposit three-dimensional GaAs materials on silicon using two-dimensional graphene as a buffer layer by overcoming the lattice and thermal expansion mismatch. In this study, density functional theory (DFT) simulations were performed to understand the interactions at the GaAs/graphene hetero-interface as well as the growth orientations of GaAs on graphene. To develop a better understanding of the molecular beam epitaxy-grown GaAs films on graphene, samples were characterized by x-ray diffraction (..theta..-2..theta.. scan, ..omega..-scan, grazing incidence XRD and pole figure measurement) and transmission electron microscopy. The realizations of smoothmore » GaAs films with a strong (111) oriented fiber-texture on graphene/silicon using this deposition technique are a milestone towards an eventual demonstration of the epitaxial growth of GaAs on silicon, which is necessary for integrated photonics application.« less

  12. Reflection high energy electron diffraction study of nitrogen plasma interactions with a GaAs (100) surface

    NASA Astrophysics Data System (ADS)

    Hauenstein, R. J.; Collins, D. A.; Cai, X. P.; O'Steen, M. L.; McGill, T. C.

    1995-05-01

    Effect of a nitrogen electron-cyclotron-resonance (ECR) microwave plasma on near-surface composition, crystal structure, and morphology of the As-stabilized GaAs (100) surface is investigated with the use of digitally image-processed in situ reflection high energy electron diffraction. Nitridation is performed on molecular beam epitaxially (MBE) grown GaAs surfaces near 600 °C under typical conditions for ECR microwave plasma-assisted MBE growth of GaN films on GaAs. Brief plasma exposures (≊3-5 s) are shown to result in a specular, coherently strained, relatively stable, GaN film approximately one monolayer in thickness, which can be commensurately overgrown with GaAs while longer exposures (up to 1 min) result in incommensurate zincblende epitaxial GaN island structures. Specular and nonspecular film formations are explained in terms of N-for-As surface and subsurface anion exchange reactions, respectively. Commensurate growth of ultrathin buried GaN layers in GaAs is achieved.

  13. Fermi level pinning at epitaxial Si on GaAs(100) interfaces

    NASA Astrophysics Data System (ADS)

    Silberman, J. A.; de Lyon, T. J.; Woodall, J. M.

    1991-12-01

    GaAs Schottky barrier contacts and metal-insulator-semiconductor structures that include thin epitaxial Si interfacial layers operate in a manner consistent with an unpinned Fermi level at the GaAs interface. These findings raise the question of whether this effect is an intrinsic property of the epitaxial GaAs(100)-Si interface. We have used x-ray photoemission spectroscopy to monitor the Fermi level position during in situ growth of thin epitaxial Si layers. In particular, films formed on heavily doped n- and p-type substrates were compared so as to use the large depletion layer fields available with high impurity concentration as a field-effect probe of the interface state density. The results demonstrate that epitaxial bonding at the interface alone is insufficient to eliminate Fermi level pinning, indicating that other mechanisms affect the interfacial charge balance in the devices that utilize Si interlayers.

  14. Molecular beam epitaxy growth of high electron mobility InAs/AlSb deep quantum well structure

    NASA Astrophysics Data System (ADS)

    Wang, Juan; Wang, Guo-Wei; Xu, Ying-Qiang; Xing, Jun-Liang; Xiang, Wei; Tang, Bao; Zhu, Yan; Ren, Zheng-Wei; He, Zhen-Hong; Niu, Zhi-Chuan

    2013-07-01

    InAs/AlSb deep quantum well (QW) structures with high electron mobility were grown by molecular beam epitaxy (MBE) on semi-insulating GaAs substrates. AlSb and Al0.75Ga0.25Sb buffer layers were grown to accommodate the lattice mismatch (7%) between the InAs/AlSb QW active region and GaAs substrate. Transmission electron microscopy shows abrupt interface and atomic force microscopy measurements display smooth surface morphology. Growth conditions of AlSb and Al0.75Ga0.25Sb buffer were optimized. Al0.75Ga0.25Sb is better than AlSb as a buffer layer as indicated. The sample with optimal Al0.75Ga0.25Sb buffer layer shows a smooth surface morphology with root-mean-square roughness of 6.67 Å. The electron mobility has reached as high as 27 000 cm2/Vs with a sheet density of 4.54 × 1011/cm2 at room temperature.

  15. GaAs VLSI for aerospace electronics

    NASA Technical Reports Server (NTRS)

    Larue, G.; Chan, P.

    1990-01-01

    Advanced aerospace electronics systems require high-speed, low-power, radiation-hard, digital components for signal processing, control, and communication applications. GaAs VLSI devices provide a number of advantages over silicon devices including higher carrier velocities, ability to integrate with high performance optical devices, and high-resistivity substrates that provide very short gate delays, good isolation, and tolerance to many forms of radiation. However, III-V technologies also have disadvantages, such as lower yield compared to silicon MOS technology. Achieving very large scale integration (VLSI) is particularly important for fast complex systems. At very short gate delays (less than 100 ps), chip-to-chip interconnects severely degrade circuit clock rates. Complex systems, therefore, benefit greatly when as many gates as possible are placed on a single chip. To fully exploit the advantages of GaAs circuits, attention must be focused on achieving high integration levels by reducing power dissipation, reducing the number of devices per logic function, and providing circuit designs that are more tolerant to process and environmental variations. In addition, adequate noise margin must be maintained to ensure a practical yield.

  16. A new structure for comparing surface passivation materials of GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Desalvo, Gregory C.; Barnett, Allen M.

    1989-01-01

    The surface recombination velocity (S sub rec) for bare GaAs is typically as high as 10 to the 6th power to 10 to the 7th power cm/sec, which dramatically lowers the efficiency of GaAs solar cells. Early attempts to circumvent this problem by making an ultra thin junction (xj less than .1 micron) proved unsuccessful when compared to lowering S sub rec by surface passivation. Present day GaAs solar cells use an GaAlAs window layer to passivate the top surface. The advantages of GaAlAs in surface passivation are its high bandgap energy and lattice matching to GaAs. Although GaAlAs is successful in reducing the surface recombination velocity, it has other inherent problems of chemical instability (Al readily oxidizes) and ohmic contact formation. The search for new, more stable window layer materials requires a means to compare their surface passivation ability. Therefore, a device structure is needed to easily test the performance of different passivating candidates. Such a test device is described.

  17. Covalent attachment of TAT peptides and thiolated alkyl molecules on GaAs surfaces.

    PubMed

    Cho, Youngnam; Ivanisevic, Albena

    2005-07-07

    Four TAT peptide fragments were used to functionalize GaAs surfaces by adsorption from solution. In addition, two well-studied alkylthiols, mercaptohexadecanoic acid (MHA) and 1-octadecanethiol (ODT) were utilized as references to understand the structure of the TAT peptide monolayer on GaAs. The different sequences of TAT peptides were employed in recognition experiments where a synthetic RNA sequence was tested to verify the specific interaction with the TAT peptide. The modified GaAs surfaces were characterized by atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and Fourier transform infrared reflection absorption spectroscopy (FT-IRRAS). AFM studies were used to compare the surface roughness before and after functionalization. XPS allowed us to characterize the chemical composition of the GaAs surface and conclude that the monolayers composed of different sequences of peptides have similar surface chemistries. Finally, FT-IRRAS experiments enabled us to deduce that the TAT peptide monolayers have a fairly ordered and densely packed alkyl chain structure. The recognition experiments showed preferred interaction of the RNA sequence toward peptides with high arginine content.

  18. Structures, Properties and Defects of SrTiO3/GaAs Hetero-interfaces

    NASA Astrophysics Data System (ADS)

    Hong, Liang; Bhatnagar, Kunal; Droopad, Ravi; Öğüt, Serdar; Klie, Robert

    SrTiO3 thin film can be epitaxially grown on GaAs substrate and used as a platform for growing other oxides to create functional metal-oxide-semiconductor devices, where a high-quality SrTiO3/GaAs interface is essential. We studied the structural and electronic properties of SrTiO3/GaAs hetero-interfaces at atomic level using scanning transmission electron microscopy and first-principles calculations. Our results suggest the preferred termination of GaAs (001) is significantly dependent on the oxygen concentration in the first oxide layer. The favorable interface structure is characterized as oxygen-deficient SrO in contact with arsenic and is observed in both experiment and simulation. The electronic properties are calculated and found to be tunable by interfacial defects such as oxygen, gallium and arsenic vacancies. This work was supported by the National Science Foundation (Grant No. DMR-1408427). This work made use of instruments in the Electron Microscopy Service and the High Performance Computing Clusters at University of Illinois at Chicago.

  19. Accelerated GaAs growth through MOVPE for low-cost PV applications

    NASA Astrophysics Data System (ADS)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  20. Divacancy complexes induced by Cu diffusion in Zn-doped GaAs

    NASA Astrophysics Data System (ADS)

    Elsayed, M.; Krause-Rehberg, R.; Korff, B.; Ratschinski, I.; Leipner, H. S.

    2013-08-01

    Positron annihilation spectroscopy was applied to investigate the nature and thermal behavior of defects induced by Cu diffusion in Zn-doped p-type GaAs crystals. Cu atoms were intentionally introduced in the GaAs lattice through thermally activated diffusion from a thin Cu capping layer at 1100 °C under defined arsenic vapor pressure. During isochronal annealing of the obtained Cu-diffused GaAs in the temperature range of 450-850 K, vacancy clusters were found to form, grow and finally disappear. We found that annealing at 650 K triggers the formation of divacancies, whereas further increasing in the annealing temperature up to 750 K leads to the formation of divacancy-copper complexes. The observations suggest that the formation of these vacancy-like defects in GaAs is related to the out-diffusion of Cu. Two kinds of acceptors are detected with a concentration of about 1016 - 1017 cm-3, negative ions and arsenic vacancy copper complexes. Transmission electron microscopy showed the presence of voids and Cu precipitates which are not observed by positron measurements. The positron binding energy to shallow traps is estimated using the positron trapping model. Coincidence Doppler broadening spectroscopy showed the presence of Cu in the immediate vicinity of the detected vacancies. Theoretical calculations suggested that the detected defect is VGaVAs-2CuGa.

  1. Aging behavior of Au-based ohmic contacts to GaAs

    NASA Technical Reports Server (NTRS)

    Fatemi, Navid S.

    1989-01-01

    Gold based alloys, commonly used as ohmic contacts for solar cells, are known to react readily with GaAs. It is shown that the contact interaction with the underlying GaAs can continue even at room temperature upon aging, altering both the electrical characteristics of the contacts and the nearby pn junction. Au-Ge-Ni as-deposited (no heat-treatment) contacts made to thin emitter (0.15 microns) GaAs diodes have shown severe shunting of the pn junction upon aging for several months at room temperature. The heat-treated contacts, despite showing degradation in contact resistance, did not affect the underlying pn junction. Au-Zn-Au contacts to p-GaAs emitter (0.2 microns) diodes, however, showed slight improvement in contact resistance upon 200 C isothermal annealing for several months, without degrading the pn junction. The effect of aging on electrical characteristics of the as-deposited and heat-treated contacts and the nearby pn junction, as well as on the surface morphology of the contacts are presented.

  2. Aging behavior of Au-based ohmic contacts to GaAs

    NASA Technical Reports Server (NTRS)

    Fatemi, Navid S.

    1988-01-01

    Gold based alloys, commonly used as ohmic contacts for solar cells, are known to react readily with GaAs. It is shown that the contact interaction with the underlying GaAs can continue even at room temperature upon aging, altering both the electrical characteristics of the contacts and the nearby pn junction. Au-Ge-Ni as-deposited (no heat treatment) contacts made to thin emitter (0.15 micrometer) GaAs diodes have shown severe shunting of the pn junction upon aging for several months at room temperature. The heat-treated contacts, despite showing degradation in contact resistance did not affect the underlying pn junction. Au-Zn-Au contacts to p-GaAs emitter (0.2 micrometer) diodes, however, showed slight improvement in contact resistance upon 200 C isothermal annealing for several months, without degrading the pn junction. The effect of aging on electrical characteristics of the as-deposited and heat-treated contacts and the nearby pn junction, as well as on the surface morphology of the contacts are presented.

  3. Study of strain boundary conditions and GaAs buffer sizes in InGaAs quantum dots

    NASA Technical Reports Server (NTRS)

    Oyafuso, F.; Klimeck, G.; Boykin, T. B.; Bowen, R. C.; Allmen, P. von

    2003-01-01

    NEMO 3-D has been developed for the simulation of electronic structure in self-assembled InGaAs quantum dots on GaAs substrates. Typical self-assembled quantum dots in that material system contain about 0.5 to 1 million atoms. Effects of strain by the surrounding GaAs buffer modify the electronic structure inside the quantum dot significantly and a large GaAs buffer must be included in the strain and electronic structure.

  4. Alternatives to Arsine: The Atmospheric Pressure Organometallic Chemical Vapor Deposition Growth of GaAs Using Triethylarsenic.

    DTIC Science & Technology

    1987-08-15

    SUPPLEMENTARY NOTATION 17. COSATI CODES 18 SUBJECT TERMS (Corinue on reverse if necessary and identify by block number) FIELD GROUP SUB-GROUP Epitaxy GaAs 9...Zr leiK m I141’ FIGURES 1 . Effect of Growth Parameters on Residual Doping Type ................... 7 2. Photoluminescence Spectrum of a GaAs Epilayer... 1 3 Successful homoepitaxial growth of high purity, unintentionally doped GaAs epilayers by organometallic chemical vapor deposition (OMCVD) has

  5. Origin and enhancement of the 1.3 μm luminescence from GaAs treated by ion-implantation and flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Gao, Kun; Prucnal, S.; Skorupa, W.; Helm, M.; Zhou, Shengqiang

    2013-09-01

    GaAs and GaAs based materials have outstanding optoelectronic properties and are widely used as light emitting media in devices. Many approaches have been applied to GaAs to generate luminescence at 0.88, 1.30, and 1.55 μm which are transmission windows of optical fibers. In this paper, we present the photoluminescence at 1.30 μm from deep level defects in GaAs treated by ion-implantation and flash lamp annealing (FLA). Such emission, which exhibits superior temperature stability, can be obtained from FLA treated virgin GaAs as well as doped GaAs. Indium-doping in GaAs can greatly enhance the luminescence. By photoluminescence, Raman measurements, and positron annihilation spectroscopy, we conclude that the origin of the 1.30 μm emission is from transitions between the VAs-donor and X-acceptor pairs.

  6. Novel anti-reflection technology for GaAs single-junction solar cells using surface patterning and Au nanoparticles.

    PubMed

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Kim, Sangin; Rotermund, Fabian; Lim, Hanjo; Lee, Jaejin

    2012-07-01

    Single-junction GaAs solar cell structures were grown by low-pressure MOCVD on GaAs (100) substrates. Micro-rod arrays with diameters of 2 microm, 5 microm, and 10 microm were fabricated on the surfaces of the GaAs solar cells via photolithography and wet chemical etching. The patterned surfaces were coated with Au nanoparticles using an Au colloidal solution. Characteristics of the GaAs solar cells with and without the micro-rod arrays and Au nanoparticles were investigated. The short-circuit current density of the GaAs solar cell with 2 microm rod arrays and Au nanoparticles increased up to 34.9% compared to that of the reference cell without micro-rod arrays and Au nanoparticles. The conversion efficiency of the GaAs solar cell that was coated with Au nanoparticles on the patterned surface with micro-rod arrays can be improved from 14.1% to 19.9% under 1 sun AM 1.5G illumination. These results show that micro-rod arrays and Au nanoparticle coating can be applied together in surface patterning to achieve a novel cost-effective anti-reflection technology.

  7. Measurement of electron beam polarization produced by photoemission from bulk GaAs using twisted light

    NASA Astrophysics Data System (ADS)

    Clayburn, Nathan; Dreiling, Joan; McCarter, James; Ryan, Dominic; Poelker, Matt; Gay, Timothy

    2012-06-01

    GaAs photocathodes produce spin polarized electron beams when illuminated with circularly polarized light with photon energy approximately equal to the bandgap energy [1, 2]. A typical polarization value obtained with bulk GaAs and conventional circularly polarized light is 35%. This study investigated the spin polarization of electron beams emitted from GaAs illuminated with ``twisted light,'' an expression that describes a beam of light having orbital angular momentum (OAM). In the experiment, 790nm laser light was focused to a near diffraction-limited spot size on the surface of the GaAs photocathode to determine if OAM might couple to valence band electron spin mediated by the GaAs lattice. Our polarization measurements using a compact retarding-field micro-Mott polarimeter [3] have established an upper bound on the polarization of the emitted electron beam of 2.5%. [4pt] [1] D.T. Pierce, F. Meier, P. Zurcher, Appl. Phys. Lett. 26 670 (1975).[0pt] [2] C.K. Sinclair, et al., PRSTAB 10 023501 (2007).[0pt] [3] J.L. McCarter, M.L. Stutzman, K.W. Trantham, T.G. Anderson, A.M. Cook, and T.J. Gay Nucl. Instrum. and Meth. A (2010).

  8. Wafer-scale layer transfer of GaAs and Ge onto Si wafers using patterned epitaxial lift-off

    NASA Astrophysics Data System (ADS)

    Mieda, Eiko; Maeda, Tatsuro; Miyata, Noriyuki; Yasuda, Tetsuji; Kurashima, Yuichi; Maeda, Atsuhiko; Takagi, Hideki; Aoki, Takeshi; Yamamoto, Taketsugu; Ichikawa, Osamu; Osada, Takenori; Hata, Masahiko; Ogawa, Arito; Kikuchi, Toshiyuki; Kunii, Yasuo

    2015-03-01

    We have developed a wafer-scale layer-transfer technique for transferring GaAs and Ge onto Si wafers of up to 300 mm in diameter. Lattice-matched GaAs or Ge layers were epitaxially grown on GaAs wafers using an AlAs release layer, which can subsequently be transferred onto a Si handle wafer via direct wafer bonding and patterned epitaxial lift-off (ELO). The crystal properties of the transferred GaAs layers were characterized by X-ray diffraction (XRD), photoluminescence, and the quality of the transferred Ge layers was characterized using Raman spectroscopy. We find that, after bonding and the wet ELO processes, the quality of the transferred GaAs and Ge layers remained the same compared to that of the as-grown epitaxial layers. Furthermore, we realized Ge-on-insulator and GaAs-on-insulator wafers by wafer-scale pattern ELO technique.

  9. Nanoscale Footprints of Self-Running Gallium Droplets on GaAs Surface

    PubMed Central

    Wu, Jiang; Wang, Zhiming M.; Li, Alvason Z.; Benamara, Mourad; Li, Shibin; Salamo, Gregory J.

    2011-01-01

    In this work, the nanoscale footprints of self-driven liquid gallium droplet movement on a GaAs (001) surface will be presented and analyzed. The nanoscale footprints of a primary droplet trail and ordered secondary droplets along primary droplet trails are observed on the GaAs surface. A well ordered nanoterrace from the trail is left behind by a running droplet. In addition, collision events between two running droplets are investigated. The exposed fresh surface after a collision demonstrates a superior evaporation property. Based on the observation of droplet evolution at different stages as well as nanoscale footprints, a schematic diagram of droplet evolution is outlined in an attempt to understand the phenomenon of stick-slip droplet motion on the GaAs surface. The present study adds another piece of work to obtain the physical picture of a stick-slip self-driven mechanism in nanoscale, bridging nano and micro systems. PMID:21673965

  10. Negative differential velocity in ultradilute GaAs1-xNx alloys

    NASA Astrophysics Data System (ADS)

    Vogiatzis, N.; Rorison, J. M.

    2011-04-01

    We present theoretical results on steady state characteristics in bulk GaAs1-xNx alloys (x ≤ 0.2) using the single electron Monte-Carlo method. Two approaches have been used; the first assumes a GaAs band with a strong nitrogen scattering resonance and the second uses the band anti-crossing model, in which the localized N level interacts with the GaAs band strongly perturbing the conduction band. In the first model we observe two negative differential velocity peaks, the lower one associated with nitrogen scattering while the higher one with polar optical phonon emission accounting for the nonparabolicity effect. In the second model one negative differential velocity peak is observed associated with polar optical phonon emission. Good agreement with experimental low field mobility is obtained from the first model. We also comment on the results from both Models when the intervalley Г → L transfer is accounted for.

  11. Intrinsic Spin-Hall Effect in n-Doped Bulk GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bernevig, B.Andrei; Zhang, Shou-Cheng; /Stanford U., Phys. Dept.

    2010-01-15

    We show that the bulk Dresselhauss (k{sup 3}) spin-orbit coupling term leads to an intrinsic spin-Hall effect in n-doped bulk GaAs, but without the appearance of uniform magnetization. The spin-Hall effect in strained and unstrained bulk GaAs has been recently observed experimentally by Kato et. al. [1]. We show that the experimental result is quantitatively consistent with the intrinsic spin-Hall effect due to the Dresselhauss term, when lifetime broadening is taken into account. On the other hand, extrinsic contribution to the spin-Hall effect is several orders of magnitude smaller than the observed effect.

  12. Ab initio study of GaAs(100) surface stability over As2, H2 and N2 as a model for vapor-phase epitaxy of GaAs1-xNx

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2015-12-01

    GaAs(100) c(4×4) surfaces were examined by ab initio calculations, under As2, H2 and N2 gas mixed conditions as a model for GaAs1-xNx vapor-phase epitaxy (VPE) on GaAs(100). Using a simple model consisting of As2 and H2 molecules adsorptions and As/N atom substitutions, it was shown to be possible to examine the crystal growth behavior considering the relative stability of the resulting surfaces against the chemical potential of As2, H2 and N2 gases. Such simple model allows us to draw a picture of the temperature and pressure stability domains for each surfaces that can be linked to specific growth conditions, directly. We found that, using this simple model, it is possible to explain the different N-incorporation regimes observed experimentally at different temperatures, and to predict the transition temperature between these regimes. Additionally, a rational explanation of N-incorporation ratio for each of these regimes is provided. Our model should then lead to a better comprehension and control of the experimental conditions needed to realize a high quality VPE of GaAs1-xNx.

  13. Terahertz pulse induced intervalley scattering in photoexcited GaAs.

    PubMed

    Su, F H; Blanchard, F; Sharma, G; Razzari, L; Ayesheshim, A; Cocker, T L; Titova, L V; Ozaki, T; Kieffer, J-C; Morandotti, R; Reid, M; Hegmann, F A

    2009-06-08

    Nonlinear transient absorption bleaching of intense few-cycle terahertz (THz) pulses is observed in photoexcited GaAs using opticalpump--THz-probe techniques. A simple model of the electron transport dynamics shows that the observed nonlinear response is due to THz-electric- field-induced intervalley scattering over sub-picosecond time scales as well as an increase in the intravalley scattering rate attributed to carrier heating. Furthermore, the nonlinear nature of the THz pulse transmission at high peak fields leads to a measured terahertz conductivity in the photoexcited GaAs that deviates significantly from the Drude behavior observed at low THz fields, emphasizing the need to explore nonlinear THz pulse interactions with materials in the time domain.

  14. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, Harry C.; Lagowski, Jacek

    1989-01-01

    The program on Crystal Growth of Device Quality GaAs in Space was initiated in 1977. The initial stage covering 1977 to 1984 was devoted strictly to ground-based research. By 1985 the program had evolved into its next logical stage aimed at space growth experiments; however, since the Challenger disaster, the program has been maintained as a ground-based program awaiting activation of experimentation in space. The overall prgram has produced some 80 original scientific publications on GaAs crystal growth, crystal characterization, and new approaches to space processing. Publication completed in the last three years are listed. Their key results are outlined and discussed in the twelve publications included as part of the report.

  15. High-Performance GaAs Nanowire Solar Cells for Flexible and Transparent Photovoltaics.

    PubMed

    Han, Ning; Yang, Zai-xing; Wang, Fengyun; Dong, Guofa; Yip, SenPo; Liang, Xiaoguang; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2015-09-16

    Among many available photovoltaic technologies at present, gallium arsenide (GaAs) is one of the recognized leaders for performance and reliability; however, it is still a great challenge to achieve cost-effective GaAs solar cells for smart systems such as transparent and flexible photovoltaics. In this study, highly crystalline long GaAs nanowires (NWs) with minimal crystal defects are synthesized economically by chemical vapor deposition and configured into novel Schottky photovoltaic structures by simply using asymmetric Au-Al contacts. Without any doping profiles such as p-n junction and complicated coaxial junction structures, the single NW Schottky device shows a record high apparent energy conversion efficiency of 16% under air mass 1.5 global illumination by normalizing to the projection area of the NW. The corresponding photovoltaic output can be further enhanced by connecting individual cells in series and in parallel as well as by fabricating NW array solar cells via contact printing showing an overall efficiency of 1.6%. Importantly, these Schottky cells can be easily integrated on the glass and plastic substrates for transparent and flexible photovoltaics, which explicitly demonstrate the outstanding versatility and promising perspective of these GaAs NW Schottky photovoltaics for next-generation smart solar energy harvesting devices.

  16. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    NASA Astrophysics Data System (ADS)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  17. Frequency-tunable continuous-wave terahertz sources based on GaAs plasmonic photomixers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Shang-Hua; Jarrahi, Mona; Electrical Engineering Department, University of California Los Angeles, Los Angeles, California 90095

    2015-09-28

    We present frequency-tunable, continuous-wave terahertz sources based on GaAs plasmonic photomixers, which offer high terahertz radiation power levels at 50% radiation duty cycle. The use of plasmonic contact electrodes enhances photomixer quantum efficiency while maintaining its ultrafast operation by concentrating a large number of photocarriers in close proximity to the device contact electrodes. Additionally, the relatively high thermal conductivity and high resistivity of GaAs allow operation under high optical pump power levels and long duty cycles without reaching the thermal breakdown limit of the photomixer. We experimentally demonstrate continuous-wave terahertz radiation with a radiation frequency tuning range of more thanmore » 2 THz and a record-high radiation power of 17 μW at 1 THz through plasmonic photomixers fabricated on a low temperature grown GaAs substrate at 50% radiation duty cycle.« less

  18. In-situ ellipsometric studies of optical and surface properties of GaAs(100) at elevated temperatures

    NASA Technical Reports Server (NTRS)

    Yao, Huade; Snyder, Paul G.

    1991-01-01

    A rotating-polarizer ellipsometer was attached to an ultrahigh vacuum (UHV) chamber. A GaAs(100) sample was introduced into the UHV chamber and heated at anumber of fixed elevated temperatures, without arsenic overpressure. In-situ spectroscopic ellipsometric (SE) measurements were taken, through a pair of low-strain quartz windows, to monitor the surface changes and measure the pseudodielectric functions at elevated temperatures. Real-time data from GaAs surface covered with native oxide showed clearly the evolution of oxide desorption at approximately 580 C. In addition, surface degradation was found before and after the oxide desorption. An oxide free and smooth GaAs surface was obtained by depositing an arsenic protective coating onto a molecular beam epitaxy grown GaAs surface. The arsenic coating was evaporated immediately prior to SE measurements. A comparison showed that our room temperature data from this GaAs surface, measured in the UHV, are in good agreement with those in the literature obtained by wet-chemical etching. The surface also remained clean and smooth at higher temperatures, so that reliable temperature-dependent dielectric functions were obtained.

  19. Experimental investigation of millimeter-wave GaAs TED oscillators cooled to cryogenic temperatures

    NASA Astrophysics Data System (ADS)

    Rydberg, Anders; Kollberg, Erik

    1988-03-01

    The output power and operating (bias) point for 80-100-GHz GaAs TED oscillators have been investigated for temperatures between 300 and 40 K. It is shown experimentally that the power can be increased by as much as nearly four times by cooling the oscillator. The thermal design of the oscillator was studied for GaAs and InP TED oscillators.

  20. Single crystal and polycrystalline GaAs solar cells using AMOS technology

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y. C. M.

    1976-01-01

    A description is given of current technology for fabricating single AMOS (antireflection-coated metal oxide semiconductor) solar cells, with attention given to thermal, plasma, and anodic oxidation, native oxide stripping, and X-ray photoelectron spectroscopy results. Some preliminary results are presented on the chemistry and electrical characterization of such cells, and the characteristics of cells fabricated on sliced polycrystalline GaAs wafers are examined. Consideration is also given to the recrystallization of evaporated Ge films for use as low-cost substrates for polycrystalline GaAs solar cells.

  1. Formation and photoluminescence of GaAs1-xNx dilute nitride achieved by N-implantation and flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Gao, Kun; Prucnal, S.; Skorupa, W.; Helm, M.; Zhou, Shengqiang

    2014-07-01

    In this paper, we present the fabrication of dilute nitride semiconductor GaAs1-xNx by nitrogen-ion-implantation and flash lamp annealing (FLA). N was implanted into the GaAs wafers with atomic concentration of about ximp1 = 0.38% and ximp2 = 0.76%. The GaAs1-xNx layer is regrown on GaAs during FLA treatment in a solid phase epitaxy process. Room temperature near band-edge photoluminescence (PL) has been observed from the FLA treated GaAs1-xNx samples. According to the redshift of the near band-edge PL peak, up to 80% and 44% of the implanted N atoms have been incorporated into the lattice by FLA for ximp1 = 0.38% and ximp2 = 0.76%, respectively. Our investigation shows that ion implantation followed by ultrashort flash lamp treatment, which allows for large scale production, exhibits a promising prospect on bandgap engineering of GaAs based semiconductors.

  2. Wafer-Fused Orientation-Patterned GaAs

    DTIC Science & Technology

    2008-02-13

    frequencies utilizing existing industrial foundries. 15. SUBJECT TERMS Orientation-patterned Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase... Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase-matching, nonlinear frequency conversion 1. INTRODUCTION Quasi-phase-matching (QPM)1...and E. Lallier, “Second harmonic generation of CO2 laser using thick quasi-phase-matched GaAs layer grown by hydride vapour phase epitaxy

  3. High power cascaded mid-infrared InAs/GaSb light emitting diodes on mismatched GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Provence, S. R., E-mail: sydney-provence@uiowa.edu; Ricker, R.; Aytac, Y.

    2015-09-28

    InAs/GaSb mid-wave, cascaded superlattice light emitting diodes are found to give higher radiance when epitaxially grown on mismatched GaAs substrates compared to lattice-matched GaSb substrates. Peak radiances of 0.69 W/cm{sup 2}-sr and 1.06 W/cm{sup 2}-sr for the 100 × 100 μm{sup 2} GaSb and GaAs-based devices, respectively, were measured at 77 K. Measurement of the recombination coefficients shows the shorter Shockley-Read-Hall recombination lifetime as misfit dislocations for growth on GaAs degrade the quantum efficiency only at low current injection. The improved performance on GaAs was found to be due to the higher transparency and improved thermal properties of the GaAs substrate.

  4. Analysis of GAA/TTC DNA triplexes using nuclear magnetic resonance and electrospray ionization mass spectrometry.

    PubMed

    Mariappan, S V Santhana; Cheng, Xun; van Breemen, Richard B; Silks, Louis A; Gupta, Goutam

    2004-11-15

    The formation of a GAA/TTC DNA triplex has been implicated in Friedreich's ataxia. The destabilization of GAA/TTC DNA triplexes either by pH or by binding to appropriate ligands was analyzed by nuclear magnetic resonance (NMR) and positive-ion electrospray mass spectrometry. The triplexes and duplexes were identified by changes in the NMR chemical shifts of H8, H1, H4, 15N7, and 15N4. The lowest pH at which the duplex is detectable depends upon the overall stability and the relative number of Hoogsteen C composite function G to T composite function A basepairs. A melting pH (pHm) of 7.6 was observed for the destabilization of the (GAA)2T4(TTC)2T4(CTT)2 triplex to the corresponding Watson-Crick duplex and the T4(CTT)2 overhang. The mass spectrometric analyses of (TTC)6.(GAA)6 composite function(TTC)6 triplex detected ions due to both triplex and single-stranded oligonucleotides under acidic conditions. The triplex ions disappeared completely at alkaline pH. Duplex and single strands were detectable only at neutral and alkaline pH values. Mass spectrometric analyses also showed that minor groove-binding ligands berenil, netropsin, and distamycin and the intercalating ligand acridine orange destabilize the (TTC)6.(GAA)6 composite function (TTC)6 triplex. These NMR and mass spectrometric methods may function as screening assays for the discovery of agents that destabilize GAA/TTC triplexes and as general methods for the characterization of structure, dynamics, and stability of DNA and DNA-ligand complexes.

  5. High-efficiency thin-film GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.

    1979-01-01

    GaAs chemical vapor deposition (CVD) growth on single-crystal GaAs substrates was investigated over a temperature range of 600 to 750 C, As/GA mole-ratio range of 3 to 11, and gas molefraction range 5 x 10 to the minus 9th power to 7x 10 to the minus 7th power for H2S doping. GasAs CVD growth on recrystallized Ge films was investigated for a temperature range of 550 to 700 C, an As/GA mole ratio of 5, and for various H2S mole fraction. The highest efficiency cell observed on these films with 2 mm dots was 4.8% (8% when AR-coated). Improvements in fill factor and opencircuit voltage by about 40% each are required in order to obtain efficiencies of 15% or greater.

  6. Arsenic ambient conditions preventing surface degradation of GaAs during capless annealing at high temperatures

    NASA Technical Reports Server (NTRS)

    Kang, C. H.; Kondo, K.; Lagowski, J.; Gatos, H. C.

    1987-01-01

    Changes in surface morphology and composition caused by capless annealing of GaAs were studied as a function of annealing temperature, T(GaAs), and the ambient arsenic pressure controlled by the temperature, T(As), of an arsenic source in the annealing ampul. It was established that any degradation of the GaAs surface morphology could be completely prevented, providing that T(As) was more than about 0.315T(GaAs) + 227 C. This empirical relationship is valid up to the melting point temperature of GaAs (1238 C), and it may be useful in some device-processing steps.

  7. Image quality of a pixellated GaAs X-ray detector

    NASA Astrophysics Data System (ADS)

    Sun, G. C.; Makham, S.; Bourgoin, J. C.; Mauger, A.

    2007-02-01

    X-ray detection requires materials with large atomic numbers Z in order to absorb the radiation efficiently. In case of X-ray imaging, fluorescence is a limiting factor for the spatial resolution and contrast at energies above the kα threshold. Since both the energy and yield of the fluorescence of a given material increase with the atomic number, there is an optimum value of Z. GaAs, which can now be epitaxially grown as self-supported thick layers to fulfil the requirements for imaging (good homogeneity of the electronic properties) corresponds to this optimum. Image performances obtained with this material are evaluated in terms of line spread function and modulation transfer function, and a comparison with CsI is made. We evaluate the image contrast obtained for a given object contrast with GaAs and CsI detectors, in the photon energy range of medical applications. Finally, we discuss the minimum object size, which can be detected by these detectors in of mammography conditions. This demonstrates that an object of a given size can be detected using a GaAs detector with a dose at least 100 times lower than using a CsI detector.

  8. Spectrally resolved localized states in GaAs 1– xBi x

    DOE PAGES

    Christian, Theresa M.; Alberi, Kirstin; Beaton, Daniel A.; ...

    2017-02-01

    In this study, the role of localized states and their influence on the broader band structure remains a crucial question in understanding the band structure evolution in GaAs 1-xBi x. Here in this work, we present clear spectroscopic observations of recombination at several localized states in GaAs 1-xBi x. Sharp and recognizable photoluminescence features appear in multiple samples and redshift as a function of GaBi fraction between x = 0.16% and 0.4% at a linearized rate of 34 meV per % Bi, weaker than the redshift associated with band-to-band recombination. Interpreting these results in terms of radiative recombination between localizedmore » holes and free electrons sheds light on the relative movement of the conduction band minimum and the characteristics of localized bismuth-related trap states in GaAs 1-xBi x alloys.« less

  9. Surface science analysis of GaAs photocathodes following sustained electron beam delivery

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carlos Hernandez-Garcia, Fay Hannon, Marcy Stutzman, V. Shutthanandan, Z. Zhu, M. Nandasri, S. V. Kuchibhatla, S. Thevuthasan, W. P. Hess

    2012-06-01

    Degradation of the photocathode materials employed in photoinjectors represents a challenge for sustained operation of nuclear physics accelerators and high power Free Electron Lasers (FEL). Photocathode quantum efficiency (QE) degradation is due to residual gasses in the electron source vacuum system being ionized and accelerated back to the photocathode. These investigations are a first attempt to characterize the nature of the photocathode degradation, and employ multiple surface and bulk analysis techniques to investigate damage mechanisms including sputtering of the Cs-oxidant surface monolayer, other surface chemistry effects, and ion implantation. Surface and bulk analysis studies were conducted on two GaAs photocathodes,more » which were removed from the JLab FEL DC photoemission gun after delivering electron beam, and two control samples. The analysis techniques include Helium Ion Microscopy (HIM), Rutherford Backscattering Spectrometry (RBS), Atomic Force Microscopy (AFM) and Secondary Ion Mass Spectrometry (SIMS). In addition, two high-polarization strained superlattice GaAs photocathode samples, one removed from the Continuous Electron Beam Accelerator Facility (CEBAF) photoinjector and one unused, were also analyzed using Transmission Electron Microscopy (TEM) and SIMS. It was found that heat cleaning the FEL GaAs wafer introduces surface roughness, which seems to be reduced by prolonged use. The bulk GaAs samples retained a fairly well organized crystalline structure after delivering beam but shows evidence of Cs depletion on the surface. Within the precision of the SIMS and RBS measurements the data showed no indication of hydrogen implantation or lattice damage from ion back bombardment in the bulk GaAs wafers. In contrast, SIMS and TEM measurements of the strained superlattice photocathode show clear crystal damage in the wafer from ion back bombardment.« less

  10. Optical detectors for GaAs MMIC integration: Technology assessment

    NASA Technical Reports Server (NTRS)

    Claspy, P. C.; Bhasin, K. B.

    1989-01-01

    Fiber optic links are being considered to transmit digital and analog signals in phased array antenna feed networks in space communications systems. The radiating elements in these arrays will be GaAs monolithic microwave integrated circuits (MMIC's) in numbers ranging from a few hundred to several thousand. If such optical interconnects are to be practical it appears essential that the associated components, including detectors, be monolithically integrated on the same chip as the microwave circuitry. The general issue of monolithic integration of microwave and optoelectronic components is addressed from the point of view of fabrication technology and compatibility. Particular attention is given to the fabrication technology of various types of GaAs optical detectors that are designed to operate at a wavelength of 830 nm.

  11. Dopant diffusion and segregation in semiconductor heterostructures: Part III, diffusion of Si into GaAs

    NASA Astrophysics Data System (ADS)

    Chen, C.-H.; Gösele, U. M.; Tan, T. Y.

    We have mentioned previously that in the third part of the present series of papers, a variety of n-doping associated phenomena will be treated. Instead, we have decided that this paper, in which the subject treated is diffusion of Si into GaAs, shall be the third paper of the series. This choice is arrived at because this subject is a most relevent heterostructure problem, and also because of space and timing considerations. The main n-type dopant Si in GaAs is amphoteric which may be incorporated as shallow donor species SiGa+ and as shallow acceptor species SiAs-. The solubility of SiAs- is much lower than that of SiGa+ except at very high Si concentration levels. Hence, a severe electrical self-compensation occurs at very high Si concentrations. In this study we have modeled the Si distribution process in GaAs by assuming that the diffusing species is SiGa+ which will convert into SiAs- in accordance with their solubilities and that the point defect species governing the diffusion of SiGa+ are triply-negatively-charged Ga vacancies VGa3-. The outstanding features of the Si indiffusion profiles near the Si/GaAs interface have been quantitatively explained for the first time. Deposited on the GaAs crystal surface, the Si source material is a polycrystalline Si layer which may be undoped or n+-doped using As or P. Without the use of an As vapor phase in the ambient, the As- and P-doped source materials effectively render the GaAs crystals into an As-rich composition, which leads to a much more efficient Si indiffusion process than for the case of using undoped source materials which maintains the GaAs crystals in a relatively As-poor condition. The source material and the GaAs crystal together form a heterostructure with its junction influencing the electron distribution in the region, which, in turn, affects the Si indiffusion process prominently.

  12. The 20 GHz power GaAs FET development

    NASA Technical Reports Server (NTRS)

    Crandell, M.

    1986-01-01

    The development of power Field Effect Transistors (FET) operating in the 20 GHz frequency band is described. The major efforts include GaAs FET device development (both 1 W and 2 W devices), and the development of an amplifier module using these devices.

  13. Cryogenic measurements of aerojet GaAs n-JFETs

    NASA Technical Reports Server (NTRS)

    Goebel, John H.; Weber, Theodore T.

    1993-01-01

    The spectral noise characteristics of Aerojet gallium arsenide (GaAs) junction field effect transistors (JFET's) have been investigated down to liquid-helium temperatures. Noise characterization was performed with the field effect transistor (FET) in the floating-gate mode, in the grounded-gate mode to determine the lowest noise readings possible, and with an extrinsic silicon photodetector at various detector bias voltages to determine optimum operating conditions. The measurements indicate that the Aerojet GaAs JFET is a quiet and stable device at liquid helium temperatures. Hence, it can be considered a readout line driver or infrared detector preamplifier as well as a host of other cryogenic applications. Its noise performance is superior to silicon (Si) metal oxide semiconductor field effect transistor (MOSFET's) operating at liquid helium temperatures, and is equal to the best Si n channel junction field effect transistor (n-JFET's) operating at 300 K.

  14. GaAs nanowire array solar cells with axial p-i-n junctions.

    PubMed

    Yao, Maoqing; Huang, Ningfeng; Cong, Sen; Chi, Chun-Yung; Seyedi, M Ashkan; Lin, Yen-Ting; Cao, Yu; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2014-06-11

    Because of unique structural, optical, and electrical properties, solar cells based on semiconductor nanowires are a rapidly evolving scientific enterprise. Various approaches employing III-V nanowires have emerged, among which GaAs, especially, is under intense research and development. Most reported GaAs nanowire solar cells form p-n junctions in the radial direction; however, nanowires using axial junction may enable the attainment of high open circuit voltage (Voc) and integration into multijunction solar cells. Here, we report GaAs nanowire solar cells with axial p-i-n junctions that achieve 7.58% efficiency. Simulations show that axial junctions are more tolerant to doping variation than radial junctions and lead to higher Voc under certain conditions. We further study the effect of wire diameter and junction depth using electrical characterization and cathodoluminescence. The results show that large diameter and shallow junctions are essential for a high extraction efficiency. Our approach opens up great opportunity for future low-cost, high-efficiency photovoltaics.

  15. Optical study of hydrogen-irradiated GaAsN/GaAs heterostructures

    NASA Astrophysics Data System (ADS)

    Geddo, M.; Patrini, M.; Guizzetti, G.; Galli, M.; Trotta, R.; Polimeni, A.; Capizzi, M.; Martelli, F.; Rubini, S.

    2011-06-01

    The effect of hydrogen irradiation on the optical properties of GaAs1-xNx/GaAs heterostructures was investigated using photoreflectance and reflectance techniques. Systematic measurements performed on both as-grown and hydrogenated samples for N-concentrations ranging from 0.0% to 3.5% and for H-implanted doses from 3 × 1018 to 6 × 1018 ions/cm2 have shown that (a) the H-induced widening of the energy gap is accompanied by a decrease of the refractive index of the H-treated samples with respect to the as-grown ones, resulting in an index mismatch that can be as large as 2% in the subgap spectral region; and (b) the presence of compressive strain in fully passivated GaAsN determines a decrease of the refractive index even below that of GaAs that can be eliminated via moderate thermal annealing. These findings are promising for the development of heterostructures with planar geometry, in which the simultaneous confinement of both carriers and photons, even on a nanometric scale, can be obtained in a single step process.

  16. Elastomeric nanoparticle composites covalently bound to Al2O3/GaAs surfaces.

    PubMed

    Song, Hyon Min; Ye, Peide D; Ivanisevic, Albena

    2007-08-28

    This article reports the modification of Al2O3/GaAs surfaces with multifunctional soft materials. Siloxane elastomers were covalently bound to dopamine-modified Al2O3/GaAs semiconductor surfaces using MPt (M = Fe, Ni) nanoparticles. The sizes of the monodisperse FePt and NiPt nanoparticles were less than 5 nm. The surfaces of the nanoparticles as well as the Al2O3/GaAs substrates were modified with allyl-functionalized dopamine that utilized a dihydroxy group as a strong ligand. The immobilization of the elastomers was performed via a hydrosilation reaction of the allyl-functionalized dopamines with the siloxane backbones. X-ray photoelectron spectroscopy (XPS) experiments confirmed the covalent bonding of the siloxane elastomers to the oxide layer on the semiconductor surface. Fourier transform-infrared reflection absorption spectroscopy (FT-IRRAS) measurements revealed that the allyl functional groups are bonded to the siloxane backbones. The FT-IRRAS data also showed that the density of the allyl groups on the surface was lower than that of the siloxane backbones. The mechanical properties of the surface-bound nanocomposites were tested using nanoindentation experiments. The nanoindentation data showed that the soft matrix composed of the elastomeric coating on the surfaces behaves differently from the inner, hard Al2O3/GaAs substrate.

  17. Growth of GaAs crystals from the melt in a partially confined configuration

    NASA Technical Reports Server (NTRS)

    Gatos, Harry C.; Lagowski, Jacek

    1988-01-01

    The experimental approach was directed along two main goals: (1) the implementation of an approach to melt growth in a partially confined configuration; and (2) the investigation of point defect interaction and electronic characteristics as related to thermal treatment following solidification and stoichiometry. Significant progress was made along both fronts. Crystal growth of GaAs in triangular ampuls was already carried out successfully and consistent with the model. In fact, pronounced surface tension phenomena which cannot be observed in ordinary confinement system were identified and should premit the assessment of Maragoni effects prior to space processing. Regarding thermal treatment, it was discovered that the rate of cooling from elevated temperatures is primarily responsible for a whole class of defect interactions affecting the electronic characteristics of GaAs and that stoichiometry plays a critical role in the quality of GaAs.

  18. Quantum-confinement effects on conduction band structure of rectangular cross-sectional GaAs nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanaka, H., E-mail: tanaka@semicon.kuee.kyoto-u.ac.jp; Morioka, N.; Mori, S.

    2014-02-07

    The conduction band structure and electron effective mass of GaAs nanowires with various cross-sectional shapes and orientations were calculated by two methods, a tight-binding method and an effective mass equation taking the bulk full-band structure into account. The effective mass of nanowires increases as the cross-sectional size decreases, and this increase in effective mass depends on the orientations and substrate faces of nanowires. Among [001], [110], and [111]-oriented rectangular cross-sectional GaAs nanowires, [110]-oriented nanowires with wider width along the [001] direction showed the lightest effective mass. This dependence originates from the anisotropy of the Γ valley of bulk GaAs. Themore » relationship between effective mass and bulk band structure is discussed.« less

  19. Interface states and internal photoemission in p-type GaAs metal-oxide-semiconductor surfaces

    NASA Technical Reports Server (NTRS)

    Kashkarov, P. K.; Kazior, T. E.; Lagowski, J.; Gatos, H. C.

    1983-01-01

    An interface photodischarge study of p-type GaAs metal-oxide-semiconductor (MOS) structures revealed the presence of deep interface states and shallow donors and acceptors which were previously observed in n-type GaAs MOS through sub-band-gap photoionization transitions. For higher photon energies, internal photoemission was observed, i.e., injection of electrons to the conduction band of the oxide from either the metal (Au) or from the GaAs valence band; the threshold energies were found to be 3.25 and 3.7 + or - 0.1 eV, respectively. The measured photoemission current exhibited a thermal activation energy of about 0.06 eV, which is consistent with a hopping mechanism of electron transport in the oxide.

  20. Development of a 1K x 1K GaAs QWIP Far IR Imaging Array

    NASA Technical Reports Server (NTRS)

    Jhabvala, M.; Choi, K.; Goldberg, A.; La, A.; Gunapala, S.

    2003-01-01

    In the on-going evolution of GaAs Quantum Well Infrared Photodetectors (QWIPs) we have developed a 1,024 x 1,024 (1K x1K), 8.4-9 microns infrared focal plane array (FPA). This 1 megapixel detector array is a hybrid using the Rockwell TCM 8050 silicon readout integrated circuit (ROIC) bump bonded to a GaAs QWIP array fabricated jointly by engineers at the Goddard Space Flight Center (GSFC) and the Army Research Laboratory (ARL). The finished hybrid is thinned at the Jet Propulsion Lab. Prior to this development the largest format array was a 512 x 640 FPA. We have integrated the 1K x 1K array into an imaging camera system and performed tests over the 40K-90K temperature range achieving BLIP performance at an operating temperature of 76K (f/2 camera system). The GaAs array is relatively easy to fabricate once the superlattice structure of the quantum wells has been defined and grown. The overall arrays costs are currently dominated by the costs associated with the silicon readout since the GaAs array fabrication is based on high yield, well-established GaAs processing capabilities. In this paper we will present the first results of our 1K x 1K QWIP array development including fabrication methodology, test data and our imaging results.

  1. New dynamic FET logic and serial memory circuits for VLSI GaAs technology

    NASA Technical Reports Server (NTRS)

    Eldin, A. G.

    1991-01-01

    The complexity of GaAs field effect transistor (FET) very large scale integration (VLSI) circuits is limited by the maximum power dissipation while the uniformity of the device parameters determines the functional yield. In this work, digital GaAs FET circuits are presented that eliminate the DC power dissipation and reduce the area to 50% of that of the conventional static circuits. Its larger tolerance to device parameter variations results in higher functional yield.

  2. Medium energy proton radiation damage to (AlGa)As-GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Loo, R. Y.; Kamath, G. S.; Knechtli, R. C.

    1982-01-01

    The performance of (AlGa)As-GaAs solar cells irradiated by medium energy 2, 5, and 10 MeV protons was evaluated. The Si cells without coverglass and a number of GaAs solar cells with 12 mil coverglass were irradiated simultaneously with bare GaAs cells. The cell degradation is directly related to the penetration of depth of protons with GaAs. The influence of periodic and continuous thermal annealing on the GaAs solar cells was investigated.

  3. Effect of low and staggered gap quantum wells inserted in GaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Louarn, K.; Claveau, Y.; Marigo-Lombart, L.; Fontaine, C.; Arnoult, A.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2018-04-01

    In this article, we investigate the impact of the insertion of either a type I InGaAs or a type II InGaAs/GaAsSb quantum well on the performances of MBE-grown GaAs tunnel junctions (TJs). The devices are designed and simulated using a quantum transport model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We experimentally observe significant improvements of the peak tunneling current density on both heterostructures with a 460-fold increase for a moderately doped GaAs TJ when the InGaAs QW is inserted at the junction interface, and a 3-fold improvement on a highly doped GaAs TJ integrating a type II InGaAs/GaAsSb QW. Thus, the simple insertion of staggered band lineup heterostructures enables us to reach a tunneling current well above the kA cm‑2 range, equivalent to the best achieved results for Si-doped GaAs TJs, implying very interesting potential for TJ-based components, such as multi-junction solar cells, vertical cavity surface emitting lasers and tunnel-field effect transistors.

  4. Measured Attenuation of Coplanar Waveguide on 6H, p-type SiC and High Purity Semi-Insulating 4H SiC through 800 K

    NASA Technical Reports Server (NTRS)

    Ponchak, George E.; Schwartz, Zachary D.; Alterovitz, Samuel A.; Downey, Alan N.

    2004-01-01

    Wireless sensors for high temperature applications such as oil drilling and mining, automobiles, and jet engine performance monitoring require circuits built on wide bandgap semiconductors. In this paper, the characteristics of microwave transmission lines on 4H-High Purity Semi-Insulating SiC and 6H, p-type SiC is presented as a function of temperature and frequency. It is shown that the attenuation of 6H, p-type substrates is too high for microwave circuits, large leakage current will flow through the substrate, and that unusual attenuation characteristics are due to trapping in the SiC. The 4H-HPSI SiC is shown to have low attenuation and leakage currents over the entire temperature range.

  5. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    PubMed

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  6. Hb Beograd [beta121(GH4)Glu-->Val, GAA-->GTA] in the Turkish population.

    PubMed

    Atalay, Ayfer; Koyuncu, Hasan; Köseler, Aylin; Ozkan, Anzel; Atalay, Erol O

    2007-01-01

    Hb Beograd [beta121(GH4)Glu-->Val, GAA-->GTA] is a rare variant first reported in Yugoslavia and then in Turkey, Australia and New Zealand. We report two further unrelated cases from Turkey. The importance of identifying Hb Beograd at the molecular level, especially in regions where Hb D-Los Angeles [beta121(GH4)Glu-->Gln, GAA-->CAA] is prevalent, is emphasized.

  7. Electrophilic surface sites as precondition for the chemisorption of pyrrole on GaAs(001) surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bruhn, Thomas; Leibniz-Institut für Analytische Wissenschaften - ISAS - e.V., Albert-Einstein-Str.9, 12489 Berlin; Fimland, Bjørn-Ove

    We report how the presence of electrophilic surface sites influences the adsorption mechanism of pyrrole on GaAs(001) surfaces. For this purpose, we have investigated the adsorption behavior of pyrrole on different GaAs(001) reconstructions with different stoichiometries and thus different surface chemistries. The interfaces were characterized by x-ray photoelectron spectroscopy, scanning tunneling microscopy, and by reflectance anisotropy spectroscopy in a spectral range between 1.5 and 5 eV. On the As-rich c(4 × 4) reconstruction that exhibits only nucleophilic surface sites, pyrrole was found to physisorb on the surface without any significant modification of the structural and electronic properties of the surface. Onmore » the Ga-rich GaAs(001)-(4 × 2)/(6 × 6) reconstructions which exhibit nucleophilic as well as electrophilic surface sites, pyrrole was found to form stable covalent bonds mainly to the electrophilic (charge deficient) Ga atoms of the surface. These results clearly demonstrate that the existence of electrophilic surface sites is a crucial precondition for the chemisorption of pyrrole on GaAs(001) surfaces.« less

  8. Thermal stress cycling of GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Janousek, B. K.; Francis, R. W.; Wendt, J. P.

    1985-01-01

    A thermal cycling experiment was performed on GaAs solar cells to establish the electrical and structural integrity of these cells under the temperature conditions of a simulated low-Earth orbit of 3-year duration. Thirty single junction GaAs cells were obtained and tests were performed to establish the beginning-of-life characteristics of these cells. The tests consisted of cell I-V power output curves, from which were obtained short-circuit current, open circuit voltage, fill factor, and cell efficiency, and optical micrographs, spectral response, and ion microprobe mass analysis (IMMA) depth profiles on both the front surfaces and the front metallic contacts of the cells. Following 5,000 thermal cycles, the performance of the cells was reexamined in addition to any factors which might contribute to performance degradation. It is established that, after 5,000 thermal cycles, the cells retain their power output with no loss of structural integrity or change in physical appearance.

  9. Sn nanothreads in GaAs: experiment and simulation

    NASA Astrophysics Data System (ADS)

    Semenikhin, I.; Vyurkov, V.; Bugaev, A.; Khabibullin, R.; Ponomarev, D.; Yachmenev, A.; Maltsev, P.; Ryzhii, M.; Otsuji, T.; Ryzhii, V.

    2016-12-01

    The gated GaAs structures like the field-effect transistor with the array of the Sn nanothreads was fabricated via delta-doping of vicinal GaAs surface by Sn atoms with a subsequent regrowth. That results in the formation of the chains of Sn atoms at the terrace edges. Two device models were developed. The quantum model accounts for the quantization of the electron energy spectrum in the self-consistent two-dimensional electric potential, herewith the electron density distribution in nanothread arrays for different gate voltages is calculated. The classical model ignores the quantization and electrons are distributed in space according to 3D density of states and Fermi-Dirac statistics. It turned out that qualitatively both models demonstrate similar behavior, nevertheless, the classical one is in better quantitative agreement with experimental data. Plausibly, the quantization could be ignored because Sn atoms are randomly placed along the thread axis. The terahertz hot-electron bolometers (HEBs) could be based on the structure under consideration.

  10. Monolithic GaAs dual-gate FET phase shifter

    NASA Astrophysics Data System (ADS)

    Kumar, M.; Subbarao, S. N.; Menna, R.

    1981-09-01

    The objective of this program is to develop a monolithic GaAs dual-gate FET phase shifter, operating over the 4- to 8-GHz frequency band and capable of a continuously programmable phase shift from 0 deg through N times 360 deg where N is an integer. The phase shift is to be controllable to within +3 deg. This phase shifter will be capable of delivering an output power up to 0 dBm with an input and output VSWR of less than 1.5:1. Progress 1: The photomask of a 0 to 90 deg monolithic GaAs dual-gate FET phase shifter has been procured, and we are in the process of fabricating the phase shifter. 2: We have designed and fabricated a 50 ohm, 4-line interdigitated coupler. Also, we have designed and fabricated a 25-ohm, 6-line interdigitated coupler. The performance of both couplers agrees quite well with the theoretical results. Technical Problems: there was no major problem during this period.

  11. 28 percent efficient GaAs concentrator solar cells

    NASA Technical Reports Server (NTRS)

    Macmillan, H. F.; Hamaker, H. C.; Kaminar, N. R.; Kuryla, M. S.; Ladle Ristow, M.

    1988-01-01

    AlGaAs/GaAs heteroface solar concentrator cells which exhibit efficiencies in excess of 27 percent at high solar concentrations (over 400 suns, AM1.5D, 100 mW/sq cm) have been fabricated with both n/p and p/n configurations. The best n/p cell achieved an efficiency of 28.1 percent around 400 suns, and the best p/n cell achieved an efficiency of 27.5 percent around 1000 suns. The high performance of these GaAs concentrator cells compared to earlier high-efficiency cells was due to improved control of the metal-organic chemical vapor deposition growth conditions and improved cell fabrication procedures (gridline definition and edge passivation). The design parameters of the solar cell structures and optimized grid pattern were determined with a realistic computer modeling program. An evaluation of the device characteristics and a discussion of future GaAs concentrator cell development are presented.

  12. Analysis of high field effects on the steady-state current-voltage response of semi-insulating 4H-SiC for photoconductive switch applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tiskumara, R.; Joshi, R. P., E-mail: ravi.joshi@ttu.edu; Mauch, D.

    A model-based analysis of the steady-state, current-voltage response of semi-insulating 4H-SiC is carried out to probe the internal mechanisms, focusing on electric field driven effects. Relevant physical processes, such as multiple defects, repulsive potential barriers to electron trapping, band-to-trap impact ionization, and field-dependent detrapping, are comprehensively included. Results of our model match the available experimental data fairly well over orders of magnitude variation in the current density. A number of important parameters are also extracted in the process through comparisons with available data. Finally, based on our analysis, the possible presence of holes in the samples can be discounted upmore » to applied fields as high as ∼275 kV/cm.« less

  13. Use of a corrugated surface to enhance radiation tolerance in a GaAs solar cell

    NASA Technical Reports Server (NTRS)

    Leon, Rosa P.; Piszczor, Michael F., Jr.

    1985-01-01

    The use of a corrugated surface on a GaAs solar cell and its effects on radiation resistance were studied. A compute code was developed to determine the performance of the cell for various geometric parameters. The large optical absorption coefficient of GaAs allows grooves to be only 4-5 micrometers deep. Using accepted material parameters for GaAs solar cells the theoretical performances were compared for various corrugated cells before and after minority carrier diffusion length degradation. The total power output was maximized for both n(+)/p and p(+)/n cells. Optimum values of 1.0-1.5 and 5.0 micrometers for groove and ridge widths respectively were determined.

  14. Interpolative modeling of GaAs FET S-parameter data bases for use in Monte Carlo simulations

    NASA Technical Reports Server (NTRS)

    Campbell, L.; Purviance, J.

    1992-01-01

    A statistical interpolation technique is presented for modeling GaAs FET S-parameter measurements for use in the statistical analysis and design of circuits. This is accomplished by interpolating among the measurements in a GaAs FET S-parameter data base in a statistically valid manner.

  15. GaAs monolithic RF modules for SARSAT distress beacons

    NASA Technical Reports Server (NTRS)

    Cauley, Michael A.

    1991-01-01

    Monolithic GaAs UHF components for use in SARSAT Emergency Distress beacons are under development by Microwave Monolithics, Inc., Simi Valley, CA. The components include a bi-phase modulator, driver amplifier, and a 5 watt power amplifier.

  16. Low temperature growth and electrical characterization of insulators for GaAs MISFETS

    NASA Technical Reports Server (NTRS)

    Borrego, J. M.; Ghandhi, S. K.

    1981-01-01

    Progress in the low temperature growth of oxides and layers on GaAs and the detailed electrical characterization of these oxides is reported. A plasma anodization system was designed, assembled, and put into operation. A measurement system was assembled for determining capacitance and conductance as a function of gate voltage for frequencies in the range from 1 Hz to 1 MHz. Initial measurements were carried out in Si-SiO2 capacitors in order to test the system and in GaAs MIS capacitors abricated using liquid anodization.

  17. Ferromagnetic GaAs structures with single Mn delta-layer fabricated using laser deposition.

    PubMed

    Danilov, Yuri A; Vikhrova, Olga V; Kudrin, Alexey V; Zvonkov, Boris N

    2012-06-01

    The new technique combining metal-organic chemical vapor epitaxy with laser ablation of solid targets was used for fabrication of ferromagnetic GaAs structures with single Mn delta-doped layer. The structures demonstrated anomalous Hall effect, planar Hall effect, negative and anisotropic magnetoresistance in temperature range of 10-35 K. In GaAs structures with only single Mn delta-layer (without additional 2D hole gas channel or quantum well) ferromagnetism was observed for the first time.

  18. Structural and optical characterization of GaAs nano-crystals selectively grown on Si nano-tips by MOVPE.

    PubMed

    Skibitzki, Oliver; Prieto, Ivan; Kozak, Roksolana; Capellini, Giovanni; Zaumseil, Peter; Arroyo Rojas Dasilva, Yadira; Rossell, Marta D; Erni, Rolf; von Känel, Hans; Schroeder, Thomas

    2017-03-01

    We present the nanoheteroepitaxial growth of gallium arsenide (GaAs) on nano-patterned silicon (Si) (001) substrates fabricated using a CMOS technology compatible process. The selective growth of GaAs nano-crystals (NCs) was achieved at 570 °C by MOVPE. A detailed structure and defect characterization study of the grown nano-heterostructures was performed using scanning transmission electron microscopy, x-ray diffraction, micro-Raman, and micro-photoluminescence (μ-PL) spectroscopy. The results show single-crystalline, nearly relaxed GaAs NCs on top of slightly, by the SiO 2 -mask compressively strained Si nano-tips (NTs). Given the limited contact area, GaAs/Si nanostructures benefit from limited intermixing in contrast to planar GaAs films on Si. Even though a few growth defects (e.g. stacking faults, micro/nano-twins, etc) especially located at the GaAs/Si interface region were detected, the nanoheterostructures show intensive light emission, as investigated by μ-PL spectroscopy. Achieving well-ordered high quality GaAs NCs on Si NTs may provide opportunities for superior electronic, photonic, or photovoltaic device performances integrated on the silicon technology platform.

  19. GaAs monolayer: Excellent SHG responses and semi metallic to metallic transition modulated by vacancy effect

    NASA Astrophysics Data System (ADS)

    Rozahun, Ilmira; Bahti, Tohtiaji; He, Guijie; Ghupur, Yasenjan; Ablat, Abduleziz; Mamat, Mamatrishat

    2018-05-01

    Monolayer materials are considered as a promising candidate for novel applications due to their attractive magnetic, electronic and optical properties. Investigation on nonlinear optical (NLO) properties and effect of vacancy on monolayer materials are vital to property modulations of monolayers and extending their applications. In this work, with the aid of first-principles calculations, the crystal structure, electronic, magnetic, and optical properties of GaAs monolayers with the vacancy were investigated. The result shows gallium arsenic (GaAs) monolayer produces a strong second harmonic generation (SHG) response. Meanwhile, the vacancy strongly affects structural, electronic, magnetic and optical properties of GaAs monolayers. Furthermore, arsenic vacancy (VAs) brings semi metallic to metallic transition, while gallium vacancy (VGa) causes nonmagnetic to magnetic conversion. Our result reveals that GaAs monolayer possesses application potentials in Nano-amplifying modulator and Nano-optoelectronic devices, and may provide useful guidance in designing new generation of Nano-electronic devices.

  20. Formation of a pn junction on an anisotropically etched GaAs surface using metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Leon, R. P.; Bailey, S. G.; Mazaris, G. A.; Williams, W. D.

    1986-01-01

    A continuous p-type GaAs epilayer has been deposited on an n-type sawtooth GaAs surface using MOCVD. A wet chemical etching process was used to expose the intersecting (111)Ga and (-1 -1 1)Ga planes with 6-micron periodicity. Charge-collection microscopy was used to verify the presence of the pn junction thus formed and to measure its depth. The ultimate goal of this work is to fabricate a V-groove GaAs cell with improved absorptivity, high short-circuit current, and tolerance to particle radiation.

  1. Comparative research on activation technique for GaAs photocathodes

    NASA Astrophysics Data System (ADS)

    Chen, Liang; Qian, Yunsheng; Chang, Benkang; Chen, Xinlong; Yang, Rui

    2012-03-01

    The properties of GaAs photocathodes mainly depend on the material design and activation technique. In early researches, high-low temperature two-step activation has been proved to get more quantum efficiency than high-temperature single-step activation. But the variations of surface barriers for two activation techniques have not been well studied, thus the best activation temperature, best Cs-O ratio and best activation time for two-step activation technique have not been well found. Because the surface photovoltage spectroscopy (SPS) before activation is only in connection with the body parameters for GaAs photocathode such as electron diffusion length and the spectral response current (SRC) after activation is in connection with not only body parameters but also surface barriers, thus the surface escape probability (SEP) can be well fitted through the comparative research between SPS before activation and SEP after activation. Through deduction for the tunneling process of surface barriers by Schrödinger equation, the width and height for surface barrier I and II can be well fitted through the curves of SEP. The fitting results were well proved and analyzed by quantitative analysis of angle-dependent X-ray photoelectron spectroscopy (ADXPS) which can also study the surface chemical compositions, atomic concentration percentage and layer thickness for GaAs photocathodes. This comparative research method for fitting parameters of surface barriers through SPS before activation and SRC after activation shows a better real-time in system method for the researches of activation techniques.

  2. Displacement damage and predicted non-ionizing energy loss in GaAs

    NASA Astrophysics Data System (ADS)

    Gao, Fei; Chen, Nanjun; Hernandez-Rivera, Efrain; Huang, Danhong; LeVan, Paul D.

    2017-03-01

    Large-scale molecular dynamics (MD) simulations, along with bond-order interatomic potentials, have been applied to study the defect production for lattice atom recoil energies from 500 eV to 20 keV in gallium arsenide (GaAs). At low energies, the most surviving defects are single interstitials and vacancies, and only 20% of the interstitial population is contained in clusters. However, a direct-impact amorphization in GaAs occurs with a high degree of probability during the cascade lifetime for Ga PKAs (primary knock-on atoms) with energies larger than 2 keV. The results reveal a non-linear defect production that increases with the PKA energy. The damage density within a cascade core is evaluated, and used to develop a model that describes a new energy partition function. Based on the MD results, we have developed a model to determine the non-ionizing energy loss (NIEL) in GaAs, which can be used to predict the displacement damage degradation induced by space radiation on electronic components. The calculated NIEL predictions are compared with the available data, thus validating the NIEL model developed in this study.

  3. GaAs Optoelectronic Integrated-Circuit Neurons

    NASA Technical Reports Server (NTRS)

    Lin, Steven H.; Kim, Jae H.; Psaltis, Demetri

    1992-01-01

    Monolithic GaAs optoelectronic integrated circuits developed for use as artificial neurons. Neural-network computer contains planar arrays of optoelectronic neurons, and variable synaptic connections between neurons effected by diffraction of light from volume hologram in photorefractive material. Basic principles of neural-network computers explained more fully in "Optoelectronic Integrated Circuits For Neural Networks" (NPO-17652). In present circuits, devices replaced by metal/semiconductor field effect transistors (MESFET's), which consume less power.

  4. Expanded GAA repeats impair FXN gene expression and reposition the FXN locus to the nuclear lamina in single cells.

    PubMed

    Silva, Ana M; Brown, Jill M; Buckle, Veronica J; Wade-Martins, Richard; Lufino, Michele M P

    2015-06-15

    Abnormally expanded DNA repeats are associated with several neurodegenerative diseases. In Friedreich's ataxia (FRDA), expanded GAA repeats in intron 1 of the frataxin gene (FXN) reduce FXN mRNA levels in averaged cell samples through a poorly understood mechanism. By visualizing FXN expression and nuclear localization in single cells, we show that GAA-expanded repeats decrease the number of FXN mRNA molecules, slow transcription, and increase FXN localization at the nuclear lamina (NL). Restoring histone acetylation reverses NL positioning. Expanded GAA-FXN loci in FRDA patient cells show increased NL localization with increased silencing of alleles and reduced transcription from alleles positioned peripherally. We also demonstrate inefficiencies in transcription initiation and elongation from the expanded GAA-FXN locus at single-cell resolution. We suggest that repressive epigenetic modifications at the expanded GAA-FXN locus may lead to NL relocation, where further repression may occur. © The Author 2015. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  5. Room Temperature Sensing Achieved by GaAs Nanowires and oCVD Polymer Coating.

    PubMed

    Wang, Xiaoxue; Ermez, Sema; Goktas, Hilal; Gradečak, Silvija; Gleason, Karen

    2017-06-01

    Novel structures comprised of GaAs nanowire arrays conformally coated with conducting polymers (poly(3,4-ethylenedioxythiophene) (PEDOT) or poly(3,4-ethylenedioxythiophene-co-3-thiophene acetic acid) display both sensitivity and selectivity to a variety of volatile organic chemicals. A key feature is room temperature operation, so that neither a heater nor the power it would consume, is required. It is a distinct difference from traditional metal oxide sensors, which typically require elevated operational temperature. The GaAs nanowires are prepared directly via self-seeded metal-organic chemical deposition, and conducting polymers are deposited on GaAs nanowires using oxidative chemical vapor deposition (oCVD). The range of thickness for the oCVD layer is between 100 and 200 nm, which is controlled by changing the deposition time. X-ray diffraction analysis indicates an edge-on alignment of the crystalline structure of the PEDOT coating layer on GaAs nanowires. In addition, the positive correlation between the improvement of sensitivity and the increasing nanowire density is demonstrated. Furthermore, the effect of different oCVD coating materials is studied. The sensing mechanism is also discussed with studies considering both nanowire density and polymer types. Overall, the novel structure exhibits good sensitivity and selectivity in gas sensing, and provides a promising platform for future sensor design. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Temperature dependent GaAs MMIC radiation effects

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Anderson, W.T.; Roussos, J.A.; Gerdes, J.

    1993-12-01

    The temperature dependence of pulsed neutron and flash x-ray radiation effects was studied in GaAs MMICs. Above room temperature the long term current transients are dominated by electron trapping in previously existing defects. At low temperature in the range 126 to 259 K neutron induced lattice damage appears to play an increasingly important role in producing long term current transients.

  7. Superpulsed (Ga-As, 904 nm) low-level laser therapy (LLLT) attenuates inflammatory response and enhances healing of burn wounds.

    PubMed

    Gupta, Asheesh; Keshri, Gaurav K; Yadav, Anju; Gola, Shefali; Chauhan, Satish; Salhan, Ashok K; Bala Singh, Shashi

    2015-06-01

    Low-level laser therapy (LLLT) using superpulsed near-infrared light can penetrate deeper in the injured tissue and could allow non-pharmacological treatment for chronic wound healing. This study investigated the effects of superpulsed laser (Ga-As 904 nm, 200 ns pulse width; 100 Hz; 0.7 mW mean output power; 0.4 mW/cm(2) average irradiance; 0.2 J/cm(2) total fluence) on the healing of burn wounds in rats, and further explored the probable associated mechanisms of action. Irradiated group exhibited enhanced DNA, total protein, hydroxyproline and hexosamine contents compared to the control and silver sulfadiazine (reference care) treated groups. LLLT exhibited decreased TNF-α level and NF-kB, and up-regulated protein levels of VEGF, FGFR-1, HSP-60, HSP-90, HIF-1α and matrix metalloproteinases-2 and 9 compared to the controls. In conclusion, LLLT using superpulsed 904 nm laser reduced the inflammatory response and was able to enhance cellular proliferation, collagen deposition and wound contraction in the repair process of burn wounds. Photomicrographs showing no, absence inflammation and faster wound contraction in LLLT superpulsed (904 nm) laser treated burn wounds as compared to the non-irradiated control and silver sulfadiazine (SSD) ointment (reference care) treated wounds. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Design and implementation of GaAs HBT circuits with ACME

    NASA Technical Reports Server (NTRS)

    Hutchings, Brad L.; Carter, Tony M.

    1993-01-01

    GaAs HBT circuits offer high performance (5-20 GHz) and radiation hardness (500 Mrad) that is attractive for space applications. ACME is a CAD tool specifically developed for HBT circuits. ACME implements a novel physical schematic-capture design technique where designers simultaneously view the structure and physical organization of a circuit. ACME's design interface is similar to schematic capture; however, unlike conventional schematic capture, designers can directly control the physical placement of both function and interconnect at the schematic level. In addition, ACME provides design-time parasitic extraction, complex wire models, and extensions to Multi-Chip Modules (MCM's). A GaAs HBT gate-array and semi-custom circuits have been developed with ACME; several circuits have been fabricated and found to be fully functional .

  9. E+ Transition in GaAs1-xNx and GaAs1-xBix Due to Isoelectronic-Impurity-Induced Perturbation of the Conduction Band

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fluegel, B.; Mascarenhas, A.; Ptak, A. J.

    2007-01-01

    An above-band-gap transition E{sub +} is experimentally observed in the dilute GaAs{sub 1-x}Bi{sub x} alloy. Precise measurements at very low dilutions are made of the above-band-gap transition E{sub +} that is observed in GaAs{sub 1-x}N{sub x}, making it possible to compare the behavior of the different isoelectronic traps Bi and N in the common host GaAs with respect to their perturbation to the host electronic structure. We suggest that the origin of the E{sub +} level observed in GaAs is not the isolated isoelectronic impurity level N{sub x}, as is presumed in the band-anticrossing model, but rather the isoelectronic-impurity-induced perturbationmore » of the conduction band L{sub 6}{sup c}.« less

  10. All-optical switching in GaAs microdisk resonators by a femtosecond pump-probe technique through tapered-fiber coupling.

    PubMed

    Lin, Yen-Chih; Mao, Ming-Hua; Lin, You-Ru; Lin, Hao-Hsiung; Lin, Che-An; Wang, Lon A

    2014-09-01

    We demonstrate ultrafast all-optical switching in GaAs microdisk resonators using a femtosecond pump-probe technique through tapered-fiber coupling. The temporal tuning of the resonant modes resulted from the refractive index change due to photoexcited carrier density variation inside the GaAs microdisk resonator. Transmission through the GaAs microdisk resonator can be modulated by more than 10 dB with a switching time window of 8 ps in the switch-off operation using pumping pulses with energies as low as 17.5 pJ. The carrier lifetime was fitted to be 42 ps, much shorter than that of the bulk GaAs, typically of the order of nanoseconds. The above observation indicates that the surface recombination plays an important role in increasing the switching speed.

  11. Defect annealing of alpha-particle irradiated n-GaAs

    NASA Astrophysics Data System (ADS)

    Goodman, S. A.; Auret, F. D.; Myburg, G.

    1994-09-01

    The annealing behaviour of irradiation induced defects in n-type GaAs irradiated at 300 K with 5.4 MeV alpha-particles from an americium-241 (Am-241) radio nuclide have been investigated. The annealing kinetics are presented for the alpha-particle induced defects Eα1 Eα5 detected in Organo-Metallic Vapor Phase Epitaxially (OMVPE) grown n-GaAs doped with silicon to 1.2×1016 cm-3, these kinetics are compared to those obtained for similar defects (E1 E5) detected after electron irradiation. While defects Pα1 and Pα2 were detected after removal of the electron defects Eα4 and Eα5, respectively, a new defect labelled Pα0, located 0.152 eV below the conduction band, was introduced by annealing. The thermal behaviour and trap characteristics of these three defects (Pα0 Pα2) are presented. In an attempt to further characterise defects Pα0 and Pα1 a preiliminary study investigating the emission rate field dependence of these defects was conducted, it was observed that defect Pα0 exhibited a fairly strong field dependence while Pα1 exhibited a much weaker dependence.

  12. GaAs CLEFT solar cells for space applications. [CVD thin film growth technology

    NASA Technical Reports Server (NTRS)

    Fan, J. C. C.; Mcclelland, R. W.; King, B. D.

    1984-01-01

    Although GaAs solar cells are radiation-resistant and have high conversion efficiencies, there are two major obstacles that such cells must overcome before they can be widely adopted for space applications: GaAs wafers are too expensive and cells made from these wafers are too heavy. The CLEFT process permits the growth of thin single-crystal films on reusable substrates, resulting in a drastic reduction in both cell cost and cell weight. Recent advances in CLEFT technology have made it possible to achieve efficiencies of about 14 percent AM0 for 0.51-sq cm GaAs solar cells 5 microns thick with a 41-mil-thick coverglass. In preliminary experiments efficiencies close to 19 percent AM1 have been obtained for 10-micron-thick cells. It is suggested that the CLEFT technology should yield inexpensive, highly efficient modules with a beginning-of-life specific power close to 1 kW/kg (for a coverglass thickness of 4 mils).

  13. Dual-gate GaAs FET switches

    NASA Astrophysics Data System (ADS)

    Vorhaus, J. L.; Fabian, W.; Ng, P. B.; Tajima, Y.

    1981-02-01

    A set of multi-pole, multi-throw switch devices consisting of dual-gate GaAs FET's is described. Included are single-pole, single-throw (SPST), double-pole, double-throw (DPDT), and single-pole four-throw (SP4T) switches. Device fabrication and measurement techniques are discussed. The device models for these switches were based on an equivalent circuit of a dual-gate FET. The devices were found to have substantial gain in X-band and low Ku-band.

  14. High voltage photovoltaic power converter

    DOEpatents

    Haigh, Ronald E.; Wojtczuk, Steve; Jacobson, Gerard F.; Hagans, Karla G.

    2001-01-01

    An array of independently connected photovoltaic cells on a semi-insulating substrate contains reflective coatings between the cells to enhance efficiency. A uniform, flat top laser beam profile is illuminated upon the array to produce electrical current having high voltage. An essentially wireless system includes a laser energy source being fed through optic fiber and cast upon the photovoltaic cell array to prevent stray electrical signals prior to use of the current from the array. Direct bandgap, single crystal semiconductor materials, such as GaAs, are commonly used in the array. Useful applications of the system include locations where high voltages are provided to confined spaces such as in explosive detonation, accelerators, photo cathodes and medical appliances.

  15. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to < 11\\bar{1}> . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  16. Second harmonic generation in photonic crystal cavities in (111)-oriented GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Buckley, Sonia, E-mail: bucklesm@stanford.edu; Radulaski, Marina; Vučković, Jelena

    2013-11-18

    We demonstrate second harmonic generation at telecommunications wavelengths in photonic crystal cavities in (111)-oriented GaAs. We fabricate 30 photonic crystal structures in both (111)- and (100)-oriented GaAs and observe an increase in generated second harmonic power in the (111) orientation, with the mean power increased by a factor of 3, although there is a large scatter in the measured values. We discuss possible reasons for this increase, in particular, the reduced two photon absorption for transverse electric modes in (111) orientation, as well as a potential increase due to improved mode overlap.

  17. Fabrication of p(+)-n junction GaAs solar cells by a novel method

    NASA Technical Reports Server (NTRS)

    Ghandhi, S. K.; Mathur, G.; Rode, H.; Borrego, J. M.

    1984-01-01

    A novel method for making p(+)-n diffused junction GaAs solar cells, with the formation of a diffusion source, an anti-reflective coating, and a protective cover glass in a single chemical-vapor deposition operation is discussed. Consideration is given to device fabrication and to solar-cell characteristics. The advantages of the technique are that the number of process steps is kept to an absolute minimum, the fabrication procedure is low-cost, and the GaAs surface is protected during the entire operation.

  18. Fabrication, testing and reliability modeling of copper/titanium-metallized GaAs MESFETs and HEMTs for low-noise applications

    NASA Astrophysics Data System (ADS)

    Feng, Ting

    Today, GaAs based field effect transistors (FETs) have been used in a broad range of high-speed electronic military and commercial applications. However, their reliability still needs to be improved. Particularly the hydrogen induced degradation is a large remaining issue in the reliability of GaAs FETs, because hydrogen can easily be incorporated into devices during the crystal growth and virtually every device processing step. The main objective of this research work is to develop a new gate metallization system in order to reduce the hydrogen induced degradation from the gate region for GaAs based MESFETs and HEMTs. Cu/Ti gate metallization has been introduced into the GaAs MESFETs and HEMTs in our work in order to solve the hydrogen problem. The purpose of the use of copper is to tie up the hydrogen atoms and prevent hydrogen penetration into the device active region as well as to keep a low gate resistance for low noise applications. In this work, the fabrication technology of GaAs MESFETs and AlGaAs/GaAs HEMTs with Cu/Ti metallized gates have been successfully developed and the fabricated Cu/Ti FETs have shown comparable DC performance with similar Au-based GaAs FETs. The Cu/Ti FETs were subjected to temperature accelerated testing at NOT under 5% hydrogen forming gas and the experimental results show the hydrogen induced degradation has been reduced for the Cu/Ti FETs compared to commonly used AuPtTi based GaAs FETs. A long-term reliability testing for Cu/Ti FETs has also been carried out at 200°C and up to 1000hours and testing results show Cu/Ti FETs performed with adequate reliability. The failure modes were found to consist of a decrease in drain saturation current and pinch-off voltage and an increase in source ohmic contact resistance. Material characterization tools including Rutherford backscattering spectroscopy and a back etching technique were used in Cu/Ti GaAs FETs, and pronounced gate metal copper in-diffusion and intermixing compounds at the

  19. High Quality GaAs Growth by MBE on Si Using GeSi Buffers and Prospects for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.

    2005-01-01

    III-V solar cells on Si substrates are of interest for space photovoltaics since this would combine high performance space cells with a strong, lightweight and inexpensive substrate. However, the primary obstacles blocking III-V/Si cells from achieving high performance to date have been fundamental materials incompatabilities, namely the 4% lattice mismatch between GaAs and Si, and the large mismatch in thermal expansion coefficient. In this paper, we report on the molecular beam epitaxial (MBE) growth and properties of GaAs layers and single junction GaAs cells on Si wafers which utilize compositionally graded GeSi Intermediate buffers grown by ultra-high vacuum chemical vapor deposition (UHVCVD) to mitigate the large lattice mismatch between GaAs and Si. Ga As cell structures were found to incorporate a threading dislocation density of 0.9-1.5 x 10 (exp 6) per square centimeter, identical to the underlying relaxed Ge cap of the graded buffer, via a combination of transmission electron microscopy, electron beam induced current, and etch pit density measurements. AlGaAs/GaAs double heterostructures wre grown on the GeSi/Si substrates for time-resolved photoluminescence measurements, which revealed a bulk GaAs minority carrier lifetime in excess of 10 ns, the highest lifetime ever reported for GaAs on Si. A series of growth were performed to ass3ss the impact of a GaAs buffer to a thickness of only 0.1 micrometer. Secondary ion mass spectroscopy studies revealed that there is negligible cross diffusion of Ga, As and Ge at he III-V/Ge interface, identical to our earlier findings for GaAs grown on Ge wafers using MBE. This indicates that there is no need for a buffer to "bury" regions of high autodopjing,a nd that either pn or np configuration cells are easily accomodated by these substrates. Preliminary diodes and single junction Al Ga As heteroface cells were grown and fabricated on the Ge/GeSi/Si substrates for the first time. Diodes fabricated on GaAs, Ge and Ge

  20. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1986-01-01

    It was established that the findings on elemental semiconductors Ge and Si regarding crystal growth, segregation, chemical composition, defect interactions, and materials properties-electronic properties relationships are not necessarily applicable to GaAs (and to other semiconductor compounds). In many instances totally unexpected relationships were found to prevail. It was further established that in compound semiconductors with a volatile constituent, control of stoichiometry is far more critical than any other crystal growth parameter. It was also shown that, due to suppression of nonstoichiometric fluctuations, the advantages of space for growth of semiconductor compounds extend far beyond those observed in elemental semiconductors. A novel configuration was discovered for partial confinement of GaAs melt in space which overcomes the two major problems associated with growth of semiconductors in total confinement. They are volume expansion during solidification and control of pressure of the volatile constituent. These problems are discussed in detail.

  1. Ion-beam doping of GaAs with low-energy (100 eV) C + using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Iida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV-30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C+) irradiation during MBE growth of GaAs was carried out at substrate temperatures Tg between 500 and 590 °C. C+-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. CAs acceptor-related emissions such as ``g,'' [g-g], and [g-g]β are observed and their spectra are significantly changed with increasing C+ beam current density Ic. PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for Tg as low as 500 °C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C+ with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  2. Ion-beam doping of GaAs with low-energy (100 eV) C(+) using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-Ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV - 30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C(+)) irradiation during MBE growth of GaAs was carried out at substrate temperatures T(sub g) between 500 and 590 C. C(+)-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. C(sub As) acceptor-related emissions such as 'g', (g-g), and (g-g)(sub beta) are observed and their spectra are significantly changed with increasing C(+) beam current density I(sub c). PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for T(sub g) as low as 500 C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C(+) with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  3. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    PubMed

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  4. Calculations of the displacement damage and short-circuit current degradation in proton irradiated (AlGa)As-GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Yeh, C. S.; Li, S. S.; Loo, R. Y.

    1987-01-01

    A theoretical model for computing the displacement damage defect density and the short-circuit current (I sub sc) degradation in proton-irradiated (AlGa)As-GaAs p-n junction solar cells is presented. Assumptions were made with justification that the radiation induced displacement defects form an effective recombination center which controls the electron and hole lifetimes in the junction space charge region and in the n-GaAs active layer of the irradiated GaAs p-n junction cells. The degradation of I sub sc in the (AlGa)As layer was found to be negligible compared to the total degradation. In order to determine the I sub sc degradation, the displacement defect density, path length, range, reduced energy after penetrating a distance x, and the average number of displacements formed by one proton scattering event were first calculated. The I sub sc degradation was calculated by using the electron capture cross section in the p-diffused layer and the hole capture cross section in the n-base layer as well as the wavelength dependent absorption coefficients. Excellent agreement was found between the researchers calculated values and the measured I sub sc in the proton irradiated GaAs solar cells for proton energies of 100 KeV to 10 MeV and fluences from 10 to the 10th power p/square cm to 10 to the 12th power p/square cm.

  5. Formation of two-dimensionally confined superparamagnetic (Mn, Ga)As nanocrystals in high-temperature annealed (Ga, Mn)As/GaAs superlattices.

    PubMed

    Sadowski, Janusz; Domagala, Jaroslaw Z; Mathieu, Roland; Kovacs, Andras; Dłużewski, Piotr

    2013-05-15

    The annealing-induced formation of (Mn, Ga)As nanocrystals in (Ga, Mn)As/GaAs superlattices was studied by x-ray diffraction, transmission electron microscopy and magnetometry. The superlattice structures with 50 Å thick (Ga, Mn)As layers separated by 25, 50 and 100 Å thick GaAs spacers were grown by molecular beam epitaxy at low temperature (250 °C), and then annealed at high temperatures of 400, 560 and 630 °C. The high-temperature annealing causes decomposition to a (Ga, Mn)As ternary alloy and the formation of (Mn, Ga)As nanocrystals inside the GaAs matrix. The nanocrystals are confined in the planes that were formerly occupied by (Ga, Mn)As layers for the up to 560 °C annealing and diffuse throughout the GaAs spacer layers at 630 °C annealing. The two-dimensionally confined nanocrystals exhibit a superparamagnetic behavior which becomes high-temperature ferromagnetism (~350 K) upon diffusion.

  6. High purity, low dislocation GaAs single crystals

    NASA Technical Reports Server (NTRS)

    Chen, R. T.; Holmes, D. E.; Kirkpatrick, C. G.

    1983-01-01

    Liquid encapsulated Czochralski crystal growth techniques for producing undoped, high resistivity, low dislocation material suitable for device applications is described. Technique development resulted in reduction of dislocation densities in 3 inch GaAs crystals. Control over the melt stoichiometry was determined to be of critical importance for the reduction of twinning and polycrystallinity during growth.

  7. Femtosecond coherent emission from GaAs bulk microcavities

    NASA Astrophysics Data System (ADS)

    Gurioli, Massimo; Bogani, Franco; Ceccherini, Simone; Colocci, Marcello; Beltram, Fabio; Sorba, Lucia

    1999-02-01

    The emission from a λ/2 GaAs bulk microcavity resonantly excited by femtosecond pulses has been characterized by using an interferometric correlation technique. It is found that the emission is dominated by the coherent signal due to light elastically scattered by disorder, and that scattering is predominantly originated from the lower polariton branch.

  8. Mesoscopic homogenization of semi-insulating GaAs by two-step post growth annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hoffmann, B.; Jurisch, M.; Koehler, A.

    1996-12-31

    Mesoscopic homogenization of the electrical properties of s.i. LEC-GaAs is commonly realized by thermal treatment of the crystals including the steps of dissolution of arsenic precipitates, homogenization of excess As and re-precipitation by creating a controlled supersaturation. Caused by the inhomogeneous distribution of dislocations and the corresponding cellular structure along and across LEC-grown crystals a proper choice of the time-temperature program is necessary to minimize fluctuations of mesoscopic homogeneity. A modified two-step ingot annealing process is demonstrated to ensure the homogeneous distribution of mesoscopic homogeneity.

  9. Tunneling effect on double potential barriers GaAs and PbS

    NASA Astrophysics Data System (ADS)

    Prastowo, S. H. B.; Supriadi, B.; Ridlo, Z. R.; Prihandono, T.

    2018-04-01

    A simple model of transport phenomenon tunnelling effect through double barrier structure was developed. In this research we concentrate on the variation of electron energy which entering double potential barriers to transmission coefficient. The barriers using semiconductor materials GaAs (Galium Arsenide) with band-gap energy 1.424 eV, distance of lattice 0.565 nm, and PbS (Lead Sulphide) with band gap energy 0.41 eV distance of lattice is 18 nm. The Analysisof tunnelling effect on double potentials GaAs and PbS using Schrodinger’s equation, continuity, and matrix propagation to get transmission coefficient. The maximum energy of electron that we use is 1.0 eV, and observable from 0.0025 eV- 1.0 eV. The shows the highest transmission coefficient is0.9982 from electron energy 0.5123eV means electron can pass the barriers with probability 99.82%. Semiconductor from materials GaAs and PbS is one of selected material to design semiconductor device because of transmission coefficient directly proportional to bias the voltage of semiconductor device. Application of the theoretical analysis of resonant tunnelling effect on double barriers was used to design and develop new structure and combination of materials for semiconductor device (diode, transistor, and integrated circuit).

  10. Engineering Controlled Spalling in (100)-Oriented GaAs for Wafer Reuse

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sweet, Cassi A.; McNeely, Joshua E.; Gorman, Brian

    Controlled spalling offers a way to cleave thin, single-crystal films or devices from wafers, particularly if the fracture planes in the material are oriented parallel to the wafer surface. Unfortunately, misalignment between the favored fracture planes and the wafer surface preferred for photovoltaic growth in (100)-oriented GaAs produces a highly faceted surface when subject to controlled spalling. This highly faceted cleavage surface is problematic in several ways: (1) it can result in large variations of spall depth due to unstable crack propagation; (2) it may introduce defects into the device zone or underlying substrate; and (3) it consumes many micronsmore » of material outside of the device zone. We present the ways in which we have engineered controlled spalling for (100)-oriented GaAs to minimize these effects. We expand the operational window for controlled spalling to avoid spontaneous spalling, find no evidence of dislocation activity in the spalled film or the parent wafer, and reduce facet height and facet height irregularity. Resolving these issues provides a viable path forward for reducing III-V device cost through the controlled spalling of (100)-oriented GaAs devices and subsequent wafer reuse when these processes are combined with a high-throughput growth method such as Hydride Vapor Phase Epitaxy.« less

  11. High-voltage lateral double-implanted MOSFETs implemented on high-purity semi-insulating 4H-SiC substrates with gate field plates

    NASA Astrophysics Data System (ADS)

    Seok, Ogyun; Kim, Hyoung Woo; Moon, Jeong Hyun; Lee, Hyun-Su; Bahng, Wook

    2018-06-01

    Lateral double-implanted MOSFETs (LDIMOSFETs) fabricated on on-axis high-purity semi-insulating (HPSI) 4H-SiC substrates with gate field plates have been demonstrated for the enhancement of reverse blocking capability. The effects of gate field plate on LDIMOSFET were analyzed by simulation and experimental methods. The electric field concentration at the gate edge was successfully suppressed by a gate field plate. A high breakdown voltage of 934 V and a figure of merit of 14.6 MW/cm2 were achieved at L FP of 2 µm and L drift of 15 µm, while those of the conventional device without a gate field plate were 744 V and 13.3 MW/cm2, respectively. Also, the fabricated device shows stable blocking characteristics at a high temperature of 250 °C. The drain leakage was increased by only 22% at 250 °C compared with that at room temperature.

  12. Molecular beam epitaxial growth and structural characterization of ZnS on (001) GaAs

    NASA Technical Reports Server (NTRS)

    Benz, R. G., II; Huang, P. C.; Stock, S. R.; Summers, C. J.

    1988-01-01

    The effect of surface nucleation processes on the quality of ZnS layers grown on (001) GaAs substrates by molecular beam epitaxy is reported. Reflection high energy electron diffraction indicated that nucleation at high temperatures produced more planar surfaces than nucleation at low temperatures, but the crystalline quality as assessed by X-ray double crystal diffractometry is relatively independent of nucleation temperature. A critical factor in layer quality was the initial roughness of the GaAs surfaces.

  13. Diffraction studies of the high pressure phases of GaAs and GaP

    NASA Technical Reports Server (NTRS)

    Baublitz, M., Jr.; Ruoff, A. L.

    1982-01-01

    High pressure structural phase transitions of GaAs and GaP have been studied by energy dispersive X-ray diffraction with the radiation from the Cornell High Energy Synchrotron Source. GaAs began to transform at 172 + or - 7 kbar to an orthorhombic structure possibly belonging to space group Fmmm. GaP transformed to a tetragonal beta-Sn type phase at 215 + or - 8 kbar. Although pressure transmitting media were used to minimize shear stresses in the specimens, the high pressure diffraction results were interpreted as showing evidence for planar defects in the specimens.

  14. Velocity surface measurements for ZnO films over /001/-cut GaAs

    NASA Technical Reports Server (NTRS)

    Kim, Yoonkee; Hunt, William D.; Liu, Yongsheng; Jen, Cheng-Kuei

    1994-01-01

    A potential application for a piezoelectic film deposited on a GaAs substrate is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the filmed structure is critical for the optimum design of such devices. In this article, the measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metallized ZnO/SiO2 or Si3N4/GaAs /001/-cut samples are reported using two different techniques: (1) knife-edge laser probe, (2) line-focus-beam scanning acoustic microscope. Comparisons, such as measurement accuracy and tradeoffs, between the former (dry) and the latter (wet) method are given. It is found that near the group of zone axes (110) propagation direction the autocollimating SAW property of the bare GaAs changes into a noncollimating one for the layered structure, but a reversed phenomenon exists near the group of zone axes (100) direction. The passivation layer of SiO2 or Si3N4 (less than 0.2 micrometer thick) and the metallization layer change the relative velocity but do not significantly affect the velocity surface. On the other hand, the passivation layer reduces the propagation loss by 0.5-1.3 dB/microseconds at 240 MHz depending upon the ZnO film thickness. Our SAW propagation measurements agree well with theorectical calculations. We have also obtained the anisotropy factors for samples with ZnO films of 1.6, 2.8, and 4.0 micrometer thickness. Comparisons concerning the piezoelectric coupling and acoustic loss between dc triode and rf magnetron sputtered ZnO films are provided.

  15. Scaling behavior of GaAs and GaMnAs quantum rings grown by droplet epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Placidi, E.; Dipartimento di Fisica, Universita di Roma 'Tor Vergata,' via della Ricerca Scientifica 1, 00133 Roma Italy; Arciprete, F.

    2012-10-01

    The transition from the liquid phase of Ga droplets to the formation of GaAs and GaMnAs quantum rings has been studied as a function of temperature. We show that different aggregation processes involve the GaAs (GaMnAs) island and the droplet formation. Furthermore, the aspect ratio of the islands exhibits an anomalous scaling law related to a tendency to aggregate in the vertical direction.

  16. Capless Annealing of Ion Implanted GaA.

    DTIC Science & Technology

    1980-12-01

    1967). 10. " Thermophysical Properties of Matter," edited by Y. S. Touloukian (Plenum, New York, 1977), v. 13. 11. J. F. Gibbons, W. S. Johnson and S. W...temperatures of 850 C. Using rf spark-source mass spectrometry, an As con- centration in excess of the equilibrium value of As over GaAs at the annealing...38 4.0 SUMMARY AND RECOMMENDATIONS ................... *.* ...... ..... 46 5.0 REFERENCES ..................... *.. o

  17. LEO Flight Testing of GaAs on Si Solar Cells Aboard MISSES

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Clark, Eric B.; Ringel, Steven A.; Andre, Carrie L.; Smith, Mark A.; Scheiman, David A.; Jenkins, Phillip P.; Maurer, William F.; Fitzgerald, Eugene A.; Walters, R. J.

    2004-01-01

    Previous research efforts have demonstrated small area (0.04 cm) GaAs on Si (GaAs/Si) solar cells with AM0 efficiencies in excess of 17%. These results were achieved on Si substrates coated with a step graded buffer of Si(x),Ge(1-x) alloys graded to 100% Ge. Recently, a 100-fold increase in device area was accomplished for these devices in preparation for on-orbit testing of this technology aboard Materials International Space Station Experiment number 5 (MISSE5). The GaAs/Si MISSE5 experiment contains five (5) GaAs/Si test devices with areas of lcm(exp 2) and 4cm(exp 4) as well as two (2) GaAs on GaAs control devices. Electrical performance data, measured on-orbit for three (3) of the test devices and one (1) of the control devices, will be telemetered to ground stations daily. After approximately one year on orbit, the MISSE5 payload will be returned to Earth for post flight evaluation. This paper will discuss the development of the GaAs/Si devices for the MISSE5 flight experiment and will present recent ground and on-orbit performance data.

  18. Nanoepitaxy of GaAs on a Si(001) substrate using a round-hole nanopatterned SiO2 mask.

    PubMed

    Hsu, Chao-Wei; Chen, Yung-Feng; Su, Yan-Kuin

    2012-12-14

    GaAs is grown by metal-organic vapor-phase epitaxy on a 55 nm round-hole patterned Si substrate with SiO(2) as a mask. The threading dislocations, which are stacked on the lowest energy facet plane, move along the SiO(2) walls, reducing the number of dislocations. The etching pit density of GaAs on the 55 nm round-hole patterned Si substrate is about 3.3 × 10(5) cm(-2). Compared with the full width at half maximum measurement from x-ray diffraction and photoluminescence spectra of GaAs on a planar Si(001) substrate, those of GaAs on the 55 nm round-hole patterned Si substrate are reduced by 39.6 and 31.4%, respectively. The improvement in material quality is verified by transmission electron microscopy, field-emission scanning electron microscopy, Hall measurements, Raman spectroscopy, photoluminescence, and x-ray diffraction studies.

  19. GaAs monolithic R.F. modules for SARSAT distress beacons

    NASA Technical Reports Server (NTRS)

    Cauley, Michael A.

    1991-01-01

    Monolithic GaAs UHF components for use in SARSAT Emergency Distress beacons are under development by Microwave Monolithics, Inc., Simi Valley, CA. The components include a bi-phase modulator, driver amplifier, and a 5 watt power amplifier.

  20. Photoelectron and Auger electron diffraction studies of a sulfur-terminated GaAs(001)-(2×6) surface

    NASA Astrophysics Data System (ADS)

    Shimoda, M.; Tsukamoto, S.; Koguchi, N.

    1998-01-01

    Core-level X-ray photoelectron diffraction (XPD) and Auger electron diffraction (AED) have been applied to investigate the sulfur-terminated GaAs(001)-(2×6) surface. No forward scattering peaks were found in the XPD pattern of S 2s emission, indicating that adsorbed S atoms form a single layer on the GaAs substrate. In accordance with the zincblende structure of GaAs, the AED patterns of Ga L 3M 45M 45 and As L 3M 45M 45 emission almost coincide with each other, if one of the emissions is rotated by 90° around the [001] direction. This fact suggests that the diffraction patterns mainly reflect the structure of the bulk GaAs crystal. In order to investigate the surface structure, AED patterns in large polar angles were analyzed with single scattering cluster (SSC) calculations. The best result was obtained with a model cluster where the S-S bond length was set at 0.28 nm, 30% shorter than the corresponding length of the ideal (1×1) structure, and the adsorption height was set at 0.12-0.13 nm, 10% shorter than the ideal interlayer distance of GaAs(001) planes. These values are in good agreement with the results of STM measurements. A modulation of the inter-dimer distance was also found, suggesting the existence of missing dimers.

  1. Optimization of conditions for thermal smoothing GaAs surfaces

    NASA Astrophysics Data System (ADS)

    Akhundov, I. O.; Kazantsev, D. M.; Kozhuhov, A. S.; Alperovich, V. L.

    2018-03-01

    GaAs thermal smoothing by annealing in conditions which are close to equilibrium between the surface and vapors of As and Ga was earlier proved to be effective for the step-terraced surface formation on epi-ready substrates with a small root-mean-square roughness (Rq ≤ 0.15 nm). In the present study, this technique is further developed in order to reduce the annealing duration and to smooth GaAs samples with a larger initial roughness. To this end, we proposed a two-stage anneal with the first high-temperature stage aimed at smoothing "coarse" relief features and the second stage focused on "fine" smoothing at a lower temperature. The optimal temperatures and durations of two-stage annealing are found by Monte Carlo simulations and adjusted after experimentation. It is proved that the temperature and duration of the first high-temperature stage are restricted by the surface roughening, which occurs due to deviations from equilibrium conditions.

  2. Growth of GaAs “nano ice cream cones” by dual wavelength pulsed laser ablation

    NASA Astrophysics Data System (ADS)

    Schamp, C. T.; Jesser, W. A.; Shivaram, B. S.

    2007-05-01

    Harmonic generation crystals inherently offer the possibility of using multiple wavelengths of light in a single laser pulse. In the present experiment, the fundamental (1064 nm) and second harmonic (532 nm) wavelengths from an Nd:YAG laser are focused together on GaAs and GaSb targets for ablation. Incident energy densities up to about 45 J/cm 2 at 10 Hz with substrate temperatures between 25 and 600 °C for durations of about 60 s have been used in an ambient gas pressure of about 10 -6 Torr. The ablated material was collected on electron-transparent amorphous carbon films for TEM analysis. Apart from a high density of isolated nanocrystals, the most common morphology observed consists of a crystalline GaAs cone-like structure in contact with a sphere of liquid Ga, resembling an "ice cream cone", typically 50-100 nm in length. For all of the heterostuctures of this type, the liquid/solid/vacuum triple junction is found to correspond to the widest point on the cone. These heterostructures likely form by preferential evaporation of As from molten GaAs drops ablated from the target. The resulting morphology minimizes the interfacial and surface energies of the liquid Ga and solid GaAs.

  3. GaAs and 3-5 compound solar cells status and prospects for use in space

    NASA Technical Reports Server (NTRS)

    Flood, D. J.; Brinker, D. J.

    1984-01-01

    Gallium arsenide solar cells equal or supass the best silicon solar cells in efficiency, radiation resistance, annealability, and in the capability to produce usable power output at elevated temperatures. NASA has been involved in a long range research and development program to capitalize on these manifold advantages, and to explore alternative III-V compounds for additional potential improvements. The current status and future prospects for research and development in this area are reviewed and the progress being made toward development of GaAs cells suitable for variety of space missions is discussed. Cell types under various stages of development include n(+)/p shallow homojunction thin film GaAs cells, x100 concentration ratio p/n and n/p GaAs small area concentrator cells, mechanically-stacked, two-junction tandem cells, and three-junction monolithic cascade cells, among various other cell types.

  4. Photoluminescence upconversion at GaAs /InGa P2 interfaces driven by a sequential two-photon absorption mechanism

    NASA Astrophysics Data System (ADS)

    Hylton, N. P.; Hinrichsen, T. F.; Vaquero-Stainer, A. R.; Yoshida, M.; Pusch, A.; Hopkinson, M.; Hess, O.; Phillips, C. C.; Ekins-Daukes, N. J.

    2016-06-01

    This paper reports on the results of an investigation into the nature of photoluminescence upconversion at GaAs /InGa P2 interfaces. Using a dual-beam excitation experiment, we demonstrate that the upconversion in our sample proceeds via a sequential two-photon optical absorption mechanism. Measurements of photoluminescence and upconversion photoluminescence revealed evidence of the spatial localization of carriers in the InGa P2 material, arising from partial ordering of the InGa P2 . We also observed the excitation of a two-dimensional electron gas at the GaAs /InGa P2 heterojunction that manifests as a high-energy shoulder in the GaAs photoluminescence spectrum. Furthermore, the results of upconversion photoluminescence excitation spectroscopy demonstrate that the photon energy onset of upconversion luminescence coincides with the energy of the two-dimensional electron gas at the GaAs /InGa P2 interface, suggesting that charge accumulation at the interface can play a crucial role in the upconversion process.

  5. Study of subband electronic structure of Si δ-doped GaAs using magnetotransport measurements in tilted magnetic fields

    NASA Astrophysics Data System (ADS)

    Li, G.; Hauser, N.; Jagadish, C.; Antoszewski, J.; Xu, W.

    1996-06-01

    Si δ-doped GaAs grown by metal organic vapor phase epitaxy (MOVPE) is characterized using magnetotransport measurements in tilted magnetic fields. Angular dependence of the longitudinal magnetoresistance (Rxx) vs the magnetic field (B) traces in tilted magnetic fields is used to examine the existence of a quasi-two-dimensional electron gas. The subband electron densities (ni) are obtained applying fast Fourier transform (FFT) analysis to the Rxx vs B trace and using mobility spectrum (MS) analysis of the magnetic field dependent Hall data. Our results show that (1) the subband electron densities remain roughly constant when the tilted magnetic field with an angle <30° measured from the Si δ-doped plane normal is ramped up to 13 T; (2) FFT analysis of the Rxx vs B trace and MS analysis of the magnetic field dependent Hall data both give the comparable results on subband electron densities of Si δ-doped GaAs with low δ-doping concentration, however, for Si δ-doped GaAs with very high δ-doping concentration, the occupation of the lowest subbands cannot be well resolved in the MS analysis; (3) the highest subband electron mobility reported to date of 45 282 cm2/s V is observed in Si δ-doped GaAs at 77 K in the dark; and (4) the subband electron densities of Si δ-doped GaAs grown by MOVPE at 700 °C are comparable to those grown by MBE at temperatures below 600 °C. A detailed study of magnetotransport properties of Si δ-doped GaAs in the parallel magnetic fields is then carried out to further confirm the subband electronic structures revealed by FFT and MS analysis. Our results are compared to theoretical calculation previously reported in literature. In addition, influence of different cap layer structures on subband electronic structures of Si δ-doped GaAs is observed and also discussed.

  6. The transcriptional activator GaaR of Aspergillus niger is required for release and utilization of d- galacturonic acid from pectin

    DOE PAGES

    Alazi, Ebru; Niu, Jing; Kowalczyk, Joanna E.; ...

    2016-05-13

    We identified the d-galacturonic acid (GA)-responsive transcriptional activator GaaR of the saprotrophic fungus, Aspergillus niger, which was found to be essential for growth on GA and polygalacturonic acid (PGA). Growth of the ΔgaaR strain was reduced on complex pectins. Genome-wide expression analysis showed that GaaR is required for the expression of genes necessary to release GA from PGA and more complex pectins, to transport GA into the cell, and to induce the GA catabolic pathway. Residual growth of ΔgaaR on complex pectins is likely due to the expression of pectinases acting on rhamnogalacturonan and subsequent metabolism of the monosaccharides othermore » than GA.« less

  7. Dual demodulation interferometer with two-wave mixing in GaAs photorefractive crystal

    NASA Astrophysics Data System (ADS)

    Zhenzhen, Zhang; Zhongqing, Jia; Guangrong, Ji; Qiwu, Wang

    2018-07-01

    A dual demodulation interferometer with two-wave mixing (TWM) in the GaAs photorefractive crystal (PRC) is proposed and experimentally demonstrated. The GaAs PRC has tiny temperature change under high voltage thus not requiring thermoelectric cooler (TEC) to stabilize the temperature, and adaptive to low frequency fluctuation below 200 Hz. The system is an unbalanced TWM interferometer, which could demodulate the phase change both space variation and wavelength shift induced by strain. Two demodulation modes' formulas are provided in theory respectively. Experimental results have been tested and compared with theoretical analysis, demonstrating that it is a practical and flexible system for detection of mechanical vibration or structure health monitoring (SHM) in engineering by selecting different demodulation mode.

  8. Optical pumping and negative luminescence polarization in charged GaAs quantum dots

    NASA Astrophysics Data System (ADS)

    Shabaev, Andrew; Stinaff, Eric A.; Bracker, Allan S.; Gammon, Daniel; Efros, Alexander L.; Korenev, Vladimir L.; Merkulov, Igor

    2009-01-01

    Optical pumping of electron spins and negative photoluminescence polarization are observed when interface quantum dots in a GaAs quantum well are excited nonresonantly by circularly polarized light. Both observations can be explained by the formation of long-lived dark excitons through hole spin relaxation in the GaAs quantum well prior to exciton capture. In this model, optical pumping of resident electron spins is caused by capture of dark excitons and recombination in charged quantum dots. Negative polarization results from accumulation of dark excitons in the quantum well and is enhanced by optical pumping. The dark exciton model describes the experimental results very well, including intensity and bias dependence of the photoluminescence polarization and the Hanle effect.

  9. Image processing using Gallium Arsenide (GaAs) technology

    NASA Technical Reports Server (NTRS)

    Miller, Warner H.

    1989-01-01

    The need to increase the information return from space-borne imaging systems has increased in the past decade. The use of multi-spectral data has resulted in the need for finer spatial resolution and greater spectral coverage. Onboard signal processing will be necessary in order to utilize the available Tracking and Data Relay Satellite System (TDRSS) communication channel at high efficiency. A generally recognized approach to the increased efficiency of channel usage is through data compression techniques. The compression technique implemented is a differential pulse code modulation (DPCM) scheme with a non-uniform quantizer. The need to advance the state-of-the-art of onboard processing was recognized and a GaAs integrated circuit technology was chosen. An Adaptive Programmable Processor (APP) chip set was developed which is based on an 8-bit slice general processor. The reason for choosing the compression technique for the Multi-spectral Linear Array (MLA) instrument is described. Also a description is given of the GaAs integrated circuit chip set which will demonstrate that data compression can be performed onboard in real time at data rate in the order of 500 Mb/s.

  10. A GaAs vector processor based on parallel RISC microprocessors

    NASA Astrophysics Data System (ADS)

    Misko, Tim A.; Rasset, Terry L.

    A vector processor architecture based on the development of a 32-bit microprocessor using gallium arsenide (GaAs) technology has been developed. The McDonnell Douglas vector processor (MVP) will be fabricated completely from GaAs digital integrated circuits. The MVP architecture includes a vector memory of 1 megabyte, a parallel bus architecture with eight processing elements connected in parallel, and a control processor. The processing elements consist of a reduced instruction set CPU (RISC) with four floating-point coprocessor units and necessary memory interface functions. This architecture has been simulated for several benchmark programs including complex fast Fourier transform (FFT), complex inner product, trigonometric functions, and sort-merge routine. The results of this study indicate that the MVP can process a 1024-point complex FFT at a speed of 112 microsec (389 megaflops) while consuming approximately 618 W of power in a volume of approximately 0.1 ft-cubed.

  11. Biexciton emission from single isoelectronic traps formed by nitrogen-nitrogen pairs in GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takamiya, Kengo; Fukushima, Toshiyuki; Yagi, Shuhei

    2013-12-04

    We have studied photoluminescence (PL) from individual isoelectronic traps formed by nitrogen-nitrogen (NN) pairs in GaAs. Sharp emission lines due to exciton and biexciton were observed from individual isoelectronic traps in nitrogen atomic-layer doped (ALD) GaAs. The binding energy of biexciton bound to individual isoelectronic traps was approximately 8 meV. Both the exciton and biexciton luminescence lines show completely random polarization and no fine-structure splitting. These results are desirable to the application to the quantum cryptography used in the field of quantum information technology.

  12. Growth of semimetallic ErAs films epitaxially embedded in GaAs

    NASA Astrophysics Data System (ADS)

    Crook, Adam M.; Nair, Hari P.; Lee, Jong H.; Ferrer, Domingo A.; Akinwande, Deji; Bank, Seth R.

    2011-10-01

    We present models for the growth and electrical conductivity of ErAs films grown with the nanoparticle-seeded film growth technique. This growth mode overcomes the mismatch in rotational symmetry between the rocksalt ErAs crystal structure and the zincblende GaAs crystal structure. This results in films of ErAs grown through a thin film of GaAs that preserves the symmetry of the substrate. The conductivity of the films, as a function of film thickness, are investigated and a surface roughness model is used to explain observed trends. Transmission electron micrographs confirm the suppression of anti-phase domains. A simple diffusion model is developed to describe the diffusion and incorporation of surface erbium into subsurface ErAs layers and predict potential failure mechanisms of the growth method.

  13. Recovery of shallow junction GaAs solar cells damaged by electron irradiation

    NASA Technical Reports Server (NTRS)

    Walker, G. H.; Conway, E. J.

    1978-01-01

    Solar cells operated in space are subject to degradation from electron and proton radiation damage. It has been found that for deep junction p-GaAlAs/p-GaAs solar cells some of the electron radiation damage is removed by annealing the cells at 200 C. The reported investigation shows that shallow junction p-GaAlAs/p-GaAs/n-GaAs heteroface solar cells irradiated with 1 MeV electrons show a more complete recovery of short-circuit current than do the deep junction cells. The heteroface p-GaAlAs/p-GaAs/n-GaAs solar cells studied were fabricated using the etch-back epitaxy process.

  14. HfO2 Gate Dielectric on (NH4)2S Passivated (100) GaAs Grown by Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, P.T.; /Stanford U., Materials Sci. Dept.; Sun, Y.

    2007-09-28

    The interface between hafnium oxide grown by atomic layer deposition and (100) GaAs treated with HCl cleaning and (NH{sub 4}){sub 2}S passivation has been characterized. Synchrotron radiation photoemission core level spectra indicated successful removal of the native oxides and formation of passivating sulfides on the GaAs surface. Layer-by-layer removal of the hafnia film revealed a small amount of As{sub 2}O{sub 3} formed at the interface during the dielectric deposition. Traces of arsenic and sulfur out-diffusion into the hafnia film were observed after a 450 C post-deposition anneal, and may be the origins for the electrically active defects. Transmission electron microscopymore » cross section images showed thicker HfO{sub 2} films for a given precursor exposure on S-treated GaAs versus the non-treated sample. In addition, the valence-band and the conduction-band offsets at the HfO{sub 2}/GaAs interface were deduced to be 3.18 eV and a range of 0.87-0.97 eV, respectively. It appears that HCl+(NH{sub 4})2{sub S} treatments provide a superior chemical passivation for GaAs and initial surface for ALD deposition.« less

  15. A high-speed GaAs MESFET optical controller

    NASA Technical Reports Server (NTRS)

    Claspy, P. C.; Bhasin, K. B.; Richard, M.; Bendett, M.; Gustafson, G.

    1989-01-01

    Optical interconnects are being considered for control signal distribution in phased array antennas. A packaged hybrid GaAs optical controller with a 1:16 demultiplexed output that is suitable for this application is described. The controller, which was fabricated using enhancement/depletion mode MESFET technology, operates at demultiplexer-limited input data rates up to 305 Mb/s and requires less than 200 microW optical input power.

  16. Impact of dislocation densities on n+/p and p+/n junction GaAs diodes and solar cells on SiGe virtual substrates

    NASA Astrophysics Data System (ADS)

    Andre, C. L.; Wilt, D. M.; Pitera, A. J.; Lee, M. L.; Fitzgerald, E. A.; Ringel, S. A.

    2005-07-01

    Recent experimental measurements have shown that in GaAs with elevated threading dislocation densities (TDDs) the electron lifetime is much lower than the hole lifetime [C. L. Andre, J. J. Boeckl, D. M. Wilt, A. J. Pitera, M. L. Lee, E. A. Fitzgerald, B. M. Keyes, and S. A. Ringel, Appl. Phys. Lett. 84, 3884 (2004)]. This lower electron lifetime suggests an increase in depletion region recombination and thus in the reverse saturation current (J0 for an n+/p diode compared with a p+/n diode at a given TDD. To confirm this, GaAs diodes of both polarities were grown on compositionally graded Ge /Si1-xGex/Si (SiGe) substrates with a TDD of 1×106cm-2. It is shown that the ratio of measured J0 values is consistent with the inverse ratio of the expected lifetimes. Using a TDD-dependent lifetime in solar cell current-voltage models we found that the Voc, for a given short-circuit current, also exhibits a poorer TDD tolerance for GaAs n+/p solar cells compared with GaAs p+/n solar cells. Experimentally, the open-circuit voltage (Voc) for the n+/p GaAs solar cell grown on a SiGe substrate with a TDD of ˜1×106cm-2 was ˜880mV which was significantly lower than the ˜980mV measured for a p+/n GaAs solar cell grown on SiGe at the same TDD and was consistent with the solar cell modeling results reported in this paper. We conclude that p+/n polarity GaAs junctions demonstrate superior dislocation tolerance than n+/p configured GaAs junctions, which is important for optimization of lattice-mismatched III-V devices.

  17. High energy proton radiation damage to (AlGa)As-G aAs solar cells

    NASA Technical Reports Server (NTRS)

    Loo, R.; Goldhammer, L.; Kamath, S.; Knechtli, R. C.

    1979-01-01

    Twelve 2 + 2 sq cm (AlGa)As-GaAs solar cells were fabricated and were subjected to 15.4 and 40 MeV of proton irradiation. The results showed that the GaAs cells degrade considerably less than do conventional and developmental K7 silicon cells. The detailed characteristics of the GaAs and silicon cells, both before and after irradiation, are described. Further optimization of the GaAs cells seems feasible, and areas for future work are suggested.

  18. Hydrogenation of GaAs covered by GaAlAs and subgrain boundary passivation

    NASA Astrophysics Data System (ADS)

    Djemel, A.; Castaing, J.; Chevallier, J.; Henoc, P.

    1992-12-01

    Cathodoluminescence (CL) has been performed to study the influence of hydrogen on electronic properties of GaAs with and without a GaAlAs layer. Recombination at sub-boundaries has been examined. These extended defects have been introduced by high temperature plastic deformation. The results show that they are passivated by hydrogen. The penetration of hydrogen is slowed down by the GaAlAs layer. La cathodoluminescence (CL) a été utilisée pour étudier l'influence de l'hydrogène sur les propriétés électroniques de GaAs nu et recouvert d'une couche de GaAlAs. Le caractère recombinant des sous-joints de grains a été examiné. Ces défauts étendus ont été introduits par déformation plastique à chaud. Les résultats montrent que l'hydrogène passive ces défauts. La pénétration de l'hydrogène à l'intérieur de GaAs est retardée par la présence de la couche de GaAlAs.

  19. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  20. Fabrication of GaAs symmetric pyramidal mesas prepared by wet-chemical etching using AlAs interlayer

    NASA Astrophysics Data System (ADS)

    Kicin, S.; Cambel, V.; Kuliffayová, M.; Gregušová, D.; Kováčová, E.; Novák, J.; Kostič, I.; Förster, A.

    2002-01-01

    We present a wet-chemical-etching method developed for the preparation of GaAs four-sided pyramid-shaped mesas. The method uses a fast lateral etching of AlAs interlayer that influences the cross-sectional profiles of etched structures. We have tested the method using H3PO4:H2O2:H2O etchant for the (100) GaAs patterning. The sidewalls of the prepared pyramidal structures together with the (100) bottom facet formed the cross-sectional angles 25° and 42° for mask edges parallel, resp. perpendicular to {011} cleavage planes. For mask edges turned in 45° according to the cleavage planes, 42° cross-sectional angles were obtained. Using the method, symmetric and more than 10-μm-high GaAs "Egyptian" pyramids with smooth tilted facets were prepared.

  1. Structural and optical properties of GaAs(100) with a thin surface layer doped with chromium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Fedyukin, A. V.; Arsentyev, I. N.

    The aim of this study is to explore the structural and optical properties of single-crystal GaAs(100) doped with Cr atoms by burning them into the substrate at high temperatures. The diffusion of chromium into single-crystal GaAs(100) substrates brings about the formation of a thin (~20–40 μm) GaAs:Cr transition layer. In this case, chromium atoms are incorporated into the gallium-arsenide crystal lattice and occupy the regular atomic sites of the metal sublattice. As the chromium diffusion time is increased, such behavior of the dopant impurity yields changes in the energy structure of GaAs, a decrease in the absorption at free chargemore » carriers, and a lowering of the surface recombination rate. As a result, the photoluminescence signal from the sample is significantly enhanced.« less

  2. The 25 percent-efficient GaAs Cassegrainian concentrator cell

    NASA Technical Reports Server (NTRS)

    Hamaker, H. C.; Grounner, M.; Kaminar, N. R.; Kuryla, M. S.; Ladle, M. J.; Liu, D. D.; Macmillan, H. F.; Partain, L. D.; Virshup, G. F.; Werthen, J. G.

    1989-01-01

    Very high-efficiency GaAs Cassegrainian solar cells have been fabricated in both the n-p and p-n configurations. The n-p configuration exhibits the highest efficiency at concentration, the best cells having an efficiency eta of 24.5 percent (100X, AM0, temperature T = 28 C). Although the cells are designed for operation at this concentration, peak efficiency is observed near 300 suns (eta = 25.1 percent). To our knowledge, this is the highest reported solar cell efficiency for space applications. The improvement in efficiency over that reported at the previous SPRAT conference is attributed primarily to lower series resistance and improved grid-line plating procedures. Using previously measured temperature coefficients, researchers estimate that the n-p GaAs cells should deliver approximately 22.5 percent efficiency at the operating conditions of 100 suns and T = 80 C. This performance exceeds the NASA program goal of 22 percent for the Cassegrainian cell. One hundred Cassegrainian cells have been sent to NASA as deliverables, sixty-eight in the n-p configuration and thirty-two in the p-n configuration.

  3. Structural and optical characteristics of GaAs films grown on Si/Ge substrates

    NASA Astrophysics Data System (ADS)

    Rykov, A. V.; Dorokhin, M. V.; Vergeles, P. S.; Baidus, N. V.; Kovalskiy, V. A.; Yakimov, E. B.; Soltanovich, O. A.

    2018-03-01

    A GaAs/AlAs heterostructure and a GaAs film grown on Si/Ge substrates have been fabricated and studied. A Ge buffer on a silicon substrate was fabricated using the MBE process. A3B5 films were grown by MOCVD at low pressures. Photoluminescence spectroscopy was used to define the optical quality of A3B5 films. Structural properties were investigated using the electron beam induced current method. It was established that despite a rather high density of dislocations on the epitaxial layers, the detected photoluminescence radiation of layers indicates the acceptable crystalline quality of the top GaAs layer.

  4. Physical mechanism of coherent acoustic phonons generation and detection in GaAs semiconductor

    NASA Astrophysics Data System (ADS)

    Babilotte, P.; Morozov, E.; Ruello, P.; Mounier, D.; Edely, M.; Breteau, J.-M.; Bulou, A.; Gusev, V.

    2007-12-01

    We first describe the picosecond acoustic interferometry study of GaAs with two-colors pump-probe laser pulses. The dependence of the generation process on the pump wavelength and the detection process on the probe wavelength both can cause the shift in the phase of the Brillouin signal. Secondly, in order to distinguish the short high frequency wideband acoustic pulse from low frequency Brillouin contribution, we accomplished experiments with (100)GaAs semiconductor coated by a transparent and photoelastically inactive thin film, serving a delay line for the acoustic pulse. Even with highly penetrating pump light (approx 680nm), short acoustic disturbances of approx 7ps of duration have been registered.

  5. GaAs Solar Cells on V-Grooved Silicon via Selective Area Growth: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, Emily L; Jain, Nikhil; Tamboli, Adele C

    Interest in integrating III-Vs onto Si has recently resurged as a promising pathway towards high-efficiency, low-cost tandem photovoltaics. Here, we present a single junction GaAs solar cell grown monolithically on polished Si (001) substrates using V-grooves, selective area growth, and aspect ratio trapping to mitigate defect formation without the use of expensive, thick graded buffers. The GaAs is free of antiphase domains and maintains a relatively low TDD of 4x107 cm-2, despite the lack of a graded buffer. This 6.25 percent-efficient demonstration solar cell shows promise for further improvements to III-V/Si tandems to enable cost-competitive photovoltaics.

  6. Temperature effect on the coupling between coherent longitudinal phonons and plasmons in n -type and p -type GaAs

    NASA Astrophysics Data System (ADS)

    Hu, Jianbo; Zhang, Hang; Sun, Yi; Misochko, Oleg V.; Nakamura, Kazutaka G.

    2018-04-01

    The coupling between longitudinal optical (LO) phonons and plasmons plays a fundamental role in determining the performance of doped semiconductor devices. In this work, we report a comparative investigation into the dependence of the coupling on temperature and doping in n - and p -type GaAs by using ultrafast coherent phonon spectroscopy. A suppression of coherent oscillations has been observed in p -type GaAs at lower temperature, strikingly different from n -type GaAs and other materials in which coherent oscillations are strongly enhanced by cooling. We attribute this unexpected observation to a cooling-induced elongation of the depth of the depletion layer which effectively increases the screening time of the surface field due to a slow diffusion of photoexcited carriers in p -type GaAs. Such an increase breaks the requirement for the generation of coherent LO phonons and, in turn, LO phonon-plasmon coupled modes because of their delayed formation in time.

  7. InGaAs quantum dots grown on B-type high index GaAs substrates: surface morphologies and optical properties

    NASA Astrophysics Data System (ADS)

    Liang, B. L.; Wang, Zh M.; Mazur, Yu I.; Strelchuck, V. V.; Holmes, K.; Lee, J. H.; Salamo, G. J.

    2006-06-01

    We systematically investigated the correlation between morphological and optical properties of InGaAs self-assembled quantum dots (QDs) grown by solid-source molecular beam epitaxy on GaAs (n 11)B (n = 9, 8, 7, 5, 3, 2) substrates. Remarkably, all InGaAs QDs on GaAs(n 11)B under investigation show optical properties superior to those for ones on GaAs(100) as regards the photoluminescence (PL) linewidth and intensity. The morphology for growth of InGaAs QDs on GaAs (n 11)B, where n = 9, 8, 7, 5, is observed to have a rounded shape with a higher degree of lateral ordering than that on GaAs(100). The optical property and the lateral ordering are best for QDs grown on a (511)B substrate surface, giving a strong correlation between lateral ordering and PL optical quality. Our results demonstrate the potential for high quality InGaAs QDs on GaAs(n 11)B for optoelectronic applications.

  8. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lavrova, Olga; Balakrishnan, Ganesh

    2017-02-24

    The etch rates of NH 4OH:H 2O 2 and C 6H 8O 7:H 2O 2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH 4OH:H 2O 2 solution has a greater etch rate differential for the GaSb/GaAs material system than C 6H 8O 7:H 2O 2 solution. The selectivity of NH 4OH:H 2O 2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C 6H 8O 7:H 2O 2 has been measured upmore » to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).« less

  9. Optical techniques to feed and control GaAs MMIC modules for phased array antenna applications

    NASA Astrophysics Data System (ADS)

    Bhasin, K. B.; Anzic, G.; Kunath, R. R.; Connolly, D. J.

    A complex signal distribution system is required to feed and control GaAs monolithic microwave integrated circuits (MMICs) for phased array antenna applications above 20 GHz. Each MMIC module will require one or more RF lines, one or more bias voltage lines, and digital lines to provide a minimum of 10 bits of combined phase and gain control information. In a closely spaced array, the routing of these multiple lines presents difficult topology problems as well as a high probability of signal interference. To overcome GaAs MMIC phased array signal distribution problems optical fibers interconnected to monolithically integrated optical components with GaAs MMIC array elements are proposed as a solution. System architecture considerations using optical fibers are described. The analog and digital optical links to respectively feed and control MMIC elements are analyzed. It is concluded that a fiber optic network will reduce weight and complexity, and increase reliability and performance, but higher power will be required.

  10. Optical techniques to feed and control GaAs MMIC modules for phased array antenna applications

    NASA Technical Reports Server (NTRS)

    Bhasin, K. B.; Anzic, G.; Kunath, R. R.; Connolly, D. J.

    1986-01-01

    A complex signal distribution system is required to feed and control GaAs monolithic microwave integrated circuits (MMICs) for phased array antenna applications above 20 GHz. Each MMIC module will require one or more RF lines, one or more bias voltage lines, and digital lines to provide a minimum of 10 bits of combined phase and gain control information. In a closely spaced array, the routing of these multiple lines presents difficult topology problems as well as a high probability of signal interference. To overcome GaAs MMIC phased array signal distribution problems optical fibers interconnected to monolithically integrated optical components with GaAs MMIC array elements are proposed as a solution. System architecture considerations using optical fibers are described. The analog and digital optical links to respectively feed and control MMIC elements are analyzed. It is concluded that a fiber optic network will reduce weight and complexity, and increase reliability and performance, but higher power will be required.

  11. Effect of a low-temperature-grown GaAs layer on InAs quantum-dot photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kosarev, A. N.; Chaldyshev, V. V., E-mail: chald.gvg@mail.ioffe.ru; Preobrazhenskii, V. V.

    2016-11-15

    The photoluminescence of InAs semiconductor quantum dots overgrown by GaAs in the low-temperature mode (LT-GaAs) using various spacer layers or without them is studied. Spacer layers are thin GaAs or AlAs layers grown at temperatures normal for molecular-beam epitaxy (MBE). Direct overgrowth leads to photoluminescence disappearance. When using a thin GaAs spacer layer, the photoluminescence from InAs quantum dots is partially recovered; however, its intensity appears lower by two orders of magnitude than in the reference sample in which the quantum-dot array is overgrown at normal temperature. The use of wider-gap AlAs as a spacer-layer material leads to the enhancementmore » of photoluminescence from InAs quantum dots, but it is still more than ten times lower than that of reference-sample emission. A model taking into account carrier generation by light, diffusion and tunneling from quantum dots to the LT-GaAs layer is constructed.« less

  12. Growth of GaAs from a free surface melt under controlled arsenic pressure in a partially confined configuration

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.; Wu, Y.

    1988-01-01

    A partially confined configuration for the growth of GaAs from melt in space was developed, consisting of a triangular prism containing the seed crystal and source material in the form of a rod. It is suggested that the configuration overcomes two obstacles in the growth of GaAs in space: total confinement in a quartz crucible and lack of arsenic pressure control. Ground tests of the configuration show that it is capable of crystal growth in space and is useful for studying the growth of GaAs from a free-surface melt on earth. The resulting chemical composition, electrical property variations, and phenomenological models to account for the results are presented.

  13. Structural Study of GaAs(001):In 4×2 Surface

    NASA Astrophysics Data System (ADS)

    Lee, T.-L.; Zegenhagen, J.; Lyman, P. F.; Bedzyk, M. J.

    1997-03-01

    In a STM and LEED investigation (U. Resch-Esser et al., JVST B 13, 1672 (1995)), the indium-terminated GaAs(001) surface exhibited a (4×2) reconstruction. Based on this study, a dimer model, similar to that proposed by Biegelsen et al. (PRB 41, 5701(1990)) for the (4×2) clean surface, was proposed. However, the detailed local structure of the In ad-atoms was not resolvable from the STM image. In this work, we applied in situ x-ray standing wave (XSW) measurements to determine the surface structure of the GaAs(001) upon the adsorption of In at low coverages. The (4×2)/c(8×2) In-terminated GaAs(001) surface (Θ_In = 0.2 ML) was prepared by MBE. The (004) XSW measurement showed that the In ad-atoms were located 1.61 Åabove the (004) diffraction planes. At higher In coverages (up to 0.6 ML) the In (004) coherent fraction was small. This is consistent with the ladder-type pattern observed by STM at Θ_In > 0.5 ML, which indicated that there were two coexisting surface structures. For Θ_In = 0.2 ML, we found that our off-normal XSW measurements did not agree with the model proposed by Resch-Esser et al.. This work is sponsored by DOE-BES No. W-31-109-ENG-38 and by NSF No. DMR-9632472.

  14. A graphene/single GaAs nanowire Schottky junction photovoltaic device.

    PubMed

    Luo, Yanbin; Yan, Xin; Zhang, Jinnan; Li, Bang; Wu, Yao; Lu, Qichao; Jin, Chenxiaoshuai; Zhang, Xia; Ren, Xiaomin

    2018-05-17

    A graphene/nanowire Schottky junction is a promising structure for low-cost high-performance optoelectronic devices. Here we demonstrate a graphene/single GaAs nanowire Schottky junction photovoltaic device. The Schottky junction is fabricated by covering a single layer graphene onto an n-doped GaAs nanowire. Under 532 nm laser excitation, the device exhibits a high responsivity of 231 mA W-1 and a short response/recover time of 85/118 μs at zero bias. Under AM 1.5 G solar illumination, the device has an open-circuit voltage of 75.0 mV and a short-circuit current density of 425 mA cm-2, yielding a remarkable conversion efficiency of 8.8%. The excellent photovoltaic performance of the device is attributed to the strong built-in electric field in the Schottky junction as well as the transparent property of graphene. The device is promising for self-powered high-speed photodetectors and low-cost high-efficiency solar cells.

  15. High-reliability GaAs image intensifier with unfilmed microchannel plate

    NASA Astrophysics Data System (ADS)

    Bender, Edward J.; Estrera, Joseph P.; Ford, C. E.; Giordana, A.; Glesener, John W.; Lin, P. P.; Nico, A. J.; Sinor, Timothy W.; Smithson, R. H.

    1999-07-01

    Current GaAs image intensifier technology requires that the microchannel plate (MCP) have a thin dielectric film on the side facing the photocathode. This protective coating substantially reduces the amount of outgassing of ions and neutral species from the microchannels. The prevention of MCP outgassing is necessary in order to prevent the `poisoning' of the Cs:O surface on the GaAs photocathode. Many authors have experimented with omitting the MCP coating. The results of such experiments invariably lead to an intensifier with a reported useful life of less than 100 hours, due to contamination of the Cs:O layer on the photocathode. Unfortunately, the MCP film is also a barrier to electron transport within the intensifier. Substantial enhancement of the image intensifier operating parameters is the motivation for the removal of the MCP film. This paper presents results showing for the first time that it is possible to fabricate a long lifetime image intensifier with a single uncoated MCP.

  16. The presence of isolated hydrogen donors in heavily carbon-doped GaAs

    NASA Astrophysics Data System (ADS)

    Fushimi, Hiroshi; Wada, Kazumi

    1994-12-01

    The deactivation mechanism of carbon acceptors in GaAs has systematically been studied by measuring the annealing behavior and depth profiles of the carrier concentration. It is found that hydrogen impurities dominate carbon deactivation. Their deactivation undergoes two different ways: Hydrogen donors isolated from carbon acceptors compensate carbon and hydrogen impurities neutralize the carbon by forming neutral carbon-hydrogen complexes. The compensating hydrogen donors diffuse out extremely fast at relatively low temperatures. This is, to the best of our knowledge, the first report on the presence of isolated hydrogen donors in heavily carbon-doped GaAs. The dissociation of carbon-hydrogen complexes is much slower than reported. The mechanism is discussed in terms of a hydrogen retrapping effect by carbon.

  17. Effects of gold diffusion on n-type doping of GaAs nanowires.

    PubMed

    Tambe, Michael J; Ren, Shenqiang; Gradecak, Silvija

    2010-11-10

    The deposition of n-GaAs shells is explored as a method of n-type doping in GaAs nanowires grown by the Au-mediated metal-organic chemical vapor deposition. Core-shell GaAs/n-GaAs nanowires exhibit an unintended rectifying behavior that is attributed to the Au diffusion during the shell deposition based on studies using energy dispersive X-ray spectroscopy, current-voltage, capacitance-voltage, and Kelvin probe force measurements. Removing the gold prior to n-type shell deposition results in the realization of n-type GaAs nanowires without rectification. We directly correlate the presence of gold impurities to nanowire electrical properties and provide an insight into the role of seed particles on the properties of nanowires and nanowire heterostructures.

  18. Proton irradiation effects on advanced digital and microwave III-V components

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hash, G.L.; Schwank, J.R.; Shaneyfelt, M.R.

    1994-09-01

    A wide range of advanced III-V components suitable for use in high-speed satellite communication systems were evaluated for displacement damage and single-event effects in high-energy, high-fluence proton environments. Transistors and integrated circuits (both digital and MMIC) were irradiated with protons at energies from 41 to 197 MeV and at fluences from 10{sup 10} to 2 {times} 10{sup 14} protons/cm{sup 2}. Large soft-error rates were measured for digital GaAs MESFET (3 {times} 10{sup {minus}5} errors/bit-day) and heterojunction bipolar circuits (10{sup {minus}5} errors/bit-day). No transient signals were detected from MMIC circuits. The largest degradation in transistor response caused by displacement damage wasmore » observed for 1.0-{mu}m depletion- and enhancement-mode MESFET transistors. Shorter gate length MESFET transistors and HEMT transistors exhibited less displacement-induced damage. These results show that memory-intensive GaAs digital circuits may result in significant system degradation due to single-event upset in natural and man-made space environments. However, displacement damage effects should not be a limiting factor for fluence levels up to 10{sup 14} protons/cm{sup 2} [equivalent to total doses in excess of 10 Mrad(GaAs)].« less

  19. Proton irradiation effects on advanced digital and microwave III-V components

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hash, G.L.; Schwank, J.R.; Shaneyfelt, M.R.

    1994-12-01

    A wide range of advanced III-V components suitable for use in high-speed satellite communication systems were evaluated for displacement damage and single-event effects in high-energy, high-fluence proton environments. Transistors and integrated circuits (both digital and MMIC) were irradiated with protons at energies from 41 to 197 MeV and at fluences from 10[sup 10] to 2 [times] 10[sup 14] protons/cm[sup 2]. Large soft-error rates were measured for digital GaAs MESFET (3 [times] 10[sup [minus]5] errors/bit-day) and heterojunction bipolar circuits (10[sup [minus]5] errors/bit-day). No transient signals were detected from MMIC circuits. The largest degradation in transistor response caused by displacement damage wasmore » observed for 1.0-[mu]m depletion- and enhancement-mode MESFET transistors. Shorter gate length MESFET transistors and HEMT transistors exhibited less displacement-induced damage. These results show that memory-intensive GaAs digital circuits may result in significant system degradation due to single-event upset in natural and man-made space environments. However, displacement damage effects should not be a limiting factor for fluence levels up to 10[sup 14] protons/cm[sup 2] [equivalent to total doses in excess of 10 Mrad (GaAs)].« less

  20. Real-time observation of rotational twin formation during molecular-beam epitaxial growth of GaAs on Si (111) by x-ray diffraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suzuki, Hidetoshi, E-mail: hsuzuki@cc.miyazaki-u.ac.jp; Nakata, Yuka; Takahasi, Masamitu

    2016-03-15

    The formation and evolution of rotational twin (TW) domains introduced by a stacking fault during molecular-beam epitaxial growth of GaAs on Si (111) substrates were studied by in situ x-ray diffraction. To modify the volume ratio of TW to total GaAs domains, GaAs was deposited under high and low group V/group III (V/III) flux ratios. For low V/III, there was less nucleation of TW than normal growth (NG) domains, although the NG and TW growth rates were similar. For high V/III, the NG and TW growth rates varied until a few GaAs monolayers were deposited; the mean TW domain sizemore » was smaller for all film thicknesses.« less

  1. Germanium- and tellurium-doped GaAs for non-alloyed p-type and n-type ohmic contacts

    NASA Astrophysics Data System (ADS)

    Park, Joongseo; Barnes, Peter A.; Lovejoy, Michael L.

    1995-08-01

    Epitaxial ohmic contacts to GaAs were grown by liquid phase epitaxy. Heavily Ge-doped GaAs was grown to prepare ohmic contacts to p-GaAs while Te was used for the n-type contacts. Hall measurements were carried out for the samples grown from melts in which the mole fraction of Ge was varied between 1.55 atomic % and 52.2 atomic %, while the Te mole fractions varied between 0.03% and 0.5%. Specific contact resistance, rc, as low as rcp=2.9×10-6 ohm-cm 2 for Ge doping of p=(Na-Nd)=6.0×1019 holes/cm3 was measured for p-contacts and rcn=9.6×10-5 ohm-cm2 was measured for Te doping of n=(Nd-Na)=8.9×1018 electrons/cm3 for GaAs metallized with non-alloyed contacts of Ti/Al.

  2. Reflection high energy electron diffraction and reflectance difference studies of surface anisotropy in InGaAs chemical beam epitaxy on flat and vicinal (001) GaAs

    NASA Astrophysics Data System (ADS)

    Junno, B.; Paulsson, G.; Miller, M.; Samuelson, L.

    1994-03-01

    InGaAs quantum wells (QWs) were grown in a chemical beam epitaxy (CBE) machine with trimethylindium (TMI), triethylgallium (TEG) and tertiarybutylarsine (TBA) as precursors. Growth was monitored in-situ by reflectance difference (RD) and reflection high energy electron diffraction (RHEED), on both flat and vicinal (2° off in the <111> A direction) (001)GaAs substrates. The RD was monitored at 632.8 nm. At this wavelength the RD signal from a GaAs surface is primarily related to the absorption by Ga dimers. When InGaAs had been grown, both the average RD signal and the amplitude of the RD oscillations for the subsequent growth of GaAs increased significantly, compared to GaAs growth on GaAs. This In influence was found to persist even after the growth of 20-30 ML of pure GaAs. As a result we were able to monitor growth oscillations with RD and RHEED simultaneously during growth of quantum wells of InGaAs in GaAs. As a conclusion to these observations we suggest that the group III dimer bond concentration, detected in the RD signal, increases.

  3. Electrical properties of spin coated ultrathin titanium oxide films on GaAs

    NASA Astrophysics Data System (ADS)

    Dutta, Shankar; Pal, Ramjay; Chatterjee, Ratnamala

    2015-04-01

    In recent years, ultrathin (<50 nm) metal oxide films have been being extensively studied as high-k dielectrics for future metal oxide semiconductor (MOS) technology. This paper discusses deposition of ultrathin TiO2 films (˜10 nm) on GaAs substrates (one sulfur-passivated, another unpassivated) by spin coating technique. The sulfur passivation is done to reduce the surface states of GaAs substrate. After annealing at 400 °C in a nitrogen environment, the TiO2 films are found to be polycrystalline in nature with rutile phase. The TiO2 films exhibit consistent grain size of 10-20 nm with thickness around 10-12 nm. Dielectric constants of the films are found to be 65.4 and 47.1 corresponding to S-passivated and unpassivated substrates, respectively. Corresponding threshold voltages of the MOS structures are measured to be -0.1 V to -0.3 V for the S-passivated and unpassivated samples, respectively. The S-passivated TiO2 film showed improved (lower) leakage current density (5.3 × 10-4 A cm-2 at 3 V) compared to the unpassivated film (1.8 × 10-3 A/cm2 at 3 V). Dielectric breakdown-field of the TiO2 films on S-passivated and unpassivated GaAs samples are found to be 8.4 MV cm-1 and 7.2 MV cm-1 respectively.

  4. InGaN working electrodes with assisted bias generated from GaAs solar cells for efficient water splitting.

    PubMed

    Liu, Shu-Yen; Sheu, J K; Lin, Yu-Chuan; Chen, Yu-Tong; Tu, S J; Lee, M L; Lai, W C

    2013-11-04

    Hydrogen generation through water splitting by n-InGaN working electrodes with bias generated from GaAs solar cell was studied. Instead of using an external bias provided by power supply, a GaAs-based solar cell was used as the driving force to increase the rate of hydrogen production. The water-splitting system was tuned using different approaches to set the operating points to the maximum power point of the GaAs solar cell. The approaches included changing the electrolytes, varying the light intensity, and introducing the immersed ITO ohmic contacts on the working electrodes. As a result, the hybrid system comprising both InGaN-based working electrodes and GaAs solar cells operating under concentrated illumination could possibly facilitate efficient water splitting.

  5. High-temperature degradation-free rapid thermal annealing of GaAs and InP

    NASA Astrophysics Data System (ADS)

    Pearton, Stephen J.; Katz, Avishay; Geva, Michael

    1991-04-01

    Rapid thermal annealing of GaAs and InP within enclosed SiC-coated graphite susceptors is shown to eliminate slip formation during implant activation treatments and to provide much better protection against surface degradation at the edges of wafers compared to the more conventional proximity method. Two different types of susceptor were investigated-the first type must be charged with As or P prior to the annealing cycles while the second type incorporates small reservoirs into the susceptor which provide a continuous overpressure of the group V species. Degradation-free annealing of patterned metallized wafers is possible using the latter type of susceptor. The activation of Si and Be implants in GaAs by RTA is also discussed.

  6. Temperature dependence of the band gap of GaAsSb epilayers

    NASA Astrophysics Data System (ADS)

    Lukic-Zrnic, R.; Gorman, B. P.; Cottier, R. J.; Golding, T. D.; Littler, C. L.; Norman, A. G.

    2002-12-01

    We have optically characterized a series of GaAs1-xSbx epilayers (0.19semi-insulating GaAs substrates, with surface orientations of (001), (001) 8° toward (111)B, (001) 8° toward (111)A, and (115)B. For each of these samples, we have investigated the absorption as a function of temperature (4 K

  7. GAA repeat expansion mutation mouse models of Friedreich ataxia exhibit oxidative stress leading to progressive neuronal and cardiac pathology.

    PubMed

    Al-Mahdawi, Sahar; Pinto, Ricardo Mouro; Varshney, Dhaval; Lawrence, Lorraine; Lowrie, Margaret B; Hughes, Sian; Webster, Zoe; Blake, Julian; Cooper, J Mark; King, Rosalind; Pook, Mark A

    2006-11-01

    Friedreich ataxia (FRDA) is a neurodegenerative disorder caused by an unstable GAA repeat expansion mutation within intron 1 of the FXN gene. However, the origins of the GAA repeat expansion, its unstable dynamics within different cells and tissues, and its effects on frataxin expression are not yet completely understood. Therefore, we have chosen to generate representative FRDA mouse models by using the human FXN GAA repeat expansion itself as the genetically modified mutation. We have previously reported the establishment of two lines of human FXN YAC transgenic mice that contain unstable GAA repeat expansions within the appropriate genomic context. We now describe the generation of FRDA mouse models by crossbreeding of both lines of human FXN YAC transgenic mice with heterozygous Fxn knockout mice. The resultant FRDA mice that express only human-derived frataxin show comparatively reduced levels of frataxin mRNA and protein expression, decreased aconitase activity, and oxidative stress, leading to progressive neurodegenerative and cardiac pathological phenotypes. Coordination deficits are present, as measured by accelerating rotarod analysis, together with a progressive decrease in locomotor activity and increase in weight. Large vacuoles are detected within neurons of the dorsal root ganglia (DRG), predominantly within the lumbar regions in 6-month-old mice, but spreading to the cervical regions after 1 year of age. Secondary demyelination of large axons is also detected within the lumbar roots of older mice. Lipofuscin deposition is increased in both DRG neurons and cardiomyocytes, and iron deposition is detected in cardiomyocytes after 1 year of age. These mice represent the first GAA repeat expansion-based FRDA mouse models that exhibit progressive FRDA-like pathology and thus will be of use in testing potential therapeutic strategies, particularly GAA repeat-based strategies.

  8. Analysis of twin defects in GaAs(111)B molecular beam epitaxy growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Yeonjoon; Cich, Michael J.; Zhao, Rian

    2000-05-01

    The formation of twin is common during GaAs(111) and GaN(0001) molecular beam epitaxy (MBE) metalorganic chemical vapor deposition growth. A stacking fault in the zinc-blende (ZB)(111) direction can be described as an insertion of one monolayer of wurtzite structure, sandwiched between two ZB structures that have been rotated 60 degree sign along the growth direction. GaAs(111)A/B MBE growth within typical growth temperature regimes is complicated by the formation of pyramidal structures and 60 degree sign rotated twins, which are caused by faceting and stacking fault formation. Although previous studies have revealed much about the structure of these twins, a well-establishedmore » simple nondestructive characterization method which allows the measurement of total aerial density of the twins does not exist at present. In this article, the twin density of AlGaAs layers grown on 1 degree sign miscut GaAs(111)B substrates has been measured using high resolution x-ray diffraction, and characterized with a combination of Nomarski microscopy, atomic force microscopy, and transmission electron microscopy. These comparisons permit the relationship between the aerial twin density and the growth condition to be determined quantitatively. (c) 2000 American Vacuum Society.« less

  9. Gallium arsenide (GaAs) solar cell modeling studies

    NASA Technical Reports Server (NTRS)

    Heinbockel, J. H.

    1980-01-01

    Various models were constructed which will allow for the variation of system components. Computer studies were then performed using the models constructed in order to study the effects of various system changes. In particular, GaAs and Si flat plate solar power arrays were studied and compared. Series and shunt resistance models were constructed. Models for the chemical kinetics of the annealing process were prepared. For all models constructed, various parametric studies were performed.

  10. Solar heating of GaAs nanowire solar cells.

    PubMed

    Wu, Shao-Hua; Povinelli, Michelle L

    2015-11-30

    We use a coupled thermal-optical approach to model the operating temperature rise in GaAs nanowire solar cells. We find that despite more highly concentrated light absorption and lower thermal conductivity, the overall temperature rise in a nanowire structure is no higher than in a planar structure. Moreover, coating the nanowires with a transparent polymer can increase the radiative cooling power by 2.2 times, lowering the operating temperature by nearly 7 K.

  11. Solar heating of GaAs nanowire solar cells

    DOE PAGES

    Wu, Shao-Hua; Povinelli, Michelle L.

    2015-09-25

    We use a coupled thermal-optical approach to model the operating temperature rise in GaAs nanowire solar cells. Our findings show that despite more highly concentrated light absorption and lower thermal conductivity, the overall temperature rise in a nanowire structure is no higher than in a planar structure. Moreover, coating the nanowires with a transparent polymer can increase the radiative cooling power by 2.2 times, lowering the operating temperature by nearly 7 K.

  12. Type I band alignment in GaAs{sub 81}Sb{sub 19}/GaAs core-shell nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, T.; Key Laboratory of Advanced Display and System Application, Shanghai University, 149 Yanchang Road, Shanghai 200072; Wei, M. J.

    2015-09-14

    The composition and band gap of the shell that formed during the growth of axial GaAs/GaAs{sub 81}Sb{sub 19}/ GaAs heterostructure nanowires have been investigated by transmission electron microscopy combined with energy dispersion spectroscopy, scanning tunneling spectroscopy, and density functional theory calculations. On the GaAs{sub 81}Sb{sub 19} intermediate segment, the shell is found to be free of Sb (pure GaAs shell) and transparent to the tunneling electrons, despite the (110) biaxial strain that affects its band gap. As a result, a direct measurement of the core band gap allows the quantitative determination of the band offset between the GaAs{sub 81}Sb{sub 19}more » core and the GaAs shell and identifies it as a type I band alignment.« less

  13. Raman scattering studies of strain effects in (100) and (311)B GaAs1-xBix epitaxial layers

    NASA Astrophysics Data System (ADS)

    Steele, J. A.; Lewis, R. A.; Henini, M.; Lemine, O. M.; Alkaoud, A.

    2013-11-01

    We report room-temperature Raman studies of strained (100) and (311)B GaAs1-xBix epitaxial layers for x ≤ 0.039. The Raman spectra exhibit a two-mode behavior, as well as disorder-activated GaAs-like phonons. The experimental results show that the GaAs-like LO(Γ) mode experiences a strong composition-dependent redshift as a result of alloying. The peak frequency decreases linearly from the value for pure GaAs (˜293 cm-1) with the alloyed Bi fraction x and the introduced in-plane lattice strain ɛ∥, by ΔωLO=Δωalloy-Δωstrain. X-ray diffraction measurements are used to determine x and ɛ∥ allowing Δωalloy to be decoupled and is estimated to be -12(±4) cm-1/x for (100) GaAs1-xBix. ΔωLO is measured to be roughly double for samples grown on (311)B-oriented substrates to that of (100) GaAs. This large difference in redshift is accounted for by examining the Bi induced strain, effects from alloying, and defects formed during high-index (311)B crystal growth.

  14. Optimization of GaAs Nanowire Pin Junction Array Solar Cells by Using AlGaAs/GaAs Heterojunctions

    NASA Astrophysics Data System (ADS)

    Wu, Yao; Yan, Xin; Wei, Wei; Zhang, Jinnan; Zhang, Xia; Ren, Xiaomin

    2018-04-01

    We optimized the performance of GaAs nanowire pin junction array solar cells by introducing AlGaAs/GaAs heterejunctions. AlGaAs is used for the p type top segment for axial junctions and the p type outer shell for radial junctions. The AlGaAs not only serves as passivation layers for GaAs nanowires but also confines the optical generation in the active regions, reducing the recombination loss in heavily doped regions and the minority carrier recombination at the top contact. The results show that the conversion efficiency of GaAs nanowires can be greatly enhanced by using AlGaAs for the p segment instead of GaAs. A maximum efficiency enhancement of 8.42% has been achieved in this study. And for axial nanowire, by using AlGaAs for the top p segment, a relatively long top segment can be employed without degenerating device performance, which could facilitate the fabrication and contacting of nanowire array solar cells. While for radial nanowires, AlGaAs/GaAs nanowires show better tolerance to p-shell thickness and surface condition.

  15. Optimization of GaAs Nanowire Pin Junction Array Solar Cells by Using AlGaAs/GaAs Heterojunctions.

    PubMed

    Wu, Yao; Yan, Xin; Wei, Wei; Zhang, Jinnan; Zhang, Xia; Ren, Xiaomin

    2018-04-25

    We optimized the performance of GaAs nanowire pin junction array solar cells by introducing AlGaAs/GaAs heterejunctions. AlGaAs is used for the p type top segment for axial junctions and the p type outer shell for radial junctions. The AlGaAs not only serves as passivation layers for GaAs nanowires but also confines the optical generation in the active regions, reducing the recombination loss in heavily doped regions and the minority carrier recombination at the top contact. The results show that the conversion efficiency of GaAs nanowires can be greatly enhanced by using AlGaAs for the p segment instead of GaAs. A maximum efficiency enhancement of 8.42% has been achieved in this study. And for axial nanowire, by using AlGaAs for the top p segment, a relatively long top segment can be employed without degenerating device performance, which could facilitate the fabrication and contacting of nanowire array solar cells. While for radial nanowires, AlGaAs/GaAs nanowires show better tolerance to p-shell thickness and surface condition.

  16. Nanopatterning as a Probe of Unstable Growth on GaAs(001)

    NASA Astrophysics Data System (ADS)

    Cosert, Krista; Lin, Chuan-Fu; Hammouda, Ajmi; Kan, Hung-Chih; Subrumaniam, Kanakaraju; Richardson, Chris; Phaneuf, Ray

    2009-03-01

    We report on observations of unstable growth on nanopatterned GaAs(001) surfaces. For growth at 500^oC, 1 ML/sec and an As2/Ga beam equivalent pressure ratio of 10:1, we find that grooves oriented at right angles to [110] produce a build up of ridges of GaAs at the upper edges, while for grooves oriented at right angles to [110] no ridges form; instead cusps evolve at the bottoms of such grooves [1]. The cusp-forming grooves show a pronounced initial amplification of depth during growth which changes with length/width ratio, and become more narrow. The ridge-forming grooves instead broaden during growth. We compare these experimental observations with kinetic Monte Carlo simulations in which a small anisotropic Ehrlich-Schwoebel barrier is included. [1] T. Tadayyon-Eslami, H.-C. Kan, L. C. Calhoun and R. J. Phaneuf, Phys. Rev. Lett. 97, 126101 (2006)

  17. Rugged spin-polarized electron sources based on negative electron affinity GaAs photocathode with robust Cs2Te coating

    NASA Astrophysics Data System (ADS)

    Bae, Jai Kwan; Cultrera, Luca; DiGiacomo, Philip; Bazarov, Ivan

    2018-04-01

    Photocathodes capable of providing high intensity and highly spin-polarized electron beams with long operational lifetimes are of great interest for the next generation nuclear physics facilities like Electron Ion Colliders. We report on GaAs photocathodes activated by Cs2Te, a material well known for its robustness. GaAs activated by Cs2Te forms Negative Electron Affinity, and the lifetime for extracted charge is improved by a factor of 5 compared to that of GaAs activated by Cs and O2. The spin polarization of photoelectrons was measured using a Mott polarimeter and found to be independent from the activation method, thereby shifting the paradigm on spin-polarized electron sources employing photocathodes with robust coatings.

  18. Photoluminescence emission from GaAs nanodisks in GaAs/AlGaAs nanopillar arrays fabricated by neutral beam etching

    NASA Astrophysics Data System (ADS)

    Ohori, Daisuke; Fukuyama, Atsuhiko; Sakai, Kentaro; Higo, Akio; Thomas, Cedric; Samukawa, Seiji; Ikari, Tetsuo

    2017-05-01

    GaAs quantum nanodisks (QNDs) in nanopillar (NP) arrays are considered to be an attractive candidate for photonic device applications. We report a damageless fabrication technique that can be used to produce large-area lattice-matched GaAs/AlGaAs heterostructure NP arrays through the use of a bio-template and neutral beam etching. We have successfully realized GaAs QNDs in NPs owing to nanoscale iron oxide masks included in poly(ethylene glycol)-decorated ferritin protein shells. We observed for first time the photoluminescence emission from as-etched GaAs QNDs and confirmed quantum confinement by quantum mechanical calculation. Our methodology is vital for high-efficiency pillar-based optoelectronic devices such as NP laser diodes.

  19. Measurements with Si and GaAs pixel detectors bonded to photon counting readout chips

    NASA Astrophysics Data System (ADS)

    Schwarz, C.; Campbell, M.; Goeppert, R.; Ludwig, J.; Mikulec, B.; Runge, K.; Smith, K. M.; Snoeys, W.

    2001-06-01

    Detectors fabricated with SI-GaAs and Si bulk material were bonded to Photon Counting Chips (PCC), developed in the framework of the MEDIPIX Collaboration. The PCC consists of a matrix of 64×64 identical square pixels (170 μm×170 μm) with a 15-bit counter in each cell. We investigated the imaging properties of these detector systems under exposure of a dental X-ray tube at room temperature. The image homogeneity and the mean count rate were determined via flood exposure images and compared. Exposures for GaAs detectors exhibit a 3 times larger spread in count rate per image in comparison to Si detectors. This also results in a 3 times worse signal to noise ratio. IV-characteristics and X-ray images at different values of the detectors bias voltage were also taken and show a 30 times higher leakage current for GaAs. The Si detector is fully active beginning from 70 V, whereas the GaAs detector does not reach full charge collection. The presampling modulation transfer function of both assembly types was measured via slit images and gives a spatial resolution of 4.3 lp/mm for both detector systems.

  20. Phase diagrams for understanding gold-seeded growth of GaAs and InAs nanowires

    NASA Astrophysics Data System (ADS)

    Ghasemi, Masoomeh; Johansson, Jonas

    2017-04-01

    Phase diagrams are useful tools to study the phase equilibria of nanowire materials systems because the growth of nanowires is accompanied by phase formation and phase transition. We have modeled the phase equilibria of the As-Au-Ga ternary system by means of the CALPHAD method. This method is a well-established semi-empirical technique for thermodynamic modeling in which Gibbs energy functions with free parameters are defined for all phases in a system followed by adjusting these parameters to the experimental data. Using the resulting As-Au-Ga thermodynamic database, four vertical cuts of this ternary system are calculated and all show good agreement with experiments. This ternary system is particularly useful for predicting the state of the Au seed alloys when growing GaAs nanowires and we discuss such predictions. Similar calculations are performed for Au-seeded InAs nanowires. We show that the vapor-liquid-solid (VLS) growth fails for InAs nanowires, while GaAs nanowires can grow from a liquid particle. Our calculations are in agreement with experimental data on the growth of Au-seeded GaAs and InAs nanowires.

  1. Multilayer-Grown Ultrathin Nanostructured GaAs Solar Cells as a Cost-Competitive Materials Platform for III-V Photovoltaics.

    PubMed

    Gai, Boju; Sun, Yukun; Lim, Haneol; Chen, Huandong; Faucher, Joseph; Lee, Minjoo L; Yoon, Jongseung

    2017-01-24

    Large-scale deployment of GaAs solar cells in terrestrial photovoltaics demands significant cost reduction for preparing device-quality epitaxial materials. Although multilayer epitaxial growth in conjunction with printing-based materials assemblies has been proposed as a promising route to achieve this goal, their practical implementation remains challenging owing to the degradation of materials properties and resulting nonuniform device performance between solar cells grown in different sequences. Here we report an alternative approach to circumvent these limitations and enable multilayer-grown GaAs solar cells with uniform photovoltaic performance. Ultrathin single-junction GaAs solar cells having a 300-nm-thick absorber (i.e., emitter and base) are epitaxially grown in triple-stack releasable multilayer assemblies by molecular beam epitaxy using beryllium as a p-type impurity. Microscale (∼500 × 500 μm 2 ) GaAs solar cells fabricated from respective device layers exhibit excellent uniformity (<3% relative) of photovoltaic performance and contact properties owing to the suppressed diffusion of p-type dopant as well as substantially reduced time of epitaxial growth associated with ultrathin device configuration. Bifacial photon management employing hexagonally periodic TiO 2 nanoposts and a vertical p-type metal contact serving as a metallic back-surface reflector together with specialized epitaxial design to minimize parasitic optical losses for efficient light trapping synergistically enable significantly enhanced photovoltaic performance of such ultrathin absorbers, where ∼17.2% solar-to-electric power conversion efficiency under simulated AM1.5G illumination is demonstrated from 420-nm-thick single-junction GaAs solar cells grown in triple-stack epitaxial assemblies.

  2. Characteristic measurement for femtosecond laser pulses using a GaAs PIN photodiode as a two-photon photovoltaic receiver

    NASA Astrophysics Data System (ADS)

    Chen, Junbao; Xia, Wei; Wang, Ming

    2017-06-01

    Photodiodes that exhibit a two-photon absorption effect within the spectral communication band region can be useful for building an ultra-compact autocorrelator for the characteristic inspection of optical pulses. In this work, we develop an autocorrelator for measuring the temporal profile of pulses at 1550 nm from an erbium-doped fiber laser based on the two-photon photovoltaic (TPP) effect in a GaAs PIN photodiode. The temporal envelope of the autocorrelation function contains two symmetrical temporal side lobes due to the third order dispersion of the laser pulses. Moreover, the joint time-frequency distribution of the dispersive pulses and the dissimilar two-photon response spectrum of GaAs and Si result in different delays for the appearance of the temporal side lobes. Compared with Si, GaAs displays a greater sensitivity for pulse shape reconstruction at 1550 nm, benefiting from the higher signal-to-noise ratio of the side lobes and the more centralized waveform of the autocorrelation trace. We also measure the pulse width using the GaAs PIN photodiode, and the resolution of the measured full width at half maximum of the TPP autocorrelation trace is 0.89 fs, which is consistent with a conventional second-harmonic generation crystal autocorrelator. The GaAs PIN photodiode is shown to be highly suitable for real-time second-order autocorrelation measurements of femtosecond optical pulses. It is used both for the generation and detection of the autocorrelation signal, allowing the construction of a compact and inexpensive intensity autocorrelator.

  3. A Novel Growth Method To Improve the Quality of GaAs Nanowires Grown by Ga-Assisted Chemical Beam Epitaxy.

    PubMed

    García Núñez, Carlos; Braña, Alejandro F; López, Nair; García, Basilio J

    2018-06-13

    The successful synthesis of high crystalline quality and high aspect ratio GaAs nanowires (NWs) with a uniform diameter is needed to develop advanced applications beyond the limits established by thin film and bulk material properties. Vertically aligned GaAs NWs have been extensively grown by Ga-assisted vapor-liquid-solid (VLS) mechanism on Si(111) substrates, and they have been used as building blocks in photovoltaics, optoelectronics, electronics, and so forth. However, the nucleation of parasitic species such as traces and nanocrystals on the Si substrate surface during the NW growth could affect significantly the controlled nucleation of those NWs, and therefore the resulting performance of NW-based devices. Preventing the nucleation of parasitic species on the Si substrate is a matter of interest, because they could act as traps for gaseous precursors and/or chemical elements during VLS growth, drastically reducing the maximum length of grown NWs, affecting their morphology and structure, and reducing the NW density along the Si substrate surface. This work presents a novel and easy to develop growth method (i.e., without using advanced nanolithography techniques) to prevent the nucleation of parasitic species, while preserving the quality of GaAs NWs even for long duration growths. GaAs NWs are grown by Ga-assisted chemical beam epitaxy on oxidized Si(111) substrates using triethylgallium and tertiarybutylarsine precursors by a two-step-based growth method presented here; this method includes a growth interruption for an oxidation on air between both steps of growth, reducing the nucleation of parasitic crystals on the thicker SiO x capping layer during the second and longer growth step. VLS conditions are preserved overtime, resulting in a stable NW growth rate of around 6 μm/h for growth times up to 1 h. Resulting GaAs NWs have a high aspect ratio of 85 and average radius of 35 nm. We also report on the existence of characteristic reflection high

  4. Evaluation of the quality of semi-insulating CdTe for radiation detectors by measurement of lux-ampere characteristics

    NASA Astrophysics Data System (ADS)

    Franc, J.; Kubát, J.; Grill, R.; Dědič, V.; Hlídek, P.; Moravec, P.; Belas, E.

    2011-05-01

    Accumulation of space charge on deep levels represents one of the major problems in fabrication of semi-insulating CdTe and CdZnTe X-ray and gamma-ray detectors, because it influences the applied electric field and can even result in a complete breakdown of the field in part of the sample (polarization and dead layer formation). The goal of the study was to evaluate possibilities of localization of areas of potential space charge accumulation in as grown crystals by steady state measurement of lux-ampere characteristics. All measurements were done at room temperature using He-Ne laser. Voltage was applied parallel to the direction of light propagation in the range 10-100 V. It was observed that all lux-ampere characteristics are sub-linear. Screening effects caused by space charge accumulated on deep levels explain these results. Crystals prepared by Vertical gradient freeze method in our laboratory are compared to a commercially available detector-grade sample prepared by Travelling heater method. Comparison of crystals grown from precursors of different starting purity shows an increase of the slope of lux-ampere characteristics with a decrease of impurity content. A correlation between the slope of lux-ampere characteristics and the mobility-lifetime product of electrons was observed, too.

  5. A thermochemical model of radiation damage and annealing applied to GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Conway, E. J.; Walker, G. H.; Heinbockel, J. H.

    1981-01-01

    Calculations of the equilibrium conditions for continuous radiation damage and thermal annealing are reported. The calculations are based on a thermochemical model developed to analyze the incorporation of point imperfections in GaAs, and modified by introducing the radiation to produce native lattice defects rather than high-temperature and arsenic atmospheric pressure. The concentration of a set of defects, including vacancies, divacancies, and impurity vacancy complexes, are calculated as a function of temperature. Minority carrier lifetimes, short circuit current, and efficiency are deduced for a range of equilibrium temperatures. The results indicate that GaAs solar cells could have a mission life which is not greatly limited by radiation damage.

  6. Surface ordering of (In,Ga)As quantum dots controlled by GaAs substrate indexes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Zh.M.; Seydmohamadi, Sh.; Lee, J.H.

    Self-organized surface ordering of (In,Ga)As quantum dots in a GaAs matrix was investigated using stacked multiple quantum dot layers prepared by molecular-beam epitaxy. While one-dimensional chain-like ordering is formed on singular and slightly misorientated GaAs(100) surfaces, we report on two-dimensional square-like ordering that appears on GaAs(n11)B, where n is 7, 5, 4, and 3. Using a technique to control surface diffusion, the different ordering patterns are found to result from the competition between anisotropic surface diffusion and anisotropic elastic matrix, a similar mechanism suggested before by Solomon [Appl. Phys. Lett. 84, 2073 (2004)].

  7. Structural phase transitions in GaAs to 108 GPa

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weir, S.T.; Vohra, Y.K.; Vanderborgh, C.A.

    1989-01-15

    The III-V compound GaAs was studied using energy-dispersive x-ray diffraction with a synchro- tron source up to a pressure of 108 GPa. When the pressure was increased to 16.6 GPa, the GaAs sample transformed from the zinc-blende structure to an orthorhombic structure (GaAs(II)), space group Pmm2, consisting of a primitive orthorhombic lattice with a basis of (0,0,0) and (0,(1/2,..cap alpha..), where ..cap alpha.. = 0.35. Upon a further increase of pressure to 24 +- 1 GPa, GaAs(II) transformed to another orthorhombic structure (GaAs(III)), space group Imm2, consisting of a body-centered orthorhombic lattice with a basis of (0,0,0) and (0, (1/2,..delta..),more » where ..delta.. is 0.425 at 28.1 GPa. With increasing pressure, ..delta.. approached (1/2 and the GaAs(III) structure gradually assumed the symmetry of the simple hexagonal structure. The transition to the simple hexagonal structure (GaAs(IV)) was completed in the vicinity of 60--80 GPa. The structure remains simple hexagonal up to at least 108 GPa, the highest pressure reached in this study.« less

  8. GaAs circuits for monolithic optical controller

    NASA Technical Reports Server (NTRS)

    Gustafson, G.; Bendett, M.; Carney, J.; Mactaggart, R.; Palmquist, S.

    1988-01-01

    GaAs circuits for use in a fully monolithic 1 Gb/s optical controller have been developed and tested. The circuits include photodetectors, transimpedance amplifiers and 1:16 demultiplexers that can directly control the phase of MMIC phase shifters. The entire chip contains approximately 300 self-aligned gate E/D-mode MESFETs. The MESFETs have one micron-wide gate and the E-mode FETs typically have transconductance of 200 ms/mm. Results of simulations and tests are reported. Also, the design and layout of the fully monolithic chip is discussed.

  9. Impurity and Defect Interactions in GaAs.

    DTIC Science & Technology

    1984-02-29

    3 VPE a X X ASW 3 vIE 33 34 35 36"M-cVO Wawwmba (CM - Z TS 32 -~ - .35T 2II i I MS . 34 35 3 , b Wovor%~~e (€cm -) X3 FiS.l Characteristic donor peaks ...2). Far infrared photoconductivity measurements on Si doped GaAs grown by molecular beam epitaxy (MBE) indicated that the impurity peak previously...difference is donor species dependent, each hydrogenic transition in a photothermal ionization spectrum contains several closely spaced peaks . Each peak cor

  10. Effect of random inhomogeneities in the spatial distribution of radiation-induced defect clusters on carrier transport through the thin base of a heterojunction bipolar transistor upon neutron irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Puzanov, A. S.; Obolenskiy, S. V., E-mail: obolensk@rf.unn.ru; Kozlov, V. A.

    We analyze the electron transport through the thin base of a GaAs heterojunction bipolar transistor with regard to fluctuations in the spatial distribution of defect clusters induced by irradiation with a fissionspectrum fast neutron flux. We theoretically demonstrate that the homogeneous filling of the working region with radiation-induced defect clusters causes minimum degradation of the dc gain of the heterojunction bipolar transistor.

  11. Progress toward thin-film GaAs solar cells using a single-crystal Si substrate with a Ge interlayer

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.; Wang, K. L.; Zwerdling, S.

    1982-01-01

    Development of a technology for fabricating light-weight, high-efficiency, radiation-resistant solar cells for space applications is reported. The approaches currently adopted are to fabricate shallow homojunction n(+)/p as well as p/n AlGaAs-heteroface GaAs solar cells by organometallic chemical vapor deposition (OM-CVD) on single-crystal Si substrates using in each case, a thin Ge epi-interlayer first grown by CVD. This approach maintains the advantages of the low specific gravity of Si as well as the high efficiency and radiation-resistant properties of the GaAs solar cell which can lead to greatly improved specific power for a solar array. The growth of single-crystal GaAs epilayers on Ge epi-interlayers on Si substrates is investigated. Related solar cell fabrication is reviewed.

  12. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    PubMed

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  13. Multiband corrections for the semi-classical simulation of interband tunneling in GaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Louarn, K.; Claveau, Y.; Hapiuk, D.; Fontaine, C.; Arnoult, A.; Taliercio, T.; Licitra, C.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2017-09-01

    The aim of this study is to investigate the impact of multiband corrections on the current density in GaAs tunnel junctions (TJs) calculated with a refined yet simple semi-classical interband tunneling model (SCITM). The non-parabolicity of the considered bands and the spin-orbit effects are considered by using a recently revisited SCITM available in the literature. The model is confronted to experimental results from a series of molecular beam epitaxy grown GaAs TJs and to numerical results obtained with a full quantum model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We emphasize the importance of considering the non-parabolicity of the conduction band by two different measurements of the energy-dependent electron effective mass in N-doped GaAs. We also propose an innovative method to compute the non-uniform electric field in the TJ for the SCITM simulations, which is of prime importance for a successful operation of the model. We demonstrate that, when considering the multiband corrections and this new computation of the non-uniform electric field, the SCITM succeeds in predicting the electrical characteristics of GaAs TJs, and are also in agreement with the quantum model. Besides the fundamental study of the tunneling phenomenon in TJs, the main benefit of this SCITM is that it can be easily embedded into drift-diffusion software, which are the most widely-used simulation tools for electronic and opto-electronic devices such as multi-junction solar cells, tunnel field-effect transistors, or vertical-cavity surface-emitting lasers.

  14. Consideration of velocity saturation in the design of GaAs varactor diodes

    NASA Technical Reports Server (NTRS)

    Crowe, Thomas W.; Peatman, William C. B.; Zimmermann, Ruediger; Zimmermann, Ralph

    1993-01-01

    The design of GaAs Schottky barrier varactor diodes is reconsidered in light of the recent discovery of velocity saturation effects in these devices. Experimental data is presented which confirms that improved multiplier performance can be achieved.

  15. On the dissolution properties of GaAs in Ga

    NASA Technical Reports Server (NTRS)

    Davidson, M. C.; Moynahan, A. H.

    1977-01-01

    The dissolution of GaAs in Ga was studied to determine the nature and cause of faceting effects. Ga was allowed to dissolve single crystalline faces under isothermal conditions. Of the crystalline planes with low number indices, only the (100) surface showed a direct correlation of dissolution sites to dislocations. The type of dissolution experienced depended on temperature, and there were three distinct types of behavior.

  16. Center for the development of commercial crystal growth in space

    NASA Technical Reports Server (NTRS)

    Wilcox, William R.

    1989-01-01

    The second year of operation of the Center for Commercial Crystal Growth in Space is described. This center is a consortium of businesses, universities and national laboratories. The primary goal of the Center's research is the development of commercial crystal growth in space. A secondary goal is to develop scientific understanding and technology which will improve commercial crystal growth on earth. In order to achieve these goals the Center's research is organized into teams by growth technique; melt growth, solution growth, and vapor growth. The melt growth team is working on solidification and characterization of bulk crystals of gallium arsenide and cadmium telluride. They used high resolution X-ray topography performed at the National Synchrotron Light Source at Brookhaven National Laboratory. Streak-like features were found in the diffraction images of semi-insulating undoped LEC GaAs. These were shown to be (110) antiphase boundaries, which have not been reported before but appear to be pervasive and responsible for features seen via less-sensitive characterization methods. The results on CdTe were not as definitive, but indicate that antiphase boundaries may also be responsible for the double peaks often seen in X-ray rocking curves of this material. A liquid encapsulated melt zone system for GaAs has been assembled and techniques for casting feed rods developed. It was found that scratching the inside of the quartz ampoules with silicon carbide abrasive minimized sticking of the GaAs to the quartz. Twelve floating zone experiments were done.

  17. Doping and electronic properties of GaAs grown by close-spaced vapor transport from powder sources for scalable III–V photovoltaics

    DOE PAGES

    Ritenour, Andrew J.; Boucher, Jason W.; DeLancey, Robert; ...

    2014-09-01

    The high balance-of-system costs of photovoltaic (PV) installations indicate that reductions in cell $/W costs alone are likely insufficient for PV electricity to reach grid parity unless energy conversion efficiency is also increased. Technologies which yield both high-efficiency cells (>25%) and maintain low costs are needed. GaAs and related III-V semiconductors are used in the highest-efficiency single- and multi-junction photovoltaics, but the technology is too expensive for non-concentrated terrestrial applications. This is due in part to the difficulty of scaling the metal-organic chemical vapor deposition (MOCVD) process, which relies on expensive reactors and employs toxic and pyrophoric gas-phase precursors suchmore » as arsine and trimethyl gallium, respectively. In this study, we describe GaAs films made by an alternative close-spaced vapor transport (CSVT) technique which is carried out at atmospheric pressure and requires only bulk GaAs, water vapor, and a temperature gradient in order to deposit crystalline films with similar electronic properties to that of GaAs deposited by MOCVD. CSVT is similar to the vapor transport process used to deposit CdTe thin films and is thus a potentially scalable low-cost route to GaAs thin films.« less

  18. Failure mechanism of THz GaAs photoconductive antenna

    NASA Astrophysics Data System (ADS)

    Qadri, Syed B.; Wu, Dong H.; Graber, Benjamin D.; Mahadik, Nadeemullah A.; Garzarella, Anthony

    2012-07-01

    We investigated the failure mechanism of THz GaAs photoconductive antenna using high resolution x-ray diffraction topography. From these studies, it was found that grain boundaries are formed during the high frequency device operation. This results in the segregation of gold at the boundaries causing electromigration of the metal between the gold micro-strips. This disrupts the photocurrents from being produced by femtosecond laser thus preventing terahertz beam generation from the photoconductive antennae leading to device failure.

  19. Passively Q-switched, intracavity frequency-doubled YVO{sub 4}/Nd : YVO{sub 4}/KTP green laser with a GaAs saturable absorber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shang Gao

    2015-11-30

    A diode-pumped, passively Q-switched, intracavity frequency-doubled YVO{sub 4}/Nd : YVO{sub 4}/KTP green laser is realised using a GaAs saturable absorber. Two pieces of GaAs wafers are employed in the experiment. In using a 400-μm-thick GaAs wafer and an incident pump power of 10.5 W, the maximum output power of the passively Q-switched green laser is 362 mW at a pulse repetition rate of 84 kHz and a pulse duration of 2.5 ns. When use is made of a 700-mm-thick GaAs wafer, the minimum pulse duration is 1.5 ns at a repetition rate of 67 kHz, pulse energy of 4.18 μJmore » and peak power of 2.8 kW. (control of laser radiation parameters)« less

  20. Electronic passivation of n- and p-type GaAs using chemical vapor deposited GaS

    NASA Technical Reports Server (NTRS)

    Tabib-Azar, Massood; Kang, Soon; Macinnes, Andrew N.; Power, Michael B.; Barron, Andrew R.; Jenkins, Phillip P.; Hepp, Aloysius F.

    1993-01-01

    We report on the electronic passivation of n- and p-type GaAs using CVD cubic GaS. Au/GaS/GaAs-fabricated metal-insulator-semiconductor (MIS) structures exhibit classical high-frequency capacitor vs voltage (C-V) behavior with well-defined accumulation and inversion regions. Using high- and low-frequency C-V, the interface trap densities of about 10 exp 11/eV per sq cm on both n- and p-type GaAs are determined. The electronic condition of GaS/GaAs interface did not show any deterioration after a six week time period.

  1. Focusing effect of bent GaAs crystals for γ-ray Laue lenses: Monte Carlo and experimental results

    NASA Astrophysics Data System (ADS)

    Virgilli, E.; Frontera, F.; Rosati, P.; Bonnini, E.; Buffagni, E.; Ferrari, C.; Stephen, J. B.; Caroli, E.; Auricchio, N.; Basili, A.; Silvestri, S.

    2016-02-01

    We report on results of observation of the focusing effect from the planes (220) of Gallium Arsenide (GaAs) crystals. We have compared the experimental results with the Monte Carlo simulations of the focusing capability of GaAs tiles performed with a dedicated ray-tracer. The GaAs tiles were bent using a lapping process developed at the cnr/imem - Parma (Italy) in the framework of the laue project, funded by ASI, dedicated to build a broad band Laue lens prototype for astrophysical applications in the hard X-/soft γ-ray energy range (80-600 keV). We present and discuss the results obtained from their characterization, mainly in terms of focusing capability. Bent crystals will significantly increase the signal to noise ratio of a telescope based on a Laue lens, consequently leading to an unprecedented enhancement of sensitivity with respect to the present non focusing instrumentation.

  2. ZnO Films on {001}-Cut <110>-Propagating GaAs Substrates for Surface Acoustic Wave Device Applications

    NASA Technical Reports Server (NTRS)

    Kim, Yoonkee; Hunt, William D.; Hickernell, Frederick S.; Higgins, Robert J.; Jen, Cheng-Kuei

    1995-01-01

    A potential application for piezoelectric films on GaAs substrates is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the layered structure is critical for the optimum and accurate design of such devices. The acoustic properties of ZnO films sputtered on {001}-cut <110> -propagating GaAs substrates are investigated in this article, including SAW Velocity effective piezoelectric coupling constant, propagation loss. diffraction, velocity surface, and reflectivity of shorted and open metallic gratings. The measurements of these essential SAW properties for the frequency range between 180 and 360 MHz have been performed using a knife-edge laser probe for film thicknesses over the range of 1.6-4 micron and with films or different grain sizes. The high quality of dc triode sputtered films was observed as evidenced by high K(exp 2) and low attenuation. The measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metalized ZnO on SiO2, or Si3N4 on {001}-cut GaAs samples are reported using two different techniques: 1) knife-edge laser probe, 2) line-focus-beam scanning acoustic microscope. It was found that near the <110> propagation direction, the focusing SAW property of the bare GaAs changes into a nonfocusing one for the layered structure, but a reversed phenomenon exists near the <100> direction. Furthermore, to some extent the diffraction of the substrate can be controlled with the film thickness. The reflectivity of shorted and open gratings are also analyzed and measured. Zero reflectivity is observed for a shorted grating. There is good agreement between the measured data and theoretical values.

  3. Properties of epitaxial BaTiO{sub 3} deposited on GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Contreras-Guerrero, R.; Droopad, R.; Veazey, J. P.

    2013-01-07

    Single crystal BaTiO{sub 3} (BTO) has been grown epitaxially on GaAs using molecular beam epitaxy with a 2 unit cell SrTiO{sub 3} nucleation layer. The oxide film is lattice-matched to GaAs through an in-plane rotation of 45 Degree-Sign relative to the (100) surface leading to c-axis orientation of the BaTiO{sub 3}. X-ray diffraction confirmed the crystallinity and orientation of the oxide film with a full width half maximum of 0.58 Degree-Sign for a 7.5 nm thick layer. Piezoresponse force microscopy was used to characterize the ferroelectric domains in the BaTiO{sub 3} layer, and a coercive voltage of 1-2 V andmore » piezoresponse amplitude {approx}5 pm/V was measured.« less

  4. STUDY BY AES AND EELS OF InP, InSb, InPO4 AND InxGa1-xAs SUBMITTED TO ELECTRON IRRADIATION

    NASA Astrophysics Data System (ADS)

    Ghaffour, M.; Abdellaoui, A.; Bouslama, M.; Ouerdane, A.; Al-Douri, Y.

    2012-02-01

    The surface of materials plays an important role in their technological applications. In the interest to study the stability of materials and their behavior, we irradiate them by the electrons by using the electron spectroscopy such as the Auger electron spectroscopy (AES) and the electron energy loss spectroscopy (EELS). These methods have proved their good sensitivity to study material surfaces. In this paper, we give some results about the effect of the electron beam irradiating the compounds InP, InSb, InPO4 and InxGa1-xAs. The III-V semiconductors InP and InSb seem to be sensitive to the electron irradiation. This breaks the chemical bonds between the element III and V which leads to an oxidation process at the surface. The AES and EELS spectroscopy are also used to characterize the oxide InPO4 whose thickness is about 10 Å grown on the substrate InP(100). The irradiation of the system InPO4/InP(100) by the electron beam of 5 keV energy leads to a structural change of the surface, so that there is breaking of chemical bonds between indium and phosphorus (In-P) and formation of new oxide other than InPO4. In this study we show an important result concerning the effect of the electron beam on the compound InxGa1-xAs by varying the parameter x to obtain In0.2Ga0.8As and In0.53Ga0.47As. It appears that the electron beam affects In0.2Ga0.8As too much in comparison with In0.53Ga0.47As. In the case of the irradiation of In0.2Ga0.8As, there is breaking of chemical bonds between indium and GaAs leading to formation of indium oxide associated to GaAs.

  5. Adding GaAs Monolayers to InAs Quantum-Dot Lasers on (001) InP

    NASA Technical Reports Server (NTRS)

    Qiu, Yueming; Chacon, Rebecca; Uhl, David; Yang, Rui

    2005-01-01

    In a modification of the basic configuration of InAs quantum-dot semiconductor lasers on (001)lnP substrate, a thin layer (typically 1 to 2 monolayer thick) of GaAs is incorporated into the active region. This modification enhances laser performance: In particular, whereas it has been necessary to cool the unmodified devices to temperatures of about 80 K in order to obtain lasing at long wavelengths, the modified devices can lase at wavelengths of about 1.7 microns or more near room temperature. InAs quantum dots self-assemble, as a consequence of the lattice mismatch, during epitaxial deposition of InAs on ln0.53Ga0.47As/lnP. In the unmodified devices, the quantum dots as thus formed are typically nonuniform in size. Strainenergy relaxation in very large quantum dots can lead to poor laser performance, especially at wavelengths near 2 microns, for which large quantum dots are needed. In the modified devices, the thin layers of GaAs added to the active regions constitute potential-energy barriers that electrons can only penetrate by quantum tunneling and thus reduce the hot carrier effects. Also, the insertion of thin GaAs layer is shown to reduce the degree of nonuniformity of sizes of the quantum dots. In the fabrication of a batch of modified InAs quantum-dot lasers, the thin additional layer of GaAs is deposited as an interfacial layer in an InGaAs quantum well on (001) InP substrate. The device as described thus far is sandwiched between InGaAsPy waveguide layers, then further sandwiched between InP cladding layers, then further sandwiched between heavily Zn-doped (p-type) InGaAs contact layer.

  6. The GAAS metagenomic tool and its estimations of viral and microbial average genome size in four major biomes.

    PubMed

    Angly, Florent E; Willner, Dana; Prieto-Davó, Alejandra; Edwards, Robert A; Schmieder, Robert; Vega-Thurber, Rebecca; Antonopoulos, Dionysios A; Barott, Katie; Cottrell, Matthew T; Desnues, Christelle; Dinsdale, Elizabeth A; Furlan, Mike; Haynes, Matthew; Henn, Matthew R; Hu, Yongfei; Kirchman, David L; McDole, Tracey; McPherson, John D; Meyer, Folker; Miller, R Michael; Mundt, Egbert; Naviaux, Robert K; Rodriguez-Mueller, Beltran; Stevens, Rick; Wegley, Linda; Zhang, Lixin; Zhu, Baoli; Rohwer, Forest

    2009-12-01

    Metagenomic studies characterize both the composition and diversity of uncultured viral and microbial communities. BLAST-based comparisons have typically been used for such analyses; however, sampling biases, high percentages of unknown sequences, and the use of arbitrary thresholds to find significant similarities can decrease the accuracy and validity of estimates. Here, we present Genome relative Abundance and Average Size (GAAS), a complete software package that provides improved estimates of community composition and average genome length for metagenomes in both textual and graphical formats. GAAS implements a novel methodology to control for sampling bias via length normalization, to adjust for multiple BLAST similarities by similarity weighting, and to select significant similarities using relative alignment lengths. In benchmark tests, the GAAS method was robust to both high percentages of unknown sequences and to variations in metagenomic sequence read lengths. Re-analysis of the Sargasso Sea virome using GAAS indicated that standard methodologies for metagenomic analysis may dramatically underestimate the abundance and importance of organisms with small genomes in environmental systems. Using GAAS, we conducted a meta-analysis of microbial and viral average genome lengths in over 150 metagenomes from four biomes to determine whether genome lengths vary consistently between and within biomes, and between microbial and viral communities from the same environment. Significant differences between biomes and within aquatic sub-biomes (oceans, hypersaline systems, freshwater, and microbialites) suggested that average genome length is a fundamental property of environments driven by factors at the sub-biome level. The behavior of paired viral and microbial metagenomes from the same environment indicated that microbial and viral average genome sizes are independent of each other, but indicative of community responses to stressors and environmental conditions.

  7. Effects of Light Exposure on Dopant Incorporation and Migration in MBE-Grown GaAs(001)

    NASA Astrophysics Data System (ADS)

    Sanders, Charlotte E.; Beaton, D. A.; Alberi, K.

    2015-03-01

    Light-stimulated epitaxy of II-VI semiconducting materials is known to reduce crystalline defect density and enhance substitutional dopant incorporation relative to traditional ``dark'' epitaxial growth. These effects have been speculated to arise from photon-adatom interactions at the growth front, and from involvement in bonding processes by photogenerated carriers; however, a conclusive explanation of the observed effects has yet to be found. We are revisiting this topic, attempting to clarify the mechanisms of light-stimulated epitaxy and to explore its effects on the class of III-V materials. Here we report an ongoing investigation into dopant incorporation and migration in MBE-grown GaAs(001) when the growth front is irradiated during deposition. On the basis of our preliminary findings, and by comparing our new results with results previously obtained for light-stimulated effects on doping of II-VI systems, we can begin to draw conclusions about the mechanisms underlying light-stimulated epitaxy and their potential utility to MBE growth of complex multilayer structures. This work was supported by the DOE Office of Science, Basic Energy Sciences, under contract DE-AC36-08G028308.

  8. GaAs on Si epitaxy by aspect ratio trapping: Analysis and reduction of defects propagating along the trench direction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Orzali, Tommaso, E-mail: tommaso.orzali@sematech.org; Vert, Alexey; O'Brien, Brendan

    2015-09-14

    The Aspect Ratio Trapping technique has been extensively evaluated for improving the quality of III-V heteroepitaxial films grown on Si, due to the potential for terminating defects at the sidewalls of SiO{sub 2} patterned trenches that enclose the growth region. However, defects propagating along the trench direction cannot be effectively confined with this technique. We studied the effect of the trench bottom geometry on the density of defects of GaAs fins, grown by metal-organic chemical vapor deposition on 300 mm Si (001) wafers inside narrow (<90 nm wide) trenches. Plan view and cross sectional Scanning Electron Microscopy and Transmission Electron Microscopy, togethermore » with High Resolution X-Ray Diffraction, were used to evaluate the crystal quality of GaAs. The prevalent defects that reach the top surface of GaAs fins are (111) twin planes propagating along the trench direction. The lowest density of twin planes, ∼8 × 10{sup 8 }cm{sup −2}, was achieved on “V” shaped bottom trenches, where GaAs nucleation occurs only on (111) Si planes, minimizing the interfacial energy and preventing the formation of antiphase boundaries.« less

  9. Reflection Properties of Metallic Gratings on ZnO Films over GaAs Substrates

    NASA Technical Reports Server (NTRS)

    Hickernell, Fred S.; Kim, Yoonkee; Hunt, William D.

    1994-01-01

    A potential application for piezoelectric film deposited on GaAs substrates is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Metallic gratings are basic elements required for the construction of such devices, and analyzing the reflectivity and the velocity change due to metallic gratings is often a critical design parameter. In this article, Datta and Hunsinger technique is extended to the case of a multilayered structure, and the developed technique is applied to analyze shorted and open gratings on ZnO films sputtered over (001)-cut (110)-propagating GaAs substrates. The analysis shows that zero reflectivity of shorted gratings can be obtained by a combination of the ZnO film and the metal thickness and the metalization ratio of the grating. Experiments are performed on shorted and an open gratings (with the center frequency of about 180 MHz) for three different metal thicknesses over ZnO films which are 0.8 and 2.6 micrometers thick. From the experiments, zero reflectivity at the resonant frequency of the grating is observed for a reasonable thickness (h/Alpha = 0.5%) of aluminum metalization. The velocity shift between the shorted and the open grating is also measured to be 0.18 MHz and 0.25 MHz for 0.8 and 1.6 micrometers respectively. The measured data show relatively good agreement with theoretical predictions.

  10. Detecting Fermi-level shifts by Auger electron spectroscopy in Si and GaAs

    NASA Astrophysics Data System (ADS)

    Debehets, J.; Homm, P.; Menghini, M.; Chambers, S. A.; Marchiori, C.; Heyns, M.; Locquet, J. P.; Seo, J. W.

    2018-05-01

    In this paper, changes in surface Fermi-level of Si and GaAs, caused by doping and cleaning, are investigated by Auger electron spectroscopy. Based on the Auger voltage contrast, we compared the Auger transition peak energy but with higher accuracy by using a more accurate analyzer and an improved peak position determination method. For silicon, a peak shift as large as 0.46 eV was detected when comparing a cleaned p-type and n-type wafer, which corresponds rather well with the theoretical difference in Fermi-levels. If no cleaning was applied, the peak position did not differ significantly for both wafer types, indicating Fermi-level pinning in the band gap. For GaAs, peak shifts were detected after cleaning with HF and (NH4)2S-solutions in an inert atmosphere (N2-gas). Although the (NH4)2S-cleaning in N2 is very efficient in removing the oxygen from the surface, the observed Ga- and As-peak shifts are smaller than those obtained after the HF-cleaning. It is shown that the magnitude of the shift is related to the surface composition. After Si-deposition on the (NH4)2S-cleaned surface, the Fermi-level shifts back to a similar position as observed for an as-received wafer, indicating that this combination is not successful in unpinning the Fermi-level of GaAs.

  11. Stacking InAs quantum dots over ErAs semimetal nanoparticles on GaAs (0 0 1) using molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhang, Yuanchang; Eyink, Kurt G.; Grazulis, Lawrence; Hill, Madelyn; Peoples, Joseph; Mahalingam, Krishnamurthy

    2017-11-01

    Hybrid nanostructures are known to elicit an enhanced optical response. We study the directed alignment of ErAs metal nanoparticle (NP) and InAs quantum dot (QD) using molecular beam eptaxy (MBE) in a GaAs matrix. Due to high surface free energy caused by the crystal structure difference, overgrowth of an ErAs NP with GaAs forms a depression that condenses subsequent InAs adatoms to form an inverted QD self-aligned to the underlying ErAs NP. The ErAs NP growth, GaAs overgrowth, and InAs QD deposition were carefully controlled and studied with transmission electron microscopy (TEM) and atomic force microscopy (AFM) to investigate their effects on the QD-NP alignment.

  12. Investigation of the interface characteristics of Y2O3/GaAs under biaxial strain, triaxial strain, and non-strain conditions

    NASA Astrophysics Data System (ADS)

    Shi, Li-Bin; Liu, Xu-Yang; Dong, Hai-Kuan

    2016-09-01

    We investigate the interface behaviors of Y2O3/GaAs under biaxial strain, triaxial strain, and non-strain conditions. This study is performed by first principles calculations based on density functional theory (DFT). First of all, the biaxial strain is realized by changing the lattice constants in ab plane. Averaged electrostatic potential (AEP) is aligned by establishing Y2O3 and GaAs (110) surfaces. The band offsets of Y2O3/GaAs interface under biaxial strain are investigated by generalized gradient approximation and Heyd-Scuseria-Ernzerhof (HSE) functionals. The interface under biaxial strain is suitable for the design of metal oxide semiconductor (MOS) devices because the valence band offsets (VBO) and conduction band offsets (CBO) are larger than 1 eV. Second, the triaxial strain is applied to Y2O3/GaAs interface by synchronously changing the lattice constants in a, b, and c axis. The band gaps of Y2O3 and GaAs under triaxial strain are investigated by HSE functional. We compare the VBO and CBO under triaxial strain with those under biaxial strain. Third, in the absence of lattice strain, the formation energies, charge state switching levels, and migration barriers of native defects in Y2O3 are assessed. We investigate how they will affect the MOS device performance. It is found that VO+2 and Oi-2 play a very dangerous role in MOS devices. Finally, a direct tunneling leakage current model is established. The model is used to analyze current and voltage characteristics of the metal/Y2O3/GaAs.

  13. Crystal Orientation Controlled Photovoltaic Properties of Multilayer GaAs Nanowire Arrays.

    PubMed

    Han, Ning; Yang, Zai-Xing; Wang, Fengyun; Yip, SenPo; Li, Dapan; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2016-06-28

    In recent years, despite significant progress in the synthesis, characterization, and integration of various nanowire (NW) material systems, crystal orientation controlled NW growth as well as real-time assessment of their growth-structure-property relationships still presents one of the major challenges in deploying NWs for practical large-scale applications. In this study, we propose, design, and develop a multilayer NW printing scheme for the determination of crystal orientation controlled photovoltaic properties of parallel GaAs NW arrays. By tuning the catalyst thickness and nucleation and growth temperatures in the two-step chemical vapor deposition, crystalline GaAs NWs with uniform, pure ⟨110⟩ and ⟨111⟩ orientations and other mixture ratios can be successfully prepared. Employing lift-off resists, three-layer NW parallel arrays can be easily attained for X-ray diffraction in order to evaluate their growth orientation along with the fabrication of NW parallel array based Schottky photovoltaic devices for the subsequent performance assessment. Notably, the open-circuit voltage of purely ⟨111⟩-oriented NW arrayed cells is far higher than that of ⟨110⟩-oriented NW arrayed counterparts, which can be interpreted by the different surface Fermi level pinning that exists on various NW crystal surface planes due to the different As dangling bond densities. All this indicates the profound effect of NW crystal orientation on physical and chemical properties of GaAs NWs, suggesting the careful NW design considerations for achieving optimal photovoltaic performances. The approach presented here could also serve as a versatile and powerful platform for in situ characterization of other NW materials.

  14. Investigation of Optically Induced Avalanching in GaAs

    DTIC Science & Technology

    1989-06-01

    by Bovino , et al 4 to increase the hold off voltage. The button switch design of Fig. 4c has been used by several researchers5 ’ 7 to obtain the...ul Long flashover palh Figure 3b. 434 Optical Jlatlern a. Mourou Switch b. Bovino Switch c. Button Switch Figure 4. Photoconductive Switches...Technology and Devices Laboratory, ERADCOM (by L. Bovino , et. all) 4 • The deposition recipe for the contacts is 1) 50 ANi (provides contact to GaAs

  15. MEDIPIX: a VLSI chip for a GaAs pixel detector for digital radiology

    NASA Astrophysics Data System (ADS)

    Amendolia, S. R.; Bertolucci, E.; Bisogni, M. G.; Bottigli, U.; Ceccopieri, A.; Ciocci, M. A.; Conti, M.; Delogu, P.; Fantacci, M. E.; Maestro, P.; Marzulli, V.; Pernigotti, E.; Romeo, N.; Rosso, V.; Rosso, P.; Stefanini, A.; Stumbo, S.

    1999-02-01

    A GaAs pixel detector designed for digital mammography, equipped with a 36-channel single photon counting discrete read-out electronics, was tested using a test object developed for quality control purposes in mammography. Each pixel was 200×200 μm 2 large, and 200 μm deep. The choice of GaAs with respect to silicon (largely used in other applications and with a more established technique) has been made because of the much better detection efficiency at mammographic energies, combined with a very good charge collection efficiency achieved thanks to new ohmic contacts. This GaAs detector is able to perform a measurement of low-contrast details, with minimum contrast lower (nearly a factor two) than that typically achievable with standard mammographic film+screen systems in the same conditions of clinical routine. This should allow for an earlier diagnosis of breast tumour masses. Due to these encouraging results, the next step in the evolution of our imaging system based on GaAs detectors has been the development of a VLSI front-end prototype chip (MEDIPIX ) in order to cover a much larger diagnostic area. The chip reads 64×64 channels in single photon counting mode, each one 170 μm wide. Each channel contains also a test input where a signal can be simulated, injecting a known charge through a 16 f F capacitor. Fake signals have been injected via the test input measuring and equalizing minimum thresholds for all the channels. On an average, in most of the performing chips available up to now, we have found that it is possible to set a threshold as low as 1800 electrons with an RMS of 150 electrons (10 standard deviations lower than the 20 keV photon signal roughly equivalent to 4500 electrons). The detector, bump-bonded to the chip, will be tested and a ladder of detectors will be prepared to be able to scan large surface objects.

  16. Quantum-size-induced phase transitions in quantum dots: Indirect-band gap GaAs nanostructures

    NASA Astrophysics Data System (ADS)

    Zunger, Alex; Luo, Jun-Wei; Franceschetti, Alberto

    2008-03-01

    Quantum nanostructures are often advertised as having stronger absorption than the bulk material from which they are made, to the potential benefit of nanotechnology. However, nanostructures made of direct gap materials such as GaAs can convert to indirect-gap, weakly-aborbing systems when the quantum size becomes small. This is the case for spherical GaAs dots of radius 15 å or less (about 1000 atoms) embedded in a wide-gap matrix. The nature of the transition: γ-to-X or γ-to-L is however, controversial. The distinction can not be made on the basis of electronic structure techniques that misrepresent the magnitude of the various competing effective mass tensors (e.g, LDA or GGA) or wavefunction coupling (e.g, tight-binding). Using a carefully fit screened pseudopotential method we show that the transition occurs from γ to X, and, more importantly, that the transition involves a finite V (γ-X) interband coupling, manifested as an ``anti-crossing'' between the confined electron states of GaAs as the dot size crosses 15 å. The physics of this reciprocal-space γ-X transition, as well as the real-space (type II) transition in GaAs/AlGaAs will be briefly discussed.

  17. Modeling and Simulation of Capacitance-Voltage Characteristics of a Nitride GaAs Schottky Diode

    NASA Astrophysics Data System (ADS)

    Ziane, Abderrezzaq; Amrani, Mohammed; Benamara, Zineb; Rabehi, Abdelaziz

    2018-06-01

    A nitride GaAs Schottky diode has been fabricated by the nitridation of GaAs substrates using a radio frequency discharge nitrogen plasma source with a layer thickness of approximately 0.7 nm of GaN. The capacitance-voltage (C-V) characteristics of the Au/GaN/GaAs structure were investigated at room temperature for different frequencies, ranging from 1 kHz to 1 MHz. The C-V measurements for the Au/GaN/GaAs Schottky diode were found to be strongly dependent on the bias voltage and the frequency. The capacitance curves depict an anomalous peak and a negative capacitance phenomenon, indicating the presence of continuous interface state density behavior. A numerical drift-diffusion model based on the Scharfetter-Gummel algorithm was elaborated to solve a system composed of the Poisson and continuities equations. In this model, we take into account the continuous interface state density, and we have considered exponential and Gaussian distributions of trap states in the band gap. The effects of the GaAs doping concentration and the trap state density are discussed. We deduce the shape and values of the trap states, then we validate the developed model by fitting the computed C-V curves with experimental measurements at low frequency.

  18. Relevance of GaAs(001) surface electronic structure for high frequency dispersion on n-type accumulation capacitance

    NASA Astrophysics Data System (ADS)

    Pi, T. W.; Chen, W. S.; Lin, Y. H.; Cheng, Y. T.; Wei, G. J.; Lin, K. Y.; Cheng, C.-P.; Kwo, J.; Hong, M.

    2017-01-01

    This study investigates the origin of long-puzzled high frequency dispersion on the accumulation region of capacitance-voltage characteristics in an n-type GaAs-based metal-oxide-semiconductor. Probed adatoms with a high Pauling electronegativity, Ag and Au, unexpectedly donate charge to the contacted As/Ga atoms of as-grown α2 GaAs(001)-2 × 4 surfaces. The GaAs surface atoms behave as charge acceptors, and if not properly passivated, they would trap those electrons accumulated at the oxide and semiconductor interface under a positive bias. The exemplified core-level spectra of the Al2O3/n-GaAs(001)-2 × 4 and the Al2O3/n-GaAs(001)-4 × 6 interfaces exhibit remnant of pristine surface As emission, thereby causing high frequency dispersion in the accumulation region. For the p-type GaAs, electrons under a negatively biased condition are expelled from the interface, thereby avoiding becoming trapped.

  19. The electronic and optical properties of Cs adsorbed GaAs nanowires via first-principles study

    NASA Astrophysics Data System (ADS)

    Diao, Yu; Liu, Lei; Xia, Sihao; Feng, Shu; Lu, Feifei

    2018-07-01

    In this study, we investigate the Cs adsorption mechanism on (110) surface of zinc-blende GaAs nanowire. The adsorption energy, work function, dipole moment, geometric structure, Mulliken charge distribution, charge transfer index, band structures, density of state and optical properties of Cs adsorption structures are calculated utilizing first-principles method based on density function theory. Total-energy calculations show that all the adsorption energies are negative, indicating that Cs adsorption process is exothermic and Cs covered GaAs nanowires are stable. The work function of nanowire surface has an obvious decrease after Cs adsorption. Besides, the ionization of nanowire surface is enhanced as well. More importantly, Cs adsorption contributes to a lower side shift of bands near Fermi level, and the corresponding band gap disappears. Additionally, the absorption peak and energy loss function after Cs adsorption are far higher than those before adsorption, implying better light absorption characteristic of nanowire surface after Cs adsorption. These theoretical calculations can directly guide the Cs activation experiment for negative electron affinity GaAs nanowire, and also lay a foundation for the further study of Cs/O co-adsorption on the nanowire surface.

  20. Tandem Solar Cells Using GaAs Nanowires on Si: Design, Fabrication, and Observation of Voltage Addition.

    PubMed

    Yao, Maoqing; Cong, Sen; Arab, Shermin; Huang, Ningfeng; Povinelli, Michelle L; Cronin, Stephen B; Dapkus, P Daniel; Zhou, Chongwu

    2015-11-11

    Multijunction solar cells provide us a viable approach to achieve efficiencies higher than the Shockley-Queisser limit. Due to their unique optical, electrical, and crystallographic features, semiconductor nanowires are good candidates to achieve monolithic integration of solar cell materials that are not lattice-matched. Here, we report the first realization of nanowire-on-Si tandem cells with the observation of voltage addition of the GaAs nanowire top cell and the Si bottom cell with an open circuit voltage of 0.956 V and an efficiency of 11.4%. Our simulation showed that the current-matching condition plays an important role in the overall efficiency. Furthermore, we characterized GaAs nanowire arrays grown on lattice-mismatched Si substrates and estimated the carrier density using photoluminescence. A low-resistance connecting junction was obtained using n(+)-GaAs/p(+)-Si heterojunction. Finally, we demonstrated tandem solar cells based on top GaAs nanowire array solar cells grown on bottom planar Si solar cells. The reported nanowire-on-Si tandem cell opens up great opportunities for high-efficiency, low-cost multijunction solar cells.

  1. Quantum Dots obtained by LPE from under-saturated In-As liquid phases on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Ortiz, F. E.; Mishurnyi, V.; Gorbatchev, A.; De Anda, F.; Prutskij, T.

    2011-01-01

    In this work we inform about quantum dots (QD) obtained by Liquid Phase Epitaxy (LPE) on GaAs substrates from under-saturated In-As liquid phases. In our processes, we have prepared saturated In-rich liquid phases by dissolving an InAs wafer at one of the temperatures interval from 450 to 414 C for 60 minutes. The contact between In-As liquid phase and the GaAs substrate was always done at a constant temperature of 444 C for 5 seconds. Thus, the growth temperature for most of the samples was higher than the liquidus temperature. We think that the growth driving force is related to a transient process that occurs when the system is trying to reach equilibrium. Under the atom force microscope (AFM) we have observed nano-islands on the surfaces of the samples obtained from under-saturated liquid phases prepared at 438, 432 and 426 C. The 25 K photoluminescence spectrum shows a peak at a 1.33 eV, in addition to the GaAs related line.

  2. Strong ferromagnetic proximity polarization in ferromagnetic metal MnGa/n-type GaAs quantum well junction

    NASA Astrophysics Data System (ADS)

    Ji, Xiaochen; Shen, Chao; Wu, Yuanjun; Lu, Jun; Zhao, Jianhua; Zheng, Houzhi

    2017-11-01

    By biasing a ferromagnetic metal MnGa/10 nm-thick, n-type GaAs quantum well (QW) junction from negative to positive, it is found that its spin dynamics at zero magnetic field is composed of two components with opposite signs. One is excited by a circularly polarized pump beam. The other is induced by ferromagnetic proximity polarization (FPP), which is continuously enhanced as the bias increases towards the positive direction. The time-resolved Kerr rotations have also been measured at a magnetic field of 0.9 Tesla. A phase reversion of Larmor precession is observed as the bias passes through  +0.5 V. Following simple quantum mechanics, we become aware of the fact that the transmission and reflection rates of electrons at the interface of MnGa/n-type GaAs QW are enhanced by a factor of ν , which is the attempting frequency of electron onto a ferromagnet/semiconductor interface. That gives a reasonable explanation why the FPP effect in our MnGa/n-type GaAs QW junction is greatly enhanced as biasing it into forward direction.

  3. Accelerated life testing and temperature dependence of device characteristics in GaAs CHFET devices

    NASA Technical Reports Server (NTRS)

    Gallegos, M.; Leon, R.; Vu, D. T.; Okuno, J.; Johnson, A. S.

    2002-01-01

    Accelerated life testing of GaAs complementary heterojunction field effect transistors (CHFET) was carried out. Temperature dependence of single and synchronous rectifier CHFET device characteristics were also obtained.

  4. The Development of a GaAs MMIC Reliability and Space Qualification Guide

    NASA Technical Reports Server (NTRS)

    Ponchak, G.; Kayali, S.; Huang, H-C.

    1994-01-01

    This paper discusses the need for a space qualification guide, provides a brief description of some common GaAs failure mechanisms, the approach that the NASA MMIC Reliability Assurance Program is following to develop the guide, and the status of the program.

  5. LEED and AES characterization of the GaAs(110)-ZnSe interface

    NASA Technical Reports Server (NTRS)

    Tu, D.-W.; Kahn, A.

    1984-01-01

    In this paper, a study is conducted of the composition and structure of epitaxial ZnSe films grown by congruent evaporation on GaAs(110) at a rate of 2 A/min. It is found that the films grown on 300 C GaAs are nearly stoichiometric and form an abrupt interface with the substrate. Films grown at higher temperature (T greater than 350-400 C) are Se rich. The crystallinity of films grown at 300 C is good and their surface atomic geometry is identical to that of a ZnSe crystal. The GaAs-ZnSe interface geometry seems to be dominated by the Se-substrate bonds. The adsorption of Se, during the formation of very thin ZnSe films (2-3 A), produces a (1 x 2) LEED pattern and modifications of the LEED I-V profiles, which probably indicate a change in the substrate atomic relaxation.

  6. Final states in Si and GaAs via RF μSR spectroscopy

    NASA Astrophysics Data System (ADS)

    Kreitzman, S. R.; Pfiz, T.; Sun-Mack, S.; Riseman, T. M.; Brewer, J. H.; Williams, D. Ll.; Estle, T. L.

    1991-02-01

    The ionization of muonium centers in Si and GaAs have been studied using radio frequency (RF) resonant techniques. In Si all three muonic centers are detectable by RF. No evidence was found for delayed Mu and Mu* states at any temperature. However, our results on the diamagnetic final state (μ{f/+}) show that it is composed of prompt fractions (as seen by conventional μSR) and delayed fractions arising from the ionization of Mu* and Mu. We observe a full μ{f/+} fraction at 317 K when the Mu relaxation rate is above 10 μs-1. GaAs differs from the situation in Si in that we observed only a partial conversion of Mu* and Mu to a μ+ final state up to 310 K in spite of the fact that the transverse field relaxation rates become very high at 150 and 250 K respectively.

  7. Arsine flow requirement for the flow modulation growth of high purity GaAs using adduct-grade triethylgallium

    NASA Astrophysics Data System (ADS)

    Pitts, B. L.; Emerson, D. T.; Shealy, J. R.

    1992-10-01

    Using arsine and triethylgallium with flow modulation, organometallic vapor phase epitaxy can produce high purity GaAs layers with V/III molar ratios near unity. We have estimated that under appropriate growth conditions the arsine incorporation efficiency into epitaxial GaAs can exceed 30%. The arsine flow requirement for obtaining good morphology has been identified over a range of substrate temperatures using adduct-grade triethylgallium. The process described reduces the environmental impact and life safety risk of the hydride based organometallic vapor phase epitaxial method.

  8. Temperature effect on betavoltaic microbatteries based on Si and GaAs under 63Ni and 147Pm irradiation

    NASA Astrophysics Data System (ADS)

    Wang, Hao; Tang, Xiao-bin; Liu, Yun-Peng; Xu, Zhi-Heng; Liu, Min; Chen, Da

    2015-09-01

    The effect of temperature on the output performance of four different types of betavoltaic microbatteries was investigated experimental and theoretical. Si and GaAs were selected as the energy conversion devices in four types of betavoltaic microbatteries, and 63Ni and 147Pm were used as beta sources. Current density-voltage curves were determined at a temperature range of 213.15-333.15 K. A simplified method was used to calculate the theoretical parameters of the betavoltaic microbatteries considering the energy loss of beta particles for self-absorption of radioactive source, the electron backscatter effect of different types of semiconductor materials, and the absorption of dead layer. Both the experimental and theoretical results show that the short-circuit current density increases slightly and the open-circuit voltage (VOC) decreases evidently with the increase in temperature. Different combinations of energy conversion devices and beta sources cause different effects of temperature on the microbatteries. In the approximately linear range, the VOC sensitivities caused by temperature for 63Ni-Si, 63Ni-GaAs, 147Pm-Si, and 147Pm-GaAs betavoltaic microbatteries were -2.57, -5.30, -2.53, and -4.90 mV/K respectively. Both theoretical and experimental energy conversion efficiency decreased evidently with the increase in temperature.

  9. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    NASA Astrophysics Data System (ADS)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  10. Diffusion length variation in 0.5- and 3-MeV-proton-irradiated, heteroepitaxial indium phosphide solar cells

    NASA Technical Reports Server (NTRS)

    Jain, Raj K.; Weinberg, Irving; Flood, Dennis J.

    1993-01-01

    Indium phosphide (InP) solar cells are more radiation resistant than gallium arsenide (GaAs) and silicon (Si) solar cells, and their growth by heteroepitaxy offers additional advantages leading to the development of light weight, mechanically strong, and cost-effective cells. Changes in heteroepitaxial InP cell efficiency under 0.5- and 3-MeV proton irradiations have been explained by the variation in the minority-carrier diffusion length. The base diffusion length versus proton fluence was calculated by simulating the cell performance. The diffusion length damage coefficient, K(sub L), was also plotted as a function of proton fluence.

  11. Developing Low-Noise GaAs JFETs For Cryogenic Operation

    NASA Technical Reports Server (NTRS)

    Cunningham, Thomas J.

    1995-01-01

    Report discusses aspects of effort to develop low-noise, low-gate-leakage gallium arsenide-based junction field-effect transistors (JFETs) for operation at temperature of about 4 K as readout amplifiers and multiplexing devices for infrared-imaging devices. Transistors needed to replace silicon transistors, relatively noisy at 4 K. Report briefly discusses basic physical principles of JFETs and describes continuing process of optimization of designs of GaAs JFETs for cryogenic operation.

  12. Super-Lattice Light Emitting Diodes (SLEDS) on GaAs

    DTIC Science & Technology

    2016-03-31

    Super-Lattice Light Emitting Diodes (SLEDS) on GaAs Kassem Nabha1, Russel Ricker2, Rodney McGee1, Nick Waite1, John Prineas2, Sydney Provence2...infrared light emitting diodes (LEDs). Typically, the LED arrays are mated with CMOS read-in integrated circuit (RIIC) chips using flip-chip bonding. In...circuit (RIIC) chips using flip-chip bonding. This established technology is called Hybrid-super-lattice light emitting diodes (Hybrid- SLEDS). In

  13. Heavily Sn-doped GaAs with abrupt doping profiles grown by migration-enhanced epitaxy at low temperatures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chavanapranee, Tosaporn; Horikoshi, Yoshiji

    The characteristics of heavily Sn-doped GaAs samples grown at 300 deg. C by a migration-enhanced epitaxy (MEE) technique are investigated in comparison with those of the samples grown by a conventional molecular-beam epitaxy (MBE) at 580 deg. C. While no discernible difference is observed in the low doping regime, the difference in doping characteristics between the MBE- and MEE-grown samples becomes apparent when the doping concentration exceeds 1x10{sup 19} cm{sup -3}. Sn atoms as high as 4x10{sup 21} cm{sup -3} can be incorporated into MEE-grown GaAs films, unlike the MBE-grown samples that have a maximum doping level limited around 1x10{supmore » 19} cm{sup -3}. Due to an effective suppression of Sn segregation in the MEE growth case, high quality GaAs films with abrupt high-concentration Sn-doping profiles are achieved with the doping concentrations of up to 2x10{sup 21} cm{sup -3}. It has been shown that even though a high concentration of Sn atoms is incorporated into the GaAs film, the electron concentration saturates at 6x10{sup 19} cm{sup -3} and then gradually decreases with Sn concentration. The uniform doping limitation, as well as the electron concentration saturation, is discussed by means of Hall-effect measurement, x-ray diffraction, and Raman scattering spectroscopy.« less

  14. GaAs Coupled Micro Resonators with Enhanced Sensitive Mass Detection

    PubMed Central

    Chopard, Tony; Lacour, Vivien; Leblois, Therese

    2014-01-01

    This work demonstrates the improvement of mass detection sensitivity and time response using a simple sensor structure. Indeed, complicated technological processes leading to very brittle sensing structures are often required to reach high sensitivity when we want to detect specific molecules in biological fields. These developments constitute an obstacle to the early diagnosis of diseases. An alternative is the design of coupled structures. In this study, the device is based on the piezoelectric excitation and detection of two GaAs microstructures vibrating in antisymmetric modes. GaAs is a crystal which has the advantage to be micromachined easily using typical clean room processes. Moreover, we showed its high potential in direct biofunctionalisation for use in the biological field. A specific design of the device was performed to improve the detection at low mass and an original detection method has been developed. The principle is to exploit the variation in amplitude at the initial resonance frequency which has in the vicinity of weak added mass the greatest slope. Therefore, we get a very good resolution for an infinitely weak mass: relative voltage variation of 8%/1 fg. The analysis is based on results obtained by finite element simulation. PMID:25474375

  15. First principles calculations of La2O3/GaAs interface properties under biaxial strain and hydrostatic pressure

    NASA Astrophysics Data System (ADS)

    Shi, Li-Bin; Li, Ming-Biao; Xiu, Xiao-Ming; Liu, Xu-Yang; Zhang, Kai-Cheng; Li, Chun-Ran; Dong, Hai-Kuan

    2017-04-01

    La2O3 is a potential dielectric material with high permittivity (high-κ) for metal-oxide-semiconductor (MOS) devices. However, band offsets and oxide defects should still be concerned. Smaller band offsets and carrier traps increase leakage current, and degenerate performance of the devices. In this paper, the interface behaviors of La2O3/GaAs under biaxial strain and hydrostatic pressure are investigated, which is performed by first principles calculations based on density functional theory (DFT). Strain engineering is attempted to improve performance of the metal/La2O3/GaAs devices. First of all, we creatively realize band alignment of La2O3/GaAs interface under biaxial strain and hydrostatic pressure. The proper biaxial tensile strain can effectively increase valence band offsets (VBO) and conduction band offsets (CBO), which can be used to suppress leakage current. However, the VBO will decrease with the increase of hydrostatic pressure, indicating that performance of the devices is degenerated. Then, a direct tunneling leakage current model is used to investigate current and voltage characteristics of the metal/La2O3/GaAs. The impact of biaxial strain and hydrostatic pressure on leakage current is discussed. At last, formation energies and transition levels of oxygen interstitial (Oi) and oxygen vacancy (VO) in La2O3 are assessed. We investigate how they will affect performance of the devices.

  16. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Englhard, M.; Klemp, C.; Behringer, M.

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-raymore » diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.« less

  17. Growth mechanism of GaAs1-xSbx ternary alloy thin film on MOCVD reactor using TMGa, TDMAAs and TDMASb

    NASA Astrophysics Data System (ADS)

    Suhandi, A.; Tayubi, Y. R.; Arifin, P.

    2016-04-01

    Metal Organic Chemical Vapor Deposition (MOCVD) is a method for growing a solid material (in the form of thin films, especially for semiconductor materials) using vapor phase metal organic sources. Studies on the growth mechanism of GaAs1-xSbx ternary alloy thin solid film in the range of miscibility-gap using metal organic sources trimethylgallium (TMGa), trisdimethylaminoarsenic (TDMAAs), and trisdimethylaminoantimony (TDMASb) on MOCVD reactor has been done to understand the physical and chemical processes involved. Knowledge of the processes that occur during alloy formation is very important to determine the couple of growth condition and growth parameters are appropriate for yield high quality GaAs1-xSbx alloy. The mechanism has been studied include decomposition of metal organic sources and chemical reactions that may occur, the incorporation of the alloy elements forming and the contaminants element that are formed in the gown thin film. In this paper presented the results of experimental data on the growth of GaAs1-xSbx alloy using Vertical-MOCVD reactor to demonstrate its potential in growing GaAs1-xSbx alloy in the range of its miscibility gap.

  18. Silicon incorporation in GaAs: From delta-doping to monolayer insertion

    NASA Astrophysics Data System (ADS)

    Wagner, J.; Newman, R. C.; Roberts, C.

    1995-08-01

    Raman spectroscopy was used to study the incorporation of Si into doping layers in GaAs, grown by molecular beam epitaxy at a temperature of 400 °C, for Si concentrations ranging from the δ-doping level to a ML coverage. The strength of the scattering by local vibrational modes of substitutional Si was almost constant for Si areal concentration [Si]A in the range 5×1012<[Si]A<5×1013 cm-2 but then decreased, dropping below the detection limit for [Si]A≳3×1014 cm-2. At these concentrations a new vibrational band emerged at a frequency close to 470 cm-1 and developed into the optic zone center phonon of a coherently strained epitaxial layer of Si embedded in GaAs when a coverage of ≊1.5 ML (9.3×1014 cm-2) was reached. These findings strongly indicate that the observed saturation and the eventual decrease of the concentration of substitutional silicon is caused by an increasing incorporation of deposited Si into two-dimensional islands of covalently bonded Si.

  19. Long exciton lifetimes in stacking-fault-free wurtzite GaAs nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Furthmeier, Stephan, E-mail: stephan.furthmeier@ur.de; Dirnberger, Florian; Hubmann, Joachim

    We present a combined photoluminescence and transmission electron microscopy study of single GaAs nanowires. Each wire was characterized both in microscopy and spectroscopy, allowing a direct correlation of the optical and the structural properties. By tuning the growth parameters, the nanowire crystal structure is optimized from a highly mixed zincblende–wurtzite structure to pure wurtzite. We find the latter one to be stacking-fault-free over nanowire lengths up to 4.1 μm. We observe the emission of purely wurtzite nanowires to occur only with polarization directions perpendicular to the wurtzite c{sup ^}-axis, as expected from the hexagonal unit cell symmetry. The free exciton recombinationmore » energy in the wurtzite structure is 1.518 eV at 5 K with a narrow linewidth of 4 meV. Most notably, these pure wurtzite nanowires display long carrier recombination lifetimes of up to 11.2 ns, exceeding reported lifetimes in bulk GaAs and state-of-the-art 2D GaAs/AlGaAs heterostructures.« less

  20. GaAs Solar Cells Grown on Unpolished, Spalled Ge Substrates: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cavalli, Alessandro; Johnston, Steven; Sulas, Dana

    Decreasing the cost of single-crystal substrates by wafer reuse techniques has long been sought for III-V solar cells. Controlled spalling of III-V devices is a possible pathway for epitaxial liftoff, which would help reduce costs, but chemo- mechanical polishing after liftoff tends to limit the potential cost savings. Growth on an unpolished spalled surface would be an additional step toward lower costs, but it is crucial to show high efficiency solar cell devices on these unprocessed substrates. In this study, we spalled 2-inch Ge wafers using a Ni stressor layer, and then grew GaAs solar cells by HVPE on themore » spalled Ge surface without any other surface treatment. We show a 12.8% efficient single-junction device, without anti-reflection coating, with quantum efficiency very close to identical devices grown by HVPE on non-spalled GaAs substrates. Demonstrating a high carrier collection on unpolished spalled wafers is a step toward reducing substrate-related liftoff and reuse costs.« less

  1. High quality GaAs single photon emitters on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bietti, S.; Sanguinetti, S.; Cavigli, L.

    2013-12-04

    We describe a method for the direct epitaxial growth of a single photon emitter, based on GaAs quantum dots fabricated by droplet epitaxy, working at liquid nitrogen temperatures on Si substrates. The achievement of quantum photon statistics up to T=80 K is directly proved by antibunching in the second order correlation function as measured with a H anbury Brown and Twiss interferometer.

  2. Highly indistinguishable and strongly entangled photons from symmetric GaAs quantum dots.

    PubMed

    Huber, Daniel; Reindl, Marcus; Huo, Yongheng; Huang, Huiying; Wildmann, Johannes S; Schmidt, Oliver G; Rastelli, Armando; Trotta, Rinaldo

    2017-05-26

    The development of scalable sources of non-classical light is fundamental to unlocking the technological potential of quantum photonics. Semiconductor quantum dots are emerging as near-optimal sources of indistinguishable single photons. However, their performance as sources of entangled-photon pairs are still modest compared to parametric down converters. Photons emitted from conventional Stranski-Krastanov InGaAs quantum dots have shown non-optimal levels of entanglement and indistinguishability. For quantum networks, both criteria must be met simultaneously. Here, we show that this is possible with a system that has received limited attention so far: GaAs quantum dots. They can emit triggered polarization-entangled photons with high purity (g (2) (0) = 0.002±0.002), high indistinguishability (0.93±0.07 for 2 ns pulse separation) and high entanglement fidelity (0.94±0.01). Our results show that GaAs might be the material of choice for quantum-dot entanglement sources in future quantum technologies.

  3. Highly indistinguishable and strongly entangled photons from symmetric GaAs quantum dots

    PubMed Central

    Huber, Daniel; Reindl, Marcus; Huo, Yongheng; Huang, Huiying; Wildmann, Johannes S.; Schmidt, Oliver G.; Rastelli, Armando; Trotta, Rinaldo

    2017-01-01

    The development of scalable sources of non-classical light is fundamental to unlocking the technological potential of quantum photonics. Semiconductor quantum dots are emerging as near-optimal sources of indistinguishable single photons. However, their performance as sources of entangled-photon pairs are still modest compared to parametric down converters. Photons emitted from conventional Stranski–Krastanov InGaAs quantum dots have shown non-optimal levels of entanglement and indistinguishability. For quantum networks, both criteria must be met simultaneously. Here, we show that this is possible with a system that has received limited attention so far: GaAs quantum dots. They can emit triggered polarization-entangled photons with high purity (g(2)(0) = 0.002±0.002), high indistinguishability (0.93±0.07 for 2 ns pulse separation) and high entanglement fidelity (0.94±0.01). Our results show that GaAs might be the material of choice for quantum-dot entanglement sources in future quantum technologies. PMID:28548081

  4. High Frequency GaAs Bulk Acousto-Optic Devices For Modulators And Frequency Shifters At 1.3um And 1.5um In Fiber-Optics

    NASA Astrophysics Data System (ADS)

    Soos, J. I.; Rosemeier, R. G.

    1989-02-01

    The edge of a transmission window for a GaAs Bragg cell starts about lum, which allows this material to be used for infrared fiber-optic applications, especially at 1.3um and 1.5um. The single crystal of GaAs is acoustically anisotropic and has the highest figure of merit, M2, along <111> direction for a longitudinal mode sound wave. Recently, Brimrose has designed and fabricated an acousto-optic modulator from GaAs operating at a carrier frequency of 2.3 GHz with a diffraction efficiency of 4%/RF watt.

  5. High-efficiency V-band GaAs IMPATT diodes

    NASA Technical Reports Server (NTRS)

    Ma, Y. E.; Benko, E.; Trinh, T.; Erickson, L. P.; Mattord, T. J.

    1984-01-01

    Double-drift GaAs IMPATT diodes were designed for V-band frequency operations and fabricated using molecular-beam epitaxy. The diodes were fabricated in two configurations: (1) circular mesa diodes with silver-plated (integrated) heat sinks: (2) pill-type diodes bonded to diamond heat sinks. Both configurations utilized a miniature quartz-ring package. Output power greater than 1 W CW was achieved at V-band frequencies from diodes on diamond heat sinks. The best conversion efficiency was 13.3 percent at 55.5 GHz with 1 W output power.

  6. GaAs1-xBix/GaNyAs1-y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics.

    PubMed

    Broderick, Christopher A; Jin, Shirong; Marko, Igor P; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L; Stolz, Wolfgang; Rorison, Judy M; O'Reilly, Eoin P; Volz, Kerstin; Sweeney, Stephen J

    2017-04-19

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs 1-x Bi x /GaN y As 1-y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs 0.967 Bi 0.033 /GaN 0.062 As 0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications.

  7. GaAs1-xBix/GaNyAs1-y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics

    NASA Astrophysics Data System (ADS)

    Broderick, Christopher A.; Jin, Shirong; Marko, Igor P.; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L.; Stolz, Wolfgang; Rorison, Judy M.; O'Reilly, Eoin P.; Volz, Kerstin; Sweeney, Stephen J.

    2017-04-01

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs1-xBix/GaNyAs1-y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs0.967Bi0.033/GaN0.062As0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications.

  8. Increasing the quantum efficiency of GaAs solar cells by embedding InAs quantum dots

    NASA Astrophysics Data System (ADS)

    Salii, R. A.; Mintairov, S. A.; Nadtochiy, A. M.; Payusov, A. S.; Brunkov, P. N.; Shvarts, M. Z.; Kalyuzhnyy, N. A.

    2016-11-01

    Development of Metalorganic Vapor Phase Epitaxy (MOVPE) technology of InAs quantum dots (QDs) in GaAs for photovoltaic applications is presented. The growth peculiarities in InAs-GaAs lattice-mismatched system were considered. The photoluminescence (PL) intensity dependences on different growth parameters were obtained. The multimodal distribution of QDs by sizes was found using AFM and PL methods. GaAs solar cell nanoheterostructures with imbedded QD arrays were designed and obtained. Ones have been demonstrated a significant increase of quantum efficiency and photogenerated current of QD solar cells due to photo effect in InAs QD array (0.59 mA/cm2 for AM1.5D and 82 mA/cm2 for AM0).

  9. MBE growth of GaAs and InAs nanowires using colloidal Ag nanoparticles

    NASA Astrophysics Data System (ADS)

    Ilkiv, I. V.; Reznik, R. R.; Kotlyar, K. P.; Bouravleuv, A. D.; Cirlin, G. E.

    2017-11-01

    Ag colloidal nanoparticles were used as a catalyst for molecular beam epitaxy of GaAs and InAs nanowires on the Si(111) substrates. The scanning electron microscopy measurements revealed that nanowires obtained are uniform and have small size distribution.

  10. Optimization of the interfacial misfit array growth mode of GaSb epilayers on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Benyahia, D.; Kubiszyn, Ł.; Michalczewski, K.; Kębłowski, A.; Martyniuk, P.; Piotrowski, J.; Rogalski, A.

    2018-02-01

    The growth of undoped GaSb epilayers on GaAs (0 0 1) substrates with 2° offcut towards 〈1 1 0〉, by molecular beam epitaxy system (MBE) at low growth temperature is reported. The strain due to the lattice mismatch of 7.78% is relieved spontaneously at the interface by using interfacial misfit array (IMF) growth mode. Three approaches of this technique are investigated. The difference consists in the steps after the growth of GaAs buffer layer. These steps are the desorption of arsenic from the GaAs surface, and the cooling down to the growth temperature, under or without antimony flux. The X-ray analysis and the transmission electron microscopy point out that desorption of arsenic followed by the substrate temperature decreasing under no group V flux leads to the best structural and crystallographic properties in the GaSb layer. It is found that the 2 μm-thick GaSb is 99.8% relaxed, and that the strain is relieved by the formation of a periodic array of 90° pure-edge dislocations along the [1 1 0] direction with a periodicity of 5.6 nm.

  11. Molecular beam epitaxial growth of high-quality InSb on InP and GaAs substrates

    NASA Technical Reports Server (NTRS)

    Oh, J. E.; Bhattacharya, P. K.; Chen, Y. C.; Tsukamoto, S.

    1989-01-01

    Epitaxial layers of InSb were grown on InP and GaAs substrates by molecular beam epitaxy. The dependence of the epilayer quality on flux ratio, J sub Sb4/J sub In, was studied. Deviation from an optimum value of J sub Sb4/J sub In (approx. 2) during growth led to deterioration in the surface morphology and the electrical and crystalline qualities of the films. Room temperature electron mobilities as high as 70,000 and 53,000 sq cm /V-s were measured in InSb layers grown on InP and GaAs substrates, respectively. Unlike the previous results, the conductivity in these films is n-type even at T = 13 K, and no degradation of the electron mobility due to the high density of dislocations was observed. The measured electron mobilities (and carrier concentrations) at 77 K in InSb layers grown on InP and GaAs substrates are 110,000 sq cm/V-s (3 x 10(15) cm(-3)) and 55,000 sq cm/V-s (4.95 x 10(15) cm(-3)), respectively, suggesting their application to electronic devices at cryogenic temperatures.

  12. The growth of low band-gap InAs on (111)B GaAs substrates

    NASA Technical Reports Server (NTRS)

    Welser, R. E.; Guido, L. J.

    1995-01-01

    The use of low band-gap materials is of interest for a number of photovoltaic and optoelectronic applications, such as bottom cells of optimized multijunction solar cell designs, long wavelength light sources, detectors, and thermophotovoltaics. However, low band-gap materials are generally mismatched with respect to lattice constant, thermal expansion coefficient, and chemical bonding to the most appropriate commercially available substrates (Si, Ge, and GaAs). For the specific case of III-V semiconductor heteroepitaxy, one must contend with the strain induced by both lattice constant mismatch at the growth temperature and differences in the rates of mechanical deformation during the cool down cycle. Several experimental techniques have been developed to minimize the impact of these phenomena (i.e., compositional grading, strained layer superlattices, and high-temperature annealing). However, in highly strained systems such as InAs-on-GaAs, three-dimensional island formation and large defect densities (greater than or equal to 10(exp 8)/ cm(exp -2)) tend to limit their applicability. In these particular cases, the surface morphology and defect density must be controlled during the initial stages of nucleation and growth. At the last SPRAT conference, we reported on a study of the evolution of InAs islands on (100) and (111)B GaAs substrates. Growth on the (111)B orientation exhibits a number of advantageous properties as compared to the (100) during these early stages of strained-layer epitaxy. In accordance with a developing model of nucleation and growth, we have deposited thin (60 A - 2500 A), fully relaxed InAs films on (111)B GaAs substrates. Although thicker InAs films are subject to the formation of twin defects common to epitaxy on the (111)B orientation, appropriate control of the growth parameters can greatly minimize their density. Using this knowledge base, InAs films up to 2 microns in thickness with improved morphology and structural quality have

  13. Effects of proton irradiation on the performance of InP/GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Weinberg, Irving; Swartz, C. K.; Brinker, David J.; Wilt, D. M.

    1991-01-01

    InP solar cells are known to be more radiation resistant than either GaAs or Si. In addition, AMO total area efficiencies approaching 19 percent were attained for InP. However, the present high substrate cost presents a barrier to the eventual widespread use of InP cells in space. In addition, if cell thinning becomes desirable, their relative fragility presents a problem. For these reasons, the NASA Lewis Research Center has initiated a program, aimed at producing thin InP cells, by heteroepitaxial deposition of InP on cheaper, more durable substrates. To date, a short term feasibility study as Spire has resulted in cells processed from InP heteroepitaxially deposited on Si substrates with an intervening thin GaAs layer (InP/GaAs/Si) and cells produced from InP deposited on GaAs (InP/GaAs). As a result of this short study efficiencies of over 7 and 9 percent were achieved for InP/GaAs/Si and InP/GaAs respectively. Although these efficiencies are low, they represent a modest and encouraging starting point for a more intensive program. Obviously, when considering economy and mechanical strength, cells processed on silicon substrates are preferred. However, although the InP/GaAs cells are not the final desirable products of this program, their properties serve to highlight several roadblocks to be overcome in producing cells with the more desirable cost and strength properties. Hence, in the present case, the properties of the InP/GaAs cells before and after irradiation by 10 MeV protons are examined. A similar study of InP/GaAs/Si cells will be reported on at a later date.

  14. Time-resolved photoluminescence characterization of GaAs nanowire arrays on native substrate

    NASA Astrophysics Data System (ADS)

    Dagytė, Vilgailė; Barrigón, Enrique; Zhang, Wei; Zeng, Xulu; Heurlin, Magnus; Otnes, Gaute; Anttu, Nicklas; Borgström, Magnus T.

    2017-12-01

    Time-resolved photoluminescence (TRPL) measurements of nanowires (NWs) are often carried out on broken-off NWs in order to avoid the ensemble effects as well as substrate contribution. However, the development of NW-array solar cells could benefit from non-destructive optical characterization to allow faster feedback and further device processing. With this work, we show that different NW array and substrate spectral behaviors with delay time and excitation power can be used to determine which part of the sample dominates the detected spectrum. Here, we evaluate TRPL characterization of dense periodic as-grown GaAs NW arrays on a p-type GaAs substrate, including a sample with uncapped GaAs NWs and several samples passivated with AlGaAs radial shell of varied composition and thickness. We observe a strong spectral overlap of substrate and NW signals and find that the NWs can absorb part of the substrate luminescence signal, thus resulting in a modified substrate signal. The level of absorption depends on the NW-array geometry, making a deconvolution of the NW signal very difficult. By studying TRPL of substrate-only and as-grown NWs at 770 and 400 nm excitation wavelengths, we find a difference in spectral behavior with delay time and excitation power that can be used to assess whether the signal is dominated by the NWs. We find that the NW signal dominates with 400 nm excitation wavelength, where we observe two different types of excitation power dependence for the NWs capped with high and low Al composition shells. Finally, from the excitation power dependence of the peak TRPL signal, we extract an estimate of background carrier concentration in the NWs.

  15. Chemical Composition of Nanoporous Layer Formed by Electrochemical Etching of p-Type GaAs.

    PubMed

    Bioud, Youcef A; Boucherif, Abderraouf; Belarouci, Ali; Paradis, Etienne; Drouin, Dominique; Arès, Richard

    2016-12-01

    We have performed a detailed characterization study of electrochemically etched p-type GaAs in a hydrofluoric acid-based electrolyte. The samples were investigated and characterized through cathodoluminescence (CL), X-ray diffraction (XRD), energy-dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). It was found that after electrochemical etching, the porous layer showed a major decrease in the CL intensity and a change in chemical composition and in the crystalline phase. Contrary to previous reports on p-GaAs porosification, which stated that the formed layer is composed of porous GaAs, we report evidence that the porous layer is in fact mainly constituted of porous As 2 O 3 . Finally, a qualitative model is proposed to explain the porous As 2 O 3 layer formation on p-GaAs substrate.

  16. Growth features and spectroscopic structure investigations of nanoprofiled AlN films formed on misoriented GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Goloshchapov, D. L.; Lenshin, A. S.

    Nanostructured aluminum-nitride films are formed by reactive ion-plasma sputtering onto GaAs substrates with different orientations. The properties of the films are studied via structural analysis, atomic force microscopy, and infrared and visible–ultraviolet spectroscopy. The aluminum-nitride films can have a refractive index in the range of 1.6–4.0 at a wavelength of ~250 nm and an optical band gap of ~5 eV. It is shown that the morphology, surface composition, and optical characteristics of AlN/GaAs heterophase systems can be controlled using misoriented GaAs substrates.

  17. Gate-Sensing the Potential Landscape of a GaAs Two-Dimensional Electron Gas

    NASA Astrophysics Data System (ADS)

    Croot, Xanthe; Mahoney, Alice; Pauka, Sebastian; Colless, James; Reilly, David; Watson, John; Fallahi, Saeed; Gardner, Geoff; Manfra, Michael; Lu, Hong; Gossard, Arthur

    In situ dispersive gate sensors hold potential as a means of enabling the scalable readout of quantum dot arrays. Sensitive to quantum capacitance, dispersive sensors have been used to detect inter- and intra-dot transitions in GaAs double quantum dots, and can distinguish the spin states of singlet triplet qubits. In addition, the gate-sensing technique is likely of value in probing the physics of Majorana zero modes in nanowire devices. Beyond the readout signatures associated with charge and spin configurations of qubits, gate-sensing is sensitive to trapped charge in the potential landscape. Here, we report gate-sensing signals arising from tunnelling of electrons between puddles of trapped charge in a GaAs 2DEG. We examine these signals in a family of different devices with varying mobilities, and as a function of temperature and bias. Implications for qubit readout using the gate-sensing technique are discussed.

  18. Self-ordering of InAs nanostructures on (631)A/B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Eugenio-López, Eric; Alejandro Mercado-Ornelas, Christian; Kisan Patil, Pallavi; Cortes-Mestizo, Irving Eduardo; Ángel Espinoza-Figueroa, José; Gorbatchev, Andrei Yu; Shimomura, Satoshi; Ithsmel Espinosa-Vega, Leticia; Méndez-García, Víctor Hugo

    2018-02-01

    The high order self-organization of quantum dots is demonstrated in the growth of InAs on a GaAs(631)-oriented crystallographic plane. The unidimensional ordering of the quantum dots (QDs) strongly depends on the As flux beam equivalent pressure (P As) and the cation/anion terminated surface, i.e., A- or B-type GaAs(631). The self-organization of QDs occurs for both surface types along [\\bar{1}13], while the QD shape and size distribution were found to be different for the self-assembly on the A- and B-type surfaces. In addition, the experiments showed that any misorientation from the (631) plane, which results from the buffer layer waviness, does not allow a high order of unidimensional arrangements of QDs. The optical properties were studied by photoluminescence spectroscopy, where good correspondence was obtained between the energy transitions and the size of the QDs.

  19. Low temperature plasma enhanced CVD epitaxial growth of silicon on GaAs: a new paradigm for III-V/Si integration

    NASA Astrophysics Data System (ADS)

    Cariou, Romain; Chen, Wanghua; Maurice, Jean-Luc; Yu, Jingwen; Patriarche, Gilles; Mauguin, Olivia; Largeau, Ludovic; Decobert, Jean; Roca I Cabarrocas, Pere

    2016-05-01

    The integration of III-V semiconductors with silicon is a key issue for photonics, microelectronics and photovoltaics. With the standard approach, namely the epitaxial growth of III-V on silicon, thick and complex buffer layers are required to limit the crystalline defects caused by the interface polarity issues, the thermal expansion, and lattice mismatches. To overcome these problems, we have developed a reverse and innovative approach to combine III-V and silicon: the straightforward epitaxial growth of silicon on GaAs at low temperature by plasma enhanced CVD (PECVD). Indeed we show that both GaAs surface cleaning by SiF4 plasma and subsequent epitaxial growth from SiH4/H2 precursors can be achieved at 175 °C. The GaAs native oxide etching is monitored with in-situ spectroscopic ellipsometry and Raman spectroscopy is used to assess the epitaxial silicon quality. We found that SiH4 dilution in hydrogen during deposition controls the layer structure: the epitaxial growth happens for deposition conditions at the transition between the microcrystalline and amorphous growth regimes. SIMS and STEM-HAADF bring evidences for the interface chemical sharpness. Together, TEM and XRD analysis demonstrate that PECVD enables the growth of high quality relaxed single crystal silicon on GaAs.

  20. Theoretical investigation of structural, mechanical and electronic properties of GaAs1-xNx alloys under ambient and high pressure

    NASA Astrophysics Data System (ADS)

    Li, Jian; Han, Xiuxun; Dong, Chen; Fan, Changzeng

    2017-12-01

    Using first-principles total energy calculations, we have studied the structural, mechanical and electronic properties of GaAs1-xNx ternary semiconductor alloys with the zinc-blende crystal structure over the whole nitrogen concentration range (with x from 0 to 1) within density functional theory (DFT) framework. To obtain the ideal band gap, we employ the semi-empirical approach called local density approximation plus the multi-orbital mean-field Hubbard model (LDA+U). The calculated results illustrate the varying lattice constants and band gap in GaAs1-xNx alloys as functions of the nitrogen concentration x. According to the pressure dependence of the lattice constants and volume, the higher N concentration alloy exhibits the better anti-compressibility. In addition, an increasing band gap is predicted under 20 GPa pressure for GaAs1-xNx alloys.