Sample records for sio2 film induced

  1. Dewetting process of Au films on SiO2 nanowires: Activation energy evaluation

    NASA Astrophysics Data System (ADS)

    Ruffino, F.; Grimaldi, M. G.

    2015-05-01

    SiO2 nanowires gain scientific and technological interest in application fields ranging from nano-electronics, optics and photonics to bio-sensing. Furthermore, the SiO2 nanowires chemical and physical properties, and so their performances in devices, can be enhanced if decorated by metal nanoparticles (such Au) due to local plasmonic effects. In the present paper, we propose a simple, low-cost and high-throughput three-steps methodology for the mass-production of Au nanoparticles coated SiO2 nanowires. It is based on (1) production of the SiO2 nanowires on Si surface by solid state reaction of an Au film with the Si substrate at high temperature; (2) sputtering deposition of Au on the SiO2 nanowires to obtain the nanowires coated by an Au film; and (3) furnace annealing processes to induce the Au film dewetting on the SiO2 nanowires surface. Using scanning electron microscopy analyses, we followed the change of the Au nanoparticles mean versus the annealing time extracting values for the characteristic activation energy of the dewetting process of the Au film on the SiO2 nanowires surface. Such a study can allow the tuning of the nanowires/nanoparticles sizes for desired technological applications.

  2. Highly Luminescent Hybrid SiO2-Coated CdTe Quantum Dots Retained Initial Photoluminescence Efficiency in Sol-Gel SiO2 Film.

    PubMed

    Sun, Hongsheng; Xing, Yugui; Wu, Qinan; Yang, Ping

    2015-02-01

    A highly luminescent silica film was fabricated using tetraethyl orthosilicate (TEOS) and 3-aminopropyltrimethoxysilane (APS) through a controlled sol-gel reaction. The pre-hydrolysis of TEOS and APS which resulted in the mixture of TEOS and APS in a molecular level is a key for the formation of homogenous films. The aminopropyl groups in APS play an important role for obtaining homogeneous film with high photoluminescence (PL). Red-emitting hybrid SiO2-coated CdTe nano-crystals (NCs) were fabricated by a two-step synthesis including a thin SiO2 coating via a sol-gel process and a subsequent refluxing using green-emitting CdTe NCs. The hybrid SiO2-coated CdTe NCs were embedded in a functional SiO2 film via a two-step process including adding the NCs in SiO2 sol with a high viscosity and almost without ethanol and a subsequent spinning coating. The hybrid SiO2-coated CdTe NCs retained their initial PL efficiency (54%) in the film. Being encapsulated with the hybrid NCs in the film, no change on the absorption and PL spectra of red-emitting CdTe NCs (632 nm) was observed. This indicates the hybrid NCs is stable enough during preparation. This phenomenon is ascribed to the controlled sol-gel process and a hybrid SiO2 shell on CdTe NCs. Because these films exhibited high PL efficiency and stability, they will be utilizable for potential applications in many fields.

  3. Enhanced luminous transmittance of thermochromic VO2 thin film patterned by SiO2 nanospheres

    NASA Astrophysics Data System (ADS)

    Zhou, Liwei; Liang, Jiran; Hu, Ming; Li, Peng; Song, Xiaolong; Zhao, Yirui; Qiang, Xiaoyong

    2017-05-01

    In this study, an ordered SiO2 nanosphere array coated with vanadium dioxide (VO2) has been fabricated to enhance transmittance with the potential application as an energy-efficient coating in the field of smart windows. SiO2 arrays were formed using the methods of self-assembly, and VO2 thin films were prepared by rapid thermal annealing (RTA) of sputtered vanadium films. VO2@SiO2 arrays were characterized by scanning electron microscopy, X-ray diffraction, a four-point probe, and UV-vis-NIR spectrophotometry. Compared with the planar films, the films deposited on 300 nm diameter SiO2 nanospheres can offer approximately 18% enhancement of luminous transmission (Tlum) because the diameter is smaller than the given wavelength and the protuberance of the surface array behaves as a gradation of refractive index producing antireflection. The solar regulation efficiency was not much deteriorated.

  4. Ion assisted deposition of SiO2 film from silicon

    NASA Astrophysics Data System (ADS)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  5. Role of HfO 2/SiO 2 thin-film interfaces in near-ultraviolet absorption and pulsed laser damage

    DOE PAGES

    Papernov, Semyon; Kozlov, Alexei A.; Oliver, James B.; ...

    2016-07-15

    Here, the role of thin-film interfaces in the near-ultraviolet (near-UV) absorption and pulsed laser-induced damage was studied for ion-beam-sputtered and electron-beam-evaporated coatings comprised from HfO 2 and SiO 2 thin-film pairs. To separate contributions from the bulk of the film and from interfacial areas, absorption and damage threshold measurements were performed for a one-wave (355-nm wavelength) thick, HfO 2 single-layer film and for a film containing seven narrow HfO 2 layers separated by SiO 2 layers. The seven-layer film was designed to have a total optical thickness of HfO 2 layers, equal to one wave at 355 nm and anmore » E-field peak and average intensity similar to a single-layer HfO 2 film. Absorption in both types of films was measured using laser calorimetry and photothermal heterodyne imaging. The results showed a small contribution to total absorption from thin-film interfaces as compared to HfO 2 film material. The relevance of obtained absorption data to coating near-UV, nanosecond-pulse laser damage was verified by measuring the damage threshold and characterizing damage morphology. The results of this study revealed a higher damage resistance in the seven-layer coating as compared to the single-layer HfO 2 film in both sputtered and evaporated coatings. The results are explained through the similarity of interfacial film structure with structure formed during the codeposition of HfO 2 and SiO 2 materials.« less

  6. Mechanism for atmosphere dependence of laser damage morphology in HfO2/SiO2 high reflective films

    NASA Astrophysics Data System (ADS)

    Pu, Yunti; Ma, Ping; Chen, Songlin; Zhu, Jiliang; Wang, Gang; Pan, Feng; Sun, Ping; Zhu, Xiaohong; Zhu, Jianguo; Xiao, Dingquan

    2012-07-01

    We show in this paper single-shot and multi-shot laser-induced damage thresholds (LIDTs) of HfO2/SiO2 high reflective films (the reflectance = 99.9%) are affected by the presence of a water layer absorbed on the surface of the porous films. When the water layer was removed with the process of pumping, the single-shot LIDT measured in vacuum dropped to ˜48% of that measured in air, while the multi-shot LIDT in vacuum dropped to ˜47% of its atmospheric value for the high reflective films. Typical damage micrographs of the films in air and in vacuum were obtained, showing distinct damage morphologies. Such atmosphere dependence of the laser damage morphology was found to originate from that formation of a water layer on the surface of porous films could cause an increase of horizontal thermal conductivity and a reduction of vertical thermal conductivity. Moreover, laser-induced periodic ripple damages in air were found in the SiO2 layer from the micrographs. A model of deformation kinematics was used to illustrate the occurrence of the periodic ripple damage, showing that it could be attributed to a contraction of the HfO2 layer under irradiation by the 5-ns laser pulses in air.

  7. Optical properties of Ag nanoclusters formed by irradiation and annealing of SiO2/SiO2:Ag thin films

    NASA Astrophysics Data System (ADS)

    Güner, S.; Budak, S.; Gibson, B.; Ila, D.

    2014-08-01

    We have deposited five periodic SiO2/SiO2 + Ag multi-nano-layered films on fused silica substrates using physical vapor deposition technique. The co-deposited SiO2:Ag layers were 2.7-5 nm and SiO2 buffer layers were 1-15 nm thick. Total thickness was between 30 and 105 nm. Different concentrations of Ag, ranging from 1.5 to 50 molecular% with respect to SiO2 were deposited to determine relevant rates of nanocluster formation and occurrence of interaction between nanoclusters. Using interferometry as well as in situ thickness monitoring, we measured the thickness of the layers. The concentration of Ag in SiO2 was measured with Rutherford Backscattering Spectrometry (RBS). To nucleate Ag nanoclusters, 5 MeV cross plane Si ion bombardments were performed with fluence varying between 5 × 1014 and 1 × 1016 ions/cm2 values. Optical absorption spectra were recorded in the range of 200-900 nm in order to monitor the Ag nanocluster formation in the thin films. Thermal annealing treatment at different temperatures was applied as second method to form varying size of nanoclusters. The physical properties of formed super lattice were criticized for thermoelectric applications.

  8. Solution-derived SiO2 gate insulator formed by CO2 laser annealing for polycrystalline silicon thin-film transistors

    NASA Astrophysics Data System (ADS)

    Hishitani, Daisuke; Horita, Masahiro; Ishikawa, Yasuaki; Ikenoue, Hiroshi; Uraoka, Yukiharu

    2017-05-01

    The formation of perhydropolysilazane (PHPS)-based SiO2 films by CO2 laser annealing is proposed. Irradiation with a CO2 laser with optimum fluence transformed a prebaked PHPS film into a SiO2 film with uniform composition in the thickness direction. Polycrystalline silicon thin-film transistors (poly-Si TFTs) with a SiO2 film as the gate insulator were fabricated. When the SiO2 film was formed by CO2 laser annealing (CO2LA) at the optimum fluence of 20 mJ/cm2, the film had fewer OH groups which was one-twentieth that of the furnace annealed PHPS film and one-hundredth that of the SiO2 film deposited by plasma-enhanced chemical vapor deposition (PECVD) using tetraethyl orthosilicate (TEOS). The resulting TFTs using PHPS showed a clear transistor operation with a field-effect mobility of 37.9 ± 1.2 cm2 V-1 s-1, a threshold voltage of 9.8 ± 0.2 V, and a subthreshold swing of 0.76 ± 0.02 V/decade. The characteristics of such TFTs were as good as those of a poly-Si TFT with a SiO2 gate insulator prepared by PECVD using TEOS.

  9. Positronium formation in SiO2 films grown on Si substrates studied by monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, A.; Wei, L.; Tanigawa, S.; Suzuki, R.; Ohgaki, H.; Mikado, T.; Kawano, T.; Ohji, Y.

    1994-04-01

    The annihilation characteristics of positrons in SiO2 films grown on Si substrates were studied by using monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured as a function of incident positron energy for SiO2 (166 nm)/Si specimens fabricated by thermal oxidation. From the measurements, it was found that about 90% of positrons implanted into the SiO2 film annihilate from positronium (Ps) states. This fact was due to the trapping of positrons by open-space defects and a resultant enhanced formation of Ps in such regions. For the SiO2 film grown at 650 °C, the lifetime of ortho-Ps was found to be shorter than that in the film grown at 1000 °C. This result suggests that the volume of open-space defects in the SiO2 film decreased with decreasing the growth rate of the SiO2 film.

  10. Potential energy landscape of an interstitial O2 molecule in a SiO2 film near the SiO2/Si(001) interface

    NASA Astrophysics Data System (ADS)

    Ohta, Hiromichi; Watanabe, Takanobu; Ohdomari, Iwao

    2008-10-01

    Potential energy distribution of interstitial O2 molecule in the vicinity of SiO2/Si(001) interface is investigated by means of classical molecular simulation. A 4-nm-thick SiO2 film model is built by oxidizing a Si(001) substrate, and the potential energy of an O2 molecule is calculated at Cartesian grid points with an interval of 0.05 nm in the SiO2 film region. The result shows that the potential energy of the interstitial site gradually rises with approaching the interface. The potential gradient is localized in the region within about 1 nm from the interface, which coincides with the experimental thickness of the interfacial strained layer. The potential energy is increased by about 0.62 eV at the SiO2/Si interface. The result agrees with a recently proposed kinetic model for dry oxidation of silicon [Phys. Rev. Lett. 96, 196102 (2006)], which argues that the oxidation rate is fully limited by the oxidant diffusion.

  11. Excellent Brightness with Shortening Lifetime of Textured Zn2SiO4:Mn2+ Phosphor Films on Quartz Glass

    NASA Astrophysics Data System (ADS)

    Park, Jehong; Park, Kwangwon; Lee, Jaebum; Kim, Jongsu; Kim, Seongsin Margaret; Kung, Patrick

    2010-04-01

    Green-emissive textured Zn2SiO4:Mn2+ phosphor films were fabricated by the thermal diffusion of ZnO:Mn on quartz glass. The Zn2SiO4:Mn2+ phosphor films became textured along several hexagonal directions and their chemical composition was continuously graded at the interface. The decay time of Mn2+ was as short as 4.4 ms, and the optical transition probability of the films defined as the inverse of decay time showed a strong correlation with film texture degree as a function of annealing temperature. The brightest Zn2SiO4:Mn2+ film showed a photoluminescent brightness as high as 65% compared with a commercial Zn2SiO4:Mn2+ phosphor powder screen and a maximum absolute transparency of 70%. These excellent optical properties are explained by the combination of the unique textured structure and continuous grading of the Zn2SiO4:Mn2+ chemical composition at the interface.

  12. The role of film interfaces in near-ultraviolet absorption and pulsed-laser damage in ion-beam-sputtered coatings based on HfO 2/SiO 2 thin-film pairs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ristau, Detlev; Papernov, S.; Kozlov, A. A.

    2015-11-23

    The role of thin-film interfaces in the near-ultraviolet absorption and pulsed-laser–induced damage was studied for ion-beam–sputtered and electron-beam–evaporated coatings comprised from HfO 2 and SiO 2 thin-film pairs. To separate contributions from the bulk of the film and from interfacial areas, absorption and damage-threshold measurements were performed for a one-wave (355-nm wavelength) thick, HfO 2 single-layer film and for a film containing seven narrow HfO 2 layers separated by SiO 2 layers. The seven-layer film was designed to have a total optical thickness of HfO 2 layers, equal to one wave at 355 nm and an E-field peak and averagemore » intensity similar to a single-layer HfO 2 film. Absorption in both types of films was measured using laser calorimetry and photothermal heterodyne imaging. The results showed a small contribution to total absorption from thin-film interfaces, as compared to HfO 2 film material. The relevance of obtained absorption data to coating near-ultraviolet, nanosecond-pulse laser damage was verified by measuring the damage threshold and characterizing damage morphology. The results of this study revealed a higher damage resistance in the seven-layer coating as compared to the single-layer HfO 2 film in both sputtered and evaporated coatings. Here, the results are explained through the similarity of interfacial film structure with structure formed during the co-deposition of HfO 2 and SiO 2 materials.« less

  13. ZrO2 film interfaces with Si and SiO2

    NASA Astrophysics Data System (ADS)

    Lopez, C. M.; Suvorova, N. A.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2005-08-01

    The interface formed by the thermal oxidation of sputter-deposited Zr metal onto Si(100)- and SiO2-coated Si(100) wafers was studied in situ and in real time using spectroscopic ellipsometry (SE) in the 1.5-4.5 photon energy range and mass spectrometry of recoiled ions (MSRI). SE yielded optical properties for the film and interface and MSRI yielded film and interface composition. An optical model was developed and verified using transmission electron microscopy. Interfacial reaction of the ZrO2 was observed for both substrates, with more interaction for Si substrates. Equivalent oxide thicknesses and interface trap levels were determined on capacitors with lower trap levels found on samples with a thicker SiO2 underlayer. In addition to the optical properties for the intermixed interface layer, the optical properties for Zr metal and unreacted ZrO2 are also reported.

  14. Large Electric Field–Enhanced–Hardness Effect in a SiO2 Film

    PubMed Central

    Revilla, Reynier I.; Li, Xiao-Jun; Yang, Yan-Lian; Wang, Chen

    2014-01-01

    Silicon dioxide films are extensively used in nano and micro–electromechanical systems. Here we studied the influence of an external electric field on the mechanical properties of a SiO2 film by using nanoindentation technique of atomic force microscopy (AFM) and friction force microscopy (FFM). A giant augmentation of the relative elastic modulus was observed by increasing the localized electric field. A slight decrease in friction coefficients was also clearly observed by using FFM with the increase of applied tip voltage. The reduction of the friction coefficients is consistent with the great enhancement of sample hardness by considering the indentation–induced deformation during the friction measurements. PMID:24681517

  15. Large Electric Field-Enhanced-Hardness Effect in a SiO2 Film

    NASA Astrophysics Data System (ADS)

    Revilla, Reynier I.; Li, Xiao-Jun; Yang, Yan-Lian; Wang, Chen

    2014-03-01

    Silicon dioxide films are extensively used in nano and micro-electromechanical systems. Here we studied the influence of an external electric field on the mechanical properties of a SiO2 film by using nanoindentation technique of atomic force microscopy (AFM) and friction force microscopy (FFM). A giant augmentation of the relative elastic modulus was observed by increasing the localized electric field. A slight decrease in friction coefficients was also clearly observed by using FFM with the increase of applied tip voltage. The reduction of the friction coefficients is consistent with the great enhancement of sample hardness by considering the indentation-induced deformation during the friction measurements.

  16. Surface properties of SiO2 with and without H2O2 treatment as gate dielectrics for pentacene thin-film transistor applications

    NASA Astrophysics Data System (ADS)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of H2O2 treatment on the surface properties of SiO2 is studied. H2O2 treatment leads to the formation of Si(sbnd OH)x at the SiO2 surface that serves to reduce the number of trap states, inducing the shift of the Fermi level toward the conduction band minimum. H2O2 treatment also leads to a noticeable reduction in the value of the SiO2 capacitance per unit area. The effect of SiO2 layers with H2O2 treatment on the behavior of carrier transports for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. Experimental identification confirms that the shift of the threshold voltage towards negative gate-source voltages is due to the reduced number of trap states in SiO2 near the pentacene/SiO2 interface. The existence of a hydrogenated layer between pentacene and SiO2 leads to a change in the pentacene-SiO2 interaction, increasing the value of the carrier mobility.

  17. Controlling the defects and transition layer in SiO2 films grown on 4H-SiC via direct plasma-assisted oxidation

    PubMed Central

    Kim, Dae-Kyoung; Jeong, Kwang-Sik; Kang, Yu-Seon; Kang, Hang-Kyu; Cho, Sang W.; Kim, Sang-Ok; Suh, Dongchan; Kim, Sunjung; Cho, Mann-Ho

    2016-01-01

    The structural stability and electrical performance of SiO2 grown on SiC via direct plasma-assisted oxidation were investigated. To investigate the changes in the electronic structure and electrical characteristics caused by the interfacial reaction between the SiO2 film (thickness ~5 nm) and SiC, X-ray photoelectron spectroscopy (XPS), X-ray absorption spectroscopy (XAS), density functional theory (DFT) calculations, and electrical measurements were performed. The SiO2 films grown via direct plasma-assisted oxidation at room temperature for 300s exhibited significantly decreased concentrations of silicon oxycarbides (SiOxCy) in the transition layer compared to that of conventionally grown (i.e., thermally grown) SiO2 films. Moreover, the plasma-assisted SiO2 films exhibited enhanced electrical characteristics, such as reduced frequency dispersion, hysteresis, and interface trap density (Dit ≈ 1011 cm−2 · eV−1). In particular, stress induced leakage current (SILC) characteristics showed that the generation of defect states can be dramatically suppressed in metal oxide semiconductor (MOS) structures with plasma-assisted oxide layer due to the formation of stable Si-O bonds and the reduced concentrations of SiOxCy species defect states in the transition layer. That is, energetically stable interfacial states of high quality SiO2 on SiC can be obtained by the controlling the formation of SiOxCy through the highly reactive direct plasma-assisted oxidation process. PMID:27721493

  18. Enhanced Visible Transmittance of Thermochromic VO2 Thin Films by SiO2 Passivation Layer and Their Optical Characterization

    PubMed Central

    Yu, Jung-Hoon; Nam, Sang-Hun; Lee, Ji Won; Boo, Jin-Hyo

    2016-01-01

    This paper presents the preparation of high-quality vanadium dioxide (VO2) thermochromic thin films with enhanced visible transmittance (Tvis) via radio frequency (RF) sputtering and plasma enhanced chemical vapor deposition (PECVD). VO2 thin films with high Tvis and excellent optical switching efficiency (Eos) were successfully prepared by employing SiO2 as a passivation layer. After SiO2 deposition, the roughness of the films was decreased 2-fold and a denser structure was formed. These morphological changes corresponded to the results of optical characterization including the haze, reflectance and absorption spectra. In spite of SiO2 coating, the phase transition temperature (Tc) of the prepared films was not affected. Compared with pristine VO2, the total layer thickness after SiO2 coating was 160 nm, which is an increase of 80 nm. Despite the thickness change, the VO2 thin films showed a higher Tvis value (λ 650 nm, 58%) compared with the pristine samples (λ 650 nm, 43%). This enhancement of Tvis while maintaining high Eos is meaningful for VO2-based smart window applications. PMID:28773679

  19. Effects of SiO 2 overlayer at initial growth stage of epitaxial Y 2O 3 film growth

    NASA Astrophysics Data System (ADS)

    Cho, M.-H.; Ko, D.-H.; Choi, Y. G.; Lyo, I. W.; Jeong, K.; Whang, C. N.

    2000-12-01

    We investigated the dependence of the Y 2O 3 film growth on Si surface at initial growth stage. The reflection high-energy electron diffraction, X-ray scattering, and atomic force microscopy showed that the film crystallinity and morphology strongly depended on whether Si surface contained O or not. In particular, the films grown on oxidized surfaces revealed significant improvement in crystallinity and surface smoothness. A well-ordered atomic structure of Y 2O 3 film was formed on 1.5 nm thick SiO 2 layer with the surface and interfacial roughness markedly enhanced, compared with the film grown on the clean Si surfaces. The epitaxial film on the oxidized Si surface exhibited extremely small mosaic structures at interface, while the film on the clean Si surface displayed an island-like growth with large mosaic structures. The nucleation sites for Y 2O 3 were provided by the reaction between SiO 2 and Y at the initial growth stage. The SiO 2 layer known to hinder crystal growth is found to enhance the nucleation of Y 2O 3, and provides a stable buffer layer against the silicide formation. Thus, the formation of the initial SiO 2 layer is the key to the high-quality epitaxial growth of Y 2O 3 on Si.

  20. Preparation of SiO2 Passivation Thin Film for Improved the Organic Light-Emitting Device Life Time

    NASA Astrophysics Data System (ADS)

    Hong, Jeong Soo; Kim, Sang Mo; Kim, Kyung-Hwan

    2011-08-01

    To improve the organic light-emitting diode (OLED) lifetime, we prepared a SiO2 thin film for OLED passivation using a facing target sputtering (FTS) system as a function of oxygen gas flow rate and working pressure. The properties of the SiO2 thin film were examined by Fourier transform infrared (FT-IR), photoluminescence (PL) intensity measurement, field emission scanning electron microscopy (FE-SEM), and ultraviolet-visible (UV-vis) spectrometry that As a result, we found that a SiO2 thin film is formed at a 2 sccm oxygen gas flow rate and results the minimum damage to the organic layer is observed at a 1 mTorr working pressure. Also, from the water vapor transmission rate (WVTR), we observed that all of the as-deposited SiO2 thin films showed the ability of blocking moisture. After the properties were evaluated, an optimized SiO2 thin film was applied to OLED passivation. As a result, the property of the OLED fabricated by SiO2 passivation is similar to the OLED fabricated by glass passivation. However, the performance of OLED was degraded by enhancing of SiO2 passivation. This is the organic layer of the device is exposed to plasma for a prolonged period. Therefore, a method of minimizing damage to the organic layer and optimum conditions for what are important.

  1. Super-bright and short-lived photoluminescence of textured Zn2SiO4:Mn2+ phosphor film on quartz glass

    NASA Astrophysics Data System (ADS)

    Park, Jehong; Park, Kwangwon; Lee, Jaebum; Kim, Jongsu; Seo, Kwangil; Kwon, Kevin; Kung, Patrick; Kim, Seongsin M.

    2010-02-01

    Green-emissive textured Zn2SiO4:Mn2+ phosphor film was fabricated by a thermal diffusion of ZnO:Mn on quartz glass. The characterization has been performed in terms of Mn2+ ions concentration (Mn/Zn=1~9 mol %). As an increase of Mn2+ ions concentration in the Zn2SiO4:Mn2+ phosphor film, the emission peak was red shifted from 519 nm to 526 nm, and the decay time to 10% of the maximum intensity was shorter from 20 ms to 0.5 ms. All annealed Zn2SiO4:Mn2+ phosphor films became textured along some hexagonal directions on the amorphous quartz glass. The brightest Zn2SiO4:Mn2+ film at optimal Mn2+ concentration of 5 % showed the photoluminescence brightness of 65 % and the shortened decay time of 4.4 ms in comparison with a commercially Zn2SiO4: Mn2+ powder phosphor screen. The excellencies can be attributed to a unique textured structure.

  2. Optical, electrical and dielectric properties of TiO2-SiO2 films prepared by a cost effective sol-gel process.

    PubMed

    Vishwas, M; Rao, K Narasimha; Gowda, K V Arjuna; Chakradhar, R P S

    2011-12-01

    Titanium dioxide (TiO(2)) and silicon dioxide (SiO(2)) thin films and their mixed films were synthesized by the sol-gel spin coating method using titanium tetra isopropoxide (TTIP) and tetra ethyl ortho silicate (TEOS) as the precursor materials for TiO(2) and SiO(2) respectively. The pure and composite films of TiO(2) and SiO(2) were deposited on glass and silicon substrates. The optical properties were studied for different compositions of TiO(2) and SiO(2) sols and the refractive index and optical band gap energies were estimated. MOS capacitors were fabricated using TiO(2) films on p-silicon (100) substrates. The current-voltage (I-V) and capacitance-voltage (C-V) characteristics were studied and the electrical resistivity and dielectric constant were estimated for the films annealed at 200°C for their possible use in optoelectronic applications. Copyright © 2011 Elsevier B.V. All rights reserved.

  3. Negative differential resistance effect induced by metal ion implantation in SiO2 film for multilevel RRAM application

    NASA Astrophysics Data System (ADS)

    Wu, Facai; Si, Shuyao; Shi, Tuo; Zhao, Xiaolong; Liu, Qi; Liao, Lei; Lv, Hangbing; Long, Shibing; Liu, Ming

    2018-02-01

    Pt/SiO2:metal nanoparticles/Pt sandwich structure is fabricated with the method of metal ion (Ag) implantation. The device exhibits multilevel storage with appropriate R off/R on ratio, good endurance and retention properties. Based on transmission electron microscopy and energy dispersive spectrometer analysis, we confirm that Pt nanoparticles are spurted into SiO2 film from Pt bottom electrode by Ag implantation; during electroforming, the local electric field can be enhanced by these Pt nanoparticles, meanwhile the Ag nanoparticles constantly migrate toward the Pt nanoparticles. The implantation induced nanoparticles act as trap sites in the resistive switching layer and play critical roles in the multilevel storage, which is evidenced by the negative differential resistance effect in the current-voltage (I-V) measurements.

  4. Very low-refractive-index optical thin films consisting of an array of SiO2 nanorods

    NASA Astrophysics Data System (ADS)

    Xi, J.-Q.; Kim, Jong Kyu; Schubert, E. F.; Ye, Dexian; Lu, T.-M.; Lin, Shawn-Yu; Juneja, Jasbir S.

    2006-03-01

    The refractive-index contrast in dielectric multilayer structures, optical resonators, and photonic crystals is an important figure of merit that creates a strong demand for high-quality thin films with a low refractive index. A SiO2 nanorod layer with low refractive index of n=1.08, to our knowledge the lowest ever reported in thin-film materials, is grown by oblique-angle electron-beam deposition of SiO2. A single-pair distributed Bragg reflector employing a SiO2 nanorod layer is demonstrated to have enhanced reflectivity, showing the great potential of low-refractive-index films for applications in photonic structures and devices.

  5. Thermally tunable VO2-SiO2 nanocomposite thin-film capacitors

    NASA Astrophysics Data System (ADS)

    Sun, Yifei; Narayanachari, K. V. L. V.; Wan, Chenghao; Sun, Xing; Wang, Haiyan; Cooley, Kayla A.; Mohney, Suzanne E.; White, Doug; Duwel, Amy; Kats, Mikhail A.; Ramanathan, Shriram

    2018-03-01

    We present a study of co-sputtered VO2-SiO2 nanocomposite dielectric thin-film media possessing continuous temperature tunability of the dielectric constant. The smooth thermal tunability is a result of the insulator-metal transition in the VO2 inclusions dispersed within an insulating matrix. We present a detailed comparison of the dielectric characteristics of this nanocomposite with those of a VO2 control layer and of VO2/SiO2 laminate multilayers of comparable overall thickness. We demonstrated a nanocomposite capacitor that has a thermal capacitance tunability of ˜60% between 25 °C and 100 °C at 1 MHz, with low leakage current. Such thermally tunable capacitors could find potential use in applications such as sensing, thermal cloaks, and phase-change energy storage devices.

  6. Superconducting properties of nano-sized SiO2 added YBCO thick film on Ag substrate

    NASA Astrophysics Data System (ADS)

    Almessiere, Munirah Abdullah; Al-Otaibi, Amal lafy; Azzouz, Faten Ben

    2017-10-01

    The microstructure and the flux pinning capability of SiO2-added YBa2Cu3Oy thick films on Ag substrates were investigated. A series of YBa2Cu3Oy thick films with small amounts (0-0.5 wt%) of nano-sized SiO2 particles (12 nm) was prepared. The thicknesses of the prepared thick films was approximately 100 µm. Phase analysis by x-ray diffraction and microstructure examination by scanning electron microscopy were performed and the critical current density dependence on the applied magnetic field Jc(H) and electrical resistivity ρ(T) were investigated. The magnetic field and temperature dependence of the critical current density (Jc) was calculated from magnetization measurements using Bean's critical state model. The results showed that the addition of a small amount (≤0.02 wt%) of SiO2 was effective in enhancing the critical current densities in the applied magnetic field. The sample with 0.01 wt% of added SiO2 exhibited a superconducting characteristics under an applied magnetic field for a temperature ranging from 10 to 77 K.

  7. Synthesis and Characterization of TiO2/SiO2 Thin Film via Sol-Gel Method

    NASA Astrophysics Data System (ADS)

    Halin, D. S. C.; Abdullah, M. M. A. B.; Mahmed, N.; Malek, S. N. A. Abdul; Vizureanu, P.; Azhari, A. W.

    2017-06-01

    TiO2/SiO2 thin films were prepared by sol-gel spin coating method. Structural, surface morphology and optical properties were investigated for different annealing temperatures at 300°C, 400°C and 500°C. X-ray diffraction pattern show that brookite TiO2 crystalline phase with SiO2 phase presence at 300°C. At higher temperatures of 400-500°C, the only phase presence was brookite. The surface morphology of film was characterized by scanning electron microscopy (SEM). The films annealed at 300°C shows an agglomeration of small flaky with crack free. When the temperature of annealing increase to 400-500°C, the films with large flaky and large cracks film were formed which was due to surface tension between the film and the air during the drying process. The UV-Vis spectroscopy shows that the film exhibits a low transmittance around 30% which was due to the substrate is inhomogeneously covered by the films. In order to improve the coverage of the film on the substrate, it has to repeatable the spin coating to ensure the substrate is fully covered by the films.

  8. Positron annihilation on the surfaces of SiO 2 films thermally grown on single crystal of Cz-Si

    NASA Astrophysics Data System (ADS)

    Deng, Wen; Yue, Li; Zhang, Wei; Cheng, Xu-xin; Zhu, Yan-yan; Huang, Yu-yang

    2009-09-01

    Two-detector coincidence system and mono-energetic slow positron beam has been applied to measure the Doppler broadening spectra for single crystals of SiO2, SiO2 films with different thickness thermally grown on single crystal of Cz-Si, and single crystal of Si without oxide film. Oxygen is recognized as a peak at about 11.85 × 10-3m0c on the ratio curves. The S parameters decrease with the increase of positron implantation energy for the single crystal of SiO2 and Si without oxide film. However, for the thermally grown SiO2-Si sample, the S parameters in near surface of the sample increase with positron implantation energy. It is due to the formation of silicon oxide at the surface, which lead to lower S value. S and W parameters vary with positron implantation depth indicate that the SiO2-Si system consist of a surface layer, a SiO2 layer, a SiO2-Si interface layer and a semi-infinite Si substrate.

  9. A comparative study on omnidirectional anti-reflection SiO2 nanostructure films coating by glancing angle deposition

    NASA Astrophysics Data System (ADS)

    Prachachet, R.; Samransuksamer, B.; Horprathum, M.; Eiamchai, P.; Limwichean, S.; Chananonnawathorn, C.; Lertvanithphol, T.; Muthitamongkol, P.; Boonruang, S.; Buranasiri, P.

    2018-02-01

    Fabricated omnidirectional anti-reflection nanostructure films as a one of the promising alternative solar cell applications have attracted enormous scientific and industrial research benefits to their broadband, effective over a wide range of incident angles, lithography-free and high-throughput process. Recently, the nanostructure SiO2 film was the most inclusive study on anti-reflection with omnidirectional and broadband characteristics. In this work, the three-dimensional silicon dioxide (SiO2) nanostructured thin film with different morphologies including vertical align, slant, spiral and thin films were fabricated by electron beam evaporation with glancing angle deposition (GLAD) on the glass slide and silicon wafer substrate. The morphological of the prepared samples were characterized by field-emission scanning electron microscope (FE-SEM) and high-resolution transmission electron microscope (HRTEM). The transmission, omnidirectional and birefringence property of the nanostructure SiO2 films were investigated by UV-Vis-NIR spectrophotometer and variable angle spectroscopic ellipsometer (VASE). The spectrophotometer measurement was performed at normal incident angle and a full spectral range of 200 - 2000 nm. The angle dependent transmission measurements were investigated by rotating the specimen, with incidence angle defined relative to the surface normal of the prepared samples. This study demonstrates that the obtained SiO2 nanostructure film coated on glass slide substrate exhibits a higher transmission was 93% at normal incident angle. In addition, transmission measurement in visible wavelength and wide incident angles -80 to 80 were increased in comparison with the SiO2 thin film and glass slide substrate due to the transition in the refractive index profile from air to the nanostructure layer that improve the antireflection characteristics. The results clearly showed the enhanced omnidirectional and broadband characteristic of the three dimensional SiO

  10. Nrf2 protects against oxidative stress induced by SiO2 nanoparticles.

    PubMed

    Liu, Wei; Hu, Tao; Zhou, Li; Wu, Desheng; Huang, Xinfeng; Ren, Xiaohu; Lv, Yuan; Hong, Wenxu; Huang, Guanqin; Lin, Zequn; Liu, Jianjun

    2017-10-01

    The aim of our study was to explore the role of nuclear factor erythroid 2 (NF-E2)-related factor 2 (Nrf2) on the exposure of SiO 2 nanoparticles (NPs) and its influence. To understand the mechanism of NP-induced oxidative stress, the involvement of oxidative-stress-responding transcription factors and the Nrf2/antioxidant reactive element (ARE) signaling pathway in the toxicity of SiO 2 NPs' exposure was investigated via in vivo and in vitro models. A549 cells showed a significant cytotoxic effect while A549-shNrf2 cells showed decreased cell viability after nm-SiO 2 exposure. SiO 2 NPs' exposure activated the Nrf2/ARE signaling pathway. Nrf2 -/- exposed mice showed increased reactive oxygen species, 8-hydroxyl deoxyguanosine level and decreased total antioxidant capacity. Nrf2/ARE signaling pathway activation disrupted, leading inhibition of heme oxygenase-1 and upregulation of PKR-like endoplasmic-reticulum-regulated kinase. Our findings suggested that Nrf2 could protect against oxidative stress induced by SiO 2 NPs, and the Nrf2/ARE pathway might be involved in mild-to-moderate SiO 2 NP-induced oxidative stress that was evident from dampened activity of Nrf2.

  11. Preparation of MgF2-SiO2 thin films with a low refractive index by a solgel process.

    PubMed

    Ishizawa, Hitoshi; Niisaka, Shunsuke; Murata, Tsuyoshi; Tanaka, Akira

    2008-05-01

    Porous MgF(2)-SiO(2) thin films consisting of MgF(2) particles connected by an amorphous SiO(2) binder are prepared by a solgel process. The films have a low refractive index of 1.26, sufficient strength to withstand wiping by a cloth, and a high environmental resistance. The refractive index of the film can be controlled by changing the processing conditions. Films can be uniformly formed on curved substrates and at relatively low temperatures, such as 100 degrees C. The low refractive index of the film, which cannot be achieved by conventional dry processes, is effective in improving the performance of antireflective coatings.

  12. [Influence of SiO2 films on color reproduction of Ni-Cr alloy porcelain crowns].

    PubMed

    Wu, Dong; Feng, Yunzhi

    2011-08-01

    To study whether SiO2 films will influence the color of Ni-Cr metal ceramic restorations. For the film plating experimental group, Sol-gel method was employed to apply SiO2 films to the surface of the Ni-Cr copings, while no coating was applied for the non-film-plating control group. Veneering porcelains were then applied subsequently, and a total of 12 B2-colored maxillary incisor metal ceramic crowns were fabricated with 6 crowns in each group. A ShadeEye Ncc computer-aided colorimeter was employed to measure the shade of the samples, as well as 6 B2(Vitapan classical vita color tabs) shade standards. The color was expressed as C1E-1976-Lab coordinates. There was a statistically significant color difference between all metal ceramic crowns and the B2 shade standards (delta E>1.5). The L*, a*, b* values of all crowns were higher than those of the B2 shade standards, and the crowns were typically yellower or redder. While neither significant color difference nor difference in shade values was observed between the film plating experimental group and non-film-plating control group (delta E<1.5). SiO2 films applied to the Ni-Cr copings by means of Sol-gel technique do not impact the final color of the metal ceramic restorations.

  13. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  14. Reaction pathways in remote plasma nitridation of ultrathin SiO2 films

    NASA Astrophysics Data System (ADS)

    Niimi, Hiro; Khandelwal, Amit; Lamb, H. Henry; Lucovsky, Gerald

    2002-01-01

    Low-temperature nitridation of 3 nm SiO2 films using He/N2 and N2 remote radio frequency (rf) plasmas was investigated. On-line Auger electron spectroscopy and angle-resolved x-ray photoelectron spectroscopy (ARXPS) were employed to determine the concentration, spatial distribution, and local chemical bonding of nitrogen in the resultant films. Experiments were performed using a substrate temperature of 300 °C and 30 W rf power. Nitridation using an upstream He/N2 remote plasma at 0.1 Torr incorporates nitrogen at the top surface of the SiO2 film. In contrast, a lower concentration of nitrogen distributed throughout the film is obtained when the process pressure is increased to 0.3 Torr. ARXPS indicates a N-Si3 local bonding configuration, irrespective of the spatial distribution of N atoms. Slightly more nitrogen is incorporated using a downstream He/N2 plasma at each process pressure. By comparison, nitridation of SiO2 films using a N2 remote plasma at 0.1 Torr is very slow. Optical emission spectroscopy indicates that He dilution enhances the generation of N2+(B 2Σu+) species by altering the plasma electron energy distribution and by providing an additional kinetic pathway (Penning ionization). Changing the He/N2 remote plasma configuration from upstream to downstream (at 0.1 and 0.3 Torr) also enhances N2+(B 2Σu+) generation. For upstream He/N2 remote plasmas, the intensity of N2 first positive emission from N2(B 3Πg) states increases with pressure, whereas the N2+ first negative emission from N2+(B 2Σu+) states decreases. We infer from these observations that N2+ species are primarily responsible for top surface nitridation at 0.1 Torr, and that neutral species [N2(A 3Σu+) metastables and N atoms] are associated with sub-surface nitrogen incorporation.

  15. Resistive switching of organic–inorganic hybrid devices of conductive polymer and permeable ultra-thin SiO2 films

    NASA Astrophysics Data System (ADS)

    Yamamoto, Shunsuke; Kitanaka, Takahisa; Miyashita, Tokuji; Mitsuishi, Masaya

    2018-06-01

    We propose a resistive switching device composed of conductive polymer (PEDOT:PSS) and SiO2 ultra-thin films. The SiO2 film was fabricated from silsesquioxane polymer nanosheets as a resistive switching layer. Devices with metal (Ag or Au)∣SiO2∣PEDOT:PSS architecture show good resistive switching performance with set–reset voltages as low as several hundred millivolts. The device properties and the working mechanism were investigated by varying the electrode material, surrounding atmosphere, and SiO2 film thickness. Results show that resistive switching is based on water and ion migration at the PEDOT:PSS∣SiO2 interface.

  16. Resistive switching of organic-inorganic hybrid devices of conductive polymer and permeable ultra-thin SiO2 films.

    PubMed

    Yamamoto, Shunsuke; Kitanaka, Takahisa; Miyashita, Tokuji; Mitsuishi, Masaya

    2018-06-29

    We propose a resistive switching device composed of conductive polymer (PEDOT:PSS) and SiO 2 ultra-thin films. The SiO 2 film was fabricated from silsesquioxane polymer nanosheets as a resistive switching layer. Devices with metal (Ag or Au)∣SiO 2 ∣PEDOT:PSS architecture show good resistive switching performance with set-reset voltages as low as several hundred millivolts. The device properties and the working mechanism were investigated by varying the electrode material, surrounding atmosphere, and SiO 2 film thickness. Results show that resistive switching is based on water and ion migration at the PEDOT:PSS∣SiO 2 interface.

  17. Effect of SiO2, PVA and glycerol concentrations on chemical and mechanical properties of alginate-based films.

    PubMed

    Yang, Manli; Shi, Jinsheng; Xia, Yanzhi

    2018-02-01

    Sodium alginate (SA)/polyvinyl alcohol (PVA)/SiO 2 nanocomposite films were prepared by in situ polymerization through solution casting and solvent evaporation. The effect of different SA/PVA ratios, SiO 2 , and glycerol content on the mechanical properties, water content, water solubility, and water vapor permeability were studied. The nanocomposite films were characterized by Fourier transform infrared, X-ray diffraction, scanning electron microscopy, transmission electron microscopy, and thermal stability (thermogravimetric analysis/differential thermogravimetry) analyses. The nanocomposites showed the highest values of mechanical properties, such as SA/PVA ratio, SiO 2 , and glycerol content was 7:3, 6wt.%, and 0.25g/g SA, respectively. The tensile strength and elongation at break (E%) of the nanocomposites increased by 525.7% and 90.7%, respectively, compared with those of the pure alginate film. The Fourier transform infrared spectra showed a new SiOC band formed in the SA/PVA/SiO 2 nanocomposite film. The scanning electron microscopy image revealed good adhesion between SiO 2 and SA/PVA matrix. After the incorporation of PVA and SiO 2 , the water resistance of the SA/PVA/SiO 2 nanocomposite film was markedly improved. Transparency decreased with increasing PVA content but was enhanced by adding SiO 2 . Copyright © 2017. Published by Elsevier B.V.

  18. Comparison of the agglomeration behavior of thin metallic films on SiO2

    NASA Astrophysics Data System (ADS)

    Gadkari, P. R.; Warren, A. P.; Todi, R. M.; Petrova, R. V.; Coffey, K. R.

    2005-07-01

    The stability of continuous metallic thin films on insulating oxide surfaces is of interest to applications such as semiconductor interconnections and gate engineering. In this work, we report the study of the formation of voids and agglomeration of initially continuous Cu, Au, Ru and Pt thin films deposited on amorphous thermally grown SiO2 surfaces. Polycrystalline thin films having thicknesses in the range of 10-100 nm were ultrahigh vacuum sputter deposited on thermally grown SiO2 surfaces. The films were annealed at temperatures in the range of 150-800 °C in argon and argon+3% hydrogen gases. Scanning electron microscopy was used to investigate the agglomeration behavior, and transmission electron microscopy was used to characterize the microstructure of the as-deposited and annealed films. The agglomeration sequence in all of the films is found to follow a two step process of void nucleation and void growth. However, void growth in Au and Pt thin films is different from Cu and Ru thin films. Residual stress and adhesion were observed to play an important part in deciding the mode of void growth in Au and Pt thin films. Last, it is also observed that the tendency for agglomeration can be reduced by encapsulating the metal film with an oxide overlayer.

  19. Sol-gel preparation of self-cleaning SiO2-TiO2/SiO2-TiO2 double-layer antireflective coating for solar glass

    NASA Astrophysics Data System (ADS)

    Lin, Wensheng; Zheng, Jiaxian; Yan, Lianghong; Zhang, Xinxiang

    2018-03-01

    Self-cleaning SiO2-TiO2/SiO2-TiO2 double-layer antireflective (AR) coating is prepared by sol-gel process. SiO2 sol is prepared by using tetraethyl orthosilicate (TEOS) as precursor and ammonia as catalyst, while TiO2 sol was prepared by using tetrabutyl orthotitanate (TBOT) as precursor and hydrochloric acid as catalyst. The effect of TiO2 content on refractive index, abrasion-resistance and photo-catalytic activity of SiO2-TiO2 hybrid thin films or powders is systematically investigated. It is found that the refractive index of SiO2-TiO2 hybrid thin films increases gradually from 1.18 to 1.53 as the weight ratio of TiO2 to SiO2 increased from 0 to 1.0. The SiO2-TiO2 hybrid thin film and powder possesses good abrasion-resistance and photo-catalytic activity, respectively, as the weight ratio of TiO2 to SiO2 is 0.4. The degradation degree of Rhodamine B by SiO2-TiO2 hybrid powder is 88.3%. Finally, SiO2-TiO2/SiO2-TiO2 double-layer AR coating with high transmittance, abrasion-resistance and self-cleaning property is realized.

  20. Shift in room-temperature photoluminescence of low-fluence Si+-implanted SiO2 films subjected to rapid thermal annealing.

    PubMed

    Fu, Ming-Yue; Tsai, Jen-Hwan; Yang, Cheng-Fu; Liao, Chih-Hsiung

    2008-12-01

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO 2 films implanted by different doses of Si + ions. Room-temperature PL from 400-nm-thick SiO 2 films implanted to a dose of 3×10 16 cm -2 shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 °C) and duration (5-20 s). The reported approach of implanting silicon into SiO 2 films followed by RTA may be effective for tuning Si-based photonic devices.

  1. Shift in room-temperature photoluminescence of low-fluence Si+-implanted SiO2 films subjected to rapid thermal annealing

    PubMed Central

    Fu, Ming-Yue; Tsai, Jen-Hwan; Yang, Cheng-Fu; Liao, Chih-Hsiung

    2008-01-01

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO2 films implanted by different doses of Si+ ions. Room-temperature PL from 400-nm-thick SiO2 films implanted to a dose of 3×1016 cm−2 shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950–1150 °C) and duration (5–20 s). The reported approach of implanting silicon into SiO2 films followed by RTA may be effective for tuning Si-based photonic devices. PMID:27878029

  2. Direct fabrication of graphene on SiO2 enabled by thin film stress engineering

    PubMed Central

    McNerny, Daniel Q.; Viswanath, B.; Copic, Davor; Laye, Fabrice R.; Prohoda, Christophor; Brieland-Shoultz, Anna C.; Polsen, Erik S.; Dee, Nicholas T.; Veerasamy, Vijayen S.; Hart, A. John

    2014-01-01

    We demonstrate direct production of graphene on SiO2 by CVD growth of graphene at the interface between a Ni film and the SiO2 substrate, followed by dry mechanical delamination of the Ni using adhesive tape. This result is enabled by understanding of the competition between stress evolution and microstructure development upon annealing of the Ni prior to the graphene growth step. When the Ni film remains adherent after graphene growth, the balance between residual stress and adhesion governs the ability to mechanically remove the Ni after the CVD process. In this study the graphene on SiO2 comprises micron-scale domains, ranging from monolayer to multilayer. The graphene has >90% coverage across centimeter-scale dimensions, limited by the size of our CVD chamber. Further engineering of the Ni film microstructure and stress state could enable manufacturing of highly uniform interfacial graphene followed by clean mechanical delamination over practically indefinite dimensions. Moreover, our findings suggest that preferential adhesion can enable production of 2-D materials directly on application-relevant substrates. This is attractive compared to transfer methods, which can cause mechanical damage and leave residues behind. PMID:24854632

  3. Direct fabrication of graphene on SiO2 enabled by thin film stress engineering.

    PubMed

    McNerny, Daniel Q; Viswanath, B; Copic, Davor; Laye, Fabrice R; Prohoda, Christophor; Brieland-Shoultz, Anna C; Polsen, Erik S; Dee, Nicholas T; Veerasamy, Vijayen S; Hart, A John

    2014-05-23

    We demonstrate direct production of graphene on SiO2 by CVD growth of graphene at the interface between a Ni film and the SiO2 substrate, followed by dry mechanical delamination of the Ni using adhesive tape. This result is enabled by understanding of the competition between stress evolution and microstructure development upon annealing of the Ni prior to the graphene growth step. When the Ni film remains adherent after graphene growth, the balance between residual stress and adhesion governs the ability to mechanically remove the Ni after the CVD process. In this study the graphene on SiO2 comprises micron-scale domains, ranging from monolayer to multilayer. The graphene has >90% coverage across centimeter-scale dimensions, limited by the size of our CVD chamber. Further engineering of the Ni film microstructure and stress state could enable manufacturing of highly uniform interfacial graphene followed by clean mechanical delamination over practically indefinite dimensions. Moreover, our findings suggest that preferential adhesion can enable production of 2-D materials directly on application-relevant substrates. This is attractive compared to transfer methods, which can cause mechanical damage and leave residues behind.

  4. Optical properties of ion-beam-synthesized Au nanoparticles in SiO2 matrix

    NASA Astrophysics Data System (ADS)

    Hsieh, Chang-Lin; Oyoshi, Keiji; Chao, Der-Sheng; Tsai, Hsu-Sheng; Hong, Wei-Lun; Takeda, Yoshihiko; Liang, Jenq-Horng

    2016-05-01

    In recent years, gold (Au) nanoparticles have been synthesized via various methods and used in optical and biomedical detection. Au nanoparticles contain some remarkable dimension-dependent optical properties due to surface plasmon resonance (SPR) in Au nanoparticles which causes high absorption in visible light regions. Since SPR in well-crystallized Au nanoparticles can enhance the local electromagnetic field, it is thus expected that greater efficiency in the photoluminescence (PL) originating from oxygen deficiency centers (ODC) can be achieved in Au-implanted SiO2 matrix. In order to demonstrate the enhancement of PL, Au nanoparticles were formed in SiO2 film using ion beam synthesis and their optical and microstructural properties were also investigated in this study. The results revealed that a clear absorption peak at approximately 530 nm was identified in the UV-Vis spectra and was attributed to SPR induced by Au nanoparticles in SiO2. The SPR of Au nanoparticles is also dependent on thermal treatment conditions, such as post-annealing temperature and ambient. The Au nanoparticle-containing SiO2 film also displayed several distinctive peaks at approximately 320, 360, 460, and 600 nm in the PL spectra and were found to be associated with ODC-related defects and non-bridging oxygen hole centers (NBOHC) in SiO2. In addition, the PL peak intensities increased as post-annealing temperature increased, a finding contradictory to the defect recovery but highly consistent with the SPR tendency. A maximum PL emission was achieved when the Au-implanted SiO2 film was annealed at 1100 °C for 1 h under N2. Therefore, the existence of Au nanoparticles in SiO2 film can induce SPR effects as well as enhance PL emission resulting from defect-related luminescence centers.

  5. Low-temperature atomic layer deposition of SiO2/Al2O3 multilayer structures constructed on self-standing films of cellulose nanofibrils

    NASA Astrophysics Data System (ADS)

    Putkonen, Matti; Sippola, Perttu; Svärd, Laura; Sajavaara, Timo; Vartiainen, Jari; Buchanan, Iain; Forsström, Ulla; Simell, Pekka; Tammelin, Tekla

    2017-12-01

    In this paper, we have optimized a low-temperature atomic layer deposition (ALD) of SiO2 using AP-LTO® 330 and ozone (O3) as precursors, and demonstrated its suitability to surface-modify temperature-sensitive bio-based films of cellulose nanofibrils (CNFs). The lowest temperature for the thermal ALD process was 80°C when the silicon precursor residence time was increased by the stop-flow mode. The SiO2 film deposition rate was dependent on the temperature varying within 1.5-2.2 Å cycle-1 in the temperature range of 80-350°C, respectively. The low-temperature SiO2 process that resulted was combined with the conventional trimethyl aluminium + H2O process in order to prepare thin multilayer nanolaminates on self-standing CNF films. One to six stacks of SiO2/Al2O3 were deposited on the CNF films, with individual layer thicknesses of 3.7 nm and 2.6 nm, respectively, combined with a 5 nm protective SiO2 layer as the top layer. The performance of the multilayer hybrid nanolaminate structures was evaluated with respect to the oxygen and water vapour transmission rates. Six stacks of SiO2/Al2O with a total thickness of approximately 35 nm efficiently prevented oxygen and water molecules from interacting with the CNF film. The oxygen transmission rates analysed at 80% RH decreased from the value for plain CNF film of 130 ml m-2 d-1 to 0.15 ml m-2 d-1, whereas the water transmission rates lowered from 630 ± 50 g m-2 d-1 down to 90 ± 40 g m-2 d-1. This article is part of a discussion meeting issue `New horizons for cellulose nanotechnology'.

  6. Enhanced electrical stability of flexible indium tin oxide films prepared on stripe SiO 2 buffer layer-coated polymer substrates by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Yu, Zhi-nong; Zhao, Jian-jian; Xia, Fan; Lin, Ze-jiang; Zhang, Dong-pu; Leng, Jian; Xue, Wei

    2011-03-01

    The electrical stability of flexible indium tin oxide (ITO) films fabricated on stripe SiO 2 buffer layer-coated polyethylene terephthalate (PET) substrates by magnetron sputtering was investigated by the bending test. The ITO thin films with stripe SiO 2 buffer layer under bending have better electrical stability than those with flat SiO 2 buffer layer and without buffer layer. Especially in inward bending text, the ITO thin films with stripe SiO 2 buffer layer only have a slight resistance change when the bending radius r is not less than 8 mm, while the resistances of the films with flat SiO 2 buffer layer and without buffer layer increase significantly at r = 16 mm with decreasing bending radius. This improvement of electrical stability in bending test is due to the small mismatch factor α in ITO-SiO 2, the enhanced interface adhesion and the balance of residual stress. These results indicate that the stripe SiO 2 buffer layer is suited to enhance the electrical stability of flexible ITO film under bending.

  7. Density gradient in SiO 2 films on silicon as revealed by positron annihilation spectroscopy

    NASA Astrophysics Data System (ADS)

    Revesz, A. G.; Anwand, W.; Brauer, G.; Hughes, H. L.; Skorupa, W.

    2002-06-01

    Positron annihilation spectroscopy of thermally grown and deposited SiO 2 films on silicon shows in a non-destructive manner that these films have a gradient in their density. The gradient is most pronounced for the oxide grown in dry oxygen. Oxidation in water-containing ambient results in an oxide with reduced gradient, similarly to the gradient in the deposited oxide. These observations are in accordance with earlier optical and other studies using stepwise etching or a set of samples of varying thickness. The effective oxygen charge, which is very likely one of the reasons for the difference in the W parameters of silica glass and quartz crystal, could be even higher at some localized configurations in the SiO 2 films resulting in increased positron trapping.

  8. Effects of (NH4)2S x treatment on the surface properties of SiO2 as a gate dielectric for pentacene thin-film transistor applications

    NASA Astrophysics Data System (ADS)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of (NH4)2S x treatment on the surface properties of SiO2 is studied. (NH4)2S x treatment leads to the formation of S-Si bonds on the SiO2 surface that serves to reduce the number of donor-like trap states, inducing the shift of the Fermi level toward the conduction band minimum. A finding in this case is the noticeably reduced value of the SiO2 capacitance as the sulfurated layer is formed at the SiO2 surface. The effect of SiO2 layers with (NH4)2S x treatment on the carrier transport behaviors for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. The pentacene/as-cleaned SiO2-based OTFT shows depletion-mode behavior, whereas the pentacene/(NH4)2S x -treated SiO2-based OTFT exhibits enhancement-mode behavior. Experimental identification confirms that the depletion-/enhancement-mode conversion is due to the dominance competition between donor-like trap states in SiO2 near the pentacene/SiO2 interface and acceptor-like trap states in the pentacene channel. A sulfurated layer between pentacene and SiO2 is expected to give significant contributions to carrier transport for pentacene/SiO2-based OTFTs.

  9. Enhancement of visible photoluminescence in the SiNx films by SiO2 buffer and annealing

    NASA Astrophysics Data System (ADS)

    Xu, M.; Xu, S.; Chai, J. W.; Long, J. D.; Ee, Y. C.

    2006-12-01

    The authors report a simple method to significantly enhance the photoluminescence (PL) of SiNx films by incorporating a SiO2 buffer and annealing treatment under N2 protection. Strong visible PL is achieved with annealing temperature above 650°C. Optimal PL is obtained at 800°C. The composition and structure analysis reveal that strong PL is directly related to the content of the Si-O and Si-N bonds in the SiNx films. These bonds provide effective luminescent centers and passivate the interface between Si core and the surrounding oxide.

  10. Low-temperature atomic layer deposition of SiO2/Al2O3 multilayer structures constructed on self-standing films of cellulose nanofibrils.

    PubMed

    Putkonen, Matti; Sippola, Perttu; Svärd, Laura; Sajavaara, Timo; Vartiainen, Jari; Buchanan, Iain; Forsström, Ulla; Simell, Pekka; Tammelin, Tekla

    2018-02-13

    In this paper, we have optimized a low-temperature atomic layer deposition (ALD) of SiO 2 using AP-LTO® 330 and ozone (O 3 ) as precursors, and demonstrated its suitability to surface-modify temperature-sensitive bio-based films of cellulose nanofibrils (CNFs). The lowest temperature for the thermal ALD process was 80°C when the silicon precursor residence time was increased by the stop-flow mode. The SiO 2 film deposition rate was dependent on the temperature varying within 1.5-2.2 Å cycle -1 in the temperature range of 80-350°C, respectively. The low-temperature SiO 2 process that resulted was combined with the conventional trimethyl aluminium + H 2 O process in order to prepare thin multilayer nanolaminates on self-standing CNF films. One to six stacks of SiO 2 /Al 2 O 3 were deposited on the CNF films, with individual layer thicknesses of 3.7 nm and 2.6 nm, respectively, combined with a 5 nm protective SiO 2 layer as the top layer. The performance of the multilayer hybrid nanolaminate structures was evaluated with respect to the oxygen and water vapour transmission rates. Six stacks of SiO 2 /Al 2 O with a total thickness of approximately 35 nm efficiently prevented oxygen and water molecules from interacting with the CNF film. The oxygen transmission rates analysed at 80% RH decreased from the value for plain CNF film of 130 ml m -2  d -1 to 0.15 ml m -2  d -1 , whereas the water transmission rates lowered from 630 ± 50 g m -2  d -1 down to 90 ± 40 g m -2  d -1 This article is part of a discussion meeting issue 'New horizons for cellulose nanotechnology'. © 2017 The Author(s).

  11. New intelligent multifunctional SiO2/VO2 composite films with enhanced infrared light regulation performance, solar modulation capability, and superhydrophobicity

    NASA Astrophysics Data System (ADS)

    Wang, Chao; Zhao, Li; Liang, Zihui; Dong, Binghai; Wan, Li; Wang, Shimin

    2017-12-01

    Highly transparent, energy-saving, and superhydrophobic nanostructured SiO2/VO2 composite films have been fabricated using a sol-gel method. These composite films are composed of an underlying infrared (IR)-regulating VO2 layer and a top protective layer that consists of SiO2 nanoparticles. Experimental results showed that the composite structure could enhance the IR light regulation performance, solar modulation capability, and hydrophobicity of the pristine VO2 layer. The transmittance of the composite films in visible region (Tlum) was higher than 60%, which was sufficient to meet the requirements of glass lighting. Compared with pristine VO2 films and tungsten-doped VO2 film, the near IR control capability of the composite films was enhanced by 13.9% and 22.1%, respectively, whereas their solar modulation capability was enhanced by 10.9% and 22.9%, respectively. The water contact angles of the SiO2/VO2 composite films were over 150°, indicating superhydrophobicity. The transparent superhydrophobic surface exhibited a high stability toward illumination as all the films retained their initial superhydrophobicity even after exposure to 365 nm light with an intensity of 160 mW.cm-2 for 10 h. In addition, the films possessed anti-oxidation and anti-acid properties. These characteristics are highly advantageous for intelligent windows or solar cell applications, given that they can provide surfaces with anti-fogging, rainproofing, and self-cleaning effects. Our technique offers a simple and low-cost solution to the development of stable and visible light transparent superhydrophobic surfaces for industrial applications.

  12. New intelligent multifunctional SiO2/VO2 composite films with enhanced infrared light regulation performance, solar modulation capability, and superhydrophobicity.

    PubMed

    Wang, Chao; Zhao, Li; Liang, Zihui; Dong, Binghai; Wan, Li; Wang, Shimin

    2017-01-01

    Highly transparent, energy-saving, and superhydrophobic nanostructured SiO 2 /VO 2 composite films have been fabricated using a sol-gel method. These composite films are composed of an underlying infrared (IR)-regulating VO 2 layer and a top protective layer that consists of SiO 2 nanoparticles. Experimental results showed that the composite structure could enhance the IR light regulation performance, solar modulation capability, and hydrophobicity of the pristine VO 2 layer. The transmittance of the composite films in visible region ( T lum ) was higher than 60%, which was sufficient to meet the requirements of glass lighting. Compared with pristine VO 2 films and tungsten-doped VO 2 film, the near IR control capability of the composite films was enhanced by 13.9% and 22.1%, respectively, whereas their solar modulation capability was enhanced by 10.9% and 22.9%, respectively. The water contact angles of the SiO 2 /VO 2 composite films were over 150°, indicating superhydrophobicity. The transparent superhydrophobic surface exhibited a high stability toward illumination as all the films retained their initial superhydrophobicity even after exposure to 365 nm light with an intensity of 160 mW . cm -2 for 10 h. In addition, the films possessed anti-oxidation and anti-acid properties. These characteristics are highly advantageous for intelligent windows or solar cell applications, given that they can provide surfaces with anti-fogging, rainproofing, and self-cleaning effects. Our technique offers a simple and low-cost solution to the development of stable and visible light transparent superhydrophobic surfaces for industrial applications.

  13. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    NASA Astrophysics Data System (ADS)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  14. Improved Performance by SiO2 Hollow Nanospheres for Silver Nanowire-Based Flexible Transparent Conductive Films.

    PubMed

    Zhang, Liwen; Zhang, Longjiang; Qiu, Yejun; Ji, Yang; Liu, Ya; Liu, Hong; Li, Guangji; Guo, Qiuquan

    2016-10-12

    Flexible transparent conductive films (TCFs) have attracted tremendous interest thanks to the rapid development of portable/flexible/wearable electronics. TCFs on the basis of silver nanowires (AgNWs) with excellent performance are becoming an efficient alternative to replace the brittle transparent metal oxide. In this study, a promising method was developed by introducing SiO 2 hollow nanospheres (SiO 2 -HNSs) into the film to significantly improve the performance of AgNW-based TCFs. Since SiO 2 -HNSs have opposite charges to AgNWs, the strong attraction had promoted a uniform distribution of AgNWs and made the distance between AgNWs closer, which could decrease the contact resistance greatly. The introduction of SiO 2 layer remarkably enhanced the transmission of visible light and the conductivity. In addition, the TCFs constructed by AgNWs and SiO 2 -HNSs showed much higher thermal stability and adhesive force than those by only AgNWs. As an example, the transmission of AgNW/SiO 2 -HNS-coated poly(ethylene terephthalate) (PET) could increase about 14.3% in comparison to AgNW-coated PET. Typically, a AgNW/SiO 2 -HNS-based TCF with a sheet resistance of about 33 Ω/sq and transmittance of about 98.0% (excluding substrate) could be obtained with excellent flexibility, adhesion, and thermal stability. At last some devices were fabricated.

  15. Water Sorption in Electron-Beam Evaporated SiO2 on QCM Crystals and Its Influence on Polymer Thin Film Hydration Measurements.

    PubMed

    Kushner, Douglas I; Hickner, Michael A

    2017-05-30

    Spectroscopic ellipsometry (SE) and quartz crystal microbalance (QCM) measurements are two critical characterization techniques routinely employed for hydration studies of polymer thin films. Water uptake by thin polymer films is an important area of study to investigate antifouling surfaces, to probe the swelling of thin water-containing ionomer films, and to conduct fundamental studies of polymer brush hydration and swelling. SiO 2 -coated QCM crystals, employed as substrates in many of these hydration studies, show porosity in the thin electron-beam (e-beam) evaporated SiO 2 layer. The water sorption into this porous SiO 2 layer requires correction of the optical and mass characterization of the hydrated polymer due to changes in the SiO 2 layer as it sorbs water. This correction is especially important when experiments on SiO 2 -coated QCM crystals are compared to measurements on Si wafers with dense native SiO 2 layers. Water adsorption filling void space during hydration in ∼200-260 nm thick SiO 2 layers deposited on a QCM crystal resulted in increased refractive index of the layer during water uptake experiments. The increased refractive index led to artificially higher polymer swelling in the optical modeling of the hydration experiments. The SiO 2 -coated QCM crystals showed between 6 and 8% void as measured by QCM and SE, accounting for 60%-85% of the measured polymer swelling in the low humidity regime (<20% RH) and 25%-40% of the polymer swelling in the high humidity regime (>70% RH) from optical modeling for 105 and 47 nm thick sulfonated polymer films. Correcting the refractive index of the SiO 2 layer for its water content resulted in polymer swelling that successfully resembled swelling measured on a silicon wafer with nonporous native oxide.

  16. Elastic constant and Brillouin oscillations in sputtered vitreous SiO2 thin films

    NASA Astrophysics Data System (ADS)

    Ogi, H.; Shagawa, T.; Nakamura, N.; Hirao, M.; Odaka, H.; Kihara, N.

    2008-10-01

    We studied the relationship between elastic constants and microstructure in sputtered vitreous SiO2 thin films using pump-probe picosecond laser ultrasound. The delayed probe light pulse is diffracted by the acoustic wave excited by the pump light pulse, inducing Brillouin oscillations, seen as reflectivity change in the probe pulse, whose frequency can be used to extract the sound velocity and elastic moduli. Theoretical calculations were made to explain the asymmetric response of Brillouin oscillations and to predict the possible error limit of the determined elastic constants. The thin films containing defects exhibited lower elastic constant. A micromechanics modeling was developed to evaluate defect porosity and attenuation caused by scattering was able to predict the defect size. Elastic moduli of the defect-free specimens increased with increasing sputtering power, eventually exceeding the bulk value, and correlated with phonon frequencies, indicating that the decrease in the Si-O-Si bond angle of the tetrahedral structure increased the stiffness.

  17. New intelligent multifunctional SiO2/VO2 composite films with enhanced infrared light regulation performance, solar modulation capability, and superhydrophobicity

    PubMed Central

    Wang, Chao; Zhao, Li; Liang, Zihui; Dong, Binghai; Wan, Li; Wang, Shimin

    2017-01-01

    Abstract Highly transparent, energy-saving, and superhydrophobic nanostructured SiO2/VO2 composite films have been fabricated using a sol–gel method. These composite films are composed of an underlying infrared (IR)-regulating VO2 layer and a top protective layer that consists of SiO2 nanoparticles. Experimental results showed that the composite structure could enhance the IR light regulation performance, solar modulation capability, and hydrophobicity of the pristine VO2 layer. The transmittance of the composite films in visible region (T lum) was higher than 60%, which was sufficient to meet the requirements of glass lighting. Compared with pristine VO2 films and tungsten-doped VO2 film, the near IR control capability of the composite films was enhanced by 13.9% and 22.1%, respectively, whereas their solar modulation capability was enhanced by 10.9% and 22.9%, respectively. The water contact angles of the SiO2/VO2 composite films were over 150°, indicating superhydrophobicity. The transparent superhydrophobic surface exhibited a high stability toward illumination as all the films retained their initial superhydrophobicity even after exposure to 365 nm light with an intensity of 160 mW.cm−2 for 10 h. In addition, the films possessed anti-oxidation and anti-acid properties. These characteristics are highly advantageous for intelligent windows or solar cell applications, given that they can provide surfaces with anti-fogging, rainproofing, and self-cleaning effects. Our technique offers a simple and low-cost solution to the development of stable and visible light transparent superhydrophobic surfaces for industrial applications. PMID:28970866

  18. Origin of MeV ion irradiation-induced stress changes in SiO2

    NASA Astrophysics Data System (ADS)

    Brongersma, M. L.; Snoeks, E.; van Dillen, T.; Polman, A.

    2000-07-01

    The 4 MeV Xe ion irradiation of a thin thermally grown SiO2 film on a Si substrate leads to four different effects in which each manifests itself by a characteristic change in the mechanical stress state of the film: densification, ascribed to a beam-induced structural change in the silica network; stress relaxation by radiation-enhanced plastic flow; anisotropic expansion and stress generation; and transient stress relaxation ascribed to the annealing of point defects. Using sensitive wafer-curvature measurements, in situ measurements of the in-plane mechanical stress were made during and after ion irradiation at various temperatures in the range from 95 to 575 K, in order to study the magnitude of these effects, the mechanism behind them, as well as their interplay. It is found that the structural transformation leads to a state with an equilibrium density that is 1.7%-3.2% higher than the initial state, depending on the irradiation temperature. Due to the constraint imposed by the substrate, this transformation causes a tensile in-plane stress in the oxide film. This stress is relaxed by plastic flow, leading to densification of the film. The anisotropic strain-generation rate decreases linearly with temperature from (2.5±0.4)×10-17cm2/ion at 95 K to (-0.9±0.7)×10-17 cm2/ion at 575 K. The spectrum of irradiation-induced point defects, measured from the stress change after the ion beam was switched off, peaks below 0.23 eV and extends up to 0.80 eV. All four irradiation-induced effects can be described using a thermal spike model.

  19. Optical transparency and mechanical properties of semi-refined iota carrageenan film reinforced with SiO2 as food packaging material

    NASA Astrophysics Data System (ADS)

    Aji, Afifah Iswara; Praseptiangga, Danar; Rochima, Emma; Joni, I. Made; Panatarani, Camellia

    2018-02-01

    Food packaging is important for protecting food from environmental influences such as heat, light, water vapor, oxygen, dirt, dust particles, gas emissions and so on, which leads to decrease the quality of food. The most widely used type of packaging in the food industry is plastic which is made from synthetic polymers and takes hundreds of years to biodegrade. Recently, food packaging with high bio-degradability is being developed using biopolymer combined with nanoparticles as reinforcing agent (filler) to improve its properties. In this study, semi-refined iota carrageenan films were prepared by incorporating SiO2 nanoparticles as filler at different concentrations (0%, 0.5%, 1.0% and 1.5% w/w carrageenan) using solution casting method. The optical transparency and mechanical properties (tensile strength and elongation at break) of the films were analyzed. The results showed that incorporation of SiO2 nanoparticles to carrageenan matrix on optical transparency of the films. For the mechanical properties, the highest tensile strength was found for incorporation of 0.5% SiO2, while the elongation at break of the films improved with increasing SiO2 concentration.

  20. Growth and luminescent properties of Lu2SiO5 and Lu2SiO5:Ce single crystalline films

    NASA Astrophysics Data System (ADS)

    Zorenko, Yu; Nikl, M.; Gorbenko, V.; Mares, J. A.; Savchyn, V.; Voznyak, T.; Solsky, I.; Grynyov, B.; Sidletskiy, O.; Kurtsev, D.; Beitlerova, A.; Kucerkova, R.

    2010-11-01

    Single crystalline films (SCF) of Lu2SiO5 (LSO) and Lu2SiO5:Ce (LSO:Ce) silicates with thickness of 2.5-21 μm were crystallised by liquid phase epitaxy method onto undoped LSO substrates from melt-solution based on PbO-B2O3 flux. The luminescence and scintillation properties of LSO and LSO:Ce SCFs were compared with the properties of a reference LSO:Ce and LYSO:Ce crystals. The light yield (LY) of LSO and LSO:Ce SCF reaches up 30 % and 145 %, respectively, of that of a reference LSO:Ce crystal under excitation by α-particles of 241Am source (5.5 MeV). We found that the luminescence spectrum of LSO:Ce SCF is red-shifted with respect to the spectrum of a reference LSO:Ce crystal. Differences in luminescence properties of LSO:Ce SCF and single crystal are explained by the different distribution of Ce3+ over the Lu1 and Lu2 positions of LSO host and are also due to Pb2+ contamination in the former.

  1. Effects of Mev Si Ions and Thermal Annealing on Thermoelectric and Optical Properties of SiO2/SiO2+Ge Multi-nanolayer thin Films

    NASA Astrophysics Data System (ADS)

    Budak, S.; Alim, M. A.; Bhattacharjee, S.; Muntele, C.

    Thermoelectric generator devices have been prepared from 200 alternating layers of SiO2/SiO2+Ge superlattice films using DC/RF magnetron sputtering. The 5 MeV Si ionsbombardmenthasbeen performed using the AAMU Pelletron ion beam accelerator to formquantum dots and / or quantum clusters in the multi-layer superlattice thin films to decrease the cross-plane thermal conductivity, increase the cross-plane Seebeck coefficient and increase the cross-plane electrical conductivity to increase the figure of merit, ZT. The fabricated devices have been annealed at the different temperatures to tailor the thermoelectric and optical properties of the superlattice thin film systems. While the temperature increased, the Seebeck coefficient continued to increase and reached the maximum value of -25 μV/K at the fluenceof 5x1013 ions/cm2. The decrease in resistivity has been seen between the fluence of 1x1013 ions/cm2 and 5x1013 ions/cm2. Transport properties like Hall coefficient, density and mobility did not change at all fluences. Impedance spectroscopy has been used to characterize the multi-junction thermoelectric devices. The loci obtained in the C*-plane for these data indicate non-Debye type relaxation displaying the presence of the depression parameter.

  2. Formation of Fe2SiO4 thin films on Si substrates and influence of substrate to its thermoelectric transport properties

    NASA Astrophysics Data System (ADS)

    Choi, Jeongyong; Nguyen, Van Quang; Duong, Van Thiet; Shin, Yooleemi; Duong, Anh Tuan; Cho, Sunglae

    2018-03-01

    Fe2SiO4 thin films have been grown on n-type, p-type and semi-insulating Si(100) substrates by molecular beam epitaxy. When Fe-O thin films were deposited on Si(100) substrate at 300 °C, the film reacted with Si, resulting in a Fe2SiO4 film because of the high reactivity between Fe and Si. The electrical resistance and Seebeck coefficient of Fe2SiO4 thin films grown were different in different doping states. On n-type and p-type Si(100), the electrical resistance decreased suddenly and increased again at 350 and 250 K, respectively, while on semi-insulating Si(100), it exhibited typical semiconducting resistance behavior. We observed similar crossovers at 350 and 250 K in temperature dependent Seebeck coefficients on n-type and p-type Si(100), respectively. These results suggest that the measured electrical and thermoelectric properties originate from Si substrate.

  3. Fabrication, patterning and luminescence properties of X 2-Y 2SiO 5:A (A=Eu 3+, Tb 3+, Ce 3+) phosphor films via sol-gel soft lithography

    NASA Astrophysics Data System (ADS)

    Han, X. M.; Lin, J.; Fu, J.; Xing, R. B.; Yu, M.; Zhou, Y. H.; Pang, M. L.

    2004-04-01

    X 2-Y 2SiO 5:A (A=Eu 3+, Tb 3+, Ce 3+) phosphor films and their patterning were fabricated by a sol-gel process combined with a soft lithography. X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FT-IR), atomic force microscopy (AFM), scanning electron microscopy (SEM) optical microscopy and photoluminescence (PL) were used to characterize the resulting films. The results of XRD indicated that the films began to crystallize at 900 °C with X 1-Y 2SiO 5, which transformed completely to X 2-Y 2SiO 5 at 1250 °C. Patterned thin films with different band widths (5 μm spaced by 5 μm and 16 μm spaced by 24 μm) were obtained by a soft lithography technique (micromoulding in capillaries, MIMIC). The SEM and AFM study revealed that the nonpatterned phosphor films were uniform and crack free, and the films mainly consisted of closely packed grains with an average size of 350 nm. The doped rare earth ions (A) showed their characteristic emissions in X 2-Y 2SiO 5 phosphor films, i.e., 5D 0- 7F J ( J=0,1,2,3,4) for Eu 3+, 5D 3, 4- 7F J ( J=6,5,4,3) for Tb 3+ and 5d ( 2D)-4f ( 2F 2/5, 2/7) for Ce 3+, respectively. The optimum doping concentrations for Eu 3+, Tb 3+ were determined to be 13 and 8 mol% of Y 3+ in X 2-Y 2SiO 5 films, respectively.

  4. Hollow waveguides with low intrinsic photoluminescence fabricated with Ta2O5 and SiO2 films

    NASA Astrophysics Data System (ADS)

    Zhao, Y.; Jenkins, M.; Measor, P.; Leake, K.; Liu, S.; Schmidt, H.; Hawkins, A. R.

    2011-02-01

    A type of integrated hollow core waveguide with low intrinsic photoluminescence fabricated with Ta2O5 and SiO2 films is demonstrated. Hollow core waveguides made with a combination of plasma-enhanced chemical vapor deposition SiO2 and sputtered Ta2O5 provide a nearly optimal structure for optofluidic biofluorescence measurements with low optical loss, high fabrication yield, and low background photoluminescence. Compared to earlier structures made using Si3N4, the photoluminescence background of Ta2O5 based hollow core waveguides is decreased by a factor of 10 and the signal-to-noise ratio for fluorescent nanobead detection is improved by a factor of 12.

  5. The effect of SiO2, Pt, and Pt /Au templates on the microstructure and permittivity of BaxSr1-xTiO3 films

    NASA Astrophysics Data System (ADS)

    Rundqvist, Pär; Liljenfors, Tomas; Vorobiev, Andrei; Olsson, Eva; Gevorgian, Spartak

    2006-12-01

    Ba0.25Sr0.75TiO3 (BSTO) and SrTiO3 (STO) ferroelectric thin films were grown on templates of SiO2/Si, Pt /TiO2/SiO2/Si, and Pt /Au/Pt/TiO2/SiO2/Si using pulsed laser deposition. The microstructure and surface morphology of the multilayer stacks were studied using x-ray diffraction, atomic force microscopy, and transmission electron microscopy. The microstructural analysis shows that the ferroelectric films are polycrystalline textured with a columnar structure where the grain size is 50-100nm. The BSTO films deposited at 800°C on an amorphous SiO2/Si template reveal a textured structure with a dominant (110) orientation, which is explained by a dominant growth of BSTO (110) grains due to the lower surface energy of the (110) phase. The STO and BSTO films deposited at 650°C on the Pt /TiO2/SiO2/Si and Pt /Au/Pt/TiO2/SiO2/Si templates, respectively, reveal a structure with a dominant (111) orientation, which is explained by the dominant growth of BSTO (STO) (111) grains imposed by the underlying Pt (111) texture. In all cases the ferroelectric films are subject to compressive in-plane strain which is different for different grain orientations. Strain modified permittivities of ferroelectric films grown on different templates are calculated from first principles for different orientations and compared with measured results. The correlations between grain orientations, grain sizes, grain boundaries, strain, and dielectric permittivity of ferroelectric films on different templates are discussed.

  6. On the temperature dependence of Na migration in thin SiO 2 films during ToF-SIMS O 2+ depth profiling

    NASA Astrophysics Data System (ADS)

    Krivec, Stefan; Detzel, Thomas; Buchmayr, Michael; Hutter, Herbert

    2010-10-01

    The detection of Na in insulating samples by means of time of flight-secondary ion mass spectrometry (ToF-SIMS) depth profiling has always been a challenge. In particular the use of O 2+ as sputter species causes a severe artifact in the Na depth distribution due to Na migration under the influence of an internal electrical filed. In this paper we address the influence of the sample temperature on this artifact. It is shown that the transport of Na is a dynamic process in concordance with the proceeding sputter front. Low temperatures mitigated the migration process by reducing the Na mobility in the target. In the course of this work two sample types have been investigated: (i) A Na doped PMMA layer, deposited on a thin SiO 2 film. Here, the incorporation behavior of Na into SiO 2 during depth profiling is demonstrated. (ii) Na implanted into a thin SiO 2 film. By this sample type the migration behavior could be examined when defects, originating from the implantation process, are present in the SiO 2 target. In addition, we propose an approach for the evaluation of an implanted Na profile, which is unaffected by the migration process.

  7. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    NASA Astrophysics Data System (ADS)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  8. Effects of addition of supramolecular assembly on the anatase nanocrystalline precipitation of sol-gel derived SiO2-TiO2 coating films by hot-water treatment.

    PubMed

    Katagiri, Kiyofumi; Harada, Genki; Matsuda, Atsunori; Kogure, Toshihiro; Muto, Hiroyuki; Sakai, Mototsugu

    2006-06-01

    Effects of the addition of a supramolecular assembly of cetyltrimethylammonium bromide in SiO2-TiO2 gel films on the formation of anatase type TiO2 nanocrystals with hot-water treatment were investigated. Anatase nanocrystals were formed in the whole SiO2-TiO2 gel films with the addition of cetyltrimethylammonium bromide by the treatment, whereas the nanocrystals were formed only on the film surface in the case of gel films without cetyltrimethylammonium bromide. Cetyltrimethylammonium bromide molecules in the SiO2-TiO2 gel films were completely removed by the hot-water treatment and the following UV irradiation. In the usual procedure for preparation of porous materials, the removal of template molecular assemblies required high temperature treatment over 400 degrees C. In this system, all the processes were performed at temperatures less than 100 degrees C. Additionally, the porous structure produced by the removal of micellar assembly allowed anatase nanocrystals to be formed inside the films. Therefore, the method presented in this work provides us with the novel photocatalyst coatings of porous membrane with highly-dispersed TiO2 nanocrystals via low temperature process.

  9. Dry etched SiO2 Mask for HgCdTe Etching Process

    NASA Astrophysics Data System (ADS)

    Chen, Y. Y.; Ye, Z. H.; Sun, C. H.; Deng, L. G.; Zhang, S.; Xing, W.; Hu, X. N.; Ding, R. J.; He, L.

    2016-09-01

    A highly anisotropic etching process with low etch-induced damage is indispensable for advanced HgCdTe (MCT) infrared focal plane array (IRFPA) detectors. The inductively coupled plasma (ICP) enhanced reactive ion etching technique has been widely adopted in manufacturing HgCdTe IRFPA devices. An accurately patterned mask with sharp edges is decisive to accomplish pattern duplication. It has been reported by our group that the SiO2 mask functions well in etching HgCdTe with high selectivity. However, the wet process in defining the SiO2 mask is limited by ambiguous edges and nonuniform patterns. In this report, we patterned SiO2 with a mature ICP etching technique, prior to which a thin ZnS film was deposited by thermal evaporation. The SiO2 film etching can be terminated at the auto-stopping point of the ZnS layer thanks to the high selectivity of SiO2/ZnS in SF6 based etchant. Consequently, MCT etching was directly performed without any other treatment. This mask showed acceptable profile due to the maturity of the SiO2 etching process. The well-defined SiO2 pattern and the etched smooth surfaces were investigated with scanning electron microscopy and atomic force microscope. This new mask process could transfer the patterns exactly with very small etch-bias. A cavity with aspect-ratio (AR) of 1.2 and root mean square roughness of 1.77 nm was achieved first, slightly higher AR of 1.67 was also get with better mask profile. This masking process ensures good uniformity and surely benefits the delineation of shrinking pixels with its high resolution.

  10. Effect of SiO2 addition on photocatalytic activity, water contact angle and mechanical stability of visible light activated TiO2 thin films applied on stainless steel by a sol gel method

    NASA Astrophysics Data System (ADS)

    Momeni, Mansour; Saghafian, Hasan; Golestani-Fard, Farhad; Barati, Nastaran; Khanahmadi, Amirhossein

    2017-01-01

    Nanostructured N doped TiO2/20%SiO2 thin films were developed on steel surface via sol gel method using a painting airbrush. Thin films then were calcined at various temperatures in a range of 400-600 °C. The effect of SiO2 addition on phase composition and microstructural evolution of N doped TiO2 films were studied using XRD and FESEM. Optical properties, visible light photocatalytic activity, hydrophilic behavior, and mechanical behavior of the films were also investigated by DRS, methylene blue degradation, water contact angle measurements, and nanoscratch testing. Results indicated that the band gap energy of N doped TiO2/SiO2 was increased from 2.93 to 3.09 eV. Crack formation during calcination was also significantly promoted in the composite films. All composite films demonstrated weaker visible light photocatalytic activities and lower mechanical stability in comparison with N doped TiO2 films. Moreover, the N doped TiO2/SiO2 film calcined at 600 °C showed undesirable hydrophilic behavior with a water contact angle of 57° after 31 h of visible light irradiation. Outcomes of the present study reveal some different results to previous reports on TiO2/SiO2 films. In general, we believe the differences in substrate material as well as application in visible light are the main reasons for the above mentioned contradiction.

  11. Physical and Electrical Properties of SiO2 Layer Synthesized by Eco-Friendly Method

    NASA Astrophysics Data System (ADS)

    Jong-Woong Kim,; Young-Seok Kim,; Sung-Jei Hong,; Tae-Hwan Hong,; Jeong-In Han,

    2010-05-01

    SiO2 thin film has a wide range of applications, including insulation layers in microelectronic devices, such as semiconductors and flat panel displays, due to its advantageous characteristics. Herein, we developed a new eco-friendly method for manufacturing SiO2 nanoparticles and, thereby, SiO2 paste to be used in the digital printing process for the fabrication of SiO2 film. By excluding harmful Cl- and NO3- elements from the SiO2 nanoparticle synthetic process, we were able to lower the heat treatment temperature for the SiO2 precursor from 600 to 300 °C and the diameter of the final SiO2 nanoparticles to about 14 nm. The synthesized SiO2 nanoparticles were dispersed in an organic solvent with additives to make a SiO2 paste for feasibility testing. The SiO2 paste was printed onto a glass substrate to test the feasibility of using it for digital printing. The insulation resistance of the printed film was high enough for it to be used as an insulation layer for passivation.

  12. SiO2-induced release of sVEGFRs from pulmonary macrophages.

    PubMed

    Chao, Jie; Lv, Yan; Chen, Jin; Wang, Jing; Yao, Honghong

    2018-01-01

    The inhalation of silicon dioxide (SiO 2 ) particles causes silicosis, a stubborn pulmonary disease that is characterized by alveolar inflammation during the early stage. Soluble cytokine receptors (SCRs) play important roles in regulating inflammation by either attenuating or promoting cytokine signaling. However, the role of SCRs in silicosis remains unknown. Luminex assays revealed increased soluble vascular endothelial growth factor receptor (sVEGFR) family levels in the plasma of silicosis patients. In an enzyme-linked immunosorbent assay (ELISA), cells from the differentiated human monocytic cell line U937 released sVEGFR family proteins after exposure to SiO 2 (50μg/cm 2 ). Further Western blot experiments revealed that VEGFR expression was also elevated in U937 cells. In contrast, levels of sVEGFR family members did not change in the supernatants of human umbilical vein endothelial cells (HUVECs) after exposure to SiO 2 (50μg/cm 2 ). Interestingly, VEGFR expression in HUVECs decreased after SiO 2 treatment. In a scratch assay, HUVECs exhibited cell migration ability, indicating the acquisition of mesenchymal properties. Our findings highlight the important role of sVEGFRs in both inflammation and fibrosis induced by SiO 2 , suggesting a possible mechanism for the fibrogenic effects observed in pulmonary diseases associated with fibrosis. Copyright © 2017 Elsevier B.V. All rights reserved.

  13. Omnidirectional anti-reflection properties of vertically align SiO2 nanorod films prepared by electron beam evaporation with glancing angle deposition

    NASA Astrophysics Data System (ADS)

    Prachachet, R.; Samransuksamer, B.; Horprathum, M.; Eiamchai, P.; Limwichean, S.; Chananonnawathorn, C.; Lertvanithphol, T.; Muthitamongkol, P.; Boonruang, S.; Buranasiri, P.

    2018-03-01

    Omnidirectional anti-reflection coating nanostructure film have attracted enormous attention for the developments of the optical coating, lenses, light emitting diode, display and photovoltaic. However, fabricated of the omnidirectional antireflection nanostructure film on glass substrate in large area was a challenge topic. In the past two decades, the invention of glancing angle deposition technique as a growth of well-controlled two and three-dimensional morphologies has gained significant attention because of it is simple, fast, cost-effective and high mass production capability. In this present work, the omnidirectional anti-reflection nanostructure coating namely silicon dioxide (SiO2) nanorods has been investigated for optimized high transparent layer at all light incident angle. The SiO2 nanorod films of an optimally low refractive index have been fabricated by electron beam evaporation with the glancing angle deposition technique. The morphological of the prepared sampled were characterized by field-emission scanning electron microscope (FE-SEM) and high-resolution transmission electron microscope (HRTEM). The optical transmission and omnidirectional property of the SiO2 nanorod films were investigated by UV-Vis-NIR spectrophotometer. The measurement were performed at normal incident angle and a full spectral range of 200 - 2000 nm. The angle dependent transmission measure were investigated by rotating the specimen, with incidence angle defined relative to the surface normal of the prepared samples. The morphological characterization results showed that when the glancing angle deposition technique was applied, the vertically align SiO2 nanorods with partially isolated columnar structure can be constructed due to the enhanced shadowing and limited addtom diffusion effect. The average transmission of the vertically align SiO2 nanorods were higher than the glass substrate reference sample over the visible wavelength range at all incident angle due to the

  14. Optical properties of Na2O-TiO2-SiO2 glass films prepared by the sol-gel method

    NASA Astrophysics Data System (ADS)

    Barton, Ivo; Matejec, Vlastimil; Mrazek, Jan; Predoana, Luminita; Zaharescu, Maria

    2017-12-01

    Layers based on TiO2-SiO2 systems fabricated by sol-gel method have been investigated for the preparation of planar waveguides, antireflective coatings, Bragg mirrors, etc. However, at high titania contents such materials exhibit high viscosities and tendency to phase separation. In this paper we present optical properties of films containing TiO2 which are prepared via a novel approach sol-gel on the basis of ternary Na2O-TiO2-SiO2 glasses and which can exhibit lower viscosities. Films of Na2O-TiO2-SiO2 systems were prepared from input sols mixed of silica, titania and sodium oxide sols. The silica sol was prepared from tetraethyl orthosilicate (TEOS), ethanol, hydrochloric acid and water, with a TEOS c= 2 mol/l and water/alkoxide ratio 1.75. The titania sol was mixed from titanium tetraisopropoxide (TiPr), propan-2-ol, nitric acid and water, c= 0.5 mol/l, RW= 0.42. The sodium oxide sols with c= 0.474 mol/l were prepared from sodium ethoxide and ethanol. Input sols were prepared by mixing the silica and titania sols first and then the sodium sol was added. The input sols were aged for one hour. Stable input sols were obtained. The input sols were deposited on glass and silica slides by dip-coating technique at a withdrawing speeds of 200 mm/min. Applied gel layers were thermally treated at temperatures of 450 and 900°C. Layers containing sodium oxide and titania in concentration ranges of 0-20 mol.% and 0-30 mol.% respectively have been fabricated. Optical properties of layers were determined by UV-VIS-NIR transmission and reflection spectrophotometry. Refractive indices of layers were determined by spectral ellipsometry and from transmission spectra. Optical properties were correlated with results of XRD spectroscopy, optical microscopy, and atomic force microscopy. Transparent homogenous films with a maximum refractive index of 1.61 at a wavelength of 600 nm have been obtained.

  15. Temperature-dependent field-effect carrier mobility in organic thin-film transistors with a gate SiO2 dielectric modified by H2O2 treatment

    NASA Astrophysics Data System (ADS)

    Lin, Yow-Jon; Hung, Cheng-Chun

    2018-02-01

    The effect of the modification of a gate SiO2 dielectric using an H2O2 solution on the temperature-dependent behavior of carrier transport for pentacene-based organic thin-film transistors (OTFTs) is studied. H2O2 treatment leads to the formation of Si(-OH) x (i.e., the formation of a hydroxylated layer) on the SiO2 surface that serves to reduce the SiO2 capacitance and weaken the pentacene-SiO2 interaction, thus increasing the field-effect carrier mobility ( µ) in OTFTs. The temperature-dependent behavior of carrier transport is dominated by the multiple trapping model. Note that H2O2 treatment leads to a reduction in the activation energy. The increased value of µ is also attributed to the weakening of the interactions of the charge carriers with the SiO2 dielectric that serves to reduce the activation energy.

  16. Dewetting induced Au-Ge composite nanodot evolution in SiO2

    NASA Astrophysics Data System (ADS)

    Datta, D. P.; Chettah, A.; Siva, V.; Kanjilal, D.; Sahoo, P. K.

    2018-01-01

    A composite nanostructure comprising of Au and Ge gradually evolves on SiO2 surface when a bilayer of Au and Ge is irradiated by medium keV Xe-ion beam. The morphology progresses through different stages from nucleating patches to extended islands and finally a Au-Ge composite nanodot array develops on the insulator surface. While ion energy and fluence are found to determine dimensions of the nanostructures, existence of a characteristic lateral length scale is also detected at every stage of evolution. Through morphological and compositional analysis, the observed evolution is understood as an effect of ion beam induced dewetting of Au top layer. Numerical estimation based on the unified thermal spike model using the present experimental condition demonstrates formation of molten zones around the ion track due to nuclear and electronic energy deposition in the target. Dewetting results from mass flow onto the surface driven by local melting along the ion track and combines with sputter erosion of the bilayer film to lead to composite nanodot evolution. The generality of the ion induced processes provides possible route towards metal-semiconductor hybrid nanostructure synthesis on insulator surface.

  17. Simultaneous ultra-long data retention and low power based on Ge10Sb90/SiO2 multilayer thin films

    NASA Astrophysics Data System (ADS)

    You, Haipeng; Hu, Yifeng; Zhu, Xiaoqin; Zou, Hua; Song, Sannian; Song, Zhitang

    2018-02-01

    In this article, Ge10Sb90/SiO2 multilayer thin films were prepared to improve thermal stability and data retention for phase change memory. Compared with Ge10Sb90 monolayer thin film, Ge10Sb90 (1 nm)/SiO2 (9 nm) multilayer thin film had higher crystallization temperature and resistance contrast between amorphous and crystalline states. Annealed Ge10Sb90 (1 nm)/SiO2 (9 nm) had uniform grain with the size of 15.71 nm. After annealing, the root-mean-square surface roughness for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film increased slightly from 0.45 to 0.53 nm. The amorphization time for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film (2.29 ns) is shorter than Ge2Sb2Te5 (3.56 ns). The threshold voltage of a cell based on Ge10Sb90 (1 nm)/SiO2 (9 nm) (3.57 V) was smaller than GST (4.18 V). The results indicated that Ge10Sb90/SiO2 was a promising phase change thin film with high thermal ability and low power consumption for phase change memory application.

  18. Structure and Properties of SiO x Films Prepared by Chemical Etching of Amorphous Alloy Ribbons

    NASA Astrophysics Data System (ADS)

    Fedorov, V. A.; Berezner, A. D.; Beskrovnyi, A. I.; Fursova, T. N.; Pavlikov, A. V.; Bazhenov, A. V.

    2018-04-01

    The structure and the physical properties of amorphous SiO x films prepared by chemical etching of an iron-based amorphous ribbon alloy have been studied. The neutron diffraction and also the atomicforce and electron microscopy show that the prepared visually transparent films have amorphous structure, exhibit dielectric properties, and their morphology is similar to that of opals. The samples have been studied by differential scanning calorimetry, Raman and IR spectroscopy before and after their heat treatment. It is found that annealing of the films in air at a temperature of 1273 K leads to a change in their chemical compositions: an amorphous SiO2 compound with inclusions of SiO2 nanocrystals (crystobalite) forms.

  19. Radiation-induced amorphization of Ce-doped Mg2Y8(SiO4)6O2 silicate apatite

    NASA Astrophysics Data System (ADS)

    Zhou, Jianren; Yao, Tiankai; Lian, Jie; Shen, Yiqiang; Dong, Zhili; Lu, Fengyuan

    2016-07-01

    Ce-doped Mg2Y8(SiO4)6O2 silicate apatite (Ce = 0.05 and 0.5) were irradiated with 1 MeV Kr2+ ion beam irradiation at different temperatures and their radiation response and the cation composition dependence of the radiation-induced amorphization were studied by in situ TEM. The two Ce-doped Mg2Y8(SiO4)6O2 silicate apatites are sensitive to ion beam induced amorphization with a low critical dose (0.096 dpa) at room temperature, and exhibits significantly different radiation tolerance at elevated temperatures. Ce concentration at the apatite AI site plays a critical role in determining the radiation response of this silicate apatite, in which the Ce3+ rich Mg2Y7.5Ce0.5(SiO4)6O2 displays lower amorphization susceptibility than Mg2Y7.95Ce0.05(SiO4)6O2 with a lower Ce3+ occupancy at the AI sites. The critical temperature (Tc) and activation energy (Ea) change from 667.5 ± 33 K and 0.162 eV of Mg2Y7.5Ce0.5(SiO4)6O2 to 963.6 ± 64 K and 0.206 eV of Mg2Y7.95Ce0.05(SiO4)6O2. We demonstrate that the radiation tolerance can be controlled by varying the chemical composition, and enhanced radiation tolerance is achieved by increasing the Ce concentration at the AI site.

  20. Adsorption and self-assembly of M13 phage into directionally organized structures on C and SiO2 films.

    PubMed

    Moghimian, Pouya; Srot, Vesna; Rothenstein, Dirk; Facey, Sandra J; Harnau, Ludger; Hauer, Bernhard; Bill, Joachim; van Aken, Peter A

    2014-09-30

    A versatile method for the directional assembly of M13 phage using amorphous carbon and SiO2 thin films was demonstrated. A high affinity of the M13 phage macromolecules for incorporation into aligned structures on an amorphous carbon surface was observed at the concentration range, in which the viral nanofibers tend to disorder. In contrast, the viral particles showed less freedom to adopt an aligned orientation on SiO2 films when deposited in close vicinity. Here an interpretation of the role of the carbon surface in significant enhancement of adsorption and generation of viral arrays with a high orientational order was proposed in terms of surface chemistry and competitive electrostatic interactions. This study suggests the use of amorphous carbon substrates as a template for directional organization of a closely-packed and two-dimensional M13 viral film, which can be a promising route to mineralize a variety of smooth and homogeneous inorganic nanostructure layers.

  1. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  2. Growth and luminescent properties of Lu 2SiO 5:Ce and (Lu 1- xGd x) 2SiO 5:Ce single crystalline films

    NASA Astrophysics Data System (ADS)

    Zorenko, Yu.; Gorbenko, V.; Savchyn, V.; Voznyak, T.; Grinyov, B.; Sidletskiy, O.; Kurtsev, D.; Fedorov, A.; Baumer, V.; Nikl, M.; Mares, J. A.; Beitlerova, A.; Prusa, P.; Kucera, M.

    2011-12-01

    Single crystalline films (SCF) of Lu 2SiO 5:Ce (LSO:Ce), (Lu 1- xGd x) 2SiO 5:Ce (LGSO:Ce) and LGSO:Ce,Tb orthosilicates with thickness of 2.5-21 μm were crystallized by liquid phase epitaxy method onto undoped LSO substrates from melt-solution based on PbO-B 2O 3 flux. The concentration of Gd was varied in the range of x=0.2-0.7 formula units (f.u.). In the case of LGSO:Ce SCF growth we do not use any additional doping for reducing the misfit between the SCF and substrate lattices. The luminescence and scintillation properties of LSO:Ce, LGSO:Ce and LGSO:Ce,Tb SCFs were mutually compared and confronted with the performance of reference LSO:Ce and LYSO:Ce crystals. With increasing Gd content the luminescence spectrum of LGSO:Ce SCF is gradually red-shifted with respect to that of LSO:Ce SCF. The LY of (Lu 1- xGd x)SO:Ce SCF becomes lower in comparison with that for LSO:Ce SC at increasing Gd content in the range of x=0.2-0.7 f.u. The peculiarities of luminescence properties of LSO:Ce and LGSO:Ce SCFs in comparison with crystal analogs are explained by the different distribution of Ce 3+ over Lu1 and Lu2 positions of LSO host and by the influence of Pb 2+ contamination coming from the flux used for the film growth.

  3. Reflectance modulation using SiO2/TiO2 multilayer structures prepared by sol-gel spin coating process for optical applications

    NASA Astrophysics Data System (ADS)

    Dubey, R. S.; Ganesan, V.

    2017-11-01

    Passive devices made of SiO2/TiO2 bilayers have been demanded for the molding of electromagnetic waves in optical waveguides, microcavities, solar cells, sensors and so on. Here, we present the fabrication and characterization of SiO2/TiO2 multilayer structures as reflectors. The refractive indices were found to be 1.43 & 2.0 with thicknesses 230 & 70 nm corresponding to the SiO2 and TiO2 films respectively. AFM surface topography study showed little bit large surface roughness of the TiO2 as compared to SiO2 film due to its large grain size. The corresponding reflectance enhancement was noticed with the increased number of bilayers of SiO2/TiO2 films. Furthermore, six alternate layers of SiO2/TiO2 demonstrated the as much as 78% reflectance in the near-infrared wavelength range.

  4. Electron beam induced damage in PECVD Si3N4 and SiO2 films on InP

    NASA Technical Reports Server (NTRS)

    Pantic, Dragan M.; Kapoor, Vik J.; Young, Paul G.; Williams, Wallace D.; Dickman, John E.

    1990-01-01

    Phosphorus rich plasma enhanced chemical vapor deposition (PECVD) of silicon nitride and silicon dioxide films on n-type indium phosphide (InP) substrates were exposed to electron beam irradiation in the 5 to 40 keV range for the purpose of characterizing the damage induced in the dielectic. The electron beam exposure was on the range of 10(exp -7) to 10(exp -3) C/sq cm. The damage to the devices was characterized by capacitance-voltage (C-V) measurements of the metal insulator semiconductor (MIS) capacitors. These results were compared to results obtained for radiation damage of thermal silicon dioxide on silicon (Si) MOS capacitors with similar exposures. The radiation induced damage in the PECVD silicon nitride films on InP was successfully annealed out in an hydrogen/nitrogen (H2/N2) ambient at 400 C for 15 min. The PECVD silicon dioxide films on InP had the least radiation damage, while the thermal silicon dioxide films on Si had the most radiation damage.

  5. Effect of SiO2 coating layer morphology on TiH2 gas release characteristic.

    PubMed

    Yang, Zhimao; Fang, Jixiang; Ding, Bingjun

    2005-10-15

    In this study, a uniform and compact SiO2 film-coating layer was prepared on the surface of TiH2 particles by sol-gel method using inexpensive raw materials. The preparation process of SiO2-coated TiH2 particles and the effect of the coating layer morphology on the gas release characteristic were investigated in detail. When the pH value of TiH2 suspending solution is about 4.0 and the concentration of silicic acid is more than 0.5 mol/L, the coating layer shows a SiO2 particle-coating morphology. While a homogeneous and dense film-coating layer can be obtained when the solution pH value and concentration of silicic acid are about 4.0 and 0.5 mol/L. The results of gas release at 700 degrees C show that TiH2 particles coated with silicon dioxide layers can efficiently delay the starting time of gas release of TiH2 powders to 60-100 s. Comparing the particle-coating layer, the SiO2 film-coating layer has a better delaying effect on gas release of TiH2 particles.

  6. Pressure-induced Ge coordination change in SiO2-GeO2 glasses

    NASA Astrophysics Data System (ADS)

    Majérus, O.; Cormier, L.; Itié, J.-P.; Calas, G.

    2003-04-01

    Among the parameters controlling igneous processes in Earth, the density and transport properties of silicate melts are playing a major role. These properties are strongly dependent upon pressure, in a way that can significantly differ from the crystalline phases. The study of the pressure-induced structural changes can give a further understanding of the peculiar microscopic origins of these properties in molten phases. As in silicate minerals, the coordination change IVSi towards VISi is expected to be the major transformation occurring in melts at mantle conditions, yielding amorphous phases with properties distinct to those corresponding to a tetrahedral framework. This change is predicted by molecular dynamics simulations, but experimental evidences are scarce because of difficult technical constraints. The binary SiO_2-GeO_2 system allows a further insight into the compression mechanism of a tetrahedral framework glass structure. The Ge coordination change and its composition dependence can be assessed by using XAS spectroscopy at Ge K-edge with a diamond anvil cell. In this study, we report an in situ investigation carried out on well characterized glasses of the SiO_2-GeO_2 system. Experiments were preformed on the D11 beamline which is a unique dispersive experimental setup developed at the Laboratoire pour l’Utilisation du Rayonnement Magnétique (LURE, Orsay, France). Pressures up to 25 GPa have been obtained. With increasing SiO_2 content, both Ge-O distances extracted from EXAFS data and XANES features indicate the regular increase of the pressure threshold for the Ge coordination change (from 4 in pure GeO_2 to 12 Gpa in 80 mol% SiO_2-bearing glass), which corresponds to the end of the elastic compression regime, and the achievement of the transformation on a broader pressure range as predicted in pure SiO_2. These data are compared to results on slightly depolymerised glasses of Na_2O-GeO_2 composition, where a greater variety of compression

  7. Ionic liquid versus SiO 2 gated a-IGZO thin film transistors: A direct comparison

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pudasaini, Pushpa Raj; Noh, Joo Hyon; Wong, Anthony T.

    Here, ionic liquid gated field effect transistors have been extensively studied due to their low operation voltage, ease of processing and the realization of high electric fields at low bias voltages. Here, we report ionic liquid (IL) gated thin film transistors (TFTs) based on amorphous Indium Gallium Zinc Oxide (a-IGZO) active layers and directly compare the characteristics with a standard SiO 2 gated device. The transport measurements of the top IL gated device revealed the n-channel property of the IGZO thin film with a current ON/OFF ratio ~10 5, a promising field effect mobility of 14.20 cm 2V –1s –1,more » and a threshold voltage of 0.5 V. Comparable measurements on the bottom SiO2 gate insulator revealed a current ON/OFF ratio >108, a field effect mobility of 13.89 cm 2V –1s –1 and a threshold voltage of 2.5 V. Furthermore, temperature-dependent measurements revealed that the ionic liquid electric double layer can be “frozen-in” by cooling below the glass transition temperature with an applied electrical bias. Positive and negative freezing bias locks-in the IGZO TFT “ON” and “OFF” state, respectively, which could lead to new switching and possibly non-volatile memory applications.« less

  8. Ionic liquid versus SiO 2 gated a-IGZO thin film transistors: A direct comparison

    DOE PAGES

    Pudasaini, Pushpa Raj; Noh, Joo Hyon; Wong, Anthony T.; ...

    2015-08-12

    Here, ionic liquid gated field effect transistors have been extensively studied due to their low operation voltage, ease of processing and the realization of high electric fields at low bias voltages. Here, we report ionic liquid (IL) gated thin film transistors (TFTs) based on amorphous Indium Gallium Zinc Oxide (a-IGZO) active layers and directly compare the characteristics with a standard SiO 2 gated device. The transport measurements of the top IL gated device revealed the n-channel property of the IGZO thin film with a current ON/OFF ratio ~10 5, a promising field effect mobility of 14.20 cm 2V –1s –1,more » and a threshold voltage of 0.5 V. Comparable measurements on the bottom SiO2 gate insulator revealed a current ON/OFF ratio >108, a field effect mobility of 13.89 cm 2V –1s –1 and a threshold voltage of 2.5 V. Furthermore, temperature-dependent measurements revealed that the ionic liquid electric double layer can be “frozen-in” by cooling below the glass transition temperature with an applied electrical bias. Positive and negative freezing bias locks-in the IGZO TFT “ON” and “OFF” state, respectively, which could lead to new switching and possibly non-volatile memory applications.« less

  9. Internal structure of copper(II)-phthalocyanine thin films on SiO2/Si substrates investigated by grazing incidence x-ray reflectometry

    NASA Astrophysics Data System (ADS)

    Brieva, A. C.; Jenkins, T. E.; Jones, D. G.; Strössner, F.; Evans, D. A.; Clark, G. F.

    2006-04-01

    The internal structure of copper(II)-phthalocyanine (CuPc) thin films grown on SiO2/Si by organic molecular beam deposition has been studied by grazing incidence x-ray reflectometry (GIXR) and atomic force microscopy. The electronic density profile is consistent with a structure formed by successive monolayers of molecules in the α form with the b axis lying in the substrate surface plane. The authors present an electronic density profile model of CuPc films grown on SiO2/Si. The excellent agreement between the model and experimental data allows postdeposition monitoring of the internal structure of the CuPc films with the nondestructive GIXR technique, providing a tool for accurate control of CuPc growth on silicon-based substrates. In addition, since the experiments have been carried out ex situ, they show that these structures can endure ambient conditions.

  10. Bonding Transition in SiO2 Glass at High Pressures: Applications to SiO2 Liquid in Earth's Interior

    NASA Astrophysics Data System (ADS)

    Yoo, C.; Lin, J.; Fukui, H.; Prendergast, D.; Okuchi, T.; Cai, Y.; Hiraoka, N.; Trave, A.; Eng, P.; Hu, M. Y.; Chow, P.

    2006-12-01

    SiO2 and MgSiO3 liquids are two major components in the magma deep inside the Earth. Knowledge of their electronic bonding characters at high pressures is essential to understanding the complex properties of the materials in the melts. The nature of pressure-induced bonding change in amorphous SiO2 has been an intriguing and long-standing problem that remains to be further understood. For example, previous infrared and X-ray diffraction studies suggested that a continuous transformation from the four- to six-fold coordinated silicon occurred in amorphous SiO2 at high pressures, whereas separate optical Raman studies attributed to a pressure-induced shift in the local ring statistics and a breakdown in the intermediate-range order. Here we have studied the oxygen near K-edge spectra of SiO2 glass to 51 GPa obtained using X-ray Raman scattering in a diamond-anvil cell, which directly probes the electronic bonding character of the sample. Our results provide conclusive evidence for a pressure-induced electronic bonding transition in SiO2 glass at high pressures. Although a progressive decrease in the mean Si-O-Si angle in the SiO4 tetrahedra is believed to be responsible for the irreversible densification in SiO2 glass at high pressures, our observed transition is reversible upon decompression. A similar transformation is also expected to occur in silicate glasses and melts, which will most definitely alter their physical, mechanical and transport properties in the magma chamber deep in the Earth's interior. This work was performed under the auspices of the U.S. DOE by UC/LLNL under Contract W-7405-Eng-48.

  11. Sensitizing properties of luminescence centers on the emission of Er3+ in Si-rich SiO2 film

    NASA Astrophysics Data System (ADS)

    Fu, Qianyu; Gao, Yuhan; Li, Dongsheng; Yang, Deren

    2016-05-01

    In this paper, we report on the luminescence-center (LC)-mediated excitation of Er3+ as a function of annealing temperature in Er-doped Si-rich SiO2 (SRO) films fabricated by electron beam evaporation. It is found that the annealing temperature has significant effects on the emission of Er3+ and the specific optical-active point-defects called LCs within Er-doped SRO films. Different luminescence centers generated by the evolution of microstructures during annealing process act as efficient sensitizers for Er3+ in the films when the annealing temperature is below 1100 °C. Moreover, the temperature dependence of the energy coupling between LCs and Er3+ demonstrates the effective phonon-mediated energy transfer process. In addition, when the annealing temperature reaches 1100 °C, the decreased density of activable erbium ions induced by the aggregation of Er will bring detrimental effects on the emission of Er3+. It is demonstrated that an appropriate annealing process can be designed to achieve efficiently enhanced emissions from Er3+ ions by optimizing the density of LCs and the coupling between Er3+ and LCs.

  12. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    PubMed

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  13. Fabrication of multilayered Ge nanocrystals embedded in SiO xGeN y films

    NASA Astrophysics Data System (ADS)

    Gao, Fei; Green, Martin A.; Conibeer, Gavin; Cho, Eun-Chel; Huang, Yidan; Perez-Wurfl, Ivan; Flynn, Chris

    2008-09-01

    Multilayered Ge nanocrystals embedded in SiO xGeN y films have been fabricated on Si substrate by a (Ge + SiO 2)/SiO xGeN y superlattice approach, using a rf magnetron sputtering technique with a Ge + SiO 2 composite target and subsequent thermal annealing in N 2 ambient at 750 °C for 30 min. X-ray diffraction (XRD) measurement indicated the formation of Ge nanocrystals with an average size estimated to be 5.4 nm. Raman scattering spectra showed a peak of the Ge-Ge vibrational mode downward shifted to 299.4 cm -1, which was caused by quantum confinement of phonons in the Ge nanocrystals. Transmission electron microscopy (TEM) revealed that Ge nanocrystals were confined in (Ge + SiO 2) layers. This superlattice approach significantly improved both the size uniformity of Ge nanocrystals and their uniformity of spacing on the 'Z' growth direction.

  14. Optimization of a Solution-Processed SiO2 Gate Insulator by Plasma Treatment for Zinc Oxide Thin Film Transistors.

    PubMed

    Jeong, Yesul; Pearson, Christopher; Kim, Hyun-Gwan; Park, Man-Young; Kim, Hongdoo; Do, Lee-Mi; Petty, Michael C

    2016-01-27

    We report on the optimization of the plasma treatment conditions for a solution-processed silicon dioxide gate insulator for application in zinc oxide thin film transistors (TFTs). The SiO2 layer was formed by spin coating a perhydropolysilazane (PHPS) precursor. This thin film was subsequently thermally annealed, followed by exposure to an oxygen plasma, to form an insulating (leakage current density of ∼10(-7) A/cm(2)) SiO2 layer. Optimized ZnO TFTs (40 W plasma treatment of the gate insulator for 10 s) possessed a carrier mobility of 3.2 cm(2)/(V s), an on/off ratio of ∼10(7), a threshold voltage of -1.3 V, and a subthreshold swing of 0.2 V/decade. In addition, long-term exposure (150 min) of the pre-annealed PHPS to the oxygen plasma enabled the maximum processing temperature to be reduced from 180 to 150 °C. The resulting ZnO TFT exhibited a carrier mobility of 1.3 cm(2)/(V s) and on/off ratio of ∼10(7).

  15. Transfer-free, lithography-free, and micrometer-precision patterning of CVD graphene on SiO2 toward all-carbon electronics

    NASA Astrophysics Data System (ADS)

    Dong, Yibo; Xie, Yiyang; Xu, Chen; Li, Xuejian; Deng, Jun; Fan, Xing; Pan, Guanzhong; Wang, Qiuhua; Xiong, Fangzhu; Fu, Yafei; Sun, Jie

    2018-02-01

    A method of producing large area continuous graphene directly on SiO2 by chemical vapor deposition is systematically developed. Cu thin film catalysts are sputtered onto the SiO2 and pre-patterned. During graphene deposition, high temperature induces evaporation and balling of the Cu, and the graphene "lands onto" SiO2. Due to the high heating and growth rate, continuous graphene is largely completed before the Cu evaporation and balling. 60 nm is identified as the optimal thickness of the Cu for a successful graphene growth and μm-large feature size in the graphene. An all-carbon device is demonstrated based on this technique.

  16. Performance and Stability Enhancement of In-Sn-Zn-O TFTs Using SiO2 Gate Dielectrics Grown by Low Temperature Atomic Layer Deposition.

    PubMed

    Sheng, Jiazhen; Han, Ju-Hwan; Choi, Wan-Ho; Park, Jozeph; Park, Jin-Seong

    2017-12-13

    Silicon dioxide (SiO 2 ) films were synthesized by plasma-enhanced atomic layer deposition (PEALD) using BTBAS [bis(tertiarybutylamino) silane] as the precursor and O 2 plasma as the reactant, at a temperature range from 50 to 200 °C. While dielectric constant values larger than 3.7 are obtained at all deposition temperatures, the leakage current levels are drastically reduced to below 10 -12 A at temperatures above 150 °C, which are similar to those obtained in thermally oxidized and PECVD grown SiO 2 . Thin film transistors (TFTs) based on In-Sn-Zn-O (ITZO) semiconductors were fabricated using thermal SiO 2 , PECVD SiO 2 , and PEALD SiO 2 grown at 150 °C as the gate dielectrics, and superior device performance and stability are observed in the last case. A linear field effect mobility of 68.5 cm 2 /(V s) and a net threshold voltage shift (ΔV th ) of approximately 1.2 V under positive bias stress (PBS) are obtained using the PEALD SiO 2 as the gate insulator. The relatively high concentration of hydrogen in the PEALD SiO 2 is suggested to induce a high carrier density in the ITZO layer deposited onto it, which results in enhanced charge transport properties. Also, it is most likely that the hydrogen atoms have passivated the electron traps related to interstitial oxygen defects, thus resulting in improved stability under PBS. Although the PECVD SiO 2 contains a hydrogen concentration similar to that of PEALD SiO 2 , its relatively large surface roughness appears to induce scattering effects and the generation of electron traps, which result in inferior device performance and stability.

  17. Optical and Structural Properties of Si Nanocrystals in SiO2 Films.

    PubMed

    Nikitin, Timur; Khriachtchev, Leonid

    2015-04-22

    Optical and structural properties of Si nanocrystals (Si-nc) in silica films are described. For the SiOx (x < 2) films annealed above 1000 °C, the Raman signal of Si-nc and the absorption coefficient are proportional to the amount of elemental Si detected by X-ray photoelectron spectroscopy. A good agreement is found between the measured refractive index and the value estimated by using the effective-medium approximation. The extinction coefficient of elemental Si is found to be between the values of crystalline and amorphous Si. Thermal annealing increases the degree of Si crystallization; however, the crystallization and the Si-SiO2 phase separation are not complete after annealing at 1200 °C. The 1.5-eV PL quantum yield increases as the amount of elemental Si decreases; thus, this PL is probably not directly from Si-nc responsible for absorption and detected by Raman spectroscopy. Continuous-wave laser light can produce very high temperatures in the free-standing films, which changes their structural and optical properties. For relatively large laser spots, the center of the laser-annealed area is very transparent and consists of amorphous SiO2. Large Si-nc (up to ∼300 nm in diameter) are observed in the ring around the central region. These Si-nc lead to high absorption and they are typically under compressive stress, which is connected with their formation from the liquid phase. By using strongly focused laser beams, the structural changes in the free-standing films can be made in submicron areas.

  18. Optical and Structural Properties of Si Nanocrystals in SiO2 Films

    PubMed Central

    Nikitin, Timur; Khriachtchev, Leonid

    2015-01-01

    Optical and structural properties of Si nanocrystals (Si-nc) in silica films are described. For the SiOx (x < 2) films annealed above 1000 °C, the Raman signal of Si-nc and the absorption coefficient are proportional to the amount of elemental Si detected by X-ray photoelectron spectroscopy. A good agreement is found between the measured refractive index and the value estimated by using the effective-medium approximation. The extinction coefficient of elemental Si is found to be between the values of crystalline and amorphous Si. Thermal annealing increases the degree of Si crystallization; however, the crystallization and the Si–SiO2 phase separation are not complete after annealing at 1200 °C. The 1.5-eV PL quantum yield increases as the amount of elemental Si decreases; thus, this PL is probably not directly from Si-nc responsible for absorption and detected by Raman spectroscopy. Continuous-wave laser light can produce very high temperatures in the free-standing films, which changes their structural and optical properties. For relatively large laser spots, the center of the laser-annealed area is very transparent and consists of amorphous SiO2. Large Si-nc (up to ~300 nm in diameter) are observed in the ring around the central region. These Si-nc lead to high absorption and they are typically under compressive stress, which is connected with their formation from the liquid phase. By using strongly focused laser beams, the structural changes in the free-standing films can be made in submicron areas. PMID:28347028

  19. Vacuum ultraviolet thin films. I - Optical constants of BaF2, CaF2, LaF3, MgF2, Al2O3, HfO2, and SiO2 thin films. II - Vacuum ultraviolet all-dielectric narrowband filters

    NASA Technical Reports Server (NTRS)

    Zukic, Muamer; Torr, Douglas G.; Spann, James F.; Torr, Marsha R.

    1990-01-01

    An iteration process matching calculated and measured reflectance and transmittance values in the 120-230 nm VUV region is presently used to ascertain the optical constants of bulk MgF2, as well as films of BaF2, CaF2, LaF3, MgF2, Al2O3, HfO2, and SiO2 deposited on MgF2 substrates. In the second part of this work, a design concept is demonstrated for two filters, employing rapidly changing extinction coefficients, centered at 135 nm for BaF2 and 141 nm for SiO2. These filters are shown to yield excellent narrowband spectral performance in combination with narrowband reflection filters.

  20. Fabrication of high performance thin-film transistors via pressure-induced nucleation.

    PubMed

    Kang, Myung-Koo; Kim, Si Joon; Kim, Hyun Jae

    2014-10-31

    We report a method to improve the performance of polycrystalline Si (poly-Si) thin-film transistors (TFTs) via pressure-induced nucleation (PIN). During the PIN process, spatial variation in the local solidification temperature occurs because of a non-uniform pressure distribution during laser irradiation of the amorphous Si layer, which is capped with an SiO2 layer. This leads to a four-fold increase in the grain size of the poly-Si thin-films formed using the PIN process, compared with those formed using conventional excimer laser annealing. We find that thin films with optimal electrical properties can be achieved with a reduction in the number of laser irradiations from 20 to 6, as well as the preservation of the interface between the poly-Si and the SiO2 gate insulator. This interface preservation becomes possible to remove the cleaning process prior to gate insulator deposition, and we report devices with a field-effect mobility greater than 160 cm(2)/Vs.

  1. Deposition of tetracene thin films on SiO2/Si substrates by rapid expansion of supercritical solutions using carbon dioxide

    NASA Astrophysics Data System (ADS)

    Fujii, Tatsuya; Takahashi, Yuta; Uchida, Hirohisa

    2015-03-01

    We report on a novel deposition technique of tetracene (naphthacene) thin films on SiO2/Si substrates by rapid expansion of supercritical solutions (RESS) using CO2. Optical microscopy and scanning electron microscopy show that the thin films consist of a high density of submicron-sized grains. The growth mode of the grains followed the Volmer-Weber mode. X-ray diffraction shows that the thin films have regularly arranged structures in both the horizontal and vertical directions of the substrate. A fabricated top-contacted organic thin-film transistor with the tetracene active layer showed p-type transistor characteristics with a field-effect mobility of 5.1 × 10-4 cm2 V-1 s-1.

  2. Comparative study of GeO 2/Ge and SiO 2/Si structures on anomalous charging of oxide films upon water adsorption revealed by ambient-pressure X-ray photoelectron spectroscopy

    DOE PAGES

    Mori, Daichi; Oka, Hiroshi; Hosoi, Takuji; ...

    2016-09-02

    The energy difference between the oxide and bulk peaks in X-ray photoelectron spectroscopy (XPS) spectra was investigated in this paper for both GeO 2/Ge and SiO 2/Si structures with thickness-controlled water films. This was achieved by obtaining XPS spectra at various values of relative humidity (RH) of up to ~15%. The increase in the energy shift is more significant for thermal GeO 2 on Ge than for thermal SiO 2 on Si above ~10 -4% RH, which is due to the larger amount of water molecules that infiltrate into the GeO 2 film to form hydroxyls. Analyzing the origins ofmore » this energy shift, we propose that the positive charging of a partially hydroxylated GeO 2 film, which is unrelated to X-ray irradiation, causes the larger energy shift for GeO 2/Ge than for SiO 2/Si. A possible microscopic mechanism of this intrinsic positive charging is the emission of electrons from adsorbed water species in the suboxide layer of the GeO 2 film to the Ge bulk, leaving immobile cations or positively charged states in the oxide. Finally, this may be related to the reported negative shift of flat band voltages in metal-oxide-semiconductor diodes with an air-exposed GeO 2 layer.« less

  3. Influence of annealing temperature on structural and magnetic properties of pulsed laser-deposited YIG films on SiO2 substrate

    NASA Astrophysics Data System (ADS)

    Nag, Jadupati; Ray, Nirat

    2018-05-01

    Yttrium Iron Garnet (Y3Fe5O12) was synthesized by solid state/ceramic process. Thin films of YIG were deposited on SiO2 substrate at room temperature(RT) and at substrate temperature (Ts) 700 °C using pulsed laser deposition (PLD) technique. RT deposited thin films are amorphous in nature and non-magnetic. After annealing at temperature 800 ° RT deposited thin films showed X-ray peaks as well as the magnetic order. Magnetic ordering is enhanced by annealing temperature(Ta ≥ 750 °C) and resulted good quality of films with high magnetization value.

  4. Influence of CO annealing in metal-oxide-semiconductor capacitors with SiO2 films thermally grown on Si and on SiC

    NASA Astrophysics Data System (ADS)

    Pitthan, E.; dos Reis, R.; Corrêa, S. A.; Schmeisser, D.; Boudinov, H. I.; Stedile, F. C.

    2016-01-01

    Understanding the influence of SiC reaction with CO, a by-product of SiC thermal oxidation, is a key point to elucidate the origin of electrical defects in SiC metal-oxide-semiconductor (MOS) devices. In this work, the effects on electrical, structural, and chemical properties of SiO2/Si and SiO2/SiC structures submitted to CO annealing were investigated. It was observed that long annealing times resulted in the incorporation of carbon from CO in the Si substrate, followed by deterioration of the SiO2/Si interface, and its crystallization as SiC. Besides, this incorporated carbon remained in the Si surface (previous SiO2/Si region) after removal of the silicon dioxide film by HF etching. In the SiC case, an even more defective surface region was observed due to the CO interaction. All MOS capacitors formed using both semiconductor materials presented higher leakage current and generation of positive effective charge after CO annealings. Such results suggest that the negative fixed charge, typically observed in SiO2/SiC structures, is not originated from the interaction of the CO by-product, formed during SiC oxidation, with the SiO2/SiC interfacial region.

  5. Desorption induced by electronic transitions of Na from SiO2: relevance to tenuous planetary atmospheres.

    NASA Astrophysics Data System (ADS)

    Yakshinskiy, B. V.; Madey, T. E.

    2000-04-01

    The authors have studied the desorption induced by electronic transitions (DIET) of Na adsorbed on model mineral surfaces, i.e. amorphous, stoichiometric SiO2 films. They find that electron stimulated desorption (ESD) of atomic Na occurs for electron energy thresholds as low as ≡4 eV, that desorption cross-sections are high (≡1×10-19cm2 at 11 eV), and that desorbing atoms are 'hot', with suprathermal velocities. The estimated Na desorption rate from the lunar surface via ESD by solar wind electrons is a small fraction of the rate needed to sustain the Na atmosphere. However, the solar photon flux at energies ≥5 eV exceeds the solar wind electron flux by orders of magnitude; there are sufficient ultraviolet photons incident on the lunar surface to contribute substantially to the lunar Na atmosphere via PSD of Na from the surface.

  6. Near-infrared luminescent and antireflective in SiO2/YVO4:Yb3+ bilayer films for c-Si solar cells

    NASA Astrophysics Data System (ADS)

    Peng, Yingjie; Liu, Jie; Zhang, Kun; Luo, Hui; Li, Jihong; Xu, Bo; Han, Lixian; Li, Xiaojuan; Yu, Xibin

    2011-09-01

    We demonstrate a facile approach for the architecture of a multifunctional bilayer thin films which show both antireflection and near-infrared (NIR) luminescence. NIR luminescence YVO4:Yb3+ transparent film and nanoporous SiO2 film were successively built on slide glass. Intense NIR emission around 900-1100 nm has been obtained, which is assigned to the electronic transition 2F7/22F5/2 of Yb3+, meanwhile, the maximum transmittance reached as high as ˜95%, whereas that of the glass substrate is ˜91%. It is the sought candidate material for c-Si solar cell by downconversion of UV light to NIR photons and increasing photon transmission.

  7. Controlled thickness and dielectric constant titanium-doped SiO2 thin films on silicon by sol gel process

    NASA Astrophysics Data System (ADS)

    Liu, H. L.; Wang, S. S.; Zhou, Yan; Lam, Yee Loy; Chan, Yuen Chuen; Kam, Chan Hin

    1997-08-01

    In this paper, we report the preparation of crack-free relatively thick SiO2-TiO2 thin films on silicon substrates using the sol-gel spin-coating method. The influence of the process parameters on the quality of the film, such as the solution condition, the spin-coating speed, the heat treatment temperature and time, have been studied. We found that the cracking of the film could be avoided by selecting the right sol composition ratios, adding PVA to the sold and properly controlling the heat treatment. Most importantly, we discovered that by polishing the edges of the film after the deposition of each single layer, the number of such layers that deposited without crack formation could be substantially increased. The refractive index profile and thickness of the film have been determined using prism coupling technique and the inverse WKB method. The refractive index was found to depend on the content of TiO2 as well as the heat treatment condition. Using an AFM, the surface morphology of the film was found to be good.

  8. Effect of Adding SiO2-Al2O3 Sol into Anodizing Bath on Corrosion Resistance of Oxidation Film on Magnesium Alloy

    NASA Astrophysics Data System (ADS)

    Liu, Huicong; Zhu, Liqun; Li, Weiping

    Due to the widely use in automobile and construction field, AZ91D magnesium alloy need to be protected more effectively for its high chemical activity. In this paper, three kinds of films were formed on magnesium alloy. The first kind of film, named as anodic oxidation film, was prepared by anodic oxidation in the alkaline solution. The processes for preparing the second kind of film, named as multiple film, involved coating sol-gel on the samples and heat-treating before anodic oxidation. The third kind of film was prepared by anodic oxidation in the alkaline oxidation solution containning 5% (vol) SiO2-Al2O3 sol, named as modified oxidation film. The corrosion resistance of the three different films was investigated. The results showed that the modified oxidation film had the highest corrosion resistance due to the largest thickness and most dense surface morphology. Sol was discussed to react during the film forming process, which leaded to the difference between modified oxidation film and anodic oxidation film.

  9. Effect of annealing induced residual stress on the resonance frequency of SiO2 microcantilevers

    NASA Astrophysics Data System (ADS)

    Balasubramanian, S.; Prabakar, K.; Tripura Sundari, S.

    2018-04-01

    In the present work, effect of residual stress, induced due to annealing of SiO2 microcantilevers (MCs) on their resonance frequency is studied. SiO2MCs of various dimensions were fabricated using direct laser writer & wet chemical etching method and were annealed at 800 °C in oxygen environment, post release. The residual stress was estimated from the deflection profile of the MCs measured using 3D optical microscope, before and after annealing. Resonance frequency of the MCs was measured using nano-vibration analyzer and was found to change after annealing. Further the frequency shift was found to depend on the MC dimensions. This is attributed to the large stress gradients induced by annealing and associated stiffness changes.

  10. TiO2-BASED Composite Films for the Photodegradation of Oxytetracycline

    NASA Astrophysics Data System (ADS)

    Li, Hui; Guan, Ling-Xiao; Feng, Ji-Jun; Li, Fang; Yao, Ming-Ming

    2015-02-01

    The spread of the antibiotic oxytetracycline (OTC) has been thought as a threat to the safety of drinking water. In this paper, the photocatalytic activity of the nanocrystalline Fe/Ca co-doped TiO2-SiO2 composite film for the degradation of OTC was studied. The films were characterized by field emission scanning electron microscopy (FE-SEM) equipped with energy-dispersive spectroscopy (EDS), N2 adsorption/desorption isotherms, photoluminescence (PL) spectra, and UV-Vis diffraction reflectance absorption spectra (DRS). The FE-SEM results indicated that the Fe/Ca co-doped TiO2-SiO2 film was composed of smaller nanoparticles compared to pure TiO2 or TiO2-SiO2 film. The BET surface area results showed that the specific surface area of the pure TiO2, TiO2-SiO2 and Ca2+/Fe3+ co-doped TiO2-SiO2 is 118.3 m2g-1, 294.3 m2g-1 and 393.7 m2g-1, respectively. The DRS and PL spectra revealed that the Fe/Ca co-doped TiO2-SiO2 film had strong visible light adsorption and diminished electrons/holes recombination. Experimental results showed that the Fe/Ca co-doped TiO2-SiO2 film is effective in the degradation of OTC under both UV and visible light irradiation.

  11. Space electric field concentrated effect for Zr:SiO2 RRAM devices using porous SiO2 buffer layer

    PubMed Central

    2013-01-01

    To improve the operation current lowing of the Zr:SiO2 RRAM devices, a space electric field concentrated effect established by the porous SiO2 buffer layer was investigated and found in this study. The resistive switching properties of the low-resistance state (LRS) and high-resistance state (HRS) in resistive random access memory (RRAM) devices for the single-layer Zr:SiO2 and bilayer Zr:SiO2/porous SiO2 thin films were analyzed and discussed. In addition, the original space charge limited current (SCLC) conduction mechanism in LRS and HRS of the RRAM devices using bilayer Zr:SiO2/porous SiO2 thin films was found. Finally, a space electric field concentrated effect in the bilayer Zr:SiO2/porous SiO2 RRAM devices was also explained and verified by the COMSOL Multiphysics simulation model. PMID:24330524

  12. Improved light-extraction efficiency from organic light-emitting diodes using hazy SiO2 thin films created by using an aerosol-deposition method

    NASA Astrophysics Data System (ADS)

    Moon, Byung Seuk; Lee, Soo-Hyoung; Huh, Yoon Ho; Kwon, O. Eun; Park, Byoungchoo; Lee, Bumjoo; Lee, Seung-Hyun; Hwang, Inchan

    2015-04-01

    We herein report an investigation of the effect of rough thin films of SiO2 granules deposited on glass substrates of organic light-emitting devices (OLEDs) by using a simple, low-cost and scalable process based on a powder spray of SiO2 granules in vacuum, known as the aerosol-deposition method, with regard to their external light-extraction capabilities. The rough and hazy thin SiO2 films produced by using aerosol-deposition and acting as scattering centers were able to efficiently reduce the light-trapping loss in the glass substrate (glass mode) for internally-generated photons and to enhance the external quantum efficiency (EQE) of the OLEDs. Based on aerosol-deposited silica films with a thickness of 800 nm and a haze of 22% on glass substrates, the EQE of phosphorescent green OLEDs was found to be enhanced by 17%, from an EQE of 7.0% for smooth bare glass substrates to an EQE of 8.2%. Furthermore, the EQEs of fluorescent blue and phosphorescent red OLEDs were shown to be enhanced by 16%, from an EQE of 3.7% to 4.3%, and by 16%, from an EQE of 9.3% to 10.8%, respectively. These improvements in the EQEs without serious changes in the emission spectra or the Lambertian emitter property clearly indicate the high potential of the aerosol-deposition technique for the realization of highly-efficient light extraction in colorful OLED lighting.

  13. Interaction of tetraethoxysilane with OH-terminated SiO2 (0 0 1) surface: A first principles study

    NASA Astrophysics Data System (ADS)

    Deng, Xiaodi; Song, Yixu; Li, Jinchun; Pu, Yikang

    2014-06-01

    First principles calculates have been performed to investigate the surface reaction mechanism of tetraethoxysilane (TEOS) with fully hydroxylated SiO2(0 0 1) substrate. In semiconductor industry, this is the key step to understand and control the SiO2 film growth in chemical vapor deposition (CVD) and atomic layer deposition (ALD) processes. During the calculation, we proposed a model which breaks the surface dissociative chemisorption into two steps and we calculated the activation barriers and thermochemical energies for each step. Our calculation result for step one shows that the first half reaction is thermodynamically favorable. For the second half reaction, we systematically studied the two potential reaction pathways. The comparing result indicates that the pathway which is more energetically favorable will lead to formation of crystalline SiO2 films while the other will lead to formation of disordered SiO2 films.

  14. SiO2 and TiO2 nanoparticles synergistically trigger macrophage inflammatory responses.

    PubMed

    Tsugita, Misato; Morimoto, Nobuyuki; Nakayama, Masafumi

    2017-04-11

    Silicon dioxide (SiO 2 ) nanoparticles (NPs) and titanium dioxide (TiO 2 ) NPs are the most widely used inorganic nanomaterials. Although the individual toxicities of SiO 2 and TiO 2 NPs have been extensively studied, the combined toxicity of these NPs is much less understood. In this study, we observed unexpected and drastic activation of the caspase-1 inflammasome and production of IL-1β in mouse bone marrow-derived macrophages stimulated simultaneously with SiO 2 and TiO 2 NPs at concentrations at which these NPs individually do not cause macrophage activation. Consistent with this, marked lung inflammation was observed in mice treated intratracheally with both SiO 2 and TiO 2 NPs. In macrophages, SiO 2 NPs localized in lysosomes and TiO 2 NPs did not; while only TiO 2 NPs produced ROS, suggesting that these NPs induce distinct cellular damage leading to caspase-1 inflammasome activation. Intriguingly, dynamic light scattering measurements revealed that, although individual SiO 2 and TiO 2 NPs immediately aggregated to be micrometer size, the mixture of these NPs formed a stable and relatively monodisperse complex with a size of ~250 nm in the presence of divalent cations. Taken together, these results suggest that SiO 2 and TiO 2 NPs synergistically induce macrophage inflammatory responses and subsequent lung inflammation. Thus, we propose that it is important to assess the synergistic toxicity of various combinations of nanomaterials.

  15. Significantly improved dielectric performances of nanocomposites via loading two-dimensional core-shell structure Bi2Te3@SiO2 nanosheets

    NASA Astrophysics Data System (ADS)

    Chen, Jianwen; Wang, Xiucai; Yu, Xinmei; Fan, Yun; Duan, Zhikui; Jiang, Yewen; Yang, Faquan; Zhou, Yuexia

    2018-07-01

    Polymer/semiconductor-insulator nanocomposites can display high dielectric constants with a relatively low dissipation factor under low electric fields, and thus seem to promising for high energy density capacitors. Here, a novel nanocomposite films is developed by loading two-dimensional (2D) core-shell structure Bi2Te3@SiO2 nanosheets in the poly (vinylidene fluoride-hexafluoro propylene) (P(VDF-HFP)) polymer matrix. The 2D Bi2Te3 nanosheets were prepared through simple microwave-assisted method. The experimental results suggesting that the SiO2 shell layer between the fillers and polymer matrix could effectively improve the dielectric constant, dielectric loss, AC conductivity, and breakdown strength of composites films. The composite films load with 10 vol.% 2D Bi2Te3@SiO2 nanosheets exhibits a high dielectric constant of 70.3 at 1 kHz and relatively low dielectric loss of 0.058 at 1 kHz. The finite element simulation of electric field and electric current density distribution revealed that the SiO2 shell layer between the fillers and polymer matrix could effectively improve the energy loss, local electric field strength, and breakdown strength of composite films. Therefore, this work will provide a promising route to achieve high-performance capacitors.

  16. Influences of the residual argon gas and thermal annealing on Ta2O5 and SiO2 thin film filters

    NASA Astrophysics Data System (ADS)

    Liu, Wen-Jen; Chen, Chih-Min; Lai, Yin-Chieh

    2005-04-01

    Ion beam assisted deposition (IBAD) technique had widely used for improving stacking density and atomic mobility of thin films in many applications, especially adopted in optical film industries. Tantalum pentaoxide (Ta2O5) and silicon oxides (SiO2) optical thin films were deposited on the quartz glass substrate by using argon ion beam assisted deposition, and the influences of the residual argon gas and thermal annealing processes on the optical property, stress, compositional and microstructure evolution of the thin films were investigated in this study. Ta2O5 thin films were analyzed by XPS indicated that the ratio value of oxygen to tantalum was insufficient, at the same time, the residual argon gas in the thin films might result in film and device instabilities. Adopting oxygen-thermal annealing treatment at the temperature of 425°C, the thin films not only decreased the residual argon gas and the surface roughness, but also provided the sufficient stoichiometric ratio. Simultaneously, microstructure examination indicated few nano-crystallized structures and voids existed in Ta2O5 thin films, and possessed reasonable refractive index and lower extinction coefficient. By the way, we also suggested the IBAD system using the film compositional gas ion beam to replace the argon ion beam for assisting deposited optical films. The designed (HL)6H6LH(LH)6 multi-layers indicated higher insertion loss than the designed (HL)68H(LH)6 multi-layers. Therefore, using the high refractive index as spacer material represented lower insertion loss.

  17. Solution-Processed VO2-SiO2 Composite Films with Simultaneously Enhanced Luminous Transmittance, Solar Modulation Ability and Anti-Oxidation property

    PubMed Central

    Zhao, Lili; Miao, Lei; Liu, Chengyan; Li, Chao; Asaka, Toru; Kang, Yipu; Iwamoto, Yuji; Tanemura, Sakae; Gu, Hui; Su, Huirong

    2014-01-01

    Recently, researchers spare no efforts to fabricate desirable vanadium dioxide (VO2) film which provides simultaneously high luminous transmittance and outstanding solar modulation ability, yet progress towards the optimization of one aspect always comes at the expense of the other. Our research devotes to finding a reproducible economic solution-processed strategy for fabricating VO2-SiO2 composite films, with the aim of boosting the performance of both aspects. Compare to VO2 film, an improvement of 18.9% (from 29.6% to 48.5%) in the luminous transmittance as well as an increase of 6.0% (from 9.7% to 15.7%) in solar modulation efficiency is achieved when the molar ratio of Si/V attains 0.8. Based on the effective medium theory, we simulate the optical spectra of the composite films and the best thermochromic property is obtained when the filling factor attains 0.5, which is consistent with the experimental results. Meanwhile, the improvement of chemical stability for the composite film against oxidation has been confirmed. Tungsten is introduced to reduce the phase transition temperature to the ambient temperature, while maintain the thermochromism required for application as smart window. Our research set forth a new avenue in promoting practical applications of VO2-based thermochromic fenestration. PMID:25384345

  18. Solution-Processed VO2-SiO2 Composite Films with Simultaneously Enhanced Luminous Transmittance, Solar Modulation Ability and Anti-Oxidation property

    NASA Astrophysics Data System (ADS)

    Zhao, Lili; Miao, Lei; Liu, Chengyan; Li, Chao; Asaka, Toru; Kang, Yipu; Iwamoto, Yuji; Tanemura, Sakae; Gu, Hui; Su, Huirong

    2014-11-01

    Recently, researchers spare no efforts to fabricate desirable vanadium dioxide (VO2) film which provides simultaneously high luminous transmittance and outstanding solar modulation ability, yet progress towards the optimization of one aspect always comes at the expense of the other. Our research devotes to finding a reproducible economic solution-processed strategy for fabricating VO2-SiO2 composite films, with the aim of boosting the performance of both aspects. Compare to VO2 film, an improvement of 18.9% (from 29.6% to 48.5%) in the luminous transmittance as well as an increase of 6.0% (from 9.7% to 15.7%) in solar modulation efficiency is achieved when the molar ratio of Si/V attains 0.8. Based on the effective medium theory, we simulate the optical spectra of the composite films and the best thermochromic property is obtained when the filling factor attains 0.5, which is consistent with the experimental results. Meanwhile, the improvement of chemical stability for the composite film against oxidation has been confirmed. Tungsten is introduced to reduce the phase transition temperature to the ambient temperature, while maintain the thermochromism required for application as smart window. Our research set forth a new avenue in promoting practical applications of VO2-based thermochromic fenestration.

  19. Optical transmission larger than 1 (T>1) through ZnS -SiO2/AgOx/ZnS-SiO2 sandwiched thin films

    NASA Astrophysics Data System (ADS)

    Wei, Jingsong; Xiao, Mufei

    2006-09-01

    Optical transmission through flat media should be smaller than 1. However, we have observed optical transmission up to T =1.18. The samples were ZnS -SiO2/AgOx/ZnS-SiO2 sandwiched thin films on glass substrate. The supertransmission could only be observed in the near field. We attribute the supertransmission to the lateral propagation relayed by the laser activated and decomposed Ag nanoparticles.

  20. Preparation and Characterization of PbO-SrO-Na2O-Nb2O5-SiO2 Glass Ceramics Thin Film for High-Energy Storage Application

    NASA Astrophysics Data System (ADS)

    Tan, Feihu; Zhang, Qingmeng; Zhao, Hongbin; Wei, Feng; Du, Jun

    2018-03-01

    PbO-SrO-Na2O-Nb2O5-SiO2 (PSNNS) glass ceramic thin films were prepared by pulsed laser deposition technology on heavily doped silicon substrates. The influence of annealing temperatures on microstructures, dielectric properties and energy storage performances of the as-prepared films were investigated in detail. X-ray diffraction studies indicate that Pb2Nb2O7 crystallizes at 800°C and disappears at 900°C, while NaNbO3 and PbNb2O6 are formed at the higher temperature of 900°C. The dielectric properties of the glass ceramics thin films have a strong dependence on the phase assemblages that are developed during heat treatment. The maximum dielectric constant value of 171 was obtained for the film annealed at 800°C, owing to the high electric breakdown field strength, The energy storage densities of the PSNNS films annealed at 800°C were as large as 36.9 J/cm3, These results suggest that PSNNS thin films are promising for energy storage applications.

  1. Diffusion reaction of oxygen in HfO2/SiO2/Si stacks.

    PubMed

    Ferrari, S; Fanciulli, M

    2006-08-03

    We study the oxidation mechanism of silicon in the presence of a thin HfO2 layer. We performed a set of annealing in 18O2 atmosphere on HfO2/SiO2/Si stacks observing the 18O distribution in the SiO2 layer with time-of-flight secondary ion mass spectrometry (ToF-SIMS). The 18O distribution in HfO2/SiO2/Si stacks upon 18O2 annealing suggests that what is responsible for SiO2 growth is the molecular O2, whereas no contribution is found of the atomic oxygen to the oxidation. By studying the dependence of the oxidation velocity from oxygen partial pressure and annealing temperature, we demonstrate that the rate-determining step of the oxidation is the oxygen exchange at the HfO2/SiO2 interface. When moisture is chemisorbed in HfO2 films, the oxidation of the underlying silicon substrate becomes extremely fast and its kinetics can be described as a wet silicon oxidation process. The silicon oxidation during O2 annealing of the atomic layer deposited HfO2/Si is fast in its early stage due to chemisorbed moisture and becomes slow after the first 10 s.

  2. [Modification and luminescence properties of transparent Lu2SiO5 : Ce3+ thin-film phosphors].

    PubMed

    Fan, Yang-Yang; Liu, Xiao-Lin; Gu, Mu; Ni, Chen; Huang, Shi-Ming; Liu, Bo

    2011-02-01

    To achieve high-spatial-resolution for X-ray imaging and flat panel display, transparent thin-film phosphors have been attracted much attention in recent years. In comparison with conventional powder phosphors, the transparent thin-film phosphors have some outstanding advantages such as high contrast and resolution, superior thermal conductivity and better adhesion. Cerium-doped lutetium oxyorthosilicate Lu2 SiO5 (LSO) is one promising candidate due to its high density (7.4 g x cm(-3)), high light yield (27 300 photons x MeV(-1)), short decay time (40 ns), and excellent chemical stability. The sol-gel method is one of the most important techniques for deposition of functional thin films, because it possesses a number of advantages over conventional film formation techniques, such as low processing temperature, easy coating of large surfaces, homogenous multicomponent films, and cheap equipments. In X-ray imaging application, the thickness of the thin-film phosphor is the most important factor, which can increase X-ray absorption of the film and then strengthen its luminescence intensity. In the present work, transparent LSO : Ce film was successfully prepared using sol-gel method and spin-coating technique by using inorganic salts as raw materials, 2-methoxyethanol as solvent, and poly (ethylene glycol) (PEG) as modifier without inert atmosphere. The effect of PEG on the luminescence properties of the film was investigated in detail. The results indicated that PEG200 played an important role in the formation of LSO : Ce film, improving its quality and luminescent intensity. The film thickness of 0.9 microm was achieved after 5 times of coating. The luminescence properties of the film were studied. Their performances were good, which implied that the film would have promising applications in high-spatial-resolution X-ray imaging and flat panel display devices.

  3. Fabrication and characterization of TiO2/SiO2 based Bragg reflectors for light trapping applications

    NASA Astrophysics Data System (ADS)

    Dubey, R. S.; Ganesan, V.

    Distributed Bragg reflectors (DBRs) have received an intensive attention due to their increasing demand in optoelectronic and photonic devices. Such reflectors are capable to prohibit the light propagation within the specified wavelength range of interest. In this paper, we present the fabrication of TiO2/SiO2 stacks based Bragg reflectors by using a simple and in-expensive sol-gel spin coating technique. The prepared single-layer thin films of TiO2 and SiO2 onto glass substrates were characterized for their optical constants. By tuning the process parameters, one-seven DBR stacks of TiO2/SiO2 were prepared. The corresponding shift of the Bragg reflection peak was observed with the increased number of DBR stacks and as much as about 90% reflectance is observed from the 7DBR stacks. The experimentally measured reflectance was compared with the simulated one, which showed good in agreement. FESEM measurement has confirmed the formation of bright and dark strips of TiO2 and SiO2 films with their thicknesses 80 and 115 nm respectively. The simulation study was explored to a design of thin film silicon solar cell using 7DBR stacks. An enhancement in light absorption in the visible wavelength range is observed which coincides with the experimental result of the reflectance. The use of DBR at the bottom of the solar cell could felicitate the better light harvesting with the occurrence of Fabry-Perot resonances in the absorbing layer.

  4. Y1Ba2Cu3O(6+delta) growth on thin Y-enhanced SiO2 buffer layers on silicon

    NASA Technical Reports Server (NTRS)

    Robin, T.; Mesarwi, A.; Wu, N. J.; Fan, W. C.; Espoir, L.; Ignatiev, A.; Sega, R.

    1991-01-01

    SiO2 buffer layers as thin as 2 nm have been developed for use in the growth of Y1Ba2Cu3O(6+delta) thin films on silicon substrates. The SiO2 layers are formed through Y enhancement of silicon oxidation, and are highly stoichiometric. Y1Ba2Cu3O(6+delta) film growth on silicon with thin buffer layers has shown c orientation and Tc0 = 78 K.

  5. Self induced gratings in ternary SiO2:SnO2:Na2O bulk glasses by UV light seeding.

    PubMed

    Lancry, M; Douay, M; Niay, P; Beclin, F; Menke, Y; Milanese, D; Ferraris, M; Poumellec, B

    2005-09-05

    The diffraction efficiency of gratings written in ternary SnO2:SiO2:Na2O bulk glasses rises dramatically with time after the occultation of the cw 244nm light used to write the thick hologram. This self-induced behavior lasts for several hours and ultimately leads to refractive index changes as high as 3 10-3.

  6. Geometric structure of thin SiO xN y films on Si(100)

    NASA Astrophysics Data System (ADS)

    Behrens, K.-M.; Klinkenberg, E.-D.; Finster, J.; Meiwes-Broer, K.-H.

    1998-05-01

    Thin films of amorphous stoichometric SiO xN y are deposited on radiation-heated Si(100) by rapid thermal low-pressure chemical vapour deposition. We studied the whole range of possible compositions. In order to determine the geometric structure, we used EXAFS and photoelectron spectroscopy. Tetrahedrons constitute the short-range units with a central Si atom connected to N and O. The distribution of the possible tetrahedrons can be described by a mixture of the Random Bonding Model and the Random Mixture Model. For low oxygen contents x/( x+ y)≤0.3, the geometric structure of the film is almost the structure of a-Si 3N 4, with the oxygen preferably on top of Si-N 3 triangles. Higher oxygen contents induce changes in the bond lengths, bond angles and coordination numbers.

  7. Effect of SiO2 grafted MWCNTs on the mechanical and dielectric properties of PEN composite films

    NASA Astrophysics Data System (ADS)

    Jin, Fei; Feng, Mengna; Huang, Xu; Long, Cheng; Jia, Kun; Liu, Xiaobo

    2015-12-01

    In this study, the functional poly (arylene ether nitrile) (PEN)/multiwall carbon nanotubes (MWCNTs)/SiO2 nanocomposite with high mechanical and good electrical properties were fabricated through a simple and effective method. Specifically, the surface modification using highly ordered and porous SiO2 not only improves the dispersion of the MWCNTs in polymer matrix, but also combines the excellent properties of SiO2 and MWCNTs. Transmission electron microscopy (TEM), Fourier transform infrared spectra (FTIR), and scanning electron microscope (SEM) were employed to confirm the surface functionalization of MWCNTs. As a result, all the composite films exhibited good dielectric properties with high dielectric constant of 7 as well as low dielectric loss of 0.04. Besides, the results of mechanical tests showed that the tensile strength and modulus reached their highest values at the 2 wt% MWCNTs-SiO2 loading content (125 MPa and 2950 MPa, respectively). The rheological results showed that MWCNTs-SiO2/PEN composites have a typical solid-like viscoelastic response as frequencies changes. Therefore, all the results revealed that surface functionalization has strong influence on the dispersion state of MWCNTs in PEN matrix.

  8. Structural Evaluation of 5,5'-Bis(naphth-2-yl)-2,2'-bithiophene in Organic Field-Effect Transistors with n-Octadecyltrichlorosilane Coated SiO2 Gate Dielectric.

    PubMed

    Lauritzen, Andreas E; Torkkeli, Mika; Bikondoa, Oier; Linnet, Jes; Tavares, Luciana; Kjelstrup-Hansen, Jakob; Knaapila, Matti

    2018-05-25

    We report on the structure and morphology of 5,5'-bis(naphth-2-yl)-2,2'-bithiophene (NaT2) films in bottom-contact organic field-effect transistors (OFETs) with octadecyltrichlorosilane (OTS) coated SiO 2 gate dielectric, characterized by atomic force microscopy (AFM), grazing-incidence X-ray diffraction (GIXRD), and electrical transport measurements. Three types of devices were investigated with the NaT2 thin-film deposited either on (1) pristine SiO 2 (corresponding to higher surface energy, 47 mJ/m 2 ) or on OTS deposited on SiO 2 under (2) anhydrous or (3) humid conditions (corresponding to lower surface energies, 20-25 mJ/m 2 ). NaT2 films grown on pristine SiO 2 form nearly featureless three-dimensional islands. NaT2 films grown on OTS/SiO 2 deposited under anhydrous conditions form staggered pyramid islands where the interlayer spacing corresponds to the size of the NaT2 unit cell. At the same time, the grain size measured by AFM increases from hundreds of nanometers to micrometers and the crystal size measured by GIXRD from 30 nm to more than 100 nm. NaT2 on OTS/SiO 2 deposited under humid conditions also promotes staggered pyramids but with smaller crystals 30-80 nm. The NaT2 unit cell parameters in OFETs differ 1-2% from those in bulk. Carrier mobilities tend to be higher for NaT2 layers on SiO 2 (2-3 × 10 -4 cm 2 /(V s)) compared to NaT2 on OTS (2 × 10 -5 -1 × 10 -4 cm 2 /(V s)). An applied voltage does not influence the unit cell parameters when probed by GIXRD in operando.

  9. Growth of metal oxide thin films by laser-induced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Tokita, Koji; Okada, Fumio

    1996-12-01

    The growth of metal oxide thin films by laser-induced metalorganic chemical vapor deposition was investigated by changing wavelength, power, repetition rate, and irradiation angle of the excimer laser. When O2 was used as an oxidizing gas with 193 or 248 nm irradiation, amorphous TiO2 and crystalline PbO films were obtained in the laser-irradiated area of Si substrates from the parent metalorganic compounds, Ti(O-iC3H7)4 and (C2H5)3PbOCH2C(CH3)3, respectively. In contrast, no ZrO2 film could be formed from Zr(O-tC4H9)4. One-photon formation of TiO2 films was confirmed from laser power dependence measurements. The maximum growth rate of 0.05 Å per laser pulse was compared with that estimated by a simple surface reaction model, according to which the slow growth rate is due to the small absorption cross section of Ti(O-iC3H7)4 and mild fluence of laser irradiation. In experiments of ozone gas excitation by KrF laser, a SiO2 film was obtained by gas-phase reactions of the oxygen radical, O(1D), with Si(O-C2H5)4. The direct patterning of TiO2 and PbO films as well as the possibility of producing patterned PbTiO3 film was demonstrated. The growth of the patterned SiO2 film was prevented by gas-phase diffusion of intermediates.

  10. SiO2 nanoparticle-induced impairment of mitochondrial energy metabolism in hepatocytes directly and through a Kupffer cell-mediated pathway in vitro

    PubMed Central

    Xue, Yang; Chen, Qingqing; Ding, Tingting; Sun, Jiao

    2014-01-01

    The liver has been shown to be a primary target organ for SiO2 nanoparticles in vivo, and may be highly susceptible to damage by these nanoparticles. However, until now, research focusing on the potential toxic effects of SiO2 nanoparticles on mitochondria-associated energy metabolism in hepatocytes has been lacking. In this work, SiO2 nanoparticles 20 nm in diameter were evaluated for their ability to induce dysfunction of mitochondrial energy metabolism. First, a buffalo rat liver (BRL) cell line was directly exposed to SiO2 nanoparticles, which induced cytotoxicity and mitochondrial damage accompanied by decreases in mitochondrial dehydrogenase activity, mitochondrial membrane potential, enzymatic expression in the Krebs cycle, and activity of the mitochondrial respiratory chain complexes I, III and IV. Second, the role of rat-derived Kupffer cells was evaluated. The supernatants from Kupffer cells treated with SiO2 nanoparticles were transferred to stimulate BRL cells. We observed that SiO2 nanoparticles had the ability to activate Kupffer cells, leading to release of tumor necrosis factor-α, nitric oxide, and reactive oxygen species from these cells and subsequently to inhibition of mitochondrial respiratory chain complex I activity in BRL cells. PMID:24959077

  11. Defect analysis in low temperature atomic layer deposited Al{sub 2}O{sub 3} and physical vapor deposited SiO barrier films and combination of both to achieve high quality moisture barriers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maindron, Tony, E-mail: tony.maindron@cea.fr; Jullien, Tony; André, Agathe

    2016-05-15

    Al{sub 2}O{sub 3} [20 nm, atomic layer deposition (ALD)] and SiO films' [25 nm, physical vacuum deposition (PVD)] single barriers as well as hybrid barriers of the Al{sub 2}O{sub 3}/SiO or SiO/Al{sub 2}O{sub 3} have been deposited onto single 100 nm thick tris-(8-hydroxyquinoline) aluminum (AlQ{sub 3}) organic films made onto silicon wafers. The defects in the different barrier layers could be easily observed as nonfluorescent AlQ{sub 3} black spots, under ultraviolet light on the different systems stored into accelerated aging conditions (85 °C/85% RH, ∼2000 h). It has been observed that all devices containing an Al{sub 2}O{sub 3} layer present a lag time τ frommore » which defect densities of the different systems start to increase significantly. This is coherent with the supposed pinhole-free nature of fresh, ALD-deposited, Al{sub 2}O{sub 3} films. For t > τ, the number of defect grows linearly with storage time. For devices with the single Al{sub 2}O{sub 3} barrier layer, τ has been estimated to be 64 h. For t > τ, the defect occurrence rate has been calculated to be 0.268/cm{sup 2}/h. Then, a total failure of fluorescence of the AlQ{sub 3} film appears between 520 and 670 h, indicating that the Al{sub 2}O{sub 3} barrier has been totally degraded by the hot moisture. Interestingly, the device with the hybrid barrier SiO/Al{sub 2}O{sub 3} shows the same characteristics as the device with the single Al{sub 2}O{sub 3} barrier (τ = 59 h; 0.246/cm{sup 2}/h for t > τ), indicating that Al{sub 2}O{sub 3} ALD is the factor that limits the performance of the barrier system when it is directly exposed to moisture condensation. At the end of the storage period (1410 h), the defect density for the system with the hybrid SiO/Al{sub 2}O{sub 3} barrier is 120/cm{sup 2}. The best sequence has been obtained when Al{sub 2}O{sub 3} is passivated by the SiO layer (Al{sub 2}O{sub 3}/SiO). In that case, a large lag time of 795 h and a

  12. Optical, mechanical and structural properties of PMMA/SiO2 nanocomposite thin films

    NASA Astrophysics Data System (ADS)

    Soni, Gyanesh; Srivastava, Subodh; Soni, Purushottam; Kalotra, Pankaj; Vijay, Y. K.

    2018-01-01

    We have fabricated PMMA/SiO2 nanocomposite flexible thin films of 60 μm thicknesses by using solution casting method in the presence of transverse electric field. In this paper, we have investigated the effect of SiO2 nanoparticle (NP) loading on optical and mechanical properties of the composite thin film. The SEM images show that nanocomposite thin films have a smoother and uniform morphology. The transmittance peak near 1103 cm-1 in FT-IR spectrum confirms the presence of SiO2 NPs in the composite thin film. It is observed that optical bandgap decreases with an increase in the SiO2 NP concentration. Dynamic mechanical analysis shows that presence of SiO2 NP enhances the mechanical strength of the composite thin film.

  13. Optical properties of amorphous SiO2-TiO2 multi-nanolayered coatings for 1064-nm mirror technology

    NASA Astrophysics Data System (ADS)

    Magnozzi, M.; Terreni, S.; Anghinolfi, L.; Uttiya, S.; Carnasciali, M. M.; Gemme, G.; Neri, M.; Principe, M.; Pinto, I.; Kuo, L.-C.; Chao, S.; Canepa, M.

    2018-01-01

    The use of amorphous, SiO2-TiO2 nanolayered coatings has been proposed recently for the mirrors of 3rd-generation interferometric detectors of gravitational waves, to be operated at low temperature. Coatings with a high number of low-high index sub-units pairs with nanoscale thickness were found to preserve the amorphous structure for high annealing temperatures, a key factor to improve the mechanical quality of the mirrors. The optimization of mirror designs based on such coatings requires a detailed knowledge of the optical properties of sub-units at the nm-thick scale. To this aim we have performed a Spectroscopic Ellipsometry (SE) study of amorphous SiO2-TiO2 nanolayered films deposited on Si wafers by Ion Beam Sputtering (IBS). We have analyzed films that are composed of 5 and 19 nanolayers (NL5 and NL19 samples) and have total optical thickness nominally equivalent to a quarter of wavelength at 1064 nm. A set of reference optical properties for the constituent materials was obtained by the analysis of thicker SiO2 and TiO2 homogeneous films (∼ 120 nm) deposited by the same IBS facility. By flanking SE with ancillary techniques, such as TEM and AFM, we built optical models that allowed us to retrieve the broad-band (250-1700 nm) optical properties of the nanolayers in the NL5 and NL19 composite films. In the models which provided the best agreement between simulation and data, the thickness of each sub-unit was fitted within rather narrow bounds determined by the analysis of TEM measurements on witness samples. Regarding the NL5 sample, with thickness of 19.9 nm and 27.1 nm for SiO2 and TiO2 sub-units, respectively, the optical properties presented limited variations with respect to the thin film counterparts. For the NL19 sample, which is composed of ultrathin sub-units (4.4 nm and 8.4 nm for SiO2 and TiO2, respectively) we observed a significant decrease of the IR refraction index for both types of sub-units; this points to a lesser mass density with

  14. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  15. Comparative analysis of breakdown mechanism in thin SiO2 oxide films in metal-oxide-semiconductor structures under the action of heavy charged particles and a pulsed voltage

    NASA Astrophysics Data System (ADS)

    Zinchenko, V. F.; Lavrent'ev, K. V.; Emel'yanov, V. V.; Vatuev, A. S.

    2016-02-01

    Regularities in the breakdown of thin SiO2 oxide films in metal-oxide-semiconductors structures of power field-effect transistors under the action of single heavy charged particles and a pulsed voltage are studied experimentally. Using a phenomenological approach, we carry out comparative analysis of physical mechanisms and energy criteria of the SiO2 breakdown in extreme conditions of excitation of the electron subsystem in the subpicosecond time range.

  16. Kinetics of Valeric Acid Ketonization and Ketenization in Catalytic Pyrolysis on Nanosized SiO2 , γ-Al2 O3 , CeO2 /SiO2 , Al2 O3 /SiO2 and TiO2 /SiO2.

    PubMed

    Kulyk, Kostiantyn; Palianytsia, Borys; Alexander, John D; Azizova, Liana; Borysenko, Mykola; Kartel, Mykola; Larsson, Mats; Kulik, Tetiana

    2017-07-19

    Valeric acid is an important renewable platform chemical that can be produced efficiently from lignocellulosic biomass. Upgrading of valeric acid by catalytic pyrolysis has the potential to produce value added biofuels and chemicals on an industrial scale. Understanding the different mechanisms involved in the thermal transformations of valeric acid on the surface of nanometer-sized oxides is important for the development of efficient heterogeneously catalyzed pyrolytic conversion techniques. In this work, the thermal decomposition of valeric acid on the surface of nanoscale SiO 2 , γ-Al 2 O 3 , CeO 2 /SiO 2 , Al 2 O 3 /SiO 2 and TiO 2 /SiO 2 has been investigated by temperature-programmed desorption mass spectrometry (TPD MS). Fourier transform infrared spectroscopy (FTIR) has also been used to investigate the structure of valeric acid complexes on the oxide surfaces. Two main products of pyrolytic conversion were observed to be formed depending on the nano-catalyst used-dibutylketone and propylketene. Mechanisms of ketene and ketone formation from chemisorbed fragments of valeric acid are proposed and the kinetic parameters of the corresponding reactions were calculated. It was found that the activation energy of ketenization decreases in the order SiO 2 >γ-Al 2 O 3 >TiO 2 /SiO 2 >Al 2 O 3 /SiO 2 , and the activation energy of ketonization decreases in the order γ-Al 2 O 3 >CeO 2 /SiO 2 . Nano-oxide CeO 2 /SiO 2 was found to selectively catalyze the ketonization reaction. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Electronic sputtering of vitreous SiO2: Experimental and modeling results

    NASA Astrophysics Data System (ADS)

    Toulemonde, M.; Assmann, W.; Trautmann, C.

    2016-07-01

    The irradiation of solids with swift heavy ions leads to pronounced surface and bulk effects controlled by the electronic energy loss of the projectiles. In contrast to the formation of ion tracks in bulk materials, the concomitant emission of atoms from the surface is much less investigated. Sputtering experiments with different ions (58Ni, 127I and 197Au) at energies around 1.2 MeV/u were performed on vitreous SiO2 (a-SiO2) in order to quantify the emission rates and compare them with data for crystalline SiO2 quartz. Stoichiometry of the sputtering process was verified by monitoring the thickness decreases of a thin SiO2 film deposited on a Si substrate. Angular distributions of the emitted atoms were measured by collecting sputtered atoms on arc-shaped Cu catcher foils. Subsequent analysis of the number of Si atoms deposited on the catcher foils was quantified by elastic recoil detection analysis providing differential as well as total sputtering yields. Compared to existing data for crystalline SiO2, the total sputtering yields for vitreous SiO2 are by a factor of about five larger. Differences in the sputtering rate and track formation characteristics between amorphous and crystalline SiO2 are discussed within the frame of the inelastic thermal spike model.

  18. Ge nanocrystals formed by furnace annealing of Ge(x)[SiO2](1-x) films: structure and optical properties

    NASA Astrophysics Data System (ADS)

    Volodin, V. A.; Cherkov, A. G.; Antonenko, A. Kh; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2017-07-01

    Ge(x)[SiO2](1-x) (0.1  ⩽  x  ⩽  0.4) films were deposited onto Si(0 0 1) or fused quartz substrates using co-evaporation of both Ge and SiO2 in high vacuum. Germanium nanocrystals were synthesized in the SiO2 matrix by furnace annealing of Ge x [SiO2](1-x) films with x  ⩾  0.2. According to electron microscopy and Raman spectroscopy data, the average size of the nanocrystals depends weakly on the annealing temperature (700, 800, or 900 °C) and on the Ge concentration in the films. Neither amorphous Ge clusters nor Ge nanocrystals were observed in as-deposited and annealed Ge0.1[SiO2]0.9 films. Infrared absorption spectroscopy measurements show that the studied films do not contain a noticeable amount of GeO x clusters. After annealing at 900 °C intermixing of germanium and silicon atoms was still negligible thus preventing the formation of GeSi nanocrystals. For annealed samples, we report the observation of infrared photoluminescence at low temperatures, which can be explained by exciton recombination in Ge nanocrystals. Moreover, we report strong photoluminescence in the visible range at room temperature, which is certainly due to Ge-related defect-induced radiative transitions.

  19. Synthesis of Radioisotope Mn-56@SiO2, Sm-153@SiO2, and Dy-165@SiO2 Hybrid Nanoparticles for Use as Radiotracer.

    PubMed

    Seo, Sang-Ei; Kang, Yun Ok; Jung, Sung-Hee; Choi, Seong-Ho

    2015-09-01

    Radioisotope hybrid nanoparticles (NPs) of Mn-56@SiO2, Sm-153@SiO2, and Dy-165@SiO2 were synthesized by neutron irradiation of Mn-55@SiO2, Sm-150@SiO2, and Dy-163@SiO2 NPs respectively using the HANARO research reactor. The Mn-55@SiO2, Sm-150@SiO2, and Dy-163@SiO2 NPs were synthesized by calcination in air flow at 500 degrees C for 8 h of the hybrid NPs that has been prepared by the sol-gel reaction of tetraethyl silicate in the presence of the complex precursors. Mn-55, Sm-150, and Dy-163 were selected for use as radiotracers were selected because these elements can be easily gamma-activated by neutrons (activation limits: 1 picogram (Dy), 1-10 picogram (Mn), 10-100 picogram (Sm)). The successful synthesis of the radioisotope hybrid NPs was confirmed by Transmission Electron Microscopy (TEM), Energy Dispersive X-ray Spectrometry (EDS), Scanning Electron Microscopy (SEM), and Gamma Spectroscopy analysis. The synthesized the radioisotope hybrid NPs could be used as radiotracers in the scientific, environmental, engineering, and industrial fields.

  20. Characterization, modeling and physical mechanisms of different surface treatment methods at room temperature on the oxide and interfacial quality of the SiO2 film using the spectroscopic scanning capacitance microscopy

    NASA Astrophysics Data System (ADS)

    Wong, Kin Mun

    In this article, a simple, low cost and combined surface treatment method [pre-oxidation immersion of the p-type silicon (Si) substrate in hydrogen peroxide (H2O2) and post oxidation ultra-violet (UV) irradiation of the silicon-dioxide (SiO2) film] at room temperature is investigated. The interface trap density at midgap [Dit(mg)] of the resulting SiO2 film (denoted as sample 1A) is quantified from the full width at half-maximum of the scanning capacitance microscopy (SCM) differential capacitance (dC/dV) characteristics by utilizing a previously validated theoretical model. The Dit(mg) of sample 1A is significantly lower than the sample without any surface treatments which indicates that it is a viable technique for improving the interfacial quality of the thicker SiO2 films prepared by wet oxidation. Moreover, the proposed combined surface treatment method may possibly complement the commonly used forming gas anneal process to further improve the interfacial quality of the SiO2 films. The positive shift of the flatband voltage due to the overall oxide charges (estimated from the probe tip dc bias at the peak dC/dV spectra) of sample 1A suggests the presence of negative oxide fixed charge density (Nf) in the oxide. In addition, an analytical formula is derived to approximate the difference of the Nf values between the oxide samples that are immersed in H2O2 and UV irradiated from their measured SCM dC/dV spectra. Conversely, some physical mechanisms are proposed that result in the ionization of the SiO- species (which are converted from the neutral SiOH groups that originate from the pre-oxidation immersion in H2O2 and ensuing wet oxidation) during the UV irradiation as well as the UV photo-injected electrons from the Si substrate (which did not interact with the SiOH groups). They constitute the source of mobile electrons which partially passivate the positively charged empty donor-like interface traps at the Si-SiO2 interface.

  1. A flexible insulator of a hollow SiO2 sphere and polyimide hybrid for flexible OLEDs.

    PubMed

    Kim, Min Kyu; Kim, Dong Won; Shin, Dong Wook; Seo, Sang Joon; Chung, Ho Kyoon; Yoo, Ji Beom

    2015-01-28

    The fabrication of interlayer dielectrics (ILDs) in flexible organic light-emitting diodes (OLEDs) not only requires flexible materials with a low dielectric constant, but also ones that possess the electrical, thermal, chemical, and mechanical properties required for optimal device performance. Porous polymer-silica hybrid materials were prepared to satisfy these requirements. Hollow SiO2 spheres were synthesized using atomic layer deposition (ALD) and a thermal calcination process. The hybrid film, which consists of hollow SiO2 spheres and polyimide, shows a low dielectric constant of 1.98 and excellent thermal stability up to 500 °C. After the bending test for 50 000 cycles, the porous hybrid film exhibits no degradation in its dielectric constant or leakage current. These results indicate that the hybrid film made up of hollow SiO2 spheres and polyimide (PI) is useful as a flexible insulator with a low dielectric constant and high thermal stability for flexible OLEDs.

  2. Structural and Electrical Characterization of SiO2 Gate Dielectrics Deposited from Solutions at Moderate Temperatures in Air.

    PubMed

    Esro, Mazran; Kolosov, Oleg; Jones, Peter J; Milne, William I; Adamopoulos, George

    2017-01-11

    Silicon dioxide (SiO 2 ) is the most widely used dielectric for electronic applications. It is usually produced by thermal oxidation of silicon or by using a wide range of vacuum-based techniques. By default, the growth of SiO 2 by thermal oxidation of silicon requires the use of Si substrates whereas the other deposition techniques either produce low quality or poor interface material and mostly require high deposition or annealing temperatures. Recent investigations therefore have focused on the development of alternative deposition paradigms based on solutions. Here, we report the deposition of SiO 2 thin film dielectrics deposited by spray pyrolysis in air at moderate temperatures of ≈350 °C from pentane-2,4-dione solutions of SiCl 4 . SiO 2 dielectrics were investigated by means of UV-vis absorption spectroscopy, spectroscopic ellipsometry, XPS, XRD, UFM/AFM, admittance spectroscopy, and field-effect measurements. Data analysis reveals smooth (R RMS < 1 nm) amorphous films with a dielectric constant of about 3.8, an optical band gap of ≈8.1 eV, leakage current densities in the order of ≈10 -7 A/cm 2 at 1 MV/cm, and high dielectric strength in excess of 5 MV/cm. XPS measurements confirm the SiO 2 stoichiometry and FTIR spectra reveal features related to SiO 2 only. Thin film transistors implementing spray-coated SiO 2 gate dielectrics and C 60 and pentacene semiconducting channels exhibit excellent transport characteristics, i.e., negligible hysteresis, low leakage currents, high on/off current modulation ratio on the order of 10 6 , and high carrier mobility.

  3. SiO2 Hole Etching Using Perfluorocarbon Alternative Gas with Small Global Greenhouse Effect

    NASA Astrophysics Data System (ADS)

    Ooka, Masahiro; Yokoyama, Shin

    2004-06-01

    The etching of contact holes of 0.1 μm size in SiO2 is achieved using, for the first time, cyclic (c-)C5F8 with a small greenhouse effect in the pulse-modulated inductively coupled plasma. The shape of the cross section of the contact hole is as good as that etched using conventional c-C4F8. It is confirmed that Kr mixing instead of Ar in the plasma does not change the etching characteristics, although lowering of the electron temperature is expected which reduces the plasma-induced damage. Pulse modulation of the plasma is found to improve the etching selectivity of SiO2 with respect to Si. Langmuir probe measurement of the plasma suggests that the improvement of the etching selectivity is due to the deposition of fluorocarbon film triggered by lowering of the electron temperature when the off time of the radio frequency (rf) power is extended.

  4. The correlations of the electronic structure and film growth of 2,7-diocty[1]benzothieno[3,2-b]benzothiophene (C8-BTBT) on SiO2.

    PubMed

    Lyu, Lu; Niu, Dongmei; Xie, Haipeng; Zhao, Yuan; Cao, Ningtong; Zhang, Hong; Zhang, Yuhe; Liu, Peng; Gao, Yongli

    2017-01-04

    Combining ultraviolet photoemission spectroscopy (UPS), X-ray photoemission spectroscopy (XPS), atomic force microscopy (AFM) and small angle X-ray diffraction (SAXD) measurements, we perform a systematic investigation on the correlations of the electronic structure, film growth and molecular orientation of 2,7-diocty[1]benzothieno[3,2-b]benzothiophene (C8-BTBT) on silicon oxide (SiO 2 ). AFM analysis reveals a phase transition of disorderedly oriented molecules in clusters in thinner films to highly ordered standing-up molecules in islands in thicker films. SAXD peaks consistently support the standing-up configuration in islands. The increasing ordering of the molecular orientation with film thickness contributes to the changing of the shape and lowering of the leading edge of the highest occupied molecular orbital (HOMO). The end methyl of the highly ordered standing molecules forms an outward pointing dipole layer which makes the work function (WF) decrease with increasing thickness. The downward shift of the HOMO and a decrease of WF result in unconventional downward band bending and decreased ionization potential (IP). The correlations of the orientation ordering of molecules, film growth and interface electronic structures provide a useful design strategy to improve the performance of C8-BTBT thin film based field effect transistors.

  5. Effect of temperature on optical properties of PMMA/SiO2 composite thin film

    NASA Astrophysics Data System (ADS)

    Soni, Gyanesh; Srivastava, Subodh; Soni, Purushottam; Kalotra, Pankaj; Vijay, Y. K.

    2018-05-01

    Effect of temperature on PMMA/SiO2 composites thin films were investigated. Nanocomposite flexible thin films of 60 µm thicknesses with different loading of SiO2 nanoparticles were prepared using solution casting method. SEM images show that SiO2 nanoparticles are distributed uniformly in PMMA matrix without any lumps on the surface, and PMMA/SiO2 nano composite thin films had a smoother and regular morphology. UV-Vis and optical band gap measurements revealed that both the concentration of SiO2 nanoparticles and temperature affect the optical properties of the composite thin film in comparison to the pure PMMA film.

  6. Hydroxyapatite-TiO2-SiO2-Coated 316L Stainless Steel for Biomedical Application

    NASA Astrophysics Data System (ADS)

    Sidane, Djahida; Khireddine, Hafit; Bir, Fatima; Yala, Sabeha; Montagne, Alex; Chicot, Didier

    2017-07-01

    This study investigated the effectiveness of titania (TiO2) as a reinforcing phase in the hydroxyapatite (HAP) coating and silica (SiO2) single layer as a bond coat between the TiO2-reinforced hydroxyapatite (TiO2/HAP) top layer and 316L stainless steel (316L SS) substrate on the corrosion resistance and mechanical properties of the underlying 316L SS metallic implant. Single layer of SiO2 film was first deposited on 316L SS substrate and studied separately. Water contact angle measurements, X-ray photoelectron spectroscopy, and Fourier transform infrared spectrophotometer analysis were used to evaluate the hydroxyl group reactivity at the SiO2 outer surface. The microstructural and morphological results showed that the reinforcement of HAP coating with TiO2 and SiO2 reduced the crystallite size and the roughness surface. Indeed, the deposition of 50 vol pct TiO2-reinforced hydroxyapatite layer enhanced the hardness and the elastic modulus of the HAP coating, and the introduction of SiO2 inner layer on the surface of the 316L SS allowed the improvement of the bonding strength and the corrosion resistance as confirmed by scratch studies, nanoindentation, and cyclic voltammetry tests.

  7. Growth of SiO 2 on InP substrate by liquid phase deposition

    NASA Astrophysics Data System (ADS)

    Lei, Po Hsun; Yang, Chyi Da

    2010-04-01

    We have grown silicon dioxide (SiO 2) on indium phosphorous (InP) substrate by liquid phase deposition (LPD) method. With inserting InP wafer in the treatment solution composed of SiO 2 saturated hydrofluorosilicic acid (H 2SiF 6), 0.1 M boric acid (H 3BO 3) and 1.74 M diluted hydrochloric acid (HCl), the maximum deposition rate and refractive index for the as-grown LPD-SiO 2 film were about 187.5 Å/h and 1.495 under the constant growth temperature of 40 °C. The secondary ion mass spectroscope (SIMS) and energy dispersive X-ray (EDX) confirmed that the elements of silicon, oxygen, and chloride were found in the as-grown LPD-SiO 2 film. On the other hand, the effects of treatment solution incorporated with the hydrogen peroxide (H 2O 2) that can regulate the concentration of OH - ion were also shown in this article. The experimental results represented that the deposition rate decreases with increasing the concentration of hydrogen peroxide due to the reduced concentration of SiO 2 saturated H 2SiF 6 in treatment solution.

  8. Plasmonic properties of Ag nanoparticles embedded in GeO2-SiO2 matrix by atom beam sputtering.

    PubMed

    Mohapatra, Satyabrata

    2016-02-07

    Nanocomposite thin films containing Ag nanoparticles embedded in the GeO2-SiO2 matrix were synthesized by the atom beam co-sputtering technique. The structural, optical and plasmonic properties and the chemical composition of the nanocomposite thin films were studied by transmission electron microscopy (TEM) with energy dispersive X-ray spectroscopy (EDX), UV-visible absorption spectroscopy and X-ray photoelectron spectroscopy (XPS). UV-visible absorption studies on Ag-SiO2 nanocomposites revealed the presence of a strong localized surface plasmon resonance (LSPR) peak characteristic of Ag nanoparticles at 413 nm, which showed a blue shift of 26 nm (413 to 387 nm) along with a significant broadening and drastic decrease in intensity with the incorporation of 16 at% of Ge into the SiO2 matrix. TEM studies on Ag-GeO2-SiO2 nanocomposite thin films confirmed the presence of Ag nanoparticles with an average size of 3.8 nm in addition to their aggregates with an average size of 16.2 nm. Thermal annealing in air resulted in strong enhancement in the intensity of the LSPR peak, which showed a regular red shift of 51 nm (from 387 to 438 nm) with the increase in annealing temperature up to 500 °C. XPS studies showed that annealing in air resulted in oxidation of excess Ge atoms in the nanocomposite into GeO2. Our work demonstrates the possibility of controllably tuning the LSPR of Ag nanoparticles embedded in the GeO2-SiO2 matrix by single-step thermal annealing, which is interesting for optical applications.

  9. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    NASA Astrophysics Data System (ADS)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  10. Photocatalysis applications of some hybrid polymeric composites incorporating TiO2 nanoparticles and their combinations with SiO2/Fe2O3

    PubMed Central

    Buruiana, Tinca; Melinte, Violeta; Buruiana, Emil C

    2017-01-01

    Polymer nanocomposites containing titanium oxide nanoparticles (TiO2 NPs) combined with other inorganic components (Si–O–Si or/and γ-Fe2O3) were prepared by the dispersion of premade NPs (nanocrystalline TiO2, TiO2/SiO2, TiO2/Fe2O3, TiO2/SiO2/Fe2O3) within a photopolymerizable urethane dimethacrylate (polytetrahydrofuran-urethane dimethacrylate, PTHF-UDMA). The physicochemical characterization of nanoparticles and hybrid polymeric composites with 10 wt % NPs (S1–S4) was realized through XRD, TEM and FTIR analyses. The mean size (10–30 nm) and the crystallinity of the NPs varied as a function of the inorganic constituent. The catalytic activity of these hybrid films was tested for the photodegradation of phenol, hydroquinone and dopamine in aqueous solution under UV or visible-light irradiation. The best results were obtained for the films with TiO2/Fe2O3 or TiO2/SiO2/Fe2O3 NPs. The degradation of the mentioned model pollutants varied between 71% and 100% (after 250 min of irradiation) depending on the composition of the hybrid film tested and the light applied (UV–visible light). Also, it was established that such hybrid films can be reused at least for five cycles, without losing too much of the photocatalytic efficiency (ca. 7%). These findings could have implications in the development of new nanocatalysts. PMID:28243566

  11. Shear strength of metal - SiO2 contacts

    NASA Technical Reports Server (NTRS)

    Pepper, S. V.

    1978-01-01

    The strength of the bond between metals and SiO2 is studied by measuring the static coefficient of friction of metals contacting alpha-quartz in ultrahigh vacuum. It was found that copper with either chemisorbed oxygen, nitrogen or sulphur exhibited higher contact strength on stoichiometric SiO2 than did clean copper. Since the surface density of states induced by these species on copper is similar, it appears that the strength of the interfacial bond can be related to the density of states on the metal surface.

  12. Shear strength of metal - SiO2 contacts

    NASA Technical Reports Server (NTRS)

    Pepper, S. V.

    1978-01-01

    The strength of the bond between metals and SiO2 was studied by measuring the static coefficient of friction of metals contacting alpha-quartz in ultrahigh vacuum. It was found that copper with either chemisorbed oxygen, nitrogen, or sulphur exhibited higher contact strength on stoichiometric SiO2 than did clean copper. Since the surface density of states induced by these species on copper is similar, it appears that the strength of the interfacial bond can be related to the density of states on the metal surface.

  13. Effect of hydrogen on the device performance and stability characteristics of amorphous InGaZnO thin-film transistors with a SiO2/SiNx/SiO2 buffer

    NASA Astrophysics Data System (ADS)

    Han, Ki-Lim; Ok, Kyung-Chul; Cho, Hyeon-Su; Oh, Saeroonter; Park, Jin-Seong

    2017-08-01

    We investigate the influence of the multi-layered buffer consisting of SiO2/SiNx/SiO2 on amorphous InGaZnO (a-IGZO) thin-film transistors (TFTs). The multi-layered buffer inhibits permeation of water from flexible plastic substrates and prevents degradation of overlying organic layers. The a-IGZO TFTs with a multi-layered buffer suffer less positive bias temperature stress instability compared to the device with a single SiO2 buffer layer after annealing at 250 °C. Hydrogen from the SiNx layer diffuses into the active layer and reduces electron trapping at loosely bound oxygen defects near the SiO2/a-IGZO interface. Quantitative analysis shows that a hydrogen density of 1.85 × 1021 cm-3 is beneficial to reliability. However, the multi-layered buffer device annealed at 350 °C resulted in conductive characteristics due to the excess carrier concentration from the higher hydrogen density of 2.12 × 1021 cm-3.

  14. Li4SiO4-Based Artificial Passivation Thin Film for Improving Interfacial Stability of Li Metal Anodes.

    PubMed

    Kim, Ji Young; Kim, A-Young; Liu, Guicheng; Woo, Jae-Young; Kim, Hansung; Lee, Joong Kee

    2018-03-14

    An amorphous SiO 2 (a-SiO 2 ) thin film was developed as an artificial passivation layer to stabilize Li metal anodes during electrochemical reactions. The thin film was prepared using an electron cyclotron resonance-chemical vapor deposition apparatus. The obtained passivation layer has a hierarchical structure, which is composed of lithium silicide, lithiated silicon oxide, and a-SiO 2 . The thickness of the a-SiO 2 passivation layer could be varied by changing the processing time, whereas that of the lithium silicide and lithiated silicon oxide layers was almost constant. During cycling, the surface of the a-SiO 2 passivation layer is converted into lithium silicate (Li 4 SiO 4 ), and the portion of Li 4 SiO 4 depends on the thickness of a-SiO 2 . A minimum overpotential of 21.7 mV was observed at the Li metal electrode at a current density of 3 mA cm -2 with flat voltage profiles, when an a-SiO 2 passivation layer of 92.5 nm was used. The Li metal with this optimized thin passivation layer also showed the lowest charge-transfer resistance (3.948 Ω cm) and the highest Li ion diffusivity (7.06 × 10 -14 cm 2 s -1 ) after cycling in a Li-S battery. The existence of the Li 4 SiO 4 artificial passivation layer prevents the corrosion of Li metal by suppressing Li dendritic growth and improving the ionic conductivity, which contribute to the low charge-transfer resistance and high Li ion diffusivity of the electrode.

  15. Devitrification and delayed crazing of SiO2 on single-crystal silicon and chemically vapor-deposited silicon nitride

    NASA Technical Reports Server (NTRS)

    Choi, Doo Jin; Scott, William D.

    1987-01-01

    The linear growth rate of cristobalite was measured in thin SiO2 films on silicon and chemically vapor-deposited silicon nitride. The presence of trace impurities from alumina furnace tubes greatly increased the crystal growth rate. Under clean conditions, the growth rate was still 1 order-of-magnitude greater than that for internally nucleated crystals in bulk silica. Crystallized films cracked and lifted from the surface after exposure to atmospheric water vapor. The crystallization and subsequent crazing and lifting of protective SiO2 films on silicon nitride should be considered in long-term applications.

  16. Tribological Properties of TiO2/SiO2 Double Layer Coatings Deposited on CP-Ti

    NASA Astrophysics Data System (ADS)

    Çomakli, O.; Yazici, M.; Yetim, T.; Yetim, A. F.; Çelik, A.

    In the present paper, the influences of different double layer on wear and scratch performances of commercially pure Titanium (CP-Ti) were investigated. TiO2/SiO2 and SiO2/TiO2 double layer coatings were deposited on CP-Ti by sol-gel dip coating process and calcined at 750∘C. The phase structure, cross-sectional morphology, composition, wear track morphologies, adhesion properties, hardness and roughness of uncoated and coated samples were characterized with X-ray diffraction, scanning electron microscopy (SEM), nano-indentation technique, scratch tester and 3D profilometer. Also, the tribological performances of all samples were investigated by a pin-on-disc tribo-tester against Al2O3 ball. Results showed that hardness, elastic modulus and adhesion resistance of double layer coated samples were higher than untreated CP-Ti. It was found that these properties of TiO2/SiO2 double layer coatings have higher than SiO2/TiO2 double layer coating. Additionally, the lowest friction coefficient and wear rates were obtained from TiO2/SiO2 double layer coatings. Therefore, it was seen that phase structure, hardness and film adhesion are important factors on the tribological properties of double layer coatings.

  17. Detection of λ-cyhalothrin by a core-shell spherical SiO2-based surface thin fluorescent molecularly imprinted polymer film.

    PubMed

    Gao, Lin; Han, Wenjuan; Li, Xiuying; Wang, Jixiang; Yan, Yongsheng; Li, Chunxiang; Dai, Jiangdong

    2015-12-01

    A fluorescent core-shell molecularly imprinted polymer based on the surface of SiO2 beads was synthesized and its application in the fluorescence detection of ultra-trace λ-cyhalothrin (LC) was investigated. The shell was prepared by copolymerization of acrylamide with allyl fluorescein in the presence of LC to form recognition sites. The experimental results showed that the thin fluorescent molecularly imprinted polymer (FMIP) film exhibited better selective recognition ability than fluorescent molecularly non-imprinted polymer (FNIP). A new nonlinear relationship between quenching rate and concentration was found in this work. In addition, the nonlinear relationship allowed a lower concentration range of 0-5.0 nM to be described by the Stern-Volmer equation with a correlation coefficient of 0.9929. The experiment results revealed that the SiO2@FMIP was satisfactory as a recognition element for determination of LC in soda water samples. Therefore this study demonstrated the potential of MIP for the recognition and detection of LC in food.

  18. Direct Imaging and First Principles Studies of Si3N4/SiO2 Interface

    NASA Astrophysics Data System (ADS)

    Walkosz, Weronika; Klie, Robert; Ogut, Serdar; Mikijelj, Bilijana; Pennycook, Stephen; Idrobo, Juan C.

    2010-03-01

    It is well known that the composition of the integranular films (IGFs) in sintered polycrystalline silicon nitride (Si3N4) ceramics controls many of their physical and mechanical properties. A considerable effort has been made to characterize these films on the atomic scale using both experimental and theoretical methods. In this talk, we present results from a combined atomic-resolution Z-contrast and annular bright field imaging, electron energy-loss spectroscopy, as well as ab initio studies of the interface between β-Si3N4 (10-10) and SiO2 intergranular film. Our results show that O replaces N at the interface between the two materials in agreement with our theoretical calculations and that N is present in the SiO2 IGF. Moreover, they indicate the presence of atomic columns completing Si3N4 open rings, which have not been observed experimentally at the recently imaged Si3N4/rare-earth oxides interfaces, but have been predicted theoretically on bare Si3N4 surfaces. The structural and electronic variations at the Si3N4/SiO2 interface will be discussed in detail, focusing in particular on bonding characteristics.

  19. Orthogonally superimposed laser-induced periodic surface structures (LIPSS) upon nanosecond laser pulse irradiation of SiO2/Si layered systems

    NASA Astrophysics Data System (ADS)

    Nürnberger, Philipp; Reinhardt, Hendrik M.; Kim, Hee-Cheol; Pfeifer, Erik; Kroll, Moritz; Müller, Sandra; Yang, Fang; Hampp, Norbert A.

    2017-12-01

    In this study we examined the formation of laser-induced periodic surface structures (LIPSS) on silicon (Si) in dependence on the thickness of silicon-dioxide (SiO2) on top. LIPSS were generated in air by linearly polarized ≈8 nanosecond laser pulses with a fluence per pulse of 2.41 J cm-2 at a repetition rate of 100 kHz. For SiO2 layers <80 nm, LIPSS oriented perpendicular to the laser polarization were obtained, but for SiO2 layers >120 nm parallel oriented LIPSS were observed. In both cases the periodicity was about 80-90% of the applied laser wavelength (λ0 = 532 nm). By variation of the SiO2 layer thickness in the range between 80 nm-120 nm, the dominating orientation changes. Even orthogonally superimposed LIPSS with a periodicity of only 60% of the laser wavelength were found. We show that the transition of the orientation direction of LIPSS is related to the penetration depth of surface plasmon polariton (SPP) fields into the oxide layer.

  20. Pulsed laser-deposited VO2 thin films on Pt layers

    NASA Astrophysics Data System (ADS)

    Sakai, Joe; Zaghrioui, Mustapha; Ta Phuoc, Vinh; Roger, Sylvain; Autret-Lambert, Cécile; Okimura, Kunio

    2013-03-01

    VO2 films were deposited on Pt (111)/TiO2/SiO2/Si (001) substrates by means of a pulsed laser deposition technique. An x-ray diffraction peak at 2θ = 39.9° was deconvoluted into two pseudo-Voigt profiles of Pt (111) and VOx-originated components. The VOx diffraction peak was more obvious in a VOx/Pt (111)/Al2O3 (0001) sample, having a narrower width compared with a VO2/Al2O3 (0001) sample. Temperature-controlled Raman spectroscopy for the VOx/Pt/TiO2/SiO2/Si sample has revealed the monoclinic VO2 phase at low temperature and the structural phase transition at about 72 °C in a heating process. The electronic conductive nature at the high temperature phase was confirmed by near normal incidence infrared reflectivity measurements. Out-of-plane current-voltage characteristics showed an electric field-induced resistance switching at a voltage as low as 0.2 V for a 50 nm-thick film. A survey of present and previous results suggests an experimental law that the transition voltage of VO2 is proportional to the square root of the electrodes distance.

  1. Intensity analysis of XPS spectra to determine oxide uniformity - Application to SiO2/Si interfaces

    NASA Technical Reports Server (NTRS)

    Vasquez, R. P.; Grunthaner, F. J.

    1980-01-01

    A simple method of determining oxide uniformity is derived which requires no knowlege of film thickness, escape depth, or film composition. The method involves only the measurement of oxide and substrate intensities and is illustrated by analysis of XPS spectral data for thin SiO2 films grown both thermally and by low-temperature chemical vapor deposition on monocrystalline Si. A region 20-30 A thick is found near the SiO2/Si interface on thermally oxidized samples which has an inelastic mean free path 35% less than that found in the bulk oxide. This is interpreted as being due to lattice mismatch resulting in a strained region which is structurally, but not stoichiometrically, distinct from the bulk oxide.

  2. Radiation Hardened Silicon-on-Insulator Structures with N+ Ion Modified Buried SiO2 Layer

    NASA Astrophysics Data System (ADS)

    Tyschenko, I. E.; Popov, V. P.

    2009-12-01

    Radiation-resistant silicon-on-insulator structures were produced by N+ ion implantation into thermally grown SiO2 film and subsequent hydrogen transfer of the Si layer to the nitrogen-implanted substrate under conditions of vacuum wafer bonding. Accumulation of the carriers in the buried SiO2 was investigated as a function of fluence of nitrogen ions in the range (1-6)×1015 cm2 and as a function of total radiation dose ranging from 104 to 107 rad (Si). It was found that the charge generated near the nitrided bonding interface was reduced by a factor of four compared to the thermal SiO2/Si interface.

  3. Screen-Printed Photochromic Textiles through New Inks Based on SiO2@naphthopyran Nanoparticles.

    PubMed

    Pinto, Tânia V; Costa, Paula; Sousa, Céu M; Sousa, Carlos A D; Pereira, Clara; Silva, Carla J S M; Pereira, Manuel Fernando R; Coelho, Paulo J; Freire, Cristina

    2016-10-26

    Photochromic silica nanoparticles (SiO 2 @NPT), fabricated through the covalent immobilization of silylated naphthopyrans (NPTs) based on 2H-naphtho[1,2-b]pyran (S1, S2) and 3H-naphtho[2,1-b]pyran (S3, S4) or through the direct adsorption of the parent naphthopyrans (1, 3) onto silica nanoparticles (SiO 2 NPs), were successfully incorporated onto cotton fabrics by a screen-printing process. Two aqueous acrylic- (AC-) and polyurethane- (PU-) based inks were used as dispersing media. All textiles exhibited reversible photochromism under UV and solar irradiation, developing fast responses and intense coloration. The fabrics coated with SiO 2 @S1 and SiO 2 @S2 showed rapid color changes and high contrasts (ΔE* ab = 39-52), despite presenting slower bleaching kinetics (2-3 h to fade to the original color), whereas the textiles coated with SiO 2 @S3 and SiO 2 @S4 exhibited excellent engagement between coloration and decoloration rates (coloration and fading times of 1 and 2 min, respectively; ΔE* ab = 27-53). The PU-based fabrics showed excellent results during the washing fastness tests, whereas the AC-based textiles evidenced good results only when a protective transfer film was applied over the printed design.

  4. Structural and electromagnetic properties of NiAlxFe2-xO4/SiO2 nanocomposite films deposited using a sol-gel spin-coating method

    NASA Astrophysics Data System (ADS)

    Wang, Li; Li, Xuejian; Li, Ji; Liu, Mei; Xu, Shichong; Li, Haibo

    2017-12-01

    NiAlxFe2-xO4/SiO2 (0 ≤ x ≤ 1.0) nanocomposite films deposited on Si(1 0 0) substrates were prepared by a sol-gel spin-coating method. The influences of Al3+ content and annealing temperature on the structural and electromagnetic properties of the nanocomposite films were investigated. The results indicated that NiAlxFe2-xO4 in the nanocomposite films crystallized after annealing at 1073 K and above. When the doping content x increased from 0 to 1.0, the lattice constants and the average crystallite sizes of the NiAlxFe2-xO4 nanoparticles decreased. The saturation magnetization and coercivity of the films were inversely proportional to the Al3+ content. The maximum value of saturation magnetization (361.6 emu/cm3) and the minimum value of coercivity (18.6 kA/m) were obtained for x of 0.2. When the annealing temperature increased from 1073 to 1473 K, the lattice constant and the average crystallite size of the NiAl0.2Fe1.8O4 nanoparticles increased from 0.8322 to 0.8349 nm and 4 to 28 nm, respectively, and the saturation magnetization and coercivity of the films increased from 214.8 to 464.5 emu/cm3 and 8.2 to 26.9 kA/m, respectively. Moreover, the DC resistivity of the films increased with increasing Al3+ content and annealing temperature.

  5. Transparent SiO2-Ag core-satellite nanoparticle assembled layer for plasmonic-based chemical sensors

    NASA Astrophysics Data System (ADS)

    Chen, Tsung-Han; Jean, Ren-Der; Chiu, Kuo-Chuang; Chen, Chun-Hua; Liu, Dean-Mo

    2012-05-01

    We discovered a promising sensing capability of SiO2@Ag core-satellite nanoparticles with respect to organic melamine when they were consolidated into a solid-type thin-film entity. A series of theoretical models were proposed which provided calculation outcomes superior to those of existing models for the localized surface plasmon resonance spectra of the solid-state assemblies. We envisioned not only that such a SiO2@Ag film is a potential candidate for a transparent solid-state optical nanosensor for the detection of organic molecules but also that the resulting plasmonic resonance model facilitates a better understanding of such a solid-state nanosensor used for a number of sensory applications.

  6. Report on cascade energy relaxation from PVP to Tb3+:Bi2SiO5 nanophosphor through salicylic acid in composite polymeric film

    NASA Astrophysics Data System (ADS)

    Kumari, Pushpa; Dwivedi, Y.

    2018-05-01

    The present article reports structural and spectroscopic properties of Tb:Bi2SiO5 nanophosphors dispersed in Polyvinylpyrrolidone polymer film, in presence of Salicylic acid (SA) molecule, which acts as a sensitizer. Detailed structural and spectroscopic characterizations were carried out using X-ray diffraction patterns, Scanning Electron Microscope, Fourier Transform Infrared and Excitation and photoluminescence techniques. The mean crystallite size of Tb3+:Bi2SiO5 nanophosphor and Tb3+:Bi2SiO5 in Polyvinylpyrrolidone polymer composite was estimated ∼22 nm and ∼28 nm, respectively. We have report atleast two times enhancement in Tb3+ ions emission intensity due to the efficient energy transfer from salicylic acid molecule to Tb ions. In addition to energy transfer from salicylic acid, the Polyvinylpyrrolidone polymeric host was also reported to serve as a sensitizer for SA molecule and Tb3+ ions through a cascade energy relaxation process while exciting with 248 nm photons. On 248 nm photon excitation, atleast five improvements in Tb3+ ion emission intensity are reported. Presence of SA molecule facilitates precise colour tuning as obvious from the CIE coordinates.

  7. Magnetization and anomalous Hall effect in SiO2/Fe/SiO2 trilayers

    NASA Astrophysics Data System (ADS)

    Sekhar Das, Sudhansu; Senthil Kumar, M.

    2017-03-01

    SiO2/Fe/SiO2 sandwich structure films fabricated by sputtering were studied by varying the Fe layer thickness (t Fe). The structural and microstructural studies on the samples showed that the Fe layer has grown in nanocrystalline form with (1 1 0) texture and that the two SiO2 layers are amorphous. Magnetic measurements performed with the applied field in in-plane and perpendicular direction to the film plane confirmed that the samples are soft ferromagnetic having strong in-plane magnetic anisotropy. The temperature dependence of magnetization shows complex behavior with the coexistence of both ferromagnetic and superparamagnetic properties. The transport properties of the samples as studied through Hall effect measurements show anomalous Hall effect (AHE). An enhancement of about 14 times in the saturation anomalous Hall resistance (R\\text{hs}\\text{A} ) was observed upon reducing the t Fe from 300 to 50 Å. The maximum value of R\\text{hs}\\text{A}   =  2.3 Ω observed for t Fe  =  50 Å sample is about 4 orders of magnitude larger than that reported for bulk Fe. When compared with the single Fe film, a maximum increase of about 56% in the R\\text{hs}\\text{A} was observed in sandwiched Fe (50 Å) film. Scaling law suggests that the R s follows the longitudinal resistivity (ρ) as, {{R}\\text{s}}\\propto {ρ1.9} , suggesting side jump as the dominant mechanism of the AHE. A maximum enhancement of about 156% in the sensitivity S was observed.

  8. Focused electron beam induced deposition of pure SIO II

    NASA Astrophysics Data System (ADS)

    Perentes, Alexandre; Hoffmann, Patrik; Munnik, Frans

    2007-02-01

    Focused electron beam induced processing (FEBID) equipments are the "all in one" tools for high resolution investigation, and modification of nano-devices. Focused electron beam induced deposition from a gaseous precursor usually results in a nano-composite sub-structured material, in which the interesting material is embedded in an amorphous carbonaceous matrix. Using the Hydrogen free tetraisocyanatosilane Si(NCO) 4 molecule as Si source, we show how a controlled oxygen flux, simultaneously injected with the precursor vapors, causes contaminants to vanish from the FEB deposits obtained and leads to the deposition of pure SiO II. The chemical composition of the FEBID material could be controlled from SiC IINO 3 to SiO II, the latter containing undetectable foreign element contamination. The [O II] / [TICS] ratio needed to obtain SiO II in our FEB deposition equipment is larger than 300. The evolution of the FEBID material chemical composition is presented as function of the [O II] / [TICS] molecular flux ratios. A hypothetical decomposition pathway of this silane under these conditions is discussed based on the different species formed under electron bombardment of TICS. Transmission electron microscopy investigations demonstrated that the deposited oxide is smooth (roughness sub 2nm) and amorphous. Infrared spectroscopy confirmed the low concentration of hydroxyl groups. The Hydrogen content of the deposited oxide, measured by elastic recoil detection analysis, is as low as 1 at%. 193nm wavelength AIMS investigations of 125nm thick SiO II pads (obtained with [O II] / [TICS] = 325) showed an undetectable light absorption.

  9. Effect of the Ti/Si ratio of spin coating solutions on surface passivation of crystalline silicon by TiO x -SiO x composite films

    NASA Astrophysics Data System (ADS)

    Yoshiba, Shuhei; Tanitsu, Katsuya; Suda, Yoshiyuki; Kamisako, Koichi

    2017-06-01

    Passivation films or antireflection coatings are generally prepared using costly vacuum or high-temperature processes. Thus, we report the preparation of TiO x -SiO x composite films by novel spin coatable solutions for the synthesis of low-cost passivation coating materials. The desired films were formed by varying the mixing ratios of TiO x and SiO x , and the resulting films exhibited excellent surface passivation properties. For the p-type wafer, an optimal effective surface recombination velocity (S eff) of 93 cm/s was achieved at \\text{TiO}x:\\text{SiO}x = 6:4, while a surface recombination current density (J 0s) of 195 fA/cm2 was obtained. In contrast, for the n-type wafer, an S eff of 27 cm/s and a J 0s of 38 fA/cm2 were achieved at \\text{TiO}x:\\text{SiO}x = 8:2. This excellent surface passivation effect could be attributed to the low interface state density and high positive fixed charge density. Furthermore, the thickness of the interfacial SiO x layer was determined to be important for obtaining the desired surface passivation effect.

  10. Structural enhancement of ZnO on SiO2 for photonic applications

    NASA Astrophysics Data System (ADS)

    Ruth, Marcel; Meier, Cedrik

    2013-07-01

    Multi-layer thin films are often the basis of photonic devices. Zinc oxide (ZnO) with its excellent optoelectronic properties can serve as a high quality emitter in structures like microdisks or photonic crystals. Here, we present a detailed study on the enhancement of the structural properties of low-temperature MBE grown ZnO on silica (SiO2). By thermal annealing a grain coalescence of the initially polycrystalline layer leads to an enhancement of the electronic structure, indicated by a blue shift of the photoluminescence (PL) signal maximum. Oxygen atmosphere during the annealing process prevents the creation of intrinsic defects by out-diffusion. Pre-annealing deposited SiO2 capping layers instead obstruct the recrystallization and lead to less intense emission. While thin capping layers partially detach from the ZnO film at high temperatures and cause higher surface roughness and the weakest emission, thicker layers remain smoother and exhibit a significantly stronger photoluminescence.

  11. Cellulose acetate-based SiO2/TiO2 hybrid microsphere composite aerogel films for water-in-oil emulsion separation

    NASA Astrophysics Data System (ADS)

    Yang, Xue; Ma, Jianjun; Ling, Jing; Li, Na; Wang, Di; Yue, Fan; Xu, Shimei

    2018-03-01

    The cellulose acetate (CA)/SiO2-TiO2 hybrid microsphere composite aerogel films were successfully fabricated via water vapor-induced phase inversion of CA solution and simultaneous hydrolysis/condensation of 3-aminopropyltrimethoxysilane (APTMS) and tetrabutyl titanate (TBT) at room temperature. Micro-nano hierarchical structure was constructed on the surface of the film. The film could separate nano-sized surfactant-stabilized water-in-oil emulsions only under gravity. The flux of the film for the emulsion separation was up to 667 L m-2 h-1, while the separation efficiency was up to 99.99 wt%. Meanwhile, the film exhibited excellent stability during multiple cycles. Moreover, the film performed excellent photo-degradation performance under UV light due to the photocatalytic ability of TiO2. Facile preparation, good separation and potential biodegradation maked the CA/SiO2-TiO2 hybrid microsphere composite aerogel films a candidate in oil/water separation application.

  12. Surface modified SiO2 nanoparticles by thiamine and ultrasonication synthesis of PCL/SiO2-VB1 NCs: Morphology, thermal, mechanical and bioactivity investigations.

    PubMed

    Mallakpour, Shadpour; Khani, Zahra

    2018-03-01

    The influence of silica (SiO 2 ) nanoparticles (NPs) on the properties of polycaprolactone (PCL) was investigated. Due to the intense tendency of SiO 2 NPs to aggregation and their high surface energy, the surface of SiO 2 NPs was treatment via Vitamin B 1 (VB 1 ) as a biosafe coupling agent. Novel PCL/SiO 2 -VB 1 nanocomposites (NC) films by variety of percentage of SiO 2 -VB 1 NPs were prepared under ultrasonic irradiation as an eco-friendly and fast procedure following by casting method. Fourier transform infrared spectroscopy and energy dispersive X-ray analysis exposed the presence of SiO 2 NPs into the polymer matrix. A good distribution of the silica into the polymer matrix was detected by microscopic observations and EDX testing. According to the UV-Vis spectra, the absorption of prepared NCs was improved via increasing the amount of SiO 2 NPs. PCL/SiO 2 -VB 1 NCs showed more thermal stability compared to the pure polymer. The tensile test was investigated and good arrangement among the experimental data and the predicted flexibility of NCs was obtained. Moreover, PCL/SiO 2 -VB 1 6wt% had noticeable increase values for tensile strength. Finally, in vitro bioactivity investigation designated that by rising SiO 2 contents in the NCs, the amount of the hydroxyapatite formed was increased and NC films are bioactive and have a potential to be utilized in bone tissue engineering. Copyright © 2017 Elsevier B.V. All rights reserved.

  13. Positron annihilation in SiO 2-Si studied by a pulsed slow positron beam

    NASA Astrophysics Data System (ADS)

    Suzuki, R.; Ohdaira, T.; Uedono, A.; Kobayashi, Y.

    2002-06-01

    Positron and positronium (Ps) behavior in SiO 2-Si have been studied by means of positron annihilation lifetime spectroscopy (PALS) and age-momentum correlation (AMOC) spectroscopy with a pulsed slow positron beam. The PALS study of SiO 2-Si samples, which were prepared by a dry-oxygen thermal process, revealed that the positrons implanted in the Si substrate and diffused back to the interface do not contribute to the ortho-Ps long-lived component, and the lifetime spectrum of the interface has at least two components. From the AMOC study, the momentum distribution of the ortho-Ps pick-off annihilation in SiO 2, which shows broader momentum distribution than that of crystalline Si, was found to be almost the same as that of free positron annihilation in SiO 2. A varied interface model was proposed to interpret the results of the metal-oxide-semiconductor (MOS) experiments. The narrow momentum distribution found in the n-type MOS with a negative gate bias voltage could be attributed to Ps formation and rapid spin exchange in the SiO 2-Si interface. We have developed a two-dimensional positron lifetime technique, which measures annihilation time and pulse height of the scintillation gamma-ray detector for each event. Using this technique, the positronium behavior in a porous SiO 2 film, grown by a sputtering method, has been studied.

  14. Luminescence of Eu(3+) doped SiO2 Thin Films and Glass Prepared by Sol-gel Technology

    NASA Technical Reports Server (NTRS)

    Castro, Lymari; Jia, Weiyi; Wang, Yanyun; Santiago, Miguel; Liu, Huimin

    1998-01-01

    Trivalent europium ions are an important luminophore for lighting and display. The emission of (5)D0 to (7)F2 transition exhibits a red color at about 610 nm, which is very attractive and fulfills the requirement for most red-emitting phosphors including lamp and cathode ray phosphorescence materials. Various EU(3+) doped phosphors have been developed, and luminescence properties have been extensively studied. On the other hand, sol-gel technology has been well developed by chemists. In recent years, applications of this technology to optical materials have drawn a great attention. Sol-gel technology provides a unique way to obtain homogeneous composition distribution and uniform doping, and the processing temperature can be very low. In this work, EU(3+) doped SiO2 thin films and glasses were prepared by sol-gel technology and their spectroscopic properties were investigated.

  15. Stepwise mechanism and H2O-assisted hydrolysis in atomic layer deposition of SiO2 without a catalyst.

    PubMed

    Fang, Guo-Yong; Xu, Li-Na; Wang, Lai-Guo; Cao, Yan-Qiang; Wu, Di; Li, Ai-Dong

    2015-01-01

    Atomic layer deposition (ALD) is a powerful deposition technique for constructing uniform, conformal, and ultrathin films in microelectronics, photovoltaics, catalysis, energy storage, and conversion. The possible pathways for silicon dioxide (SiO2) ALD using silicon tetrachloride (SiCl4) and water (H2O) without a catalyst have been investigated by means of density functional theory calculations. The results show that the SiCl4 half-reaction is a rate-determining step of SiO2 ALD. It may proceed through a stepwise pathway, first forming a Si-O bond and then breaking Si-Cl/O-H bonds and forming a H-Cl bond. The H2O half-reaction may undergo hydrolysis and condensation processes, which are similar to conventional SiO2 chemical vapor deposition (CVD). In the H2O half-reaction, there are massive H2O molecules adsorbed on the surface, which can result in H2O-assisted hydrolysis of the Cl-terminated surface and accelerate the H2O half-reaction. These findings may be used to improve methods for the preparation of SiO2 ALD and H2O-based ALD of other oxides, such as Al2O3, TiO2, ZrO2, and HfO2.

  16. Stepwise mechanism and H2O-assisted hydrolysis in atomic layer deposition of SiO2 without a catalyst

    NASA Astrophysics Data System (ADS)

    Fang, Guo-Yong; Xu, Li-Na; Wang, Lai-Guo; Cao, Yan-Qiang; Wu, Di; Li, Ai-Dong

    2015-02-01

    Atomic layer deposition (ALD) is a powerful deposition technique for constructing uniform, conformal, and ultrathin films in microelectronics, photovoltaics, catalysis, energy storage, and conversion. The possible pathways for silicon dioxide (SiO2) ALD using silicon tetrachloride (SiCl4) and water (H2O) without a catalyst have been investigated by means of density functional theory calculations. The results show that the SiCl4 half-reaction is a rate-determining step of SiO2 ALD. It may proceed through a stepwise pathway, first forming a Si-O bond and then breaking Si-Cl/O-H bonds and forming a H-Cl bond. The H2O half-reaction may undergo hydrolysis and condensation processes, which are similar to conventional SiO2 chemical vapor deposition (CVD). In the H2O half-reaction, there are massive H2O molecules adsorbed on the surface, which can result in H2O-assisted hydrolysis of the Cl-terminated surface and accelerate the H2O half-reaction. These findings may be used to improve methods for the preparation of SiO2 ALD and H2O-based ALD of other oxides, such as Al2O3, TiO2, ZrO2, and HfO2.

  17. Local atomic and electronic structure of oxide/GaAs and SiO2/Si interfaces using high-resolution XPS

    NASA Technical Reports Server (NTRS)

    Grunthaner, F. J.; Grunthaner, P. J.; Vasquez, R. P.; Lewis, B. F.; Maserjian, J.; Madhukar, A.

    1979-01-01

    The chemical structures of thin SiO2 films, thin native oxides of GaAs (20-30 A), and the respective oxide-semiconductor interfaces, have been investigated using high-resolution X-ray photoelectron spectroscopy. Depth profiles of these structures have been obtained using argon ion bombardment and wet chemical etching techniques. The chemical destruction induced by the ion profiling method is shown by direct comparison of these methods for identical samples. Fourier transform data-reduction methods based on linear prediction with maximum entropy constraints are used to analyze the discrete structure in oxides and substrates. This discrete structure is interpreted by means of a structure-induced charge-transfer model.

  18. Formation of mono-layered gold nanoparticles in shallow depth of SiO 2 thin film by low-energy negative-ion implantation

    NASA Astrophysics Data System (ADS)

    Tsuji, H.; Arai, N.; Ueno, K.; Matsumoto, T.; Gotoh, N.; Adachi, K.; Kotaki, H.; Gotoh, Y.; Ishikawa, J.

    2006-01-01

    Mono-layered gold nanoparticles just below the surface of silicon oxide film have been formed by a gold negative-ion implantation at a very low-energy, where the deviation of implanted atoms was sufficiently narrow comparing to the size of nanoparticles. Gold negative ions were implanted into SiO2 thin films on Si substrate at energies of 35, 15 and 1 keV. The samples were annealed in Ar flow for 1 h at 900 or 1000 °C. Cross-sectional TEM observation for the implantation at 1 keV showed existence of Au nanoparticles aligned in the same depth of 5 nm from the surface. The nanoparticles had almost same diameter of 7 nm. The nanoparticles were found to be gold single crystal from a high-resolution TEM image.

  19. Orientation dependence of ferroelectric and piezoelectric properties of Bi3.15Nd0.85Ti3O12 thin films on Pt(100)/TiO2/SiO2/Si substrates

    NASA Astrophysics Data System (ADS)

    Hu, G. D.

    2006-11-01

    Bi3.15Nd0.85Ti3O12 (BNT0.85) thin films with (100) [α(100)=87.8%], (117) [α(117)=77.1%], and (001) [α(001)=98.8%] preferred orientations were deposited on Pt(100)/TiO2/SiO2/Si substrates using a metal organic decomposition process. The remanent polarization of (100)-predominant BNT0.85 film is about 50% and three times larger than those of (117)-preferred and (001)-oriented films, respectively, suggesting that the major polarization vector of BNT0.85 is close to the a axis rather than the c axis. This result can be further demonstrated by the piezoelectric measurements using an atomic force microscope in the piezoresponse mode.

  20. Proton trapping in SiO 2 layers thermally grown on Si and SiC

    NASA Astrophysics Data System (ADS)

    Afanas'ev, V. V.; Ciobanu, F.; Pensl, G.; Stesmans, A.

    2002-11-01

    Positive charging of thermal SiO 2 layers on (1 0 0)Si and (0 0 0 1)6H-, 4H-SiC related to trapping of protons is studied using low-energy proton implantation into the oxide, and compared to the trapping of holes generated by 10-eV photons. Proton trapping has an initial probability close to 100% and shows little sensitivity to the annealing-induced oxygen deficiency of SiO 2. In contrast to protons, hole trapping in as-grown SiO 2 shows a much lower efficiency which increases upon oxide annealing, in qualitative correlation with the higher density of O 3Si• defects (E' centers) detected by electron spin resonance after hole injection. Despite these differences, the neutralization of positive charges induced by holes and protons has the same cross-section, and in both cases is accompanied by liberation of atomic H suggesting that protons account for positive charge in both cases. The rupture of Si-O bonds in the oxide observed upon proton injection suggests, as a first basic step, the bonding of a proton to a bridging oxygen atom in SiO 2 network.

  1. Soft nanoimprint lithography on SiO2 sol-gel to elaborate sensitive substrates for SERS detection

    NASA Astrophysics Data System (ADS)

    Hamouda, Frédéric; Bryche, Jean-François; Aassime, Abdelhanin; Maillart, Emmanuel; Gâté, Valentin; Zanettini, Silvia; Ruscica, Jérémy; Turover, Daniel; Bartenlian, Bernard

    2017-12-01

    This paper presents a new alternative fabrication of biochemical sensor based on surface enhanced Raman scattering (SERS) by soft nanoimprint lithography (S-NIL) on SiO2 sol-gel. Stabilization of the sol-gel film is obtained by annealing which simplifies the manufacturing of these biosensors and is compatible with mass production at low cost. This detector relies on a specific pattern of gold nanodisks on a thin gold film to obtain a better sensitivity of molecules' detection. Characterizations of SERS devices were performed on a confocal Raman microspectrophotometer after a chemical functionalization. We report a lateral collapse effect on poly(diméthylsiloxane) (PDMS) stamp for specific nanostructure dimensions. This unintentional effect is used to evaluate S-NIL resolution in SiO2 sol-gel.

  2. The improvement of SiO2 nanotubes electrochemical behavior by hydrogen atmosphere thermal treatment

    NASA Astrophysics Data System (ADS)

    Spataru, Nicolae; Anastasescu, Crina; Radu, Mihai Marian; Balint, Ioan; Negrila, Catalin; Spataru, Tanta; Fujishima, Akira

    2018-06-01

    Highly defected SiO2 nanotubes (SiO2-NT) were obtained by a simple sol-gel procedure followed by calcination. Boron-doped diamond (BDD) polycrystalline films coated with SiO2-NT were used as working electrodes and, unexpectedly, cyclic voltammetric experiments have shown that the concentration of both positive and negative defects at the surface is high enough to enable redox processes involving positively charged Ru(bpy)32+/3+ to occur. Conversely, no electrochemical activity was put into evidence for Fe(CN)63-/4- species, most likely as a result of the strong electrostatic repulsion exerted by the negatively charged SiO2 surface. The concentration of surface defects was further increased by a subsequent thermal treatment in a hydrogen atmosphere which, as EIS measurements have shown, significantly promotes Ru(bpy)32+ anodic oxidation. Digital simulation of the voltammetric responses demonstrated that this treatment does not lead to a similar increase of the number of electron-donor sites. It was also found that methanol anodic oxidation at hydrogenated SiO2-NT-supported platinum results in Tafel slopes of 116-220 mV decade-1, comparable to those reported for both conventional PtRu and Pt-oxide catalysts.

  3. Highly transparent and efficient counter electrode using SiO2/PEDOT-PSS composite for bifacial dye-sensitized solar cells.

    PubMed

    Song, Dandan; Li, Meicheng; Li, Yingfeng; Zhao, Xing; Jiang, Bing; Jiang, Yongjian

    2014-05-28

    A highly transparent and efficient counter electrode was facilely fabricated using SiO2/poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT-PSS) inorganic/organic composite and used in bifacial dye-sensitized solar cells (DSCs). The optical properties of SiO2/PEDOT-PSS electrode can be tailored by the blending amount of SiO2 and film thickness, and the incorporation of SiO2 in PEDOT-PSS provides better transmission in the long wavelength range. Meanwhile, the SiO2/PEDOT-PSS counter electrode shows a better electrochemical catalytic activity than PEDOT-PSS electrode for triiodide reduction, and the role of SiO2 in the catalytic process is investigated. The bifacial DSC with SiO2/PEDOT-PSS counter electrode achieves a high power conversion efficiency (PCE) of 4.61% under rear-side irradiation, which is about 83% of that obtained under front-side irradiation. Furthermore, the PCE of bifacial DSC can be significantly increased by adding a reflector to achieve bifacial irradiation, which is 39% higher than that under conventional front-side irradiation.

  4. Synthesis of Copper-Based Nanostructured Catalysts on SiO2-Al2O3, SiO2-TiO2, and SiO2-ZrO2 Supports for NO Reduction.

    PubMed

    Namkhang, Pornpan; Kongkachuichay, Paisan

    2015-07-01

    The selective catalytic reduction of NO over a series of Cu-based catalysts supported on modified silica including SiO2-Al2O3, SiO2-TiO2, and SiO2-ZrO2 prepared via a sol-gel process and a flame spray pyrolysis (FSP) was studied. The prepared catalysts were characterized by means of TEM, XRD, XRF, TPR, and nitrogen physisorption measurement techniques, to determine particle diameter, morphology, crystallinity, phase composition, copper reducibility, surface area, and pore size of catalysts. The particles obtained from sol-gel method were almost spherical while the particles obtained from the FSP were clearly spherical and non-porous nanosized particles. The effects of Si:Al, Si:Ti, and Si:Zr molar ratio of precursor were identified as the domain for different crystalline phase of materials. It was clearly seen that a high SiO2 content inhibited the crystallization of materials. The BET surface area of catalysts obtained from sol-gel method was higher than that from the FSP and it shows that surface area increased with increasing SiO2 molar ratio due to high surface area from SiO2. The catalyst performances were tested for the selective catalytic reduction of NO with H2. It was found that the catalyst prepared over 7 wt% Cu on Si02-Al2O3 support was the most active compared with the others which converted NO as more than 70%. Moreover, the excess copper decreased the performance of NO reduction, due to the formation of CuO agglomeration covered on the porous silica as well as the alumina surface, preventing the direct contact of CO2 and AL2O3.

  5. Tunable Wetting Property in Growth Mode-Controlled WS2 Thin Films

    NASA Astrophysics Data System (ADS)

    Choi, Byoung Ki; Lee, In Hak; Kim, Jiho; Chang, Young Jun

    2017-04-01

    We report on a thickness-dependent wetting property of WS2/Al2O3 and WS2/SiO2/Si structures. We prepared WS2 films with gradient thickness by annealing thickness-controlled WO3 films at 800 °C in sulfur atmosphere. Raman spectroscopy measurements showed step-like variation in the thickness of WS2 over substrates several centimeters in dimension. On fresh surfaces, we observed a significant change in the water contact angle depending on film thickness and substrate. Transmission electron microscopy analysis showed that differences in the surface roughness of WS2 films can account for the contrasting wetting properties between WS2/Al2O3 and WS2/SiO2/Si. The thickness dependence of water contact angle persisted for longer than 2 weeks, which demonstrates the stability of these wetting properties when exposed to air contamination.

  6. A kinetic model for the thermal nitridation of SiO2/Si

    NASA Technical Reports Server (NTRS)

    Vasquez, R. P.; Madhukar, A.

    1986-01-01

    To explain the observed nitrogen distributions in thermally nitridated SiO2 films, a kinetic model is proposed in which the nitridation process is simulated, using the first-order chemical kinetics and Arrhenius dependence of the diffusion and reaction rates on temperature. The calculations show that initially, as the substrate reacts with diffusing nitrogen, a nitrogen-rich oxynitride forms at the SiO2-Si interface, while at nitridation temperatures above 1000 C, an oxygen-rich oxynitride subsequently forms at the interface, due to reaction of the substrate with an increasingly concentrated oxygen displaced by the slower nitridation of the SiO2. This sequence of events results in a nitrogen distribution in which the peak of the interfacial nitrogen concentration occurs away from the interface. The results are compared with the observed nitrogen distribution. The calculated results have correctly predicted the positions of the interfacial nitrogen peaks at the temperatures of 800, 1000, and 1150 C. To account for the observed width of the interfacial nitrogen distribution, it was found necessary to include in the simulations the effect of interfacial strain.

  7. Enhanced energy density and thermostability in polyimide nanocomposites containing core-shell structured BaTiO3@SiO2 nanofibers

    NASA Astrophysics Data System (ADS)

    Wang, Junchuan; Long, Yunchen; Sun, Ying; Zhang, Xueqin; Yang, Hong; Lin, Baoping

    2017-12-01

    High energy density polymer nanocomposites with high-temperature resistance are quite desirable for film capacitors and many other power electronics. In this study, polyimide-based (PI) nanocomposite films containing the core-shell structured barium titanate@silicon dioxide (BT@SiO2) nanofibers have been successfully synthesized by the solution casting method. In the BT@SiO2/PI nanocomposite films, the dielectric permittivity as well as the breakdown strength increase significantly. The SiO2 shell layers with moderate dielectric permittivity could effectively mitigate the local field concentration induced by the large mismatch between the dielectric permittivity of BT and PI, which contributes to the enhancement of the breakdown strength of the PI nanocomposite films. As a result, the PI nanocomposite film filled with 3 vol% BT@SiO2 nanofibers exhibits a maximal energy density of 2.31 J cm-3 under the field of 346 kV/mm, which is 62% over the pristine PI (1.42 J cm-3 at 308 kV/mm) and about 200% greater than the best commercial polymer, i.e. biaxially oriented polypropylenes (BOPP) (≈1.2 J cm-3). The thermogravimetric analysis results indicate that the BT@SiO2/PI nanocomposite films have good thermal stability below 500 °C.

  8. Mass spectrometric studies of SiO2 deposition in an indirect plasma enhanced LPCVD system

    NASA Technical Reports Server (NTRS)

    Iyer, R.; Lile, D. L.; Mcconica, C. M.

    1993-01-01

    Reaction pathways for the low temperature deposition of SiO2 from silane and indirect plasma-excited oxygen-nitrogen mixtures are proposed based on experimental evidence gained from mass spectrometry in an indirect plasma enhanced chemical vapor deposition chamber. It was observed that about 80-85 percent of the silane was oxidized to byproduct hydrogen and only about 15-20 percent to water. Such conversion levels have led us to interpret that silanol (SiH3OH) could be the precursor for SiO2 film deposition, rather than siloxane /(SiH3)2O/ which has generally been cited in the literature. From mass spectrometry, we have also shown the effects of the plasma, and of mixing small amounts of N2 with the oxygen flow, in increasing the deposition rate of SiO2. Free radical reaction of nitric oxide, synthesized from the reaction of oxygen and nitrogen in the plasma chamber, and an *ncrease in atomic oxygen concentration, are believed to be the reasons for these SiO2 deposition rate increases. Through mass spectrometry we have, in addition, been able to identify products, presumably originating from terminating reactions, among a sequence of chemical reactions proposed for the deposition of SiO2.

  9. Improved Optical Transmittance and Crystal Characteristics of ZnS:TbOF Thin Film on Bi4Ti3O12/Indium Tin Oxide/Glass Substrate by Using a SiO2 Buffer Layer

    NASA Astrophysics Data System (ADS)

    Chia, Wei‑Kuo; Yokoyama, Meiso; Yang, Cheng‑Fu; Chiang, Wang‑Ta; Chen, Ying‑Chung

    2006-07-01

    Bi4Ti3O12 thin films are deposited on indium tin oxide (ITO)/glass substrates using RF magnetron sputtering technology and are annealed at 675 °C in a rapid thermal annealing furnace in an oxygen atmosphere. The resulting films have high optical transmittances and good crystalline characteristics. ZnS:TbOF films are then deposited on the Bi4Ti3O12 films, causing the originally highly transparent specimens to blacken and to resemble a glass surface coated with carbon powder. The optical transmittance of the specimen is less than 15% under the visible wavelength range, and neither a crystalline phase nor a distinct ZnS grain structure is evident in X-ray diffractometer (XRD) and scanning electronic microscope (SEM). Secondary ion mass spectrometer (SIMS) analysis reveals the occurrence of interdiffusion between the ZnS and Bi4Ti3O12 layers. This suggests that one or more unknown chemical reactions take place among the elements Bi, S, and O at the interface during the deposition of ZnS:TbOF film on a Bi4Ti3O12/ITO/glass substrate. These reactions cause the visible transmittance of the specimens to deteriorate dramatically. To prevent interdiffusion, a silicon dioxide (SiO2) buffer layer 100 nm thick was grown on the Bi4Ti3O12/ITO/glass substrate using plasma-enhanced chemical vapor deposition (PECVD), then the ZnS:TbOF film was grown on the SiO2 buffer layer. The transmittance of the resulting specimen is enhanced approximately 8-fold in the visible region. XRD patterns reveal the ZnS(111)-oriented phase is dominant. Furthermore, dense, crack-free ZnS:TbOF grains are observed by SEM. The results imply that the SiO2 buffer layer sandwiched between the ZnS:TbOF and Bi4Ti3O2 layers effectively separates the two layers. Therefore, interdiffusion and chemical reactions are prevented at the interface of the two layers, and the crystalline characteristics of the ZnS:TbOF layer and the optical transmittance of the specimen are improved as a result. Finally, the dielectric

  10. Spotting 2D atomic layers on aluminum nitride thin films.

    PubMed

    Chandrasekar, Hareesh; Bharadwaj B, Krishna; Vaidyuala, Kranthi Kumar; Suran, Swathi; Bhat, Navakanta; Varma, Manoj; Srinivasan Raghavan

    2015-10-23

    Substrates for 2D materials are important for tailoring their fundamental properties and realizing device applications. Aluminum nitride (AIN) films on silicon are promising large-area substrates for such devices in view of their high surface phonon energies and reasonably large dielectric constants. In this paper epitaxial layers of AlN on 2″ Si wafers have been investigated as a necessary first step to realize devices from exfoliated or transferred atomic layers. Significant thickness dependent contrast enhancements are both predicted and observed for monolayers of graphene and MoS2 on AlN films as compared to the conventional SiO2 films on silicon, with calculated contrast values approaching 100% for graphene on AlN as compared to 8% for SiO2 at normal incidences. Quantitative estimates of experimentally measured contrast using reflectance spectroscopy show very good agreement with calculated values. Transistors of monolayer graphene on AlN films are demonstrated, indicating the feasibility of complete device fabrication on the identified layers.

  11. Processing and optical properties of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides

    NASA Astrophysics Data System (ADS)

    Xiang, Qing; Zhou, Yan; Ooi, Boon Siew; Lam, Yee Loy; Chan, Yuen Chuen; Kam, Chan Hin

    2000-05-01

    We report here the processing and optical characterization of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides deposited on SOS substrates by the sol-gel route combined with spin-coating and rapid thermal annealing. The recipes used for preparing the solutions by sol-gel route are in mole ratio of 93SiO2:20AlO1.5: x ErO1.5. In order to verify the residual OH content in the films, FTIR spectra were measured and the morphology of the material by the XRD analysis. Five 2-layer films annealed at a maximum temperature of 500 degrees C, 700 degrees C, 900 degrees, 1000 degrees C, 1100 degrees C respectively were fabricated on silicon. The FTIR and XRD curves show that annealing at 1050 degrees C for 15s effectively removes the OH in the materia and keeps the material amorphous. The propagation loss of the planar waveguides was measured by using the method based on scattering in measurements and the result was obtained to be 1.54dB/cm. The fluorescence spectra were measured with 514nm wavelength of Ar+ laser by directly shining the pump beam on the film instead of prism coupling. The results show that the 1 mole Nd3+ content recipe has the strongest emission efficiency among the four samples investigated.

  12. Plasmon-induced charge separation at two-dimensional gold semishell arrays on SiO2@TiO2 colloidal crystals

    NASA Astrophysics Data System (ADS)

    Wu, Ling; Nishi, Hiroyasu; Tatsuma, Tetsu

    2015-10-01

    Photoelectrodes based on plasmonic Au semishell (or halfshell) arrays are developed. A colloidal crystal consisting of SiO2@TiO2 core-shell particles is prepared on a TiO2-coated transparent electrode. A Au semishell (or halfshell) array is deposited by sputtering or evaporation on the colloidal crystal. An electrode with the semishell (or halfshell) array exhibits negative photopotential shifts and anodic photocurrents under visible light at 500-800 nm wavelengths in an aqueous electrolyte containing an electron donor. In particular, hydroquinone and ethanol are good electron donors. The photocurrents can be explained in terms of plasmon-induced charge separation at the Au-TiO2 interface.

  13. Modeling of UV laser-induced patterning of ultrathin Co films on bulk SiO2: verification of short- and long-range ordering mechanisms

    NASA Astrophysics Data System (ADS)

    Trice, Justin; Favazza, Christopher; Kalyanaraman, Ramki; Sureshkumar, R.

    2006-03-01

    Irradiating ultrathin Co films (1 to 10 nm) by a short-pulsed UV laser leads to pattern formation with both short- and long-range order (SRO, LRO). Single beam irradiation produces SRO, while two-beam interference irradiation produces a quasi-2D arrangement of nanoparticles with LRO and SRO. The pattern formation primarily occurs in the molten phase. An estimate of the thermal behavior of the film/substrate composite following a laser pulse is presented. The thermal behavior includes the lifetime of the liquid phase and the thermal gradient during interference heating. Based on this evidence, the SRO is attributed to spinodal dewetting of the film while surface tension gradients induced by the laser interference pattern appear to influence LRO [1]. [1] C.Favazza, J.Trice, H.Krishna, R.Sureshkumar, and R.Kalyanaraman, unpublished.

  14. Formation of pentacene wetting layer on the SiO2 surface and charge trap in the wetting layer.

    PubMed

    Kim, Chaeho; Jeon, D

    2008-09-01

    We studied the early-stage growth of vacuum-evaporated pentacene film on a native SiO(2) surface using atomic force microscopy and in-situ spectroscopic ellipsometry. Pentacene deposition prompted an immediate change in the ellipsometry spectra, but atomic force microscopy images of the early stage films did not show a pentacene-related morphology other than the decrease in the surface roughness. This suggested that a thin pentacene wetting layer was formed by pentacene molecules lying on the surface before the crystalline islands nucleated. Growth simulation based on the in situ spectroscopic ellipsometry spectra supported this conclusion. Scanning capacitance microscopy measurement indicated the existence of trapped charges in the SiO(2) and pentacene wetting layer.

  15. Growth, structure and stability of sputter-deposited MoS2 thin films.

    PubMed

    Kaindl, Reinhard; Bayer, Bernhard C; Resel, Roland; Müller, Thomas; Skakalova, Viera; Habler, Gerlinde; Abart, Rainer; Cherevan, Alexey S; Eder, Dominik; Blatter, Maxime; Fischer, Fabian; Meyer, Jannik C; Polyushkin, Dmitry K; Waldhauser, Wolfgang

    2017-01-01

    Molybdenum disulphide (MoS 2 ) thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER) in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS 2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD) of MoS 2 films by magnetron sputtering. MoS 2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO 2 /Si and reticulated vitreous carbon (RVC) substrates. Samples deposited at room temperature (RT) and at 400 °C were compared. The deposited MoS 2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS 2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS 2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS 2 thin films are discussed. A potential application for such conductive nanostructured MoS 2 films could be as catalytically active electrodes in (photo-)electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS 2 films.

  16. Growth, structure and stability of sputter-deposited MoS2 thin films

    PubMed Central

    Bayer, Bernhard C; Resel, Roland; Müller, Thomas; Skakalova, Viera; Habler, Gerlinde; Abart, Rainer; Cherevan, Alexey S; Eder, Dominik; Blatter, Maxime; Fischer, Fabian; Meyer, Jannik C; Polyushkin, Dmitry K; Waldhauser, Wolfgang

    2017-01-01

    Molybdenum disulphide (MoS2) thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER) in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD) of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC) substrates. Samples deposited at room temperature (RT) and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-)electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films. PMID:28685112

  17. Preparation of Hierarchical Highly Ordered Porous Films of Brominated Poly(phenylene oxide) and Hydrophilic SiO2/C Membrane via the Breath Figure Method

    PubMed Central

    Yuan, Hua; Yu, Bing; Chi, Ming; Cheng, Yuanzhe; Lv, Chunxin

    2018-01-01

    Porous permeable films materials have very broad prospects in the treatment of sludge-containing waste water due to their large surface area and good microfiltration. In this work, highly ordered porous membranes have been prepared successfully on ice substrates using a poly(phenylene oxide) (BPPO)-SiO2 nanoparticle (NP) mixture by the breath figure method. Based on the theory of Pickering emulsion system and capillary flow, particle assisted membrane formation was analyzed. Another two sorts of new membranes SiO2/C membrane and hierarchical porous polymer (HPP) membrane, which were obtained by modification of the BPPO-SiO2 membrane by calcination and etching, were set up in a further study. Their properties were investigated through the methods of scanning electron microscopy (SEM), fourier transform infrared spectrometry (FTIR), ultraviolet spectrum (UV), capillary electrophoresis (CE), contact angle, and water flux tests. All these results demonstrate that both surface hydrophilicity and fouling resistance of the membrane would be improved by using SiO2 as a filler. The membranes with high permeability and antifouling properties were used for microfiltration applications. PMID:29570622

  18. High Rate Micromechanical Behavior of Grafted Polymer Nanoparticle Films

    NASA Astrophysics Data System (ADS)

    Thomas, Edwin

    We report the ultra high strain rate behavior of films comprised of polymer grafted nanoparticles (NPs) and compare the results to homopolymer films. The films are formed by flow coating a suspension of polystyrene (PS) chains of 230 kg/mol grafted to 16nm diameter SiO2\\ at a graft density of 0.6 chains/nm2 resulting a film with 1 vol % SiO2. Films of 267 kg/mol PS were also flow coated and both films were impacted at velocities 350-700 ms-1 using 3.7 micron SiO2\\ projectiles to achieve increments in kinetic energy (KE) of 1:2:4. The KE of the projectiles before and after penetration was measured to determine the penetration energy. TEM and SEM suggest the projectile initially induces plastic flow due to the adiabatic temperature rise from impact. As the projectile deforms the film, the lower magnitude, biaxial stress state in the peripherial regions causes material microvoid formation and initiation of craze growth in the radial and tangential directions. The anchoring of the grafted polymer chains to the NPs increases the penetration energy relative to the pure homopolymer by 50% and the films capacity to delocalize the impact by 200%. These results suggest that highly grafted NP films may be useful in lightweight protection systems. In collaboration with Omri Fried, Olawale Lawal, Yang Jiao, Victor Hsaio, Thevamaran Ramathasan, Mujin Zhou, Richard Vaia.

  19. High thermal stability of abrupt SiO2/GaN interface with low interface state density

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-04-01

    The effects of postdeposition annealing (PDA) on the interface properties of a SiO2/GaN structure formed by remote oxygen plasma-enhanced chemical vapor deposition (RP-CVD) were systematically investigated. X-ray photoelectron spectroscopy clarified that PDA in the temperature range from 600 to 800 °C has almost no effects on the chemical bonding features at the SiO2/GaN interface, and that positive charges exist at the interface, the density of which can be reduced by PDA at 800 °C. The capacitance-voltage (C-V) and current density-SiO2 electric field characteristics of the GaN MOS capacitors also confirmed the reduction in interface state density (D it) and the improvement in the breakdown property of the SiO2 film after PDA at 800 °C. Consequently, a high thermal stability of the SiO2/GaN structure with a low fixed charge density and a low D it formed by RP-CVD was demonstrated. This is quite informative for realizing highly robust GaN power devices.

  20. Comparison of Multilayer Dielectric Thin Films for Future Metal-Insulator-Metal Capacitors: Al2O3/HfO2/Al2O3 versus SiO2/HfO2/SiO2

    NASA Astrophysics Data System (ADS)

    Park, Sang-Uk; Kwon, Hyuk-Min; Han, In-Shik; Jung, Yi-Jung; Kwak, Ho-Young; Choi, Woon-Il; Ha, Man-Lyun; Lee, Ju-Il; Kang, Chang-Yong; Lee, Byoung-Hun; Jammy, Raj; Lee, Hi-Deok

    2011-10-01

    In this paper, two kinds of multilayered metal-insulator-metal (MIM) capacitors using Al2O3/HfO2/Al2O3 (AHA) and SiO2/HfO2/SiO2 (SHS) were fabricated and characterized for radio frequency (RF) and analog mixed signal (AMS) applications. The experimental results indicate that the AHA MIM capacitor (8.0 fF/µm2) is able to provide a higher capacitance density than the SHS MIM capacitor (5.1 fF/µm2), while maintaining a low leakage current of about 50 nA/cm2 at 1 V. The quadratic voltage coefficient of capacitance, α gradually decreases as a function of stress time under constant voltage stress (CVS). The parameter variation of SHS MIM capacitors is smaller than that of AHA MIM capacitors. The effects of CVS on voltage linearity and time-dependent dielectric breakdown (TDDB) characteristics were also investigated.

  1. Induced changes in refractive index, optical band gap, and absorption edge of polycarbonate-SiO2 thin films by Vis-IR lasers

    NASA Astrophysics Data System (ADS)

    Ehsani, Hassan; Akhoondi, Somaieh

    2016-09-01

    In this experimental work, we have studied induced changes in refractive index, extinction coefficient, and optical band-gap of Bisphenol-A-polycarbonate (BPA-PC) coated with a uniform and thin, anti-scratch SiO2 film irradiated by visible to near-infrared lasers at 532 nm (green),650 nm(red), and 980 nm (IR)wavelength lasers with different energy densities. Our lasers sources are indium-gallium-aluminum-phosphide, second harmonic of neodymium-YAG-solid state lasers and gallium-aluminum-arsenide-semiconductor laser. The energy densities of our sources have been changed by changing the spot size of incident laser. samples transmission spectra were monitored by carry500 spectrophotometer and induced changes in optical properties are evaluated by using, extrapolation of the transmission spectrum through Swanepoel method and computer application

  2. Roughness evolution in dewetted Ag and Pt nanoscale films

    NASA Astrophysics Data System (ADS)

    Ruffino, F.; Grimaldi, M. G.

    2018-01-01

    The surface roughness of nanoscale metal systems plays a key role in determining the systems properties and, therefore, the electrical, optical, etc. response of nanodevices based on them. In this work, we experimentally analyze the roughness evolution in dewetting Ag and Pt films deposited on SiO2 substrate. In particular, after depositing 15 nm-thick Ag or Pt films on the SiO2 substrate, standard annealing processes were performed below the melting temperatures of the metals so to induce the solid-state dewetting of the films. The surface morphology evolution of the Ag and Pt films was studied by means of Atomic Force Microscopy analysis as a function of the annealing temperature T and of the annealing time t. In particular, these analysis allowed to quantify the roughness σ of the Ag and Pt films versus the annealing temperature T and the annealing time t. The analysis of these plots allowed us to draw combined insights on the dewetting process characteristics, on the dewetting-induced roughening properties, and on the material-dependent parameters by the comparison of the results obtained for the Ag film and the Pt film. These analysis, in addition, open perspectives towards the development of a method to produce supported metal films with controlled surface roughness for designed applications.

  3. Highly active surface-enhanced Raman scattering (SERS) substrates based on gold nanoparticles infiltrated into SiO2 inverse opals

    NASA Astrophysics Data System (ADS)

    Ankudze, Bright; Philip, Anish; Pakkanen, Tuula T.; Matikainen, Antti; Vahimaa, Pasi

    2016-11-01

    SiO2 inverse opal (IO) films with embedded gold nanoparticles (AuNPs) for surface-enhanced Raman scattering (SERS) application are reported. SiO2 IO films were loaded with AuNPs by a simple infiltration in a single cycle to form Au-SiO2 IOs. The optical property and the morphology of the Au-SiO2 IO substrates were characterized; it was observed that they retained the Bragg diffraction of SiO2 IO and the localized surface plasmon resonance (LSPR) of AuNPs. The SERS property of the Au-SiO2 IO substrates were studied with methylene blue (MB) and 4-aminothiophenol (4-ATP). The SERS enhancement factors were 107 and 106 for 4-ATP and MB, respectively. A low detection limit of 10-10 M for 4-ATP was also obtained with the Au-SiO2 IO substrate. A relative standard deviation of 18.5% for the Raman signals intensity at 1077 cm-1 for 4-ATP shows that the Au-SiO2 IO substrates have good signal reproducibility. The results of this study indicate that the Au-SiO2 IO substrates can be used in sensing and SERS applications.

  4. Post-pyrite transition in SiO2

    NASA Astrophysics Data System (ADS)

    Ho, K.; Wu, S.; Umemoto, K.; Wentzcovitch, R. M.; Ji, M.; Wang, C.

    2010-12-01

    Here we propose a new phase of SiO2 beyond the pyrite-type phase. SiO2 is one of the most important minerals in Earth and planetary sciences. So far, the pyrite-type phase has been identified experimentally as the highest-pressure form of SiO2. In solar giants and extrasolar planets whose interior pressures are considerably higher than that on Earth, a post-pyrite transition in SiO2 may occur at ~ 1 TPa as a result of the dissociation of MgSiO3 post-perovskite into MgO and SiO2 [Umemtoto et al., Science 311, 983 (2006)]. Several dioxides considered to be low-pressure analogs of SiO2 have a phase with cotunnite-type (PbCl2-type) structure as the post-pyrite phase. However, a first-principles structural search using a genetic algorithm shows that SiO2 should undergo a post-pyrite transition to a hexagonal phase, not to the cotunnite phase. The hexagonal phase is energetically very competitive with the cotunnite-type one. This work was supported by the U.S. Department of Energy, Office of Basic Energy Science, Division of Materials Sciences and Engineering and NSF under ATM-0428774 (VLab), EAR-0757903, and EAR-1019853. Ames Laboratory is operated for the U.S. Department of Energy by Iowa State University under Contract No. DE-AC02-07CH11358. The computations were performed at the National Energy Research Supercomputing Centre (NERSC) and the Minnesota Supercomputing Institute (MSI).

  5. Pulsed-Laser-Induced Melting and Solidification of Thin Metallic Films

    NASA Astrophysics Data System (ADS)

    Choi, Min Hwan

    This thesis focused on investigating excimer-laser induced melting and solidification of thin metallic films on SiO2. Two distinct topics were pursued: (1) microstructural manipulation and optimization of Cu films via SLS of as-deposited Cu films on SiO2, and (2) investigation of oriented heterogeneous nucleation via complete melting and subsequent nucleation-initated solidification of Ni films on SiO2. Close examination of laterally grown grains, which quickly develop rolling direction crystallographic orientation texture due to occlusion of differently oriented grains, reveal, furthermore, that low-angle grain boundaries as well as twins can be generated during the growth. These intra-grain defects are found to appear in a systematic manner (as they are located at specific regions and observed under specific incident energy densities). Significantly longer lateral growth distances observed in Cu films (compared to that of Si films) was attributed to the fact that substantially higher growth rates are expected with simple metallic films at a given interfacial undercooling. The implementation of SLS using Cu films was accomplished quite effectively, as can be expected from the above lateral-growth-related results involving single-shot expeirments. We were able to achieve a variety of large-grained, grain-boundary location and grain-orientation controlled Cu films via various SLS techniques. When performed optimally in accordance with the findings made in chapter 2, the resulting microstructure exhibits large grains, which are primarily devoid of intra-grain defects. For example, 2-shot SLS processed Cu films led to strong rolling direction orientation, while avoiding the formation of low-angle grain boundaries and twin-boundaries. The highlight of SLS on Cu films correspond to a version of SLS (referred to as "2-Shot plus 2-Shot" SLS) in which the second 2-shot SLS is performed in the direction perpendicular to the first one. Through this approach, we were able to

  6. Twin-induced phase transition from β-Ga2O3 to α-Ga2O3 in Ga2O3 thin films

    NASA Astrophysics Data System (ADS)

    Choi, Byeongdae; Allabergenov, Bunyod; Lyu, Hong-Kun; Lee, Seong Eui

    2018-06-01

    We deposited a 300-nm-thick Ga2O3 thin film on an amorphous SiO2/Si substrate via pulsed laser deposition. X-ray diffraction patterns revealed the formation of β-Ga2O3 phase at a substrate temperature of 700 °C. X-ray photoelectron spectra indicated that the degree of oxidation increased after annealing at 700 °C. Further annealings at higher temperatures led to a transition of the β-Ga2O3 phase to the α-Ga2O3 phase; this transition was caused by the twin structure formed during the crystallinity improvement process. In addition, we discuss the mechanism of the transition from the β phase to the α phase in the β-Ga2O3 thin films.

  7. Do SiO 2 and carbon-doped SiO 2 nanoparticles melt? Insights from QM/MD simulations and ramifications regarding carbon nanotube growth

    NASA Astrophysics Data System (ADS)

    Page, Alister J.; Chandrakumar, K. R. S.; Irle, Stephan; Morokuma, Keiji

    2011-05-01

    Quantum chemical molecular dynamics (QM/MD) simulations of pristine and carbon-doped SiO 2 nanoparticles have been performed between 1000 and 3000 K. At temperatures above 1600 K, pristine nanoparticle SiO 2 decomposes rapidly, primarily forming SiO. Similarly, carbon-doped nanoparticle SiO 2 decomposes at temperatures above 2000 K, primarily forming SiO and CO. Analysis of the physical states of these pristine and carbon-doped SiO 2 nanoparticles indicate that they remain in the solid phase throughout decomposition. This process is therefore one of sublimation, as the liquid phase is never entered. Ramifications of these observations with respect to presently debated mechanisms of carbon nanotube growth on SiO 2 nanoparticles will be discussed.

  8. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    NASA Astrophysics Data System (ADS)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  9. Effects of optical design modifications on thermal performance of a highly reflective HfO2/SiO2/TiO2 three material coating

    NASA Astrophysics Data System (ADS)

    Ocak, M.; Sert, C.; Okutucu-Özyurt, T.

    2018-02-01

    Effects of layer thickness modifications on laser induced temperature distribution inside three material, highly reflective thin film coatings are studied with numerical simulations. As a base design, a 21 layer coating composed of HfO2, SiO2 and TiO2 layers of quarter wave thickness is considered. First, the laser induced temperature distribution in this base design is obtained. Then the layer thicknesses of the base design are modified and the corresponding temperature distributions in four alternative non-quarter wave coatings are evaluated. The modified thicknesses are determined using an in-house code developed to shift the electric field intensity (EFI) peak from the first high/low layer interface towards the adjacent low index layer that has a higher thermal conductivity, hence, higher laser damage resistance. Meanwhile, the induced increase in the EFI peak is kept at a user defined upper limit. The laser endurance of the base and alternative designs are compared in terms of their estimated temperature distributions. The results indicated that both the peak temperature and the highest interface temperature are decreased by at least 32%, in non-dimensional form, when alternative designs are used instead of the base design. The total reflection of the base design is only decreased from 99.8% to at most 99.4% when alternative designs are used. The study is proved to be successful in improving the laser endurance of three material thin film coatings by lowering the peak and interface temperatures.

  10. Improved dielectric properties of CaCu3Ti4O12 films with a CaTiO3 interlayer on Pt/TiO2/SiO2/Si substrates prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Lee, Sung-Yun; Kim, Hui Eun; Jo, William; Kim, Young-Hwan; Yoo, Sang-Im

    2015-11-01

    We report the greatly improved dielectric properties of CaCu3Ti4O12 (CCTO) films with a 60 nm-thick CaTiO3 (CTO) interlayer on Pt/TiO2/SiO2/Si substrates. Both CCTO films and CTO interlayers were prepared by pulsed laser deposition (PLD). With increasing the thickness of CCTO from 200 nm to 1.3 μm, the dielectric constants ( ɛ r ) at 10 kHz in both CCTO single-layered and CCTO/CTO double-layered films increased from ˜260 to ˜6000 and from ˜630 to ˜3700, respectively. Compared with CCTO single-layered films, CCTO/CTO double-layered films irrespective of CCTO film thickness exhibited a remarkable decrease in their dielectric losses ( tanδ) (<0.1 at the frequency region of 1 - 100 kHz) and highly reduced leakage current density at room temperature. The reduced leakage currents in CCTO/CTO double-layered films are attributable to relatively higher trap ionization energies in the Poole-Frenkel conduction model. [Figure not available: see fulltext.

  11. A comparative investigation of Lu2SiO5:Ce and Gd2O2S:Eu powder scintillators for use in x-ray mammography detectors

    NASA Astrophysics Data System (ADS)

    Michail, C. M.; Fountos, G. P.; David, S. L.; Valais, I. G.; Toutountzis, A. E.; Kalyvas, N. E.; Kandarakis, I. S.; Panayiotakis, G. S.

    2009-10-01

    The dominant powder scintillator in most medical imaging modalities for decades has been Gd2O2S:Tb due to the very good intrinsic properties and overall efficiency. Apart from Gd2O2S:Tb, there are alternative powder phosphor scintillators such as Lu2SiO5:Ce and Gd2O2S:Eu that have been suggested for use in various medical imaging modalities. Gd2O2S:Eu emits red light and can be combined mainly with digital mammography detectors such as CCDs. Lu2SiO5:Ce emits blue light and can be combined with blue sensitivity films, photocathodes and some photodiodes. For the purposes of the present study, two scintillating screens, one from Lu2SiO5:Ce and the other from Gd2O2S:Eu powders, were prepared using the method of sedimentation. The screen coating thicknesses were 25.0 and 33.1 mg cm-2 respectively. The screens were investigated by evaluating the following parameters: the output signal, the modulation transfer function, the noise equivalent passband, the informational efficiency, the quantum detection efficiency and the zero-frequency detective quantum efficiency. Furthermore, the spectral compatibility of those materials with various optical detectors was determined. Results were compared to published data for the commercially employed 'Kodak Min-R film-screen system', based on a 31.7 mg cm-2 thick Gd2O2S:Tb phosphor. For Gd2O2S:Eu, MTF data were found comparable to those of Gd2O2S:Tb, while the MTF of Lu2SiO5:Ce was even higher resulting in better spatial resolution and image sharpness properties. On the other hand, Gd2O2S:Eu was found to exhibit higher output signal and zero-frequency detective quantum efficiency than Lu2SiO5:Ce.

  12. Electric-Field-Induced Amplitude Tuning of Ferromagnetic Resonance Peak in Nano-granular Film FeCoB-SiO2/PMN-PT Composites.

    PubMed

    Luo, Mei; Zhou, Peiheng; Liu, Yunfeng; Wang, Xin; Xie, Jianliang

    2016-12-01

    One of the challenges in the design of microwave absorbers lies in tunable amplitude of dynamic permeability. In this work, we demonstrate that electric-field-induced magnetoelastic anisotropy in nano-granular film FeCoB-SiO 2 /PMN-PT (011) composites can be used to tune the amplitude of ferromagnetic resonance peak at room temperature. The FeCoB magnetic particles are separated from each other by SiO 2 insulating matrix and present slightly different in-plane anisotropy fields. As a result, multi-resonances appear in the imaginary permeability (μ″) curve and mixed together to form a broadband absorption peak. The amplitude of the resonance peak could be modulated by external electric field from 118 to 266.

  13. Antibacterial activity of large-area monolayer graphene film manipulated by charge transfer

    PubMed Central

    Li, Jinhua; Wang, Gang; Zhu, Hongqin; Zhang, Miao; Zheng, Xiaohu; Di, Zengfeng; Liu, Xuanyong; Wang, Xi

    2014-01-01

    Graphene has attracted increasing attention for potential applications in biotechnology due to its excellent electronic property and biocompatibility. Here we use both Gram-positive Staphylococcus aureus (S. aureus) and Gram-negative Escherichia coli (E. coli) to investigate the antibacterial actions of large-area monolayer graphene film on conductor Cu, semiconductor Ge and insulator SiO2. The results show that the graphene films on Cu and Ge can surprisingly inhibit the growth of both bacteria, especially the former. However, the proliferation of both bacteria cannot be significantly restricted by the graphene film on SiO2. The morphology of S. aureus and E. coli on graphene films further confirms that the direct contact of both bacteria with graphene on Cu and Ge can cause membrane damage and destroy membrane integrity, while no evident membrane destruction is induced by graphene on SiO2. From the viewpoint of charge transfer, a plausible mechanism is proposed here to explain this phenomenon. This study may provide new insights for the better understanding of antibacterial actions of graphene film and for the better designing of graphene-based antibiotics or other biomedical applications. PMID:24619247

  14. Antibacterial activity of large-area monolayer graphene film manipulated by charge transfer.

    PubMed

    Li, Jinhua; Wang, Gang; Zhu, Hongqin; Zhang, Miao; Zheng, Xiaohu; Di, Zengfeng; Liu, Xuanyong; Wang, Xi

    2014-03-12

    Graphene has attracted increasing attention for potential applications in biotechnology due to its excellent electronic property and biocompatibility. Here we use both Gram-positive Staphylococcus aureus (S. aureus) and Gram-negative Escherichia coli (E. coli) to investigate the antibacterial actions of large-area monolayer graphene film on conductor Cu, semiconductor Ge and insulator SiO2. The results show that the graphene films on Cu and Ge can surprisingly inhibit the growth of both bacteria, especially the former. However, the proliferation of both bacteria cannot be significantly restricted by the graphene film on SiO2. The morphology of S. aureus and E. coli on graphene films further confirms that the direct contact of both bacteria with graphene on Cu and Ge can cause membrane damage and destroy membrane integrity, while no evident membrane destruction is induced by graphene on SiO2. From the viewpoint of charge transfer, a plausible mechanism is proposed here to explain this phenomenon. This study may provide new insights for the better understanding of antibacterial actions of graphene film and for the better designing of graphene-based antibiotics or other biomedical applications.

  15. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    NASA Astrophysics Data System (ADS)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  16. Preparation and Characterization of Fluorescent SiO2 Microspheres

    NASA Astrophysics Data System (ADS)

    Xu, Cui; Zhang, Hao; Guan, Ruifang

    2018-01-01

    Fluorescent compound without typical fluorophores was synthesized with citric acid (CA) and aminopropyltriethoxysilane (APTS) firstly, and then it was grafted to the surface of the prepared SiO2 microspheres by chemical reaction. The fluorescent SiO2 microspheres with good fluorescent properties were obtained by optimizing the reaction conditions. And the morphology and structure of the fluorescent SiO2 microspheres have been characterized by scanning electron microscopy (SEM) and fourier transform infrared (FTIR) spectroscopy. The results showed that the preparation of fluorescent SiO2 microspheres have good monodispersity and narrow particle size distribution. Moreover, the fluorescent SiO2 microspheres can be applied to detect Fe3+ in aqueous solution, prepare fluorescent SiO2 rubber, and have potential to be applied in the fluorescent labeling and fingerprint appearing technique fields.

  17. Photoluminescence analysis of Ce3+:Zn2SiO4 & Li++ Ce3+:Zn2SiO4: phosphors by a sol-gel method

    NASA Astrophysics Data System (ADS)

    Babu, B. Chandra; Vandana, C. Sai; Guravamma, J.; Rudramadevi, B. Hemalatha; Buddhudu, S.

    2015-06-01

    Here, we report on the development and photoluminescence analysis of Zn2SiO4, Ce3+:Zn2SiO4 & Li+ + Ce3+: Zn2SiO4 novel powder phosphors prepared by a sol-gel technique. The total amount of Ce3+ ions was kept constant in this experiment at 0.05 mol% total doping. The excitation and emission spectra of undoped (Zn2SiO4) and Ce3+ doped Zn2SiO4 and 0.05 mol% Li+ co-doped samples have been investigated. Cerium doped Zn2SiO4 powder phosphors had broad blue emission corresponding to the 2D3/22FJ transition at 443nm. Stable green-yellow-red emission has been observed from Zn2SiO4 host matrix and also we have been observed the enhanced luminescence of Li+ co-doped Zn2SiO4:Ce3+. Excitation and emission spectra of these blue luminescent phosphors have been analyzed in evaluating their potential as luminescent screen coating phosphors.

  18. Luminescence and scintillation properties of liquid phase epitaxy grown Y2SiO5:Ce single crystalline films

    NASA Astrophysics Data System (ADS)

    Wantong, Kriangkrai; Yawai, Nattasuda; Chewpraditkul, Weerapong; Kucera, Miroslav; Hanus, Martin; Nikl, Martin

    2017-06-01

    Luminescence and scintillation properties of Y2SiO5:Ce single crystalline film (YSO:Ce-LPE) grown by the liquid phase epitaxy technique are investigated and compared to the bulk Czochralski-grown YSO:Ce single crystal (YSO:Ce-SC). The light yield (LY) and energy resolution are measured using an R6231 photomultiplier under excitation with α - and γ- rays. At 662 keV γ- rays, the LY value of 12,410 ph/MeV obtained for YSO:Ce -LPE is lower than that of 20,150 ph/MeV for YSO:Ce -SC whereas the comparable LY value and energy resolution are obtained under excitation with 5.5 MeV α- rays. The ratio of LY under excitation with α- and γ- rays (α/γ ratio) is determined. Dependence of LY on an amplifier shaping time (0.5-12 μs) is also measured.

  19. Effect of SiO 2/Si 3N 4 dielectric distributed Bragg reflectors (DDBRs) for Alq 3/NPB thin-film resonant cavity organic light emitting diodes

    NASA Astrophysics Data System (ADS)

    Lei, Po-Hsun; Wang, Shun-Hsi; Juang, Fuh Shyang; Tseng, Yung-Hsin; Chung, Meng-Jung

    2010-05-01

    In this article, we report on the effect of SiO 2/Si 3N 4 dielectric distributed Bragg reflectors (DDBRs) for Alq 3/NPB thin-film resonant cavity organic light emitting diode (RCOLED) in increasing the light output intensity and reducing the linewidth of spontaneous emission spectrum. The optimum DDBR number is found as 3 pairs. The device performance will be bad by further increasing or decreasing the number of DDBR. As compared to the conventional Alq 3/NPB thin-film organic light emitting diode (OLED), the Alq 3/NPB thin-film RCOLED with 3-pair DDBRs has the superior electrical and optical characteristics including a forward voltage of 6 V, a current efficiency of 3.4 cd/A, a luminance of 2715 cd/m 2 under the injection current density of 1000 A/m 2, and a full width at half maximum (FWHM) of 12 nm for emission spectrum over the 5-9 V bias range. These results represent that the Alq 3/NPB thin-film OLED with DDBRs shows a potential as the light source for plastic optical fiber (POF) communication system.

  20. Sputtered SiO2 as low acoustic impedance material for Bragg mirror fabrication in BAW resonators.

    PubMed

    Olivares, Jimena; Wegmann, Enrique; Capilla, José; Iborra, Enrique; Clement, Marta; Vergara, Lucía; Aigner, Robert

    2010-01-01

    In this paper we describe the procedure to sputter low acoustic impedance SiO(2) films to be used as a low acoustic impedance layer in Bragg mirrors for BAW resonators. The composition and structure of the material are assessed through infrared absorption spectroscopy. The acoustic properties of the films (mass density and sound velocity) are assessed through X-ray reflectometry and picosecond acoustic spectroscopy. A second measurement of the sound velocity is achieved through the analysis of the longitudinal lambda/2 resonance that appears in these silicon oxide films when used as uppermost layer of an acoustic reflector placed under an AlN-based resonator.

  1. First principle study of electronic structures and optical properties of Ce-doped SiO2

    NASA Astrophysics Data System (ADS)

    Cong, Wei-Yan; Lu, Ying-Bo; Zhang, Peng; Guan, Cheng-Bo

    2018-05-01

    Electronic structures and optical properties of Silicon dioxide (SiO2) systems with and without cerium(Ce) dopant were calculated using the density functional theory. We find that after the Ce incorporation, a new localized impurity band appears between the valance band maximum (VBM) and the conduction band minimum (CBM) of SiO2 system, which is induced mainly by the Ce-4f orbitals. The localized impurity band constructs a bridge between the valence band and the conduction band, making the electronic transition much easier. The calculated optical properties show that in contrast from the pure SiO2 sample, absorption in the visible-light region is found in Ce-doped SiO2 system, which originates from the transition between the valence band and Ce-4f dominated impurity band, as well as the electronic transition from Ce-4f states to Ce-5d states. All calculated results indicate that Ce doping is an effective strategy to improve the optical performance of SiO2 sample, which is in agreement with the experimental results.

  2. Photoluminescence of ZnS-SiO2:Ce Thin Films Deposited by Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Mizuno, Masao

    2011-12-01

    Photoluminescent emissions of zinc sulfide-silica-cerium thin films deposited by magnetron sputtering were observed. The films consisted of ZnS nanocrystals embedded in amorphous SiO2 matrices. ZnS-SiO2:Ce films exhibited photoluminescence even without postannealing. Their emission spectra showed broad patterns in the visible range; the emitted colors depended on film composition.

  3. Studies of Radiation-Induced Defects in Li2SiO3:Sm Phosphor Material

    NASA Astrophysics Data System (ADS)

    Singh, N.; Singh, Vijay; Watanabe, S.; Gundu Rao, T. K.; Chubaci, J. F. D.; Cano, N. F.; Pathak, M. S.; Singh, Pramod K.; Dhoble, S. J.

    2017-01-01

    Li2SiO3:Sm was synthesized by the solution combustion method. Powder x-ray diffraction technique was used to find the phase formation. Li2SiO3:Sm exhibits thermoluminescence (TL) peaks at approximately 140°C, 155°C, 190°C, 250°C, and 405°C. Three defect centers contribute to the observed electron spin resonance spectrum from the gamma irradiated phosphor. Center I with principal g-values g || = 2.0206 and g ⊥ = 2.0028 is identified as an O2 - ion while center II, with an isotropic g-factor 2.0039, is assigned to an F +-type center. Center III is assigned to a Ti3+ center. The Ti3+ center is related to the 250°C TL peak while the O2 - ion also correlates with the main TL peak at 250°C. An additional defect center is observed during thermal annealing experiments, and the center (assigned to F + center) seems to originate from an F center. The F center appears to be associated with the high temperature TL peak in a Li2SiO3:Sm phosphor. The luminescence spectrum reveals the dominant emission peaks at 605 (4G5/2 → 6H7/2) nm under the excitation wavelength of 402 nm.

  4. Method of Preparing Monoclinic BaO.Al2O3.2SiO2

    DTIC Science & Technology

    Monoclinic celsian (BaO.Al2O3.2SiO2) is produced by heating a stoichiometric, powder mixture of BaCO3 (or BaC2O4), Al2O3, and SiO2 (preferably SiO2 gel) with monoclinic celsian seeds at from 1250 deg C to 1500 deg C.

  5. Highly Efficient visible-light-induced photoactivity of magnetically retrievable Fe3O4@SiO2@Bi2WO6@g-C3N4 hierarchical microspheres for the degradation of organic pollutant and production of hydrogen

    NASA Astrophysics Data System (ADS)

    Lu, Dingze; Wang, Hongmei; Shen, Qingqing; Kondamareddy, Kiran Kumar; Neena D

    2017-07-01

    The new multifunctional composite Fe3O4@SiO2@Bi2WO6@g-C3N4 (FSBG) hierarchical microspheres with Bi2WO6/g-C3N4 heterostructure as an outer shell and Fe3O4@SiO2 as a magnetic core have been synthesized and characterized for photocatalytic applications. An efficient and adoptable approach of synthesizing magnetic Bi2WO6/g-C3N4 hierarchical microspheres of grape-like morphology is realized. The as-synthesized structures exhibit highly efficient visible-light absorption and separation efficiency of photo-induced charge. The visible-light-induced photocatalytic activity of g-C3N4, Fe3O4@SiO2@Bi2WO6, and FSBG is evaluated by investigating the photodegradation of Rhodamine B (RhB) and hydrogen (H2) out of water. The comparative study reveals that the FSBG microspheres exhibit an optimum visible-light-induced photocatalytic activity in degrading Rhodamin B (RhB), which is 3.06 and 1.92 times to that of g-C3N4 and Fe3O4@SiO2@Bi2WO6 systems respectively and 3.89 and 2.31 times in the production of hydrogen (H2) out of water, respectively. The FSBG composite microspheres also exhibit good magnetic recoverability. An alternate mechanism for the enhanced visible-light photocatalytic activity is given in the present manuscript.

  6. Optical temperature sensing of NaYbF4: Tm3+@SiO2 core-shell micro-particles induced by infrared excitation.

    PubMed

    Wang, Xiangfu; Zheng, Jin; Xuan, Yan; Yan, Xiaohong

    2013-09-09

    NaYbF(4):Tm3+@SiO(2) core-shell micro-particles were synthesized by a hydrothermal method and subsequent ultrasonic coating process. Optical temperature sensing has been observed in NaYbF4: Tm(3+)@SiO(2)core-shell micro-particles with a 980 nm infrared laser as excitation source.The fluorescence intensity ratios, optical temperature sensitivity, and temperature dependent population re-distribution ability from the thermally coupled (1)D(2)/(1)G(4) and (3)F(2) /(3)H(4) levels of the Tm(3+) ion have been analyzed as a function of temperature in the range of 100~700 K in order to check its availability as a optical temperature sensor. A better behavior as a lowtemperature sensor has been obtained with a minimum sensitivity of 5.4 × 10(-4) K(-1) at 430 K. It exhibits temperature induced population re-distribution from (1)D(2) /(1)G(4) thermally coupled levels at higher temperature range.

  7. Electron irradiation induced amorphous SiO2 formation at metal oxide/Si interface at room temperature; electron beam writing on interfaces.

    PubMed

    Gurbán, S; Petrik, P; Serényi, M; Sulyok, A; Menyhárd, M; Baradács, E; Parditka, B; Cserháti, C; Langer, G A; Erdélyi, Z

    2018-02-01

    Al 2 O 3 (5 nm)/Si (bulk) sample was subjected to irradiation of 5 keV electrons at room temperature, in a vacuum chamber (pressure 1 × 10 -9 mbar) and formation of amorphous SiO 2 around the interface was observed. The oxygen for the silicon dioxide growth was provided by the electron bombardment induced bond breaking in Al 2 O 3 and the subsequent production of neutral and/or charged oxygen. The amorphous SiO 2 rich layer has grown into the Al 2 O 3 layer showing that oxygen as well as silicon transport occurred during irradiation at room temperature. We propose that both transports are mediated by local electric field and charged and/or uncharged defects created by the electron irradiation. The direct modification of metal oxide/silicon interface by electron-beam irradiation is a promising method of accomplishing direct write electron-beam lithography at buried interfaces.

  8. Silver nanoparticle deposition on inverse opal SiO2 films embedded in protective polypropylene micropits for SERS applications

    NASA Astrophysics Data System (ADS)

    Ammosova, Lena; Ankudze, Bright; Philip, Anish; Jiang, Yu; Pakkanen, Tuula T.; Pakkanen, Tapani A.

    2018-01-01

    Common methods to fabricate surface enhanced Raman scattering (SERS) substrates with controlled micro-nanohierarchy are often complex and expensive. In this study, we demonstrate a simple and cost effective method to fabricate SERS substrates with complex geometries. Microworking robot structuration is used to pattern a polypropylene (PP) substrate with micropits, facilitating protective microenvironment for brittle SiO2 inverse opal (IO) structure. Hierarchical SiO2 IO patterns were obtained using polystyrene (PS) spheres as a sacrificial template, and were selectively embedded into the hydrophilized PP micropits. The same microworking robot technique was subsequently used to deposit silver nanoparticle ink into the SiO2 IO cavities. The fabricated multi-level micro-nanohierarchy surface was studied to enhance Raman scattering of the 4-aminothiophenol (4-ATP) analyte molecule. The results show that the SERS performance of the micro-nanohierarchical substrate increases significantly the Raman scattering intensity compared to substrates with structured 2D surface geometries.

  9. Detection of gain enhancement in laser-induced fluorescence of rhodamine B lasing dye by silicon dioxide nanostructures-coated cavity

    NASA Astrophysics Data System (ADS)

    Al-Tameemi, Mohammed N. A.

    2018-03-01

    In this work, nanostructured silicon dioxide films are deposited by closed-field unbalanced direct-current (DC) reactive magnetron sputtering technique on two sides of quartz cells containing rhodamine B dye dissolved in ethanol with 10‒5 M concentration as a random gain medium. The preparation conditions are optimized to prepare highly pure SiO2 nanostructures with a minimum particle size of about 20 nm. The effect of SiO2 films as external cavity for the random gain medium is determined by the laser-induced fluorescence of this medium, and an increase of about 200% in intensity is observed after the deposition of nanostructured SiO2 thin films on two sides of the dye cell.

  10. Characterization of Thin Film Dissolution in Water with in Situ Monitoring of Film Thickness Using Reflectometry.

    PubMed

    Yersak, Alexander S; Lewis, Ryan J; Tran, Jenny; Lee, Yung C

    2016-07-13

    Reflectometry was implemented as an in situ thickness measurement technique for rapid characterization of the dissolution dynamics of thin film protective barriers in elevated water temperatures above 100 °C. Using this technique, multiple types of coatings were simultaneously evaluated in days rather than years. This technique enabled the uninterrupted characterization of dissolution rates for different coating deposition temperatures, postdeposition annealing conditions, and locations on the coating surfaces. Atomic layer deposition (ALD) SiO2 and wet thermally grown SiO2 (wtg-SiO2) thin films were demonstrated to be dissolution-predictable barriers for the protection of metals such as copper. A ∼49% reduction in dissolution rate was achieved for ALD SiO2 films by increasing the deposition temperatures from 150 to 300 °C. ALD SiO2 deposited at 300 °C and followed by annealing in an inert N2 environment at 1065 °C resulted in a further ∼51% reduction in dissolution rate compared with the nonannealed sample. ALD SiO2 dissolution rates were thus lowered to values of wtg-SiO2 in water by the combination of increasing the deposition temperature and postdeposition annealing. Thin metal films, such as copper, without a SiO2 barrier corroded at an expected ∼1-2 nm/day rate when immersed in room temperature water. This measurement technique can be applied to any optically transparent coating.

  11. Sol-gel preparation of silica and titania thin films

    NASA Astrophysics Data System (ADS)

    Thoř, Tomáš; Václavík, Jan

    2016-11-01

    Thin films of silicon dioxide (SiO2) and titanium dioxide (TiO2) for application in precision optics prepared via the solgel route are being investigated in this paper. The sol-gel process presents a low cost approach, which is capable of tailoring thin films of various materials in optical grade quality. Both SiO2 and TiO2 are materials well known for their application in the field of anti-reflective and also highly reflective optical coatings. For precision optics purposes, thickness control and high quality of such coatings are of utmost importance. In this work, thin films were deposited on microscope glass slides substrates using the dip-coating technique from a solution based on alkoxide precursors of tetraethyl orthosilicate (TEOS) and titanium isopropoxide (TIP) for SiO2 and TiO2, respectively. As-deposited films were studied using spectroscopic ellipsometry to determine their thickness and refractive index. Using a semi-empirical equation, a relationship between the coating speed and the heat-treated film thickness was described for both SiO2 and TiO2 thin films. This allows us to control the final heat-treated thin film thickness by simply adjusting the coating speed. Furthermore, films' surface was studied using the white-light interferometry. As-prepared films exhibited low surface roughness with the area roughness parameter Sq being on average of 0.799 nm and 0.33 nm for SiO2 and TiO2, respectively.

  12. A novel X-ray photoelectron spectroscopy study of the Al/SiO2 interface

    NASA Technical Reports Server (NTRS)

    Hecht, M. H.; Vasquez, R. P.; Grunthaner, F. J.; Zamani, N.; Maserjian, J.

    1985-01-01

    The nondestructive measurement of the chemical and physical characteristics of the interface between bulk SiO2 and thick aluminum films is reported. Both X-ray phototelectron spectroscopy (XPS) and electrical measurements of unannealed, resistively evaporated Al films on thermal SiO2 indicate an atomically abrupt interface. Post metallization annealing at 450 C induces reduction of the SiO2 by the aluminum, at a rate consistent with the bulk reaction rate. The XPS measurement is performed from the SiO2 side after the removal of the Si substrate with XeF2 gas and thinning of the SiO2 layer with HF:ETOH. This represents a powerful new approach to the study of metal-insulator and related interfaces.

  13. Study of the kinetics and mechanism of the thermal nitridation of SiO2

    NASA Technical Reports Server (NTRS)

    Vasquez, R. P.; Madhukar, A.; Grunthaner, F. J.; Naiman, M. L.

    1985-01-01

    X-ray photoelectron spectroscopy (XPS) has been used to study the nitridation time and temperature dependence of the nitrogen distribution in thermally nitrided SiO2 films. The XPS data show that the maximum nitrogen concentration near the (SiO(x)N(y)/Si interface is initially at the interface, but moves 20-25 A away from the interface with increasing nitridation time. Computer modeling of the kinetic processes involved is carried out and reveals a mechanism in which diffusing species, initially consisting primarily of nitrogen, react with the substrate, followed by formation of the oxygen-rich oxynitride due to reaction of the diffusing oxygen displaced by the slower nitridation of the SiO2. The data are consistent with this mechanism provided the influence of the interfacial strain on the nitridation and oxidation kinetics is explicitly accounted for.

  14. New structure of high-pressure body-centered orthorhombic Fe 2 SiO 4

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yamanaka, Takamitsu; Kyono, Atsushi; Nakamoto, Yuki

    2015-08-01

    A structural change in Fe2SiO4 spinel (ringwoodite) has been found by synchrotron powder diffraction study and the structure of a new high-pressure phase was determined by Monte-Carlo simulation method and Rietveld profile fitting of X-ray diffraction data up to 64 GPa at ambient temperature. A transition from the cubic spinel structure to a body centered orthorhombic phase (I-Fe2SiO4) with space group Imma and Z = 4 was observed at approximately 34 GPa. The structure of I-Fe2SiO4 has two crystallographically independent FeO6 octahedra. Iron resides in two different sites of sixfold coordination: Fe1 and Fe2, which are arranged in layers parallelmore » to (101) and (011) and are very similar to the layers of FeO6 octahedra in the spinel structure. Silicon is located in the sixfold coordination in I-Fe2SiO4. The transformation to the new high-pressure phase is reversible under decompression at ambient temperature. A martensitic transformation of each slab of the spinel structure with translation vector Embedded Image generates the I-Fe2SiO4 structure. Laser heating of I-Fe2SiO4 at 1500 K results in a decomposition of the material to rhombohedral FeO and SiO2 stishovite. FeKβ X-ray emission measurements at high pressure up to 65 GPa show that the transition from a high spin (HS) to an intermediate spin (IS) state begins at 17 GPa in the spinel phase. The IS electron spin state is gradually enhanced with pressure. The Fe2+ ion at the octahedral site changes the ion radius under compression at the low spin, which results in the changes of the lattice parameter and the deformation of the octahedra of the spinel structure. The compression curve of the lattice parameter of the spinel is discontinuous at ~20 GPa. The spin transition induces an isostructural change.« less

  15. Nucleation of C60 on ultrathin SiO2

    NASA Astrophysics Data System (ADS)

    Conrad, Brad; Groce, Michelle; Cullen, William; Pimpinelli, Alberto; Williams, Ellen; Einstein, Ted

    2012-02-01

    We utilize scanning tunneling microscopy to characterize the nucleation, growth, and morphology of C60 on ultrathin SiO2 grown at room temperature. C60 thin films are deposited in situ by physical vapor deposition with thicknesses varying from <0.05 to ˜1 ML. Island size and capture zone distributions are examined for a varied flux rate and substrate deposition temperature. The C60 critical nucleus size is observed to change between monomers and dimers non-monotonically from 300 K to 500 K. Results will be discussed in terms of recent capture zone studies and analysis methods. Relation to device fabrication will be discussed. doi:10.1016/j.susc.2011.08.020

  16. SiO2 Solubility in Rutile at High Pressure and Temperature

    NASA Astrophysics Data System (ADS)

    Ren, Y.; Fei, Y.; Yang, J.; Bai, W. J.; Xu, Z. Q.

    2005-12-01

    Silicon-bearing rutile has been found in nature. The extent of SiO2 solubility in rutile and the nature of its origin are still not clear. At high pressure, SiO2 takes rutile structure with 6-coordinated Si. The high-pressure phase of SiO2 may enhance its solubility in rutile because possible isovalent exchange in octahedral site. In this study, we report new experimental results on SiO2 solubility in rutile up to 23 GPa and 2273 K. Starting materials are mixtures of powdered TiO2 and SiO2, with compositions of (Ti0.5Si0.5)O2, (Ti0.93Si0.07)O2, and (Ti0.75Si0.25)O2. The mixtures were loaded into either a platinum capsules (for a 10/5 assembly) or a rhenium capsules (for an 8/3 assembly). The experiments were carried out using multi-anvil high-pressure apparatus with rhenium resistance heater. Sample temperatures were measured with a type-C thermocouple. The quenched samples were recovered and prepared for electron microprobe analyses. TiO2-rich and SiO2-rich phases are produced in all the quenched samples. The analyses showed that the solubility of SiO2 in rutile increases with increasing pressure, from 1.5 wt% SiO2 at 10 GPa to 3.8 wt% SiO2 at 23 GPa for a given temperature of 2073 K. The solubility also increases with increasing temperature, from 0.5 wt% SiO2 at 1773 K to 4.5 wt% SiO2 at 2273 K for a given pressure of 18 GPa. On the other hand, the solubility of TiO2 in coesite or stishovite is very limited, with an average of 0.6 wt% TiO2 over the experimental P-T ranges. Lower oxygen fugacity decreases the solubility of SiO2 in rutile, whereas water has little effect on the solubility of SiO2 in rutile. Our experimental data are extreme useful for determine the depth of origin for the SiO2-bearing rutile in nature.

  17. Rotary target method to prepare thin films of CdS/SiO 2 by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Wang, H.; Zhu, Y.; Ong, P. P.

    2000-12-01

    Thin films of CdS-doped SiO 2 glass were prepared by using the conventional pulsed laser deposition (PLD) technique. The laser target consisted of a specially constructed rotary wheel which provided easy control of the exposure-area ratio to expose alternately the two materials to the laser beam. The physical target assembly avoided the potential complications inherent in chemically mixed targets such as in the sol-gel method. Time-of-flight (TOF) spectra confirmed the existence of the SiO 2 and CdS components in the thin-film samples so produced. X-ray diffraction (XRD) and atomic force microscopy(AFM) results showed the different sizes and structures of the as-deposited and annealed films. The wurtzite phase of CdS was found in the 600 oC-annealed sample, while the as-deposited film showed a cubic-hexagonal mixed structure. In the corresponding PL (photoluminescence) spectra, a red shift of the CdS band edge emission was found, which may be a result of the interaction between the CdS nanocrystallite and SiO 2 at their interface.

  18. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    NASA Astrophysics Data System (ADS)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  19. Effects of thermal annealing on the structural and optical properties of carbon-implanted SiO2.

    PubMed

    Poudel, P R; Paramo, J A; Poudel, P P; Diercks, D R; Strzhemechny, Y M; Rout, B; McDaniel, F D

    2012-03-01

    Amorphous carbon (a-C) nanoclusters were synthesized by the implantation of carbon ions (C-) into thermally grown silicon dioxide film (-500 nm thick) on a Si (100) wafer and processed by high temperature thermal annealing. The carbon ions were implanted with an energy of 70 keV at a fluence of 5 x 10(17) atoms/cm2. The implanted samples were annealed at 1100 degrees C for different time periods in a gas mixture of 96% Ar+4% H2. Raman spectroscopy, X-ray photoelectron spectroscopy (XPS) and High Resolution Transmission Electron Microscopy (HRTEM) were used to study the structural properties of both the as-implanted and annealed samples. HRTEM reveals the formation of nanostructures in the annealed samples. The Raman spectroscopy also confirms the formation of carbon nano-clusters in the samples annealed for 10 min, 30 min, 60 min and 90 min. No Raman features originating from the carbon-clusters are observed for the sample annealed further to 120 min, indicating a complete loss of implanted carbon from the SiO2 layer. The loss of the implanted carbon in the 120 min annealed sample from the SiO2 layer was also observed in the XPS depth profile measurements. Room temperature photoluminescence (PL) spectroscopy revealed visible emissions from the samples pointing to carbon ion induced defects as the origin of a broad 2.0-2.4 eV band, and the intrinsic defects in SiO2 as the possible origin of the -2.9 eV bands. In low temperature photoluminescence spectra, two sharp and intense photoluminescence lines at -3.31 eV and -3.34 eV appear for the samples annealed for 90 min and 120 min, whereas no such bands are observed in the samples annealed for 10 min, 30 min, and 60 min. The Si nano-clusters forming at the Si-SiO2 interface could be the origin of these intense peaks.

  20. Effect of Commercial SiO2 and SiO2 from rice husk ash loading on biodegradation of Poly (lactic acid) and crosslinked Poly (lactic acid)

    NASA Astrophysics Data System (ADS)

    Prapruddivongs, C.; Apichartsitporn, M.; Wongpreedee, T.

    2017-09-01

    In this work, biodegradation behavior of poly (lactic acid) (PLA) and crosslinked PLA filled with two types of SiO2, precipitated SiO2 (commercial SiO2) and SiO2 from rice husk ash, were studied. Rice husks were first treated with 2 molar hydrochloric acid (HCl) to produce high purity SiO2, before burnt in a furnace at 800°C for 6 hours. All components were melted bending by an internal mixer then hot pressed using compression molder to form tested specimens. FTIR spectra of SiO2 and PLA samples were investigated. The results showed the lack of silanol group (Si-OH) of rice husk ash after steric acid surface modification, while the addition of particles can affect the crosslinking of the PLA. For biodegradation test by evaluating total amount of carbon dioxide (CO2) evolved during 60 days incubation at a controlled temperature of 58±2°C, the results showed that the biodegradation of crosslinked PLA occurred slower than the neat PLA. However, SiO2 incorporation enhanced the degree of biodegradation In particular, introducing commercial SiO2 in PLA and crosslinked PLA tended to clearly increase the degree of biodegradation as a consequence of the more accelerated hydrolysis degradation.

  1. Direct measurement of density of states in pentacene thin film transistors

    NASA Astrophysics Data System (ADS)

    Yogev, S.; Halpern, E.; Matsubara, R.; Nakamura, M.; Rosenwaks, Y.

    2011-10-01

    We report on direct high lateral resolution measurements of density of states in pentacene thin film transistors using Kelvin probe force microscopy. The measurements were conducted on passivated (hexamethyldisilazane) and unpassivated field effect transistors with 10- and 30-nm-thick pentacene polycrystalline layers. The analysis takes into account both the band bending in the organic film and the trapped charge at the SiO2-pentacene interface. We found that the density of states for the highest occupied molecular orbital band of pentacene film on the treated substrate is Gaussian with a width (variance) of σ=0.07±0.01eV and an exponential tail. The concentration of the density of states in the gap for pentacene on bare SiO2 substrate was larger by one order of magnitude, had a different energy distribution, and induced Fermi level pinning. The results are discussed in view of their effect on pentacene thin film transistors’ performance.

  2. Photo-induced wettability of TiO{sub 2} film with Au buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Purkayastha, Debarun Dhar; Sangani, L. D. Varma; Krishna, M. Ghanashyam

    2014-04-24

    The effect of thickness of Au buffer layer (15-25 nm) between TiO{sub 2} film and substrate on the wettability of TiO{sub 2} films is reported. TiO{sub 2} films grown on Au buffer layer have a higher contact angle of 96-;100° as compared to 47.6o for the film grown without buffer layer. The transition from hydrophobicity to hydrophilicity under UV irradiation occurs within 10 min. for the buffer layered films whereas it is almost 30 min. for the film grown without buffer layer. The enhanced photo induced hydrophilicity is shown to be surface energy driven.

  3. Photoluminescent Au-Ge composite nanodots formation on SiO2 surface by ion induced dewetting

    NASA Astrophysics Data System (ADS)

    Datta, D. P.; Siva, V.; Singh, A.; Kanjilal, D.; Sahoo, P. K.

    2017-09-01

    Medium energy ion irradiation on a bilayer of Au and Ge on SiO2 is observed to result in gradual morphological evolution from an interconnected network to a nanodot array on the insulator surface. Structural and compositional analyses reveal composite nature of the nanodots, comprising of both Au and Ge. The growing nanostructures are found to be photoluminescent at room temperature where the emission intensity and wavelengths vary with morphology. The growth of such nanostructures can be understood in terms of dewetting of the metal layer under ion irradiation due to ion-induced melting along the ion tracks. The visible PL emission is found to be related with evolution of the Au-Ge nanodots. The study indicates a route towards single step synthesis of metal-semiconductor nanodots on insulator surface.

  4. Thin film resists for registration of single-ion impacts

    NASA Astrophysics Data System (ADS)

    Millar, V.; Pakes, C. I.; Prawer, S.; Rout, B.; Jamieson, D. N.

    2005-06-01

    We demonstrate registration of the location of the impact site of single ions using a thin film polymethyl methacrylate resist on a SiO2/Si substrate. Carbon nanotube-based atomic force microscopy is used to reveal craters in the surface of chemically developed films, consistent with the development of latent damage induced by single-ion impacts. The responses of thin PMMA films to the implantation of He+ and Ga+ ions indicate the role of electronic and nuclear energy loss mechanisms at the single-ion level.

  5. Efficient drug delivery using SiO2-layered double hydroxide nanocomposites.

    PubMed

    Li, Li; Gu, Zi; Gu, Wenyi; Liu, Jian; Xu, Zhi Ping

    2016-05-15

    MgAl-layered double hydroxide (MgAl-LDH) nanoparticles have great potentials in drug and siRNA delivery. In this work, we used a nanodot-coating strategy to prepare SiO2 dot-coated layered double hydroxide (SiO2@MgAl-LDH) nanocomposites with good dispersibility and controllable size for drug delivery. The optimal SiO2@MgAl-LDH nanocomposite was obtained by adjusting synthetic parameters including the mass ratio of MgAl-LDH to SiO2, the mixing temperature and time. The optimal SiO2@MgAl-LDH nanocomposite was shown to have SiO2 nanodots (10-15nm in diameter) evenly deposited on the surface of MgAl-LDHs (110nm in diameter) with the plate-like morphology and the average hydrodynamic diameter of 170nm. We further employed SiO2@MgAl-LDH nanocomposite as a nanocarrier to deliver methotrexate (MTX), a chemotherapy drug, to the human osteosarcoma cell (U2OS) and found that MTX delivered by SiO2@MgAl-LDH nanocomposite apparently inhibited the U2OS cell growth. Copyright © 2016 Elsevier Inc. All rights reserved.

  6. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  7. ZnO, TiO(2), SiO(2,) and Al(2)O(3) nanoparticles-induced toxic effects on human fetal lung fibroblasts.

    PubMed

    Zhang, Xiao Qiang; Yin, Li Hong; Tang, Meng; Pu, Yue Pu

    2011-12-01

    This study aims to investigate and compare the toxic effects of four types of metal oxide (ZnO, TiO(2), SiO(2,) and Al(2)O(3)) nanoparticles with similar primary size (∼20 nm) on human fetal lung fibroblasts (HFL1) in vitro. The HFL1 cells were exposed to the nanoparticles, and toxic effects were analyzed by using MTT assay, cellular morphology observation and Hoechst 33 258 staining. The results show that the four types of metal oxide nanoparticles lead to cellular mitochondrial dysfunction, morphological modifications and apoptosis at the concentration range of 0.25-1.50 mg/mL and the toxic effects are obviously displayed in dose-dependent manner. ZnO is the most toxic nanomaterials followed by TiO(2), SiO(2), and Al(2)O(3) nanoparticles in a descending order. The results highlight the differential cytotoxicity associated with exposure to ZnO, TiO(2), SiO(2), and Al(2)O(3) nanoparticles, and suggest an extreme attention to safety utilization of these nanomaterials. Copyright © 2011 The Editorial Board of Biomedical and Environmental Sciences. Published by Elsevier B.V. All rights reserved.

  8. Magnetic behaviour of synthetic Co(2)SiO(4).

    PubMed

    Sazonov, Andrew; Meven, Martin; Hutanu, Vladimir; Heger, Gernot; Hansen, Thomas; Gukasov, Arsen

    2009-12-01

    Synthetic Co(2)SiO(4) crystallizes in the olivine structure (space group Pnma) with two crystallographically non-equivalent Co positions and shows antiferromagnetic ordering below 50 K. We have investigated the temperature variation of the Co(2)SiO(4) magnetic structure by means of non-polarized and polarized neutron diffraction for single crystals. Measurements with non-polarized neutrons were made at 2.5 K (below T(N)), whereas polarized neutron diffraction experiments were carried out at 70 and 150 K (above T(N)) in an external magnetic field of 7 T parallel to the b axis. Additional accurate non-polarized powder diffraction studies were performed in a broad temperature range from 5 to 500 K with small temperature increments. Detailed symmetry analysis of the Co(2)SiO(4) magnetic structure shows that it corresponds to the magnetic (Shubnikov) group Pnma, which allows the antiferromagnetic configuration (G(x), C(y), A(z)) for the 4a site with inversion symmetry 1 (Co1 position) and (0,C(y),0) for the 4c site with mirror symmetry m (Co2 position). The temperature dependence of the Co1 and Co2 magnetic moments obtained from neutron diffraction experiments was fitted in a modified molecular-field model. The polarized neutron study of the magnetization induced by an applied field shows a non-negligible amount of magnetic moment on the oxygen positions, indicating a delocalization of the magnetic moment from Co towards neighbouring O owing to superexchange coupling. The relative strength of the exchange interactions is discussed based on the non-polarized and polarized neutron data.

  9. Synthesis of a conducting SiO2-carbon composite from commercial silicone grease and its conversion to paramagnetic SiO2 particles.

    PubMed

    Pol, V G; Pol, S V; George, P P; Markovsky, B; Gedanken, A

    2006-07-13

    The thermal decomposition of commercial silicone grease was carried out in a closed reactor (Swagelok) that was heated at 800 degrees C for 3 h, yielding a SiO2-carbon composite with a BET surface area of 369 m2/g. The bulk conductivity (5.72 x 10(-6) S x cm(-2)) of the SiO2-carbon composite was determined by impedance measurements. The as-prepared SiO2-carbon composite was further annealed at 500 degrees C in air for 2 h, which led to the formation of white paramagnetic silica particles (confirmed by ESR), possessing a surface area of 111 m2/g. The present synthetic technique requires unsophisticated equipment and a low-cost commercial precursor, and the reaction is carried out without a solvent, surfactant, or catalyst. The mechanism for the formation of a porous SiO2-carbon composite from the silicone grease is also presented.

  10. Core-exsolved SiO2 Dispersal in the Earth's Mantle

    NASA Astrophysics Data System (ADS)

    Helffrich, G. R.; Ballmer, M.; Hirose, K.

    2017-12-01

    SiO2 may have been expelled from the core following its formation in the early stages of Earth's accretion and onwards through the present day. On account of SiO2's low density with respect to both the core and the lowermost mantle, we examine the process of SiO2 accumulation at the core-mantle boundary (CMB) and its incorporation into the mantle by buoyant rise. Today, the if SiO2 is 100-10000 times more viscous than lower mantle material, the dimensions of SiO2 diapirs formed by the viscous Rayleigh-Taylor instability at the CMB would cause them to be swept into the mantle as inclusions of 100 m - 10 km diameter. Under early Earth conditions of rapid heat loss after core formation, SiO2 diapirs of 5-80 km diameter could have risen independently of mantle flow to their level of neutral buoyancy in the mantle, trapping them there due to a combination of high viscosity and neutral buoyancy. We examine the SiO2 yield by assuming Si+O saturation at the conditions found at the base of a magma ocean and find that for a range of conditions, dispersed bodies could reach as high as 2 volume percent in shallow parts of the lower mantle, with their abundance decreasing with depth. At such low concentrations, their effect on aggregate seismic wavespeeds would be within the uncertainty of the radial Earth model PREM. However, their presence would be revealed by small-scale scattering in the lower mantle due to the bodies' large velocity contrast. We conclude that the shallow lower mantle (700-1500 km depth) could harbor SiO2 released in early Earth times.

  11. Extracting the Density of States of Copper Phthalocyanine at the SiO2 Interface with Electronic Sum Frequency Generation.

    PubMed

    Pandey, Ravindra; Moon, Aaron P; Bender, Jon A; Roberts, Sean T

    2016-03-17

    Organic semiconductors (OSCs) constitute an attractive platform for optoelectronics design due to the ease of their processability and chemically tunable properties. Incorporating OSCs into electrical circuits requires forming junctions between them and other materials, yet the change in dielectric properties about these junctions can strongly perturb the electronic structure of the OSC. Here we adapt an interface-selective optical technique, electronic sum frequency generation (ESFG), to the study of a model OSC thin-film system, copper phthalocyanine (CuPc) deposited on SiO2. We find that by modeling the thickness dependence of our measured spectra, we can identify changes in CuPc's electronic density of states at both its buried interface with SiO2 and air-exposed surface. Our work demonstrates that ESFG can be used to noninvasively probe the interfacial electronic structure of optically thick OSC films, indicating that it can be used for the study of OSC-based optoelectronics in situ.

  12. Design of laser-driven SiO2-YAG:Ce composite thick film: Facile synthesis, robust thermal performance, and application in solid-state laser lighting

    NASA Astrophysics Data System (ADS)

    Xu, Jian; Liu, Bingguo; Liu, Zhiwen; Gong, Yuxuan; Hu, Baofu; Wang, Jian; Li, Hui; Wang, Xinliang; Du, Baoli

    2018-01-01

    In recent times, there have been rapid advances in the solid-state laser lighting technology. Due to the large amounts of heat accumulated from the high flux laser radiation, color conversion materials used in solid-state laser lighting devices should possess high durability, high thermal conductivity, and low thermal quenching. The aim of this study is to develop a thermally robust SiO2-YAG:Ce composite thick film (CTF) for high-power solid-state laser lighting applications. Commercial colloidal silica which was used as the source of SiO2, played the roles of an adhesive, a filler, and a protecting agent. Compared to the YAG:Ce powder, the CTF exhibits remarkable thermal stability (11.3% intensity drop at 200 °C) and durability (4.5% intensity drop after 1000 h, at 85 °C and 85% humidity). Furthermore, the effects of the substrate material and the thickness of the CTF on the laser lighting performance were investigated in terms of their thermal quenching and luminescence saturation behaviors, respectively. The CTF with a thickness of 50 μm on a sapphire substrate does not show luminescence saturation, despite a high-power density of incident radiation i.e. 20 W/mm2. These results demonstrate the potential applicability of the CTF in solid-state laser lighting devices.

  13. The effects of a SiO2 coating on the corrosion parameters cpTi and Ti-6Al-7Nb alloy

    PubMed Central

    Basiaga, Marcin; Walke, Witold; Paszenda, Zbigniew; Karasiński, Paweł; Szewczenko, Janusz

    2014-01-01

    The aim of this paper was to evaluate the usefulness of the sol-gel method application, to modificate the surface of the Ti6Al7Nb alloy and the cpTi titanium (Grade 4) with SiO2 oxide, applied on the vascular implants to improve their hemocompatibility. Mechanical treatment was followed by film deposition on surface of the titanium samples. An appropriate selection of the process parameters was verified in the studies of corrosion, using potentiodynamic and impedance method. A test was conducted in the solution simulating blood vessels environment, in simulated body fluid at t = 37.0 ± 1 °C and pH = 7.0 ± 0.2. Results showed varied electrochemical properties of the SiO2 film, depending on its deposition parameters. Correlations between corrosion resistance and layer adhesion to the substrate were observed, depending on annealing temperature. PMID:25482412

  14. A novel fabrication of a high performance SiO(2)-graphene oxide (GO) nanohybrids: Characterization of thermal properties of epoxy nanocomposites filled with SiO(2)-GO nanohybrids.

    PubMed

    Haeri, S Z; Ramezanzadeh, B; Asghari, M

    2017-05-01

    In this study it has been aimed to enhance the thermal resistance of epoxy coating through incorporation of SiO 2 -GO nanohybrids. SiO 2 -GO nanohybrids were synthesized through one-step sol-gel route using a mixture of Tetraethylorthosilane (TEOS) and 3-Aminopropyl triethoxysilane (APTES) silanes. The SiO 2 -GO nanohybrids were prepared at various hydrolysis times of 24, 48 and 72h. Then 0.2wt.% of GO and SiO 2 -GO nanohybrids were separately incorporated into the epoxy coating. Results revealed that amino functionalized SiO 2 nanoparticles with particle size around 20-30nm successfully synthesized on the basal plane of GO. Results showed significant improvement of dispersion and interfacial interactions between nanohybrids and epoxy composite arising from covalent bonding between the SiO 2 -GO and the epoxy matrix. It was found that the thermal resistance of SiO 2 -GO nanohybrids and SiO 2 -GO/Epoxy nanocomposite was noticeably higher than GO and epoxy matrix, respectively. Copyright © 2017 Elsevier Inc. All rights reserved.

  15. Structural morphology study of Cd2+ induced Langmuir Blodgett multilayer films of arachidic acid

    NASA Astrophysics Data System (ADS)

    Roy, Dhrubojyoti; Das, Nayan Mani; Gupta, P. S.

    2013-04-01

    The organization and headgroup co-ordination of Cadmium Arachidate (CdAA) molecule in Langmuir-Blodgett (LB) multilayer films deposited on hydrophilic Glass (SiO2) and Silicon (100) substrate at normal subphase pH (6.8) are studied. X-ray diffraction (XRD) and X-ray reflectivity (XRR) study reveals ordered layer by layer organization with uniform packing of CdAA molecules, and with a small tilt angle of alkyl chain of CdAA molecule equal to 6.8° ± 1.75°. Electron density profiles (EDPs) shows that the coverage of films remains almost constant with increase in bilayer thickness which indicate very little presence of pinhole defects. AFM study for 25 ML shows that coverage of the film remain intact upto 22nd ML and then decreases sharply due to presence of pinhole defects. Fourier transform infrared spectroscopy (FTIR) study is also consistent with XRD and XRR study of ordered deposition of CdAA molecule. FTIR and X-ray photoelectron spectroscopy (XPS) study indicates the formation of unidentate bridging metal-carboxylate coordination type headgroups consistent with one cadmium metal ion between two carboxylate (COO) groups in each headgroup structure.

  16. Conformal SiO2 coating of sub-100 nm diameter channels of polycarbonate etched ion-track channels by atomic layer deposition

    PubMed Central

    Sobel, Nicolas; Lukas, Manuela; Spende, Anne; Stühn, Bernd; Trautmann, Christina

    2015-01-01

    Summary Polycarbonate etched ion-track membranes with about 30 µm long and 50 nm wide cylindrical channels were conformally coated with SiO2 by atomic layer deposition (ALD). The process was performed at 50 °C to avoid thermal damage to the polymer membrane. Analysis of the coated membranes by small angle X-ray scattering (SAXS) reveals a homogeneous, conformal layer of SiO2 in the channels at a deposition rate of 1.7–1.8 Å per ALD cycle. Characterization by infrared and X-ray photoelectron spectroscopy (XPS) confirms the stoichiometric composition of the SiO2 films. Detailed XPS analysis reveals that the mechanism of SiO2 formation is based on subsurface crystal growth. By dissolving the polymer, the silica nanotubes are released from the ion-track membrane. The thickness of the tube wall is well controlled by the ALD process. Because the track-etched channels exhibited diameters in the range of nanometres and lengths in the range of micrometres, cylindrical tubes with an aspect ratio as large as 3000 have been produced. PMID:25821688

  17. Annealing pressure induced ions transfer in Cobalt-Ferrite thin films on amorphous SiO2/Si substrates

    NASA Astrophysics Data System (ADS)

    Huang, Shun-Yu; Chong, Cheong-Wei; Chen, Pin-Hui; Li, Hong-Lin; Li, Min-Kai; Huang, J. C. Andrew

    2017-11-01

    In this work, Cobalt-Ferrite (CFO) films were grown on silicon substrates with 300 nm amorphous silicon dioxide by Pulsed Laser Deposition (PLD) with different annealing conditions. The results of structural analysis prove that the CFO films have high crystalline quality with (1 1 1) preferred orientation. The Raman spectra and X-ray absorption spectra (XAS) indicate that the Co ions can transfer from tetrahedral sites to octahedral sites with increasing the annealing pressure. The site exchange of Co and Fe ions leads to the change of saturation magnetization in the CFO films. Our experiments provide not only a way to control the magnetism of CFO films, but also a suitable magnetic layer to develop silicon and semiconductor based spintronic devices.

  18. Core-Exsolved SiO2 Dispersal in the Earth's Mantle

    NASA Astrophysics Data System (ADS)

    Helffrich, George; Ballmer, Maxim D.; Hirose, Kei

    2018-01-01

    SiO2 may have been expelled from the core directly following core formation in the early stages of Earth's accretion and onward through the present day. On account of SiO2's low density with respect to both the core and the lowermost mantle, we examine the process of SiO2 accumulation at the core-mantle boundary (CMB) and its incorporation into the mantle by buoyant rise. Today, if SiO2 is 100-10,000 times more viscous than lower mantle material, the dimensions of SiO2 diapirs formed by the viscous Rayleigh-Taylor instability at the CMB would cause them to be swept into the mantle as inclusions of 100 m-10 km diameter. Under early Earth conditions of rapid heat loss after core formation, SiO2 diapirs of ˜1 km diameter could have risen independently of mantle flow to their level of neutral buoyancy in the mantle, trapping them there due to a combination of intrinsically high viscosity and neutral buoyancy. We examine the SiO2 yield by assuming Si + O saturation at the conditions found at the base of a magma ocean and find that for a range of conditions, dispersed bodies could reach as high as 8.5 vol % in parts of the lower mantle. At such low concentration, their effect on aggregate seismic wave speeds is within observational seismology uncertainty. However, their presence can account for small-scale scattering in the lower mantle due to the bodies' large-velocity contrast. We conclude that the shallow lower mantle (700-1,500 km depth) could harbor SiO2 released in early Earth times.

  19. A highly efficient g-C3N4/SiO2 heterojunction: the role of SiO2 in the enhancement of visible light photocatalytic activity.

    PubMed

    Hao, Qiang; Niu, Xiuxiu; Nie, Changshun; Hao, Simeng; Zou, Wei; Ge, Jiangman; Chen, Daimei; Yao, Wenqing

    2016-11-23

    SiO 2 , an insulator, hardly has any photocatalytic acitivity due to its intrinsic property, and it is generally used as a hard template to increase the surface area of catalysts. However, in this work, we found that the surface state of the insulator SiO 2 can promote the migration of photogenerated charge carriers, leading to the enhancement of the photooxidation ability of graphitic carbon nitride (g-C 3 N 4 ). A one-pot calcination method was employed to prepare g-C 3 N 4 /SiO 2 composites using melamine and SiO 2 as precursors. The composites present considerably high photocatalytic degradation activities for 2,4-dichlorophenol (2,4-DCP) and rhodamine B (RhB) under visible light (λ > 420 nm) irradiation, which are about 1.53 and 4.18 times as high as those of bulk g-C 3 N 4 , respectively. The enhancement of the photocatalytic activity is due to the fact that the introduction of the insulator SiO 2 in g-C 3 N 4 /SiO 2 composites can greatly improve the specific surface area of the composites; more importantly, the impurity energy level of SiO 2 can help accelerate the separation and transfer of electron-hole pairs of g-C 3 N 4 . Electron paramagnetic resonance (EPR) spectroscopy and trapping experiments with different radical scavengers show that the main active species of g-C 3 N 4 are superoxide radicals, while holes also play a role in photodegradation. For g-C 3 N 4 /SiO 2 -5, besides superoxide radicals and holes, the effect of hydroxyl radicals was greatly improved. Finally, a possible mechanism for the photogenerated charge carrier migration of the g-C 3 N 4 /SiO 2 photocatalyst was proposed.

  20. Photochemical process of divalent germanium responsible for photorefractive index change in GeO2-SiO2 glasses.

    PubMed

    Sakoh, Akifumi; Takahashi, Masahide; Yoko, Toshinobu; Nishii, Junji; Nishiyama, Hiroaki; Miyamoto, Isamu

    2003-10-20

    The photoluminescence spectra of the divalent Ge (Ge2+) center in GeO2-SiO2 glasses with different photosensitivities were investigated by means of excitation-emission energy mapping. The ultraviolet light induced photorefractivity has been correlated with the local structure around the Ge2+ centers. The glasses with a larger photorefractivity tended to exhibit a greater band broadening of the singlet-singlet transition on the higher excitation energy side accompanied by an increase in the Stokes shifts. This strongly suggests the existence of highly photosensitive Ge2+ centers with higher excitation energies. It is also found that the introduction of a hydroxyl group or boron species in GeO2-SiO2 glasses under appropriate conditions modifies the local environment of Ge2+ leading to an enhanced photorefractivity.

  1. Band alignment of SiO2/(AlxGa1-x)2O3 (0 ≤ x ≤ 0.49) determined by X-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Feng, Zhaoqing; Feng, Qian; Zhang, Jincheng; Li, Xiang; Li, Fuguo; Huang, Lu; Chen, Hong-Yan; Lu, Hong-Liang; Hao, Yue

    2018-03-01

    In this work, we report the investigation of the band alignment of SiO2/(AlxGa1-x)2O3 (0 ≤ x ≤ 0.49) utilizing the high resolution X-ray photoelectron spectroscopy (XPS) measurements. The single crystallinity and orientation of β-(AlxGa1-x)2O3 films grown on sapphire by pulsed laser deposition were studied with the high resolution X-ray diffraction. The Ga 2p3/2 and Si 2p core-level spectra as well as valence band spectra were used in the analysis of band alignment. As the mole fraction x of Al increases from 0 to 0.49, the bandgap and conduction band offset values of SiO2/(AlxGa1-x)2O3 increases from 4.9 to 5.6 eV and from 1.5 to 2.1 eV, respectively, while that of valence band offset decreases from 2.2 to 0.9 eV. From the results obtained, the energy band diagram of the studied SiO2/(AlxGa1-x)2O3 (0 ≤ x ≤ 0.49) interfaces is found to be of type I. Energy band lineups of SiO2/(AlxGa1-x)2O3 were thus determined which can be used as for Ga2O3 based power device technology.

  2. Field emission properties of SiO2-wrapped CNT field emitter.

    PubMed

    Lim, Yu Dian; Hu, Liangxing; Xia, Xin; Ali, Zishan; Wang, Shaomeng; Tay, Beng Kang; Aditya, Sheel; Miao, Jianmin

    2018-01-05

    Carbon nanotubes (CNTs) exhibit unstable field emission (FE) behavior with low reliability due to uneven heights of as-grown CNTs. It has been reported that a mechanically polished SiO 2 -wrapped CNT field emitter gives consistent FE performance due to its uniform CNT heights. However, there are still a lack of studies on the comparison between the FE properties of freestanding and SiO 2 -wrapped CNTs. In this study, we have performed a comparative study on the FE properties of freestanding and SiO 2 -wrapped CNT field emitters. From the FE measurements, freestanding CNT field emitter requires lower applied voltage of 5.5 V μm -1 to achieve FE current density of 22 mA cm -2 ; whereas SiO 2 -wrapped field emitter requires 8.5 V μm -1 to achieve the same current density. This can be attributed to the lower CNT tip electric field of CNTs embedded in SiO 2 , as obtained from the electric field simulation. Nevertheless, SiO 2 -wrapped CNTs show higher consistency in FE current than freestanding CNTs. Under repeated FE measurement, SiO 2 -wrapped CNT field emitter achieves consistent FE behavior from the 1st voltage sweep, whereas freestanding field emitter only achieved consistent FE performance after 3rd voltage sweep. At the same time, SiO 2 -wrapped CNTs exhibit better emission stability than freestanding CNTs over 4000 s continuous emission.

  3. Field emission properties of SiO2-wrapped CNT field emitter

    NASA Astrophysics Data System (ADS)

    Lim, Yu Dian; Hu, Liangxing; Xia, Xin; Ali, Zishan; Wang, Shaomeng; Tay, Beng Kang; Aditya, Sheel; Miao, Jianmin

    2018-01-01

    Carbon nanotubes (CNTs) exhibit unstable field emission (FE) behavior with low reliability due to uneven heights of as-grown CNTs. It has been reported that a mechanically polished SiO2-wrapped CNT field emitter gives consistent FE performance due to its uniform CNT heights. However, there are still a lack of studies on the comparison between the FE properties of freestanding and SiO2-wrapped CNTs. In this study, we have performed a comparative study on the FE properties of freestanding and SiO2-wrapped CNT field emitters. From the FE measurements, freestanding CNT field emitter requires lower applied voltage of 5.5 V μm-1 to achieve FE current density of 22 mA cm-2 whereas SiO2-wrapped field emitter requires 8.5 V μm-1 to achieve the same current density. This can be attributed to the lower CNT tip electric field of CNTs embedded in SiO2, as obtained from the electric field simulation. Nevertheless, SiO2-wrapped CNTs show higher consistency in FE current than freestanding CNTs. Under repeated FE measurement, SiO2-wrapped CNT field emitter achieves consistent FE behavior from the 1st voltage sweep, whereas freestanding field emitter only achieved consistent FE performance after 3rd voltage sweep. At the same time, SiO2-wrapped CNTs exhibit better emission stability than freestanding CNTs over 4000 s continuous emission.

  4. Effects of substrate heating and post-deposition annealing on characteristics of thin MOCVD HfO2 films

    NASA Astrophysics Data System (ADS)

    Gopalan, Sundararaman; Ramesh, Sivaramakrishnan; Dutta, Shibesh; Virajit Garbhapu, Venkata

    2018-02-01

    It is well known that Hf-based dielectrics have replaced the traditional SiO2 and SiON as gate dielectric materials for conventional CMOS devices. By using thicker high-k materials such as HfO2 rather than ultra-thin SiO2, we can bring down leakage current densities in MOS devices to acceptable levels. HfO2 is also one of the potential candidates as a blocking dielectric for Flash memory applications for the same reason. In this study, effects of substrate heating and oxygen flow rate while depositing HfO2 thin films using CVD and effects of post deposition annealing on the physical and electrical characteristics of HfO2 thin films are presented. It was observed that substrate heating during deposition helps improve the density and electrical characteristics of the films. At higher substrate temperature, Vfb moved closer to zero and also resulted in significant reduction in hysteresis. Higher O2 flow rates may improve capacitance, but also results in slightly higher leakage. The effect of PDA depended on film thickness and O2 PDA improved characteristics only for thick films. For thinner films forming gas anneal resulted in better electrical characteristics.

  5. Comparisons between TiO2- and SiO2-flux assisted TIG welding processes.

    PubMed

    Tseng, Kuang-Hung; Chen, Kuan-Lung

    2012-08-01

    This study investigates the effects of flux compounds on the weld shape, ferrite content, and hardness profile in the tungsten inert gas (TIG) welding of 6 mm-thick austenitic 316 L stainless steel plates, using TiO2 and SiO2 powders as the activated fluxes. The metallurgical characterizations of weld metal produced with the oxide powders were evaluated using ferritoscope, optical microscopy, and Vickers microhardness test. Under the same welding parameters, the penetration capability of TIG welding with TiO2 and SiO2 fluxes was approximately 240% and 292%, respectively. A plasma column made with SiO2 flux exhibited greater constriction than that made with TiO2 flux. In addition, an anode root made with SiO2 flux exhibited more condensation than that made with TiO2 flux. Results indicate that energy density of SiO2-flux assisted TIG welding is higher than that of TiO2-flux assisted TIG welding.

  6. Surface spins disorder in uncoated and SiO2 coated maghemite nanoparticles

    NASA Astrophysics Data System (ADS)

    Zeb, F.; Nadeem, K.; Shah, S. Kamran Ali; Kamran, M.; Gul, I. Hussain; Ali, L.

    2017-05-01

    We studied the surface spins disorder in uncoated and silica (SiO2) coated maghemite (γ-Fe2O3) nanoparticles using temperature and time dependent magnetization. The average crystallite size for SiO2 coated and uncoated nanoparticles was about 12 and 29 nm, respectively. Scanning electron microscopy (SEM) showed that the nanoparticles are spherical in shape and well separated. Temperature scans of zero field cooled (ZFC)/field cooled (FC) magnetization measurements showed lower average blocking temperature (TB) for SiO2 coated maghemite nanoparticles as compared to uncoated nanoparticles. The saturation magnetization (Ms) of SiO2 coated maghemite nanoparticles was also lower than the uncoated nanoparticles and is attributed to smaller average crystallite size of SiO2 coated nanoparticles. For saturation magnetization vs. temperature data, Bloch's law (M(T)= M(0).(1- BTb)) was fitted well for both uncoated and SiO2 coated nanoparticles and yields: B =3×10-7 K-b, b=2.22 and B=0.0127 K-b, b=0.57 for uncoated and SiO2 coated nanoparticles, respectively. Higher value of B for SiO2 coated nanoparticles depicts decrease in exchange coupling due to enhanced surface spins disorder (broken surface bonds) as compared to uncoated nanoparticles. The Bloch's exponent b was decreased for SiO2 coated nanoparticles which is due to their smaller average crystallite size or finite size effects. Furthermore, a sharp increase of coercivity at low temperatures (<25 K) was observed for SiO2 coated nanoparticles which is also due to contribution of increased surface anisotropy or frozen surface spins in these smaller nanoparticles. The FC magnetic relaxation data was fitted to stretched exponential law which revealed slower magnetic relaxation for SiO2 coated nanoparticles. All these measurements revealed smaller average crystallite size and enhanced surface spins disorder in SiO2 coated nanoparticles than in uncoated γ-Fe2O3 nanoparticles.

  7. High Temperature Aerogels in the Al2O3-SiO2 System

    NASA Technical Reports Server (NTRS)

    Hurwitz, Frances I.; Aranda, Denisse V.; Gallagher, Meghan E.

    2008-01-01

    Al2O3-SiO2 aerogels are of interest as constituents of thermal insulation systems for use at high temperatures. Al2O3 and mullite aerogels are expected to crystallize at higher temperatures than their SiO2 counterparts, hence avoiding the shrinkages that accompany the formation of lower temperature SiO2 phases and preserving pore structures into higher temperature regimes. The objective of this work is to determine the influence of processing parameters on shrinkage, gel structure (including surface area, pore size and distribution) and pyrolysis behavior.

  8. Radiation damage effects in far-ultraviolet filters, thin films, and substrates.

    PubMed

    Keffer, C E; Torr, M R; Zukic, M; Spann, J F; Torr, D G; Kim, J

    1994-09-01

    Advances in vacuum ultraviolet thin-film filter technology have been made through the use of filter designs with multilayers of materials such as Al(2)O(3), BaF(2), CaF(2), HfO(2), LaF(3), MgF(2), and SiO(2). Our immediate application for these filters will be in an imaging system to be flown on a satellite where a 2 × 9 R(E) orbit will expose the instrument to approximately 250 krad of radiation. Because to our knowledge no previous studies have been made on the potential radiation damage of these materials in the thin-film format, we report on such an assessment here. Transmittances and reflectances of BaF(2), CaF(2), HfO(2), MgF(2), and SiO(2) thin films on MgF(2) substrates, Al(2)O(3) thin films on fused-silica substrates, uncoated fused silica and MgF(2), and four multilayer filters made from these materials were measured from 120 to 180 nm beforeand after irradiation by 250 krad from a (60)Co gamma radiation source. No radiation-induced losses in transmittance or reflectance occurred in this wavelength range. Additional postradiation measurements from 160 to 300 nm indicates 2-5% radiation-induced absorption near 260 nm in some of the samples with MgF(2) substrates. From these measurements we conclude that far-ultraviolet filters made from the materials tested should experience less than 5% change from exposure to up to 250 krad of high-energy radiation in space applications.

  9. SiO2/TiO2/Ag multilayered microspheres: Preparation, characterization, and enhanced infrared radiation property

    NASA Astrophysics Data System (ADS)

    Ye, Xiaoyun; Cai, Shuguang; Zheng, Chan; Xiao, Xueqing; Hua, Nengbin; Huang, Yanyi

    2015-08-01

    SiO2/TiO2/Ag core-shell multilayered microspheres were successfully synthesized by the combination of anatase of TiO2 modification on the surfaces of SiO2 spheres and subsequent Ag nanoparticles deposition and Ag shell growth with face-centered cubic (fcc) Ag. The composites were characterized by TEM, FT-IR, UV-vis, Raman spectroscopy and XRD, respectively. The infrared emissivity values during 8-14 μm wavelengths of the composites were measured. The results revealed that TiO2 thin layers with the thickness of ∼10 nm were coated onto the SiO2 spheres of ∼220 nm in diameter. The thickness of the TiO2 layers was controlled by varying the amount of TBOT precursor. Homogeneous Ag nanoparticles of ∼20 nm in size were successfully deposited by ultrasound on the surfaces of SiO2/TiO2 composites, followed by complete covering of Ag shell. The infrared emissivity value of the SiO2/TiO2 composites was decreased than that of pure SiO2. Moreover, the introduction of the Ag brought the remarkably lower infrared emissivity value of the SiO2/TiO2/Ag multilayered microspheres with the lowest value down to 0.424. Strong chemical effects in the interface of SiO2/TiO2 core-shell composites and high reflection performance of the metal Ag are two decisive factors for the improved infrared radiation performance of the SiO2/TiO2/Ag multilayered microspheres.

  10. Preparation of SiO2@Ag Composite Nanoparticles and Their Antimicrobial Activity.

    PubMed

    Qin, Rui; Li, Guian; Pan, Liping; Han, Qingyan; Sun, Yan; He, Qiao

    2017-04-01

    At normal atmospheric temperature, the modified sol–gel method was employed to synthesize SiO2 nanospheres (SiO2 NSs) whose average size was about 352 nm. Silver nanoparticles (Ag NPs) were uniformly distributed on the surface of SiO2 nanospheres (SiO2 NSs) by applying chemical reduction method at 95 °C and the size of silver nanoparticles (Ag NPs) could be controlled by simply tuning the reaction time and the concentration of sodium citrate. Besides, the size, morphology, structure and optical absorption properties of SiO2@Ag composite nanoparticles were measured and characterized by laser particle size analyzer (LPSA), transmission electron microscope (TEM), scanning electron microscope (SEM), X-ray diffraction (XRD) and ultraviolet visible absorption spectrometer (UV-Vis), respectively. Furthermore, antimicrobial effect experiments that against gram-negative bacteria (E. coli) and gram-positive bacteria (S. aureus) were carried out to characterize the antibacterial activity of synthesized SiO2@Ag composite nanoparticles. The results show that the prepared SiO2@Ag composite nanoparticles have strong antimicrobial activity, which is associated with the size of silver nanoparticles.

  11. SiO2 Glass Density to Lower-Mantle Pressures

    NASA Astrophysics Data System (ADS)

    Petitgirard, Sylvain; Malfait, Wim J.; Journaux, Baptiste; Collings, Ines E.; Jennings, Eleanor S.; Blanchard, Ingrid; Kantor, Innokenty; Kurnosov, Alexander; Cotte, Marine; Dane, Thomas; Burghammer, Manfred; Rubie, David C.

    2017-11-01

    The convection or settling of matter in the deep Earth's interior is mostly constrained by density variations between the different reservoirs. Knowledge of the density contrast between solid and molten silicates is thus of prime importance to understand and model the dynamic behavior of the past and present Earth. SiO2 is the main constituent of Earth's mantle and is the reference model system for the behavior of silicate melts at high pressure. Here, we apply our recently developed x-ray absorption technique to the density of SiO2 glass up to 110 GPa, doubling the pressure range for such measurements. Our density data validate recent molecular dynamics simulations and are in good agreement with previous experimental studies conducted at lower pressure. Silica glass rapidly densifies up to 40 GPa, but the density trend then flattens to become asymptotic to the density of SiO2 minerals above 60 GPa. The density data present two discontinuities at ˜17 and ˜60 GPa that can be related to a silicon coordination increase from 4 to a mixed 5 /6 coordination and from 5 /6 to sixfold, respectively. SiO2 glass becomes denser than MgSiO3 glass at ˜40 GPa , and its density becomes identical to that of MgSiO3 glass above 80 GPa. Our results on SiO2 glass may suggest that a variation of SiO2 content in a basaltic or pyrolitic melt with pressure has at most a minor effect on the final melt density, and iron partitioning between the melts and residual solids is the predominant factor that controls melt buoyancy in the lowermost mantle.

  12. Effect of O 2 gas partial pressure on structures and dielectric characteristics of rf sputtered ZrO 2 thin films

    NASA Astrophysics Data System (ADS)

    Ma, C. Y.; Lapostolle, F.; Briois, P.; Zhang, Q. Y.

    2007-08-01

    Amorphous and polycrystalline zirconium oxide thin films have been deposited by reactive rf magnetron sputtering in a mixed argon/oxygen or pure oxygen atmosphere with no intentional heating of the substrate. The films were characterized by high-resolution transmission electron microscopy (HR-TEM), atomic force microscopy (AFM), spectroscopic ellipsometry (SE), and capacitance versus voltage ( C- V) measurements to investigate the variation of structure, surface morphology, thickness of SiO 2-like interfacial layer as well as dielectric characteristics with different oxygen partial pressures. The films deposited at low oxygen partial pressures (less than 15%) are amorphous and dense with a smooth surface. In contrast, the films prepared at an oxygen partial pressure higher than 73% are crystallized with the microstructure changing from the mixture of monoclinic and tetragonal phases to a single monoclinic structure. The film structural transition is believed to be consequences of decrease in the oxygen vacancy concentration in the film and of increase of the energetically neutral particles in the plasma due to an increased oxygen partial pressure. SE measurements showed that significant interfacial SiO 2 growth has taken place above approximately 51%. The best C- V results in terms of relative dielectric constant values are obtained for thin films prepared at an oxygen partial pressure of 15%.

  13. SiO2-coated LiNi0.915Co0.075Al0.01O2 cathode material for rechargeable Li-ion batteries.

    PubMed

    Zhou, Pengfei; Zhang, Zhen; Meng, Huanju; Lu, Yanying; Cao, Jun; Cheng, Fangyi; Tao, Zhanliang; Chen, Jun

    2016-11-24

    We reported a one-step dry coating of amorphous SiO 2 on spherical Ni-rich layered LiNi 0.915 Co 0.075 Al 0.01 O 2 (NCA) cathode materials. Combined characterization of XRD, EDS mapping, and TEM indicates that a SiO 2 layer with an average thickness of ∼50 nm was uniformly coated on the surface of NCA microspheres, without inducing any change of the phase structure and morphology. Electrochemical tests show that the 0.2 wt% SiO 2 -coated NCA material exhibits enhanced cyclability and rate properties, combining with better thermal stability compared with those of pristine NCA. For example, 0.2 wt% SiO 2 -coated NCA delivers a high specific capacity of 181.3 mA h g -1 with a capacity retention of 90.7% after 50 cycles at 1 C rate and 25 °C. Moreover, the capacity retention of this composite at 60 °C is 12.5% higher than that of pristine NCA at 1 C rate after 50 cycles. The effects of SiO 2 coating on the electrochemical performance of NCA are investigated by EIS, CV, and DSC tests, the improved performance is attributed to the surface coating layer of amorphous SiO 2 , which effectively suppresses side reactions between NCA and electrolytes, decreases the SEI layer resistance, and retards the growth of charge-transfer resistance, thus enhancing structural and cycling stability of NCA.

  14. Magnetic studies of SiO2 coated CoFe2O4 nanoparticles

    NASA Astrophysics Data System (ADS)

    Limaye, Mukta V.; Singh, Shashi B.; Das, Raja; Poddar, Pankaj; Abyaneh, Majid K.; Kulkarni, Sulabha K.

    2017-11-01

    Oleic acid capped CoFe2O4 nanoparticles which exhibit a high coercivity of ∼9.47 kOe at room temperature were coated with a robust coating of SiO2. We have used chemical synthesis method to obtain SiO2 coated CoFe2O4 nanoparticles with different weight percentages of CoFe2O4 in SiO2 (1.5, 3.1 and 4.8 wt.%). The morphological investigation of the coated nanoparticles by transmission electron microscopy shows that the particles are spherical with average size ∼160 nm. Infrared spectroscopy reveals that oleic acid capping on the surface of CoFe2O4 nanoparticles is retained after silica coating process. The complete coating of SiO2 on CoFe2O4 nanoparticles is confirmed by X-ray photoelectron spectroscopy as there is no signature of cobalt or iron ions on the surface. Magnetic measurements show that coercivity of SiO2 coated CoFe2O4 particles remains more or less unaffected as in CoFe2O4 nanoparticles at room temperature. In addition, the temperature dependent magnetic measurements show that at 5 K the CoFe2O4 and SiO2 coated 1.5 wt.% CoFe2O4 samples exhibit a very high value of coercivity (∼20 kOe) which is more than twice as compared to room temperature coercivity value (∼9.47 kOe). We conclude that silica coating in our study does not significantly affect the coercivity of CoFe2O4 nanoparticles.

  15. Network rigidity and properties of SiO2 and GeO2 glasses under pressure.

    PubMed

    Trachenko, Kostya; Dove, Martin T; Brazhkin, Vadim; El'kin, F S

    2004-09-24

    We report in situ studies of SiO2 glass under pressure and find that temperature-induced densification takes place in a pressure window. To explain this effect, we study how rigidity of glasses changes under pressure, with rigidity percolation affecting the dynamics of local relaxation events. We link rigidity percolation in glasses to other effects, including a large increase of crystallization temperature and logarithmic relaxation under pressure.

  16. Optical contrast and laser-induced phase transition in GeCu2Te3 thin film

    NASA Astrophysics Data System (ADS)

    Saito, Yuta; Sutou, Yuji; Koike, Junichi

    2013-02-01

    Fast crystallization and low power amorphization are essential to achieve rapid data recording and low power consumption in phase-change memory. This work investigated the laser-induced phase transition behaviors of GeCu2Te3 film based on the reflectance of amorphous and crystalline states. The GeCu2Te3 film showed a reflectance decrease upon crystallization, which was the opposite behavior in Ge2Sb2Te5 film. The crystallization starting time of the as-deposited GeCu2Te3 film was as fast as that of the as-deposited Ge2Sb2Te5 film. Furthermore, the GeCu2Te3 crystalline film was found to be reamorphized by laser irradiation at lower power and shorter pulse width than the Ge2Sb2Te5.

  17. SiO_{2} Glass Density to Lower-Mantle Pressures.

    PubMed

    Petitgirard, Sylvain; Malfait, Wim J; Journaux, Baptiste; Collings, Ines E; Jennings, Eleanor S; Blanchard, Ingrid; Kantor, Innokenty; Kurnosov, Alexander; Cotte, Marine; Dane, Thomas; Burghammer, Manfred; Rubie, David C

    2017-11-24

    The convection or settling of matter in the deep Earth's interior is mostly constrained by density variations between the different reservoirs. Knowledge of the density contrast between solid and molten silicates is thus of prime importance to understand and model the dynamic behavior of the past and present Earth. SiO_{2} is the main constituent of Earth's mantle and is the reference model system for the behavior of silicate melts at high pressure. Here, we apply our recently developed x-ray absorption technique to the density of SiO_{2} glass up to 110 GPa, doubling the pressure range for such measurements. Our density data validate recent molecular dynamics simulations and are in good agreement with previous experimental studies conducted at lower pressure. Silica glass rapidly densifies up to 40 GPa, but the density trend then flattens to become asymptotic to the density of SiO_{2} minerals above 60 GPa. The density data present two discontinuities at ∼17 and ∼60  GPa that can be related to a silicon coordination increase from 4 to a mixed 5/6 coordination and from 5/6 to sixfold, respectively. SiO_{2} glass becomes denser than MgSiO_{3} glass at ∼40  GPa, and its density becomes identical to that of MgSiO_{3} glass above 80 GPa. Our results on SiO_{2} glass may suggest that a variation of SiO_{2} content in a basaltic or pyrolitic melt with pressure has at most a minor effect on the final melt density, and iron partitioning between the melts and residual solids is the predominant factor that controls melt buoyancy in the lowermost mantle.

  18. Green-emissive transparent BaSi 2O 5:Eu 2 + film phosphor on quartz glass created by a sputtering thermal diffusion process

    NASA Astrophysics Data System (ADS)

    Seo, K. I.; Park, J. H.; Kim, J. S.; Na, Y. H.; Choi, J. C.; Bae, J. S.

    2009-10-01

    Eu 2+-doped BaSi 2O 5 film phosphors on quartz substrates are fabricated by radio-frequency magnetron sputtering thermal diffusion. The BaSi 2O 5: Eu 2+ phosphor crystals have some preferred orientations that are lattice-spacing matched with the crystallized β- SiO 2 crystals, and they show pore and grain boundary-free morphology with a rod-like shape fused into the crystallized β- SiO 2 crystals. The BaSi 2O 5: Eu 2+ film phosphor has a high transparency, with a transmittance of about 30% in visible light. The BaSi 2O 5: Eu 2+ film phosphor shows 510 nm green emission from the f-d transition of the Eu 2+ ions, and in particular the best sample shows a green photoluminescence brightness of about 5% of a BaSi 2O 5: Eu 2+ powder phosphor screen. These excellences in optical properties can be explained by less optical scattering at pores or grain boundaries, and less reflection at the continuously index-changed interface.

  19. Effect of the SiO2 Support on the Catalytic Performance of Ag/ZrO2/SiO2 Catalysts for the Single-Bed Production of Butadiene from Ethanol

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dagle, Vanessa; Flake, Matthew D.; Lemmon, Teresa

    2018-05-18

    A ternary Ag/ZrO2/SiO2 catalyst system was studied for the single-step conversion of ethanol to butadiene by varying the catalyst composition (Ag, Ir, or Pt metal component, Ag/ZrO2 loading, and choice of SiO2 support) and operating conditions (space velocity and feed gas composition). Exceptional catalytic performance was achieved over a 1%Ag/4%ZrO2/SiO2-SBA-16 catalyst leading to 99% conversion and 71% butadiene selectivity while operating under mild conditions (325ºC, 1 atm, 0.23 hr-1). Several classes of silica (i.e., silica gels, fumed silicas, meoporous silicas) were evaluated as support, and SBA-16 was found to be the most promising. The nature of the SiO2 support wasmore » found to have a strong influence on both conversion and selectivity. Higher SiO2 catalyst surface areas lead to greater conversion due to increased Ag dispersion thus accelerating the initial ethanol dehydrogenation reaction. By independently varying Ag and ZrO2 loading, Ag was found to be the main component affecting ethanol conversion. Butadiene selectivity varied depending on the concentration of ZrO2 and acidic characteristics of the SiO2 support. A direct relationship between butadiene selectivity and concentration of Lewis acid sites was evidenced. Also, adding H2 to the feed had little effect on conversion while improving catalytic stability, however, selectivity to butadiene was decreased. Finally, catalyst regenerability was successfully demonstrated for several cycles.« less

  20. Effect of the SiO 2 support on the catalytic performance of Ag/ZrO 2 /SiO 2 catalysts for the single-bed production of butadiene from ethanol

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dagle, Vanessa Lebarbier; Flake, Matthew D.; Lemmon, Teresa L.

    A ternary Ag/ZrO2/SiO2 catalyst system was studied for the single-step conversion of ethanol to butadiene by varying the catalyst composition (Ag, Ir, or Pt metal component, Ag/ZrO2 loading, and choice of SiO2 support) and operating conditions (space velocity and feed gas composition). Exceptional catalytic performance was achieved over a 1%Ag/4%ZrO2/SiO2-SBA-16 catalyst leading to 99% conversion and 71% butadiene selectivity while operating under mild conditions (325ºC, 1 atm, 0.23 hr-1). Several classes of silica (i.e., silica gels, fumed silicas, meoporous silicas) were evaluated as support, and SBA-16 was found to be the most promising. The nature of the SiO2 support wasmore » found to have a strong influence on both conversion and selectivity. Higher SiO2 catalyst surface areas lead to greater conversion due to increased Ag dispersion thus accelerating the initial ethanol dehydrogenation reaction. By independently varying Ag and ZrO2 loading, Ag was found to be the main component affecting ethanol conversion. Butadiene selectivity varied depending on the concentration of ZrO2 and acidic characteristics of the SiO2 support. A direct relationship between butadiene selectivity and concentration of Lewis acid sites was evidenced. Also, adding H2 to the feed had little effect on conversion while improving catalytic stability, however, selectivity to butadiene was decreased. Finally, catalyst regenerability was successfully demonstrated for several cycles.« less

  1. Magnetism from Fe2O3 nanoparticles embedded in amorphous SiO2 matrix

    NASA Astrophysics Data System (ADS)

    Sendil Kumar, A.; Bhatnagar, Anil K.

    2018-02-01

    Fe2O3 nanoparticles are embedded in amorphous SiO2 matrix by coprecipitation method with varying concentrations. Conditions are optimized to get almost monodispersed Fe2O3 nanoparticles with high chemical stability. Microstructure of synthesized nanoparticles is well characterized and found that Fe2O3 is in nanocrystalline form and embedded uniformly in amorphous SiO2 matrix. Enhanced surface reactivity is found for nanoparticles which influences physical properties of the SiO2 supported Fe2O3 system due to adsorption. In oxide nanoparticles, significant number of defect sites at the surface is expected but when supported medium such as SiO2 it reduces this defect concentration. Field- and temperature-dependent magnetisation studies on these samples show superparamagnetic behaviour. Superparamagnetic behaviour is seen in all the concentration systems but the coercivity observed in the lower concentration systems is found to be anomalous compared to that of higher concentrations. The observed magnetic behaviour comes from either unsaturated bond existing due to the absence of anions at the surface of nanoparticles or reconstruction of atomic orbitals taking place at interface of Fe2O3-SiO2 system.

  2. Comparative study of three magnetic nano-particles (FeSO4, FeSO4/SiO2, FeSO4/SiO2/TiO2) in plasmid DNA extraction.

    PubMed

    Rahnama, H; Sattarzadeh, A; Kazemi, F; Ahmadi, N; Sanjarian, F; Zand, Z

    2016-11-15

    Recent updates on Magnetic Nano-Particles (MNPs) based separation of nucleic acids have received more attention due to their easy manipulation, simplicity, ease of automation and cost-effectiveness. It has been indicated that DNA molecules absorb on solid surfaces via hydrogen-bonding, and hydrophobic and electrostatic interactions. These properties highly depend on the surface condition of the solid support. Therefore, surface modification of MNPs may enhance their functionality and specification. In the present study, we functionalized Fe3O4 nano-particle surface utilizing SiO2 and TiO2 layer as Fe3O4/SiO2 and Fe3O4/SiO2/TiO2 and then compare their functionality in the adsorption of plasmid DNA molecules with the naked Fe3O4 nano-particles. The result obtained showed that the purity and amount of DNA extracted by Fe3O4 coated by SiO2 or SiO2/TiO2 were higher than the naked Fe3O4 nano-particles. Furthermore, we obtained pH 8 and 1.5 M NaCl as an optimal condition for desorption of DNA from MNPs. The result further showed that, 0.2 mg nano-particle and 10 min at 55 °C are the optimal conditions for DNA desorption from nano-particles. In conclusion, we recommended Fe3O4/SiO2/TiO2 as a new MNP for separation of DNA molecules from biological sources. Copyright © 2016 Elsevier Inc. All rights reserved.

  3. Nd2O3-SiO2 nanocomposites: A simple sonochemical preparation, characterization and photocatalytic activity.

    PubMed

    Zinatloo-Ajabshir, Sahar; Mortazavi-Derazkola, Sobhan; Salavati-Niasari, Masoud

    2018-04-01

    Nd 2 O 3 -SiO 2 nanocomposites with enhanced photocatalytic activity have been obtained through simple and rapid sonochemical route in presence of putrescine as a new basic agent, for the first time. The influence of the mole ratio of Si:Nd, basic agent and ultrasonic power have been optimized to obtain the best Nd 2 O 3 -SiO 2 nanocomposites on shape, size and photocatalytic activity. The produced Nd 2 O 3 -SiO 2 nanocomposites have been characterized utilizing XRD, EDX, TEM, FT-IR, DRS and FESEM. Application of the as-formed Nd 2 O 3 -SiO 2 nano and bulk structures as photocatalyst with photodegradation of methyl violet contaminant under ultraviolet illumination was compared. Results demonstrated that SiO 2 has remarkable effect on catalytic performance of Nd 2 O 3 photocatalyst for decomposition. By introducing of SiO 2 to Nd 2 O 3 , decomposition efficiency of Nd 2 O 3 toward methyl violet contaminant under ultraviolet illumination was increased. Copyright © 2017 Elsevier B.V. All rights reserved.

  4. Nanoscale Phase-Separated Structure in Core-Shell Nanoparticles of SiO2-Si1-xGexO2 Glass Revealed by Electron Microscopy.

    PubMed

    Kubo, Yugo; Yonezawa, Kazuhiro

    2017-09-05

    SiO 2 -based optical fibers are indispensable components of modern information communication technologies. It has recently become increasingly important to establish a technique for visualizing the nanoscale phase-separated structure inside SiO 2 -GeO 2 glass nanoparticles during the manufacturing of SiO 2 -GeO 2 fibers. This is because the rapidly increasing price of Ge has made it necessary to improve the Ge yield by clarifying the detailed mechanism of Ge diffusion into SiO 2 . However, direct observation of the internal nanostructure of glass particles has been extremely difficult, mainly due to electrostatic charging and the damage induced by electron and X-ray irradiation. In the present study, we used state-of-the-art scanning electron microscopy (SEM), scanning transmission electron microscopy (STEM), and energy dispersive X-ray spectroscopy (EDX) to examine cross-sectional samples of SiO 2 -GeO 2 particles embedded in an epoxy resin, which were fabricated using a broad Ar ion beam and a focused Ga ion beam. These advanced techniques enabled us to observe the internal phase-separated structure of the nanoparticles. We have for the first time clearly determined the SiO 2 -Si 1-x Ge x O 2 core-shell structure of such particles, the element distribution, the degree of crystallinity, and the quantitative chemical composition of microscopic regions, and we discuss the formation mechanism for the observed structure. The proposed imaging protocol is highly promising for studying the internal structure of various core-shell nanoparticles, which affects their catalytic, optical, and electronic properties.

  5. Olivine-modified spinel-spinel transitions in the system Mg2SiO4-Fe2SiO4: Calorimetric measurements, thermochemical calculation, and geophysical application

    NASA Astrophysics Data System (ADS)

    Akaogi, Masaki; Ito, Eiji; Navrotsky, Alexandra

    1989-11-01

    The olivine(α)-modified spinel(β)-spinel (γ) transitions in the system Mg2SiO4-Fe2SiO4 were studied by high-temperature solution calorimetry. Enthalpies of the β-γ and a α-γ transitions in Mg2SiO4 at 975 K and of the α-γ transition in Fe2SiO4 at 298 K were measured. The γ solid solution showed a positive enthalpy of mixing. Phase relations at high pressures and high temperatures were calculated from these thermochemical data including correction for the effect of nonideality of α, β, and γ solid solutions. The calculated phase diagrams agree well with those determined experimentally by Katsura and Ito very recently. The α - (Mg0.89, Fe0.11)2SiO4 transforms to β through a region of α+β without passing through the α+γ phase field at around 400 km depth in the mantle with an interval of about 18(±5) km. Temperatures at 390 and 650 km depths are estimated to be about 1673 and 1873 K, respectively, assuming an adiabatic geotherm.

  6. Electrical characteristics of SiO2/ZrO2 hybrid tunnel barrier for charge trap flash memory

    NASA Astrophysics Data System (ADS)

    Choi, Jaeho; Bae, Juhyun; Ahn, Jaeyoung; Hwang, Kihyun; Chung, Ilsub

    2017-08-01

    In this paper, we investigate the electrical characteristics of SiO2/ZrO2 hybrid tunnel oxide in metal-Al2O3-SiO2-Si3N4-SiO2-silicon (MAONOS) structure in an effort to improve program and erase speed as well as retention characteristics. Inserting ZrO2 into the conventional MAONOS structure increased the programmed V th variation to 6.8 V, and increased the erased V th variation to -3.7 V at 17 MV/cm. The results can be understood in terms of reducing the Fowler-Nordheim (F/N) tunneling barrier due to high-k ZrO2 in the tunneling oxide. In addition, Zr diffusion in SiO2 caused the formation of Zr x Si1- x O2 at the interface region, which reduced the energy band gap of SiO2. The retention property of the hybrid tunnel oxide varied depending on the thickness of SiO2. For thin SiO2 less than 30 Å, the retention properties of the tunneling oxides were poor compared with those of the SiO2 only tunneling oxides. However, the hybrid tunneling oxides with SiO2 thickness thicker than 40 Å yielded improved retention behavior compared with those of the SiO2-only tunneling oxides. The detailed analysis in charge density of ZrO2 was carried out by ISPP test. The obtained charge density was quite small compared to that of the total charge density, which indicates that the inserted ZrO2 layer serves as a tunneling material rather than charge storage dielectric.

  7. Synthesis of nanocrystalline α - Zn 2SiO 4 at ZnO-porous silicon interface: Phase transition study

    NASA Astrophysics Data System (ADS)

    Singh, R. G.; Singh, Fouran; Mehra, R. M.; Kanjilal, D.; Agarwal, V.

    2011-05-01

    Thermal annealing induced formation of nanocrystalline Zinc silicate (α-Zn 2SiO 4) at the interface of ZnO-porous silicon (PSi) nanocomposites is reported. The PSi templates were formed by electrochemical anodization of p-type (100) Si and ZnO crystallites were deposited on the PSi surface by a Sol-gel spin coating process. The formation of α-Zn 2SiO 4 is confirmed by glancing angle X-ray diffraction and Fourier transform infrared spectroscopy studies. The presence of intense yellow-green emission also confirms the formation of α-Zn 2SiO 4. The mechanism of silicate phase formation at the ZnO-PSi interface and the origin of various photoluminescence (PL) bands are discussed in view of its potential applications in advanced optoelectronic devices.

  8. Preparation of SiO2-Protecting Metallic Fe Nanoparticle/SiO2 Composite Spheres for Biomedical Application

    PubMed Central

    Hsieh, Pin-Wei; Tseng, Ching-Li; Kuo, Dong-Hau

    2015-01-01

    Functionalized Fe nanoparticles (NPs) have played an important role in biomedical applications. In this study, metallic Fe NPs were deposited on SiO2 spheres to form a Fe/SiO2 composite. To protect the Fe from oxidation, a thin SiO2 layer was coated on the Fe/SiO2 spheres thereafter. The size and morphology of the SiO2@Fe/SiO2 composite spheres were examined by transmission electron microscopy (TEM). The iron form and its content and magnetic properties were examined by X-ray diffraction (XRD), inductively-coupled plasma mass spectrometry (ICP-MS) and a superconducting quantum interference device (SQUID). The biocompatibility of the SiO2@Fe/SiO2 composite spheres was examined by Cell Counting Kit-8 (CCK-8) and lactate dehydrogenase (LDH) tests. The intracellular distribution of the SiO2@Fe/SiO2 composite spheres was observed using TEM. XRD analysis revealed the formation of metallic iron on the surface of the SiO2 spheres. According to the ICP-MS and SQUID results, using 0.375 M FeCl3·6H2O for Fe NPs synthesis resulted in the highest iron content and magnetization of the SiO2@Fe/SiO2 spheres. Using a dye loading experiment, a slow release of a fluorescence dye from SiO2@Fe/SiO2 composite spheres was confirmed. The SiO2@Fe/SiO2 composite spheres co-cultured with L929 cells exhibit biocompatibility at concentrations <16.25 µg/mL. The TEM images show that the SiO2@Fe/SiO2 composite spheres were uptaken into the cytoplasm and retained in the endosome. The above results demonstrate that the SiO2@Fe/SiO2 composite spheres could be used as a multi-functional agent, such as a magnetic resonance imaging (MRI) contrast agent or drug carriers in biomedical applications.

  9. Potassium ions in SiO2: electrets for silicon surface passivation

    NASA Astrophysics Data System (ADS)

    Bonilla, Ruy S.; Wilshaw, Peter R.

    2018-01-01

    This manuscript reports an experimental and theoretical study of the transport of potassium ions in thin silicon dioxide films. While alkali contamination was largely researched in the context of MOSFET instability, recent reports indicate that potassium ions can be embedded into oxide films to produce dielectric materials with permanent electric charge, also known as electrets. These electrets are integral to a number of applications, including the passivation of silicon surfaces for optoelectronic devices. In this work, electric field assisted migration of ions is used to rapidly drive K+ into SiO2 and produce effective passivation of silicon surfaces. Charge concentrations of up to ~5  ×  1012 e cm-2 have been achieved. This charge was seen to be stable for over 1500 d, with decay time constants as high as 17 000 d, producing an effectively passivated oxide-silicon interface with SRV  <  7 cm s-1, in 1 Ω cm n-type material. This level of charge stability and passivation effectiveness has not been previously reported. Overall, this is a new and promising methodology to enhance surface passivation for the industrial manufacture of silicon optoelectronic devices.

  10. Direct fabrication of hybrid nanofibres composed of SiO2-PMMA nanospheres via electrospinning.

    PubMed

    Zhang, Ran; Shang, Tinghua; Yang, Guang; Jia, Xiaolong; Cai, Qing; Yang, Xiaoping

    2016-08-01

    The direct fabrication of hybrid nanofibres composed of poly(methyl methacrylate)-grafted SiO2 (SiO2-PMMA) nanospheres via electrospinning was investigated in detail. SiO2-PMMA nanospheres were successfully prepared, with the SiO2 nanospheres synthesized via the Stober method, followed by in situ surface-initiated atom transfer radical polymerization of methyl methacrylate (MMA). Electrospinning was carried out with N,N-dimethylformamide (DMF) as the solvent to disperse SiO2-PMMA nanospheres. The size of the SiO2 core, the molecular weight of the PMMA shell and the concentration of the SiO2-PMMA/DMF solution all had substantial effects on the morphology and structure of electrospun nanofibres composed of SiO2-PMMA nanospheres. When these determining factors were well-tailored, it was found that one-dimensional necklace-like nanofibres were obtained, with SiO2-PMMA nanospheres aligned one by one along the fibre. The successful fabrication of nanofibres by directly electrospinning the SiO2-PMMA/DMF solution verified that polymer-grafted particles possess polymer-like characteristics, which endowed them with the ability to be processed into desirable shapes and structures. Copyright © 2016 Elsevier B.V. All rights reserved.

  11. Irreversible metal-insulator transition in thin film VO2 induced by soft X-ray irradiation

    NASA Astrophysics Data System (ADS)

    Singh, V. R.; Jovic, V.; Valmianski, I.; Ramirez, J. G.; Lamoureux, B.; Schuller, Ivan K.; Smith, K. E.

    2017-12-01

    In this study, we show the ability of soft x-ray irradiation to induce room temperature metal-insulator transitions (MITs) in VO2 thin films grown on R-plane sapphire. The ability of soft x-rays to induce MIT in VO2 thin films is confirmed by photoemission spectroscopy and soft x-ray spectroscopy measurements. When irradiation was discontinued, the systems do not return to the insulating phase. Analysis of valence band photoemission spectra revealed that the density of states (DOSs) of the V 3d band increased with irradiation time, while the DOS of the O 2p band decreased. We use these results to propose a model in which the MIT is driven by oxygen desorption from thin films during irradiation.

  12. [Effect of TiO2-SiO2-SnOx film with different firing temperatures on bond strength of low-fusing dental porcelain to pure titanium].

    PubMed

    Zhang, Zichuan; Zhang, Pei

    2015-07-01

    To evaluate the influence of TiO(2)-SiO(2)-SnOx nano-coatings with different firing temperatures on the bond strength of low-fusing dental porcelain to pure titanium. The surface of pure titanium was coated uniformly with TiO(2)-SiO(2)-SnOx nano-coatings by solution-gelatin (Sol-Gel) technology and then fired at 300 °C (group A) or 750 °C (group B) for 1 h. The specimens without any coatings were the control group (group C). There were 10 specimens in each group. Dental porcelain was sintered on the surface of titanium specimens. Surface roughness and contact angle of the coatings were also detected. The titanium-porcelain bond strength was investigated according to YY 0621-2008 standards using three-point flexure bond test. The phase composition of the TiO(2)-SiO(2)-SnOx nano-coatings was characterized by X-ray diffraction(XRD). The interface of titanium-porcelain and TiO(2)-SiO(2)-SnOx nano-coatings were observed using scanning electron microscope (SEM). No rutile phase was found in these specimens of group A and group B. The surface roughness of group A, B, C was (0.97 ± 0.06), (0.99 ± 0.03), (0.96 ± 0.07) µm, respectively. No significant difference was found among the three groups. Compared with that of group C (64.37° ± 3.01°), contact angles detected in group A (52.04° ± 3.15°) and group B (85.27° ± 4.17°) were significantly different (P < 0.05). The bond strength of titanium-porcelain in group A [(35.66 ± 2.65) MPa] was significantly increased compared with those in group B [(26.18 ± 2.22) MPa] and group C [(31.66 ± 3.52) MPa]. SEM photomicrographs of titanium-porcelain interface morphology of the specimens before porcelain sintering showed that TiO(2)-SiO(2)-SnOx nano-coatings in group A were compact and homogeneous with petty cracks and those in group B was loose and arranged disorderly. TiO(2)-SiO(2)-SnOx nano-coating fired at 300 °C is significantly effective in improving the titanium-porcelain bond strength.

  13. Ultrasensitive Electrochemical Detection of Glycoprotein Based on Boronate Affinity Sandwich Assay and Signal Amplification with Functionalized SiO2@Au Nanocomposites.

    PubMed

    You, Min; Yang, Shuai; Tang, Wanxin; Zhang, Fan; He, Pin-Gang

    2017-04-26

    Herein we propose a multiple signal amplification strategy designed for ultrasensitive electrochemical detection of glycoproteins. This approach introduces a new type of boronate-affinity sandwich assay (BASA), which was fabricated by using gold nanoparticles combined with reduced graphene oxide (AuNPs-GO) to modify sensing surface for accelerating electron transfer, the composite of molecularly imprinted polymer (MIP) including 4-vinylphenylboronic acid (VPBA) for specific capturing glycoproteins, and SiO 2 nanoparticles carried gold nanoparticles (SiO 2 @Au) labeled with 6-ferrocenylhexanethiol (FcHT) and 4-mercaptophenylboronic acid (MPBA) (SiO 2 @Au/FcHT/MPBA) as tracing tag for binding glycoprotein and generating electrochemical signal. As a sandwich-type sensing, the SiO 2 @Au/FcHT/MPBA was captured by glycoprotein on the surface of imprinting film for further electrochemical detection in 0.1 M PBS (pH 7.4). Using horseradish peroxidase (HRP) as a model glycoprotein, the proposed approach exhibited a wide linear range from 1 pg/mL to 100 ng/mL, with a low detection limit of 0.57 pg/mL. To the best of our knowledge, this is first report of a multiple signal amplification approach based on boronate-affinity molecularly imprinted polymer and SiO 2 @Au/FcHT/MPBA, exhibiting greatly enhanced sensitivity for glycoprotein detection. Furthermore, the newly constructed BASA based glycoprotein sensor demonstrated HRP detection in real sample, such as human serum, suggesting its promising prospects in clinical diagnostics.

  14. Facile preparation of polyethylenimine-tannins coated SiO2 hybrid materials for Cu2+ removal

    NASA Astrophysics Data System (ADS)

    Huang, Qiang; Liu, Meiying; Zhao, Jiao; Chen, Junyu; Zeng, Guangjian; Huang, Hongye; Tian, Jianwen; Wen, Yuanqing; Zhang, Xiaoyong; Wei, Yen

    2018-01-01

    Polyethylenimine-tannins coated SiO2 (SiO2@PEI-TA) hybrid materials have been prepared via a single-step multifunctional coating with polyethylenimine (PEI) and tannins (TA), and characterized by transmission electron microscope (TEM), Fourier transform infrared spectroscopy (FT-IR), thermogravimetric analysis (TGA), and X-ray photoelectron spectroscopy (XPS). The as-prepared SiO2@PEI-TA composites were examined as adsorbents to remove the Cu2+ from aqueous solution. The effects of contact time, initial Cu2+ concentration, solution pH and temperature, on Cu2+ adsorption have been investigated. The results show that the adsorption of Cu2+ onto SiO2@PEI-TA is dependent on the contact time, Cu2+ concentration, pH and temperature. The SiO2@PEI-TA composites show a 2.4-fold increase in adsorption capacity, implying that the introduction of PEI-TA coating is in favor of the Cu2+ adsorption. Based on the analysis of kinetic data, the kinetics of Cu2+ adsorption is more accurately described by the pseudo-second-order model. The equilibrium data are analyzed by Langmuir and Freundlich isotherms. Results of isotherms show that the better agreement is Freundlich isotherm model with correlation coefficient of 0.9914, which suggests that the adsorption of Cu2+ onto SiO2@PEI-TA is mainly a heterogeneous adsorption process. Thermodynamic analyses show that the adsorption interaction is actually a spontaneous and endothermic chemical process, which might involve the chemical chelation between Cu2+ and functional groups (amine and carboxyl groups) on the surface of SiO2@PEI-TA. In addition, the Cu2+ ions could desorb from SiO2@PEI-TA by using acid solution and the adsorption efficiency remains at high level after five adsorption-desorption recycles. These results provide potential applications of these novel adsorbents for the removal of heavy metal Cu2+ from aqueous solution and also provide strong evidence to support the adsorption mechanism proposed in the study.

  15. Synthesis and catalytic performance of SiO2@Ni and hollow Ni microspheres

    NASA Astrophysics Data System (ADS)

    Liu, Xin; Liu, Yanhua; Shi, Xueting; Yu, Zhengyang; Feng, Libang

    2016-11-01

    Nickel (Ni) catalyst has been widely used in catalytic reducing reactions such as catalytic hydrogenation of organic compounds and catalytic reduction of organic dyes. However, the catalytic efficiency of pure Ni is low. In order to improve the catalytic performance, Ni nanoparticle-loaded microspheres can be developed. In this study, we have prepared Ni nanoparticle-loaded microspheres (SiO2@Ni) and hollow Ni microspheres using two-step method. SiO2@Ni microspheres with raspberry-like morphology and core-shell structure are synthesized successfully using SiO2 microsphere as a template and Ni2+ ions are adsorbed onto SiO2 surfaces via electrostatic interaction and then reduced and deposited on surfaces of SiO2 microspheres. Next, the SiO2 cores are removed by NaOH etching and the hollow Ni microspheres are prepared. The NaOH etching time does no have much influence on the crystal structure, shape, and surface morphology of SiO2@Ni; however, it can change the phase composition evidently. The hollow Ni microspheres are obtained when the NaOH etching time reaches 10 h and above. The as-synthesized SiO2@Ni microspheres exhibit much higher catalytic performance than the hollow Ni microspheres and pure Ni nanoparticles in the catalytic reduction of methylene blue. Meanwhile, the SiO2@Ni catalyst has high stability and hence it can be recycled for reuse.

  16. Calcination Conditions on the Properties of Porous TiO2 Film

    NASA Astrophysics Data System (ADS)

    Zhang, Wenjie; Pei, Xiaobei; Bai, Jiawei; He, Hongbo

    2014-03-01

    Porous TiO2 films were deposited on SiO2 precoated glass-slides by sol-gel method using PEG1000 as template. The strongest XRD diffraction peak at 2θ = 25.3° is attributed to [101] plane of anatase TiO2 in the film. The increases of calcination temperature and time lead to stronger diffraction peak intensity. High transmittance and blue shift of light absorption edge are the properties of the film prepared at high calcination temperature. The average pore size of the films increases with the increasing calcination temperature as the result of TiO2 crystalline particles growing up and aggregation, accompanied with higher specific surface area. Photocatalytic activity of porous TiO2 films increases with the increasing calcination temperature. The light absorption edge of the films slightly moves to longer wavelength region along with the increasing calcination time. The mesoporous film calcinated at 500 °C for 2 h has the highest transmittance, the maximum surface area, and the maximum total pore volume. Consequently, the optimum degradation activity is achieved on the porous TiO2 film calcinated at 500 °C for 2 h.

  17. SiO2 and CaF2 Behavior During Shielded Metal Arc Welding and Their Effect on Slag Detachability of the CaO-CaF2-SiO2 Type ENiCrFe-7-Covered Electrode

    NASA Astrophysics Data System (ADS)

    Wang, Huang; Qin, Renyao; He, Guo

    2016-09-01

    The metallurgical behavior during shielded metal arc welding (SMAW) and the slag detachability of the CaO-CaF2-SiO2 type ENiCrFe-7-covered electrodes was investigated. The results indicated that the slag detachability could be improved as the SiO2 in the flux coatings decreased. When the SiO2 in the flux coating was 10.9 pct, about 28.3 pct CaF2 resulted in the best slag detachability. The CaF2 and SiO2 in the flux coating interacted during SMAW to form gaseous SiF4 to be evacuated. In the reactions, one SiO2 consumed two CaF2, leading to the reduction of the ratio of CaF2/SiO2. After comparing the slag compositions, the best slag detachability was obtained at CaO:CaF2:SiO2 = 1.7:1.8:1, but the worst slag detachability appeared at CaO:CaF2:SiO2 = 1.3:0.9:1. The XRD analysis revealed that the oxides and fluorides in the slags preferred to gather together to form cuspidine and other complex phases. If the CaF2 was dominant in the slags, they intended to form homogenous porous microstructures that were relatively strong and would most likely detach from the weld metal in blocks, exhibiting good slag detachability. If the cuspidine phase was dominant, the slags exhibited a `rock strata'-like microstructure in the intergranular area. Such microstructure was very fragile and could be broken into fine powders that were easily embedded in the weld ripples, leading to slag adhesions. This work provides the researcher with a wealth of information and data, which will also be beneficial to the welding material producers and users.

  18. Pulsed laser-induced formation of silica nanogrids

    PubMed Central

    2014-01-01

    Silica grids with micron to sub-micron mesh sizes and wire diameters of 50 nm are fabricated on fused silica substrates. They are formed by single-pulse structured excimer laser irradiation of a UV-absorbing silicon suboxide (SiO x ) coating through the transparent substrate. A polydimethylsiloxane (PDMS) superstrate (cover layer) coated on top of the SiO x film prior to laser exposure serves as confinement for controlled laser-induced structure formation. At sufficiently high laser fluence, this process leads to grids consisting of a periodic loop network connected to the substrate at regular positions. By an additional high-temperature annealing, the residual SiO x is oxidized, and a pure SiO2 grid is obtained. PACS 81.07.-b; 81.07.Gf; 81.65.Cf PMID:24581305

  19. Atomic layer deposition of VO2 films with Tetrakis-dimethyl-amino vanadium (IV) as vanadium precursor

    NASA Astrophysics Data System (ADS)

    Lv, Xinrui; Cao, Yunzhen; Yan, Lu; Li, Ying; Song, Lixin

    2017-02-01

    VO2 thin films have been grown on Si(100) (VO2/Si) and fused silica substrates (VO2/SiO2) by atomic layer deposition (ALD) using tetrakis-dimethyl-amino vanadium (IV) (TDMAV) as a novel vanadium precursor and water as reactant gas. The quartz crystal microbalance (QCM) measurement was performed to study the ALD process of VO2 thin film deposition, and a constant growth rate of about 0.95 Å/cycle was obtained at the temperature range of 150-200 °C. XRD measurement was performed to study the influence of deposition temperature and post-annealing condition on the crystallization of VO2 films, which indicated that the films deposited between 150 and 200 °C showed well crystallinity after annealing at 475 °C for 100 min in Ar atmosphere. XPS measurement verified that the vanadium oxidation state was 4+ for both as-deposited film and post-annealed VO2/Si film. AFM was applied to study the surface morphology of VO2/Si films, which showed a dense polycrystalline film with roughness of about 1 nm. The resistance of VO2/Si films deposited between 150 °C and 200 °C as a function of temperature showed similar semiconductor-to-metal transition (SMT) characters with the transition temperature for heating branch (Tc,h) of about 72 °C, a hysteresis width of about 10 °C and the resistance change of two orders of magnitude. The increase of Tc,h compared with the bulk VO2 (68 °C) may be attributed to the tensile stress along the c-axis in the film. Transmittance measurement of VO2/SiO2 films showed typical thermochromic property with a NIR switching efficiency of above 50% at 2 μm across the transition.

  20. Laser welding of fused silica glass with sapphire using a non- stoichiometric, fresnoitic Ba2TiSi2O8·3 SiO2 thin film as an absorber

    NASA Astrophysics Data System (ADS)

    de Pablos-Martín, A.; Lorenz, M.; Grundmann, M.; Höche, Th.

    2017-07-01

    Laser welding of dissimilar materials is challenging, due to their difference in coefficients of thermal expansion (CTE). In this work, fused silica-to-sapphire joints were achieved by employment of a ns laser focused in the intermediate Si-enriched fresnoitic glass thin film sealant. The microstructure of the bonded interphase was analyzed down to the nanometer scale and related to the laser parameters used. The crystallization of fresnoite in the glass sealant upon laser process leads to an intense blue emission intensity under UV excitation. This crystallization is favored in the interphase with the silica glass substrate, rather than in the border with the sapphire. The formation of SiO2 particles was confirmed, as well. The bond quality was evaluated by scanning acoustic microscopy (SAM). The substrates remain bonded even after heat treatment at 100 °C for 30 min, despite the large CTE difference between both substrates.

  1. Switching of magnetic easy-axis using crystal orientation for large perpendicular coercivity in CoFe2O4 thin film

    PubMed Central

    Shirsath, Sagar E.; Liu, Xiaoxi; Yasukawa, Yukiko; Li, Sean; Morisako, Akimitsu

    2016-01-01

    Perpendicular magnetization and precise control over the magnetic easy axis in magnetic thin film is necessary for a variety of applications, particularly in magnetic recording media. A strong (111) orientation is successfully achieved in the CoFe2O4 (CFO) thin film at relatively low substrate temperature of 100 °C, whereas the (311)-preferred randomly oriented CFO is prepared at room temperature by the DC magnetron sputtering technique. The oxygen-deficient porous CFO film after post-annealing gives rise to compressive strain perpendicular to the film surface, which induces large perpendicular coercivity. We observe the coercivity of 11.3 kOe in the 40-nm CFO thin film, which is the highest perpendicular coercivity ever achieved on an amorphous SiO2/Si substrate. The present approach can guide the systematic tuning of the magnetic easy axis and coercivity in the desired direction with respect to crystal orientation in the nanoscale regime. Importantly, this can be achieved on virtually any type of substrate. PMID:27435010

  2. Epitaxial growth of YBa2Cu3O7 - delta films on oxidized silicon with yttria- and zirconia-based buffer layers

    NASA Astrophysics Data System (ADS)

    Pechen, E. V.; Schoenberger, R.; Brunner, B.; Ritzinger, S.; Renk, K. F.; Sidorov, M. V.; Oktyabrsky, S. R.

    1993-09-01

    A study of epitaxial growth of YBa2Cu3O7-δ films on oxidized Si with yttria- and zirconia-based buffer layers is reported. Using substrates with either SiO2 free or naturally oxidized (100) surfaces of Si it was found that a thin SiO2 layer on top of the Si favors high-quality superconducting film formation. Compared to yttria-stabilized ZrO2 (YSZ) single layers, YSZY2O3 double and YSZ/Y2O3YSZ triple layers allows the deposition of thin YBa2Cu3O7-δ films with improved properties including reduced aging effects. In epitaxial YBa2Cu3O7-δ films grown on the double buffer layers a critical temperature Tc(R=0)=89.5 K and critical current densities of 3.5×106 A/cm2 at 77 K and 1×107 A/cm2 at 66 K were reached.

  3. Effect of the SiO 2 support on the catalytic performance of Ag/ZrO 2/SiO 2 catalysts for the single-bed production of butadiene from ethanol

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dagle, Vanessa Lebarbier; Flake, Matthew D.; Lemmon, Teresa L.

    A ternary Ag/ZrO 2/SiO 2 catalyst system was studied for single-step conversion of ethanol to butadiene by varying the catalyst composition (Ag, Ir, or Pt metal component, Ag/ZrO 2 loading, and choice of SiO 2 support) and operating conditions (space velocity and feed gas composition). Exceptional catalytic performance was achieved over a 1%Ag/4%ZrO 2/SiO 2-SBA-16 catalyst leading to 99% conversion and 71% butadiene selectivity while operating under mild conditions (325°C, 1 atm, and 0.23 h –1). Several classes of silica—silica gels, fumed silicas, mesoporous silicas)—were evaluated as catalyst supports, and SBA-16 was found to be the most promising choice. Themore » SiO 2 support was found to significantly influence both conversion and selectivity. A higher SiO 2 catalyst surface area facilitates increased Ag dispersion which leads to greater conversion due to the accelerated initial ethanol dehydrogenation reaction step. By independently varying Ag and ZrO 2 loading, Ag was found to be the main component that affects ethanol conversion. ZrO 2 loading and thus Lewis acid sites concentration was found to have little impact on the ethanol conversion. Butadiene selectivity depends on the concentration of Lewis acid site, which in turn differs depending on the choice of SiO 2 support material. We observed a direct relationship between butadiene selectivity and concentration of Lewis acid sites. Butadiene selectivity decreases as the concentration of Lewis acid sites increases, which corresponds to an increase in ethanol dehydration to ethylene and diethyl ether. Additionally, adding H 2 to the feed had little effect on conversion while improving catalytic stability; however, selectivity to butadiene decreased. Lastly, catalyst regenerability was successfully demonstrated for several cycles.« less

  4. Effect of the SiO 2 support on the catalytic performance of Ag/ZrO 2/SiO 2 catalysts for the single-bed production of butadiene from ethanol

    DOE PAGES

    Dagle, Vanessa Lebarbier; Flake, Matthew D.; Lemmon, Teresa L.; ...

    2018-05-19

    A ternary Ag/ZrO 2/SiO 2 catalyst system was studied for single-step conversion of ethanol to butadiene by varying the catalyst composition (Ag, Ir, or Pt metal component, Ag/ZrO 2 loading, and choice of SiO 2 support) and operating conditions (space velocity and feed gas composition). Exceptional catalytic performance was achieved over a 1%Ag/4%ZrO 2/SiO 2-SBA-16 catalyst leading to 99% conversion and 71% butadiene selectivity while operating under mild conditions (325°C, 1 atm, and 0.23 h –1). Several classes of silica—silica gels, fumed silicas, mesoporous silicas)—were evaluated as catalyst supports, and SBA-16 was found to be the most promising choice. Themore » SiO 2 support was found to significantly influence both conversion and selectivity. A higher SiO 2 catalyst surface area facilitates increased Ag dispersion which leads to greater conversion due to the accelerated initial ethanol dehydrogenation reaction step. By independently varying Ag and ZrO 2 loading, Ag was found to be the main component that affects ethanol conversion. ZrO 2 loading and thus Lewis acid sites concentration was found to have little impact on the ethanol conversion. Butadiene selectivity depends on the concentration of Lewis acid site, which in turn differs depending on the choice of SiO 2 support material. We observed a direct relationship between butadiene selectivity and concentration of Lewis acid sites. Butadiene selectivity decreases as the concentration of Lewis acid sites increases, which corresponds to an increase in ethanol dehydration to ethylene and diethyl ether. Additionally, adding H 2 to the feed had little effect on conversion while improving catalytic stability; however, selectivity to butadiene decreased. Lastly, catalyst regenerability was successfully demonstrated for several cycles.« less

  5. Fabrication of SiO2@ZrO2@Y2O3:Eu3+ core-multi-shell structured phosphor.

    PubMed

    Gao, Xuan; He, Diping; Jiao, Huan; Chen, Juan; Meng, Xin

    2011-08-01

    ZrO2 interface was designed to block the reaction between SiO2 and Y2O3 in SiO2@Y2O3:Eu coreshell structure phosphor. SiO2@ZrO2@Y2O3:Eu core-multi-shell phosphors were successfully synthesized by combing an LBL method with a Sol-gel process. Based on electron microscopy, X-ray diffraction, and spectroscopy experiments, compelling evidence for the formation of the Y2O3:Eu outer shell on ZrO2 were presented. The presence of ZrO2 layer on SiO2 core can block the reaction of SiO2 core and Y2O3 shell effectively. By this kind of structure, the reaction temperature of the SiO2 core and Y2O3 shell in the SiO2@Y2O3:Eu core-shell structure phosphor can be increased about 200-300 degrees C and the luminescent intensity of this structure phosphor can be improved obviously. Under the excitation of ultraviolet (254 nm), the Eu3+ ion mainly shows its characteristic red (611 nm, 5D0-7F2) emissions in the core-multi-shell particles from Y2O3:Eu3+ shells. The emission intensity of Eu3+ ions can be tuned by the annealing temperatures, the number of coating times, and the thickness of ZrO2 interface, respectively.

  6. An X-ray photoelectron spectroscopy study of the thermal nitridation of SiO2/Si

    NASA Technical Reports Server (NTRS)

    Vasquez, R. P.; Madhukar, A.; Grunthaner, F. J.; Naiman, M. L.

    1986-01-01

    The dependence of the nitrogen distribution in thermally nitrided SiO2 films on the nitridation time and temperature has been studied by means of X-ray photoelectron spectroscopy (XPS). The photoelectron peak intensities were measured by fitting Voigt profiles to the XPS spectra and were used to calculate the film composition as a function of film depth, applying an analytical method described in detail. The times of appearance of the maxima in interfacial nitrogen concentration are shown for 800, 1000, and 1150 C, and the data are related to a kinetic model of Vasquez and Madhukar (1985), which considers the effect of interfacial strain on the nitridation kinetics. In addition, the intensity of a fluorine marker (from the HF used in the etching step) was found to correlate with the nitrogen concentration. It is postulated that the F bonds preferentially to defects. This hypothesis and the measured F intensities are consistent with the proposed strain-dependent energy of defect formation.

  7. Structural and electrical characterization of NbO2 vertical devices grown on TiN coated SiO2/Si substrate

    NASA Astrophysics Data System (ADS)

    Joshi, Toyanath; Borisov, Pavel; Lederman, David

    Due to its relatively high MIT temperature (1081 K) and current-controlled negative differential resistance, NbO2 is a robust candidate for memory devices and electrical switching applications. In this work, we present in-depth analysis of NbO2 thin film vertical devices grown on TiN coated SiO2/Si substrates using pulsed laser deposition (PLD). Two of the films grown in 1 mTorr and 10 mTorr O2/Ar (~7% O2) mixed growth pressures were studied. The formation of NbO2 phase was confirmed by Grazing Incidence X-ray Diffractometry (GIXRD), X-ray Photoelectron Spectroscopy (XPS) and current vs. voltage measurements. A probe station tip (tip size ~2 μm) or conductive AFM tip was used as a top and TiN bottom layer was used as a bottom contact. Device conductivity showed film thickness and contact size dependence. Current pulse measurements, performed in response to applied triangular voltage pulses, showed a non-linear threshold switching behavior for voltage pulse durations of ~100 ns and above. Self-sustained current oscillations were analyzed in terms of defect density presented in the film. Supported by FAME (sponsored by MARCO and DARPA, Contract 2013-MA-2382), WV Higher Education Policy Commission Grant (HEPC.dsr.12.29), and WVU SRF. We also thank S. Kramer from Micron for providing the TiN-coated Si substrates.

  8. Mechanical strength and tribological behavior of ion-beam deposited boron nitride films on non-metallic substrates

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Buckley, Donald H.; Pouch, John J.; Alterovitz, Samuel A.; Sliney, Harold E.

    1987-01-01

    An investigation was conducted to examine the mechanical strength and tribological properties of boron nitride (BN) films ion-beam deposited on silicon (Si), fused silica (SiO2), gallium arsenide (GaAs), and indium phosphide (InP) substrates in sliding contact with a diamond pin under a load. The results of the investigation indicate that BN films on nonmetallic substrates, like metal films on metallic substrates, deform elastically and plastically in the interfacial region when in contact with a diamond pin. However, unlike metal films and substrates, BN films on nonmetallic substrates can fracture when they are critically loaded. Not only does the yield pressure (hardness) of Si and SiO2 substrates increase by a factor of 2 in the presence of a BN film, but the critical load needed to fracture increases as well. The presence of films on the brittle substrates can arrest crack formation. The BN film reduces adhesion and friction in the sliding contact. BN adheres to Si and SiO2 and forms a good quality film, while it adheres poorly to GaAs and InP. The interfacial adhesive strengths were 1 GPa for a BN film on Si and appreciably higher than 1 GPa for a BN film on SiO2.

  9. Mechanical strength and tribological behavior of ion-beam-deposited boron nitride films on non-metallic substrates

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Pouch, John J.; Alterovitz, Samuel A.; Sliney, Harold E.; Buckley, Donald H.

    1987-01-01

    An investigation was conducted to examine the mechanical strength and tribological properties of boron nitride (BN) films ion-beam deposited on silicon (Si), fused silica (SiO2), gallium arsenide (GaAs), and indium phosphide (InP) substrates in sliding contact with a diamond pin under a load. The results of the investigation indicate that BN films on nonmetallic substrates, like metal films on metallic substrates, deform elastically and plastically in the interfacial region when in contact with a diamond pin. However, unlike metal films and substrates, BN films on nonmetallic substrates can fracture when they are critically loaded. Not only does the yield pressure (hardness) of Si and SiO2 substrates increase by a factor of 2 in the presence of a BN film, but the critical load needed to fracture increases as well. The presence of films on the brittle substrates can arrest crack formation. The BN film reduces adhesion and friction in the sliding contact. BN adheres to Si and SiO2 and forms a good quality film, while it adheres poorly to GaAs and InP. The interfacial adhesive strengths were 1 GPa for a BN film on Si and appreciably higher than 1 GPa for a BN film on SiO2.

  10. Synthesis of Hollow Nanotubes of Zn2SiO4 or SiO2: Mechanistic Understanding and Uranium Adsorption Behavior.

    PubMed

    Tripathi, Shalini; Bose, Roopa; Roy, Ahin; Nair, Sajitha; Ravishankar, N

    2015-12-09

    We report a facile synthesis of Zn2SiO4 nanotubes using a two-step process consisting of a wet-chemical synthesis of core-shell ZnO@SiO2 nanorods followed by thermal annealing. While annealing in air leads to the formation of hollow Zn2SiO4, annealing under reducing atmosphere leads to the formation of SiO2 nanotubes. We rationalize the formation of the silicate phase at temperatures much lower than the temperatures reported in the literature based on the porous nature of the silica shell on the ZnO nanorods. We present results from in situ transmission electron microscopy experiments to clearly show void nucleation at the interface between ZnO and the silica shell and the growth of the silicate phase by the Kirkendall effect. The porous nature of the silica shell is also responsible for the etching of the ZnO leading to the formation of silica nanotubes under reducing conditions. Both the hollow silica and silicate nanotubes exhibit good uranium sorption at different ranges of pH making them possible candidates for nuclear waste management.

  11. Control of Low Melting Point Mno-Sio2-Al2o3 Inclusions in Low Carbon Thin-Strip Continuous Casting Steel

    NASA Astrophysics Data System (ADS)

    Chen, Jing; Zhu, Qing; Huang, Di; Zheng, Shaobo; Zhang, Jieyu; Li, Huigai

    2017-09-01

    There is a significant difference in the demand for molten steel quality between thin-strip continuous casting and traditional continuous casting. In order to make sure the better surface quality of the thin strips, to generate an oxidation film on the surface of cooling roller is required. This will require that the higher oxygen potential in molten steel and inclusions with low melting point. In this article, the possibility of producing low-melting inclusions which is mainly consisted of SiO2 and MnO is studied by controlling the initial oxygen potential and addition order of deoxidizing alloys. The interaction activity between each component in the ternary system of Al2O3-SiO2-MnO is obtained by Action Concentration model. The equal [Mn], [Si], [O], [Al] curve under the temperature of 1823K and equilibrium condition in ternary system of Al2O3-SiO2-MnO is obtained by relative thermodynamic calculation as well. The control method for getting the low-melting point inclusion is as below. While the weight percentage of Si is 0.35% and the one of Mn is 0.90%, in order to maintain the melting point of inclusion around 1200°C, the free oxygen potential in melted steel F[O] should be maintained between 0.002% ∼ 0.004%. On the contrary, the requirement for acid dissolved [Al] content in melted steel is as low as 0.0001% ∼ 0.0005%.

  12. Role of SiO2 coating in multiferroic CoCr2O4 nanoparticles

    NASA Astrophysics Data System (ADS)

    Kamran, M.; Ullah, Asmat; Mehmood, Y.; Nadeem, K.; Krenn, H.

    2017-02-01

    Effect of silica (SiO2) coating concentration on structural and magnetic properties of multiferroic cobalt chromite (CoCr2O4) nanoparticles have been studied. The nanoparticles with average crystallite size in the range 19 to 28 nm were synthesised by sol-gel method. X-ray diffraction (XRD) analysis has verified the composition of single-phase cubic normal spinel structure of CoCr2O4 nanoparticles. The average crystallite size and cell parameter decreased with increasing SiO2 concentration. TEM image revealed that the shape of nanoparticles was non-spherical. Zero field cooled/field cooled (ZFC/FC) curves revealed that nanoparticles underwent a transition from paramagnetic (PM) state to collinear short-range ferrimagnetic (FiM) state, and this PM-FiM transition temperature decreased from 101 to 95 K with increasing SiO2 concentration or decreasing crystallite size. A conical spin state at Ts = 27 K was also observed for all the samples which decreased with decreasing average crystallite size. Low temperature lock-in transition was also observed in these nanoparticles at 12 K for uncoated nanoparticles which slightly shifted towards low temperature with decreasing average crystallite size. Saturation magnetization (Ms) showed decreasing trend with increasing SiO2 concentration, which was due to decrease in average crystallite size of nanoparticles and enhanced surface disorder in smaller nanoparticles. The temperature dependent AC-susceptibility also showed the decrease in the transition temperature (Tc), broadening of the Tc peak and decrease in magnetization with increasing SiO2 concentration or decreasing average crystallite size. In summary, the concentration of SiO2 has significantly affected the structural and magnetic properties of CoCr2O4 nanoparticles.

  13. Crystallization kinetics of BaO-Al2O3-SiO2 glasses

    NASA Technical Reports Server (NTRS)

    Bansal, Narottam P.; Hyatt, Mark J.

    1989-01-01

    Barium aluminosilicate glasses are being investigated as matrix materials in high-temperature ceramic composites for structural applications. Kinetics of crystallization of two refractory glass compositions in the barium aluminosilicate system were studied by differential thermal analysis (DTA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). From variable heating rate DTA, the crystallization activation energies for glass compositions (wt percent) 10BaO-38Al2O3-51SiO2-1MoO3 (glass A) and 39BaO-25Al2O3-35SiO2-1MoO3 (glass B) were determined to be 553 and 558 kJ/mol, respectively. On thermal treatment, the crystalline phases in glasses A and B were identified as mullite (3Al2O3-2SiO2) and hexacelsian (BaO-Al2O3-2SiO2), respectively. Hexacelsian is a high-temperature polymorph which is metastable below 1590 C. It undergoes structural transformation into the orthorhombic form at approximately 300 C accompanied by a large volume change which is undesirable for structural applications. A process needs to be developed where stable monoclinic celsian, rather than hexacelsian, precipitates out as the crystal phase in glass B.

  14. Crystallization kinetics of BaO-Al2O3-SiO2 glasses

    NASA Technical Reports Server (NTRS)

    Bansal, Narottam P.; Hyatt, Mark J.

    1988-01-01

    Barium aluminosilicate glasses are being investigated as matrix materials in high-temperature ceramic composites for structural applications. Kinetics of crystallization of two refractory glass compositions in the barium aluminosilicate system were studied by differential thermal analysis (DTA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). From variable heating rate DTA, the crystallization activation energies for glass compositions (wt percent) 10BaO-38Al2O3-51SiO2-1MoO3 (glass A) and 39BaO-25Al2O3-35SiO2-1MoO3 (glass B) were determined to be 553 and 558 kJ/mol, respectively. On thermal treatment, the crystalline phases in glasses A and B were identified as mullite (3Al2O3-2SiO2) and hexacelsian (BaO-Al2O3-2SiO2), respectively. Hexacelsian is a high-temperature polymorph which is metastable below 1590 C. It undergoes structural transformation into the orthorhombic form at approximately 300 C accompanied by a large volume change which is undesirable for structural applications. A process needs to be developed where stable monoclinic celsian, rather than hexacelsian, precipitates out as the crystal phase in glass B.

  15. Diffusive charge transport in graphene on SiO 2

    NASA Astrophysics Data System (ADS)

    Chen, J.-H.; Jang, C.; Ishigami, M.; Xiao, S.; Cullen, W. G.; Williams, E. D.; Fuhrer, M. S.

    2009-07-01

    We review our recent work on the physical mechanisms limiting the mobility of graphene on SiO 2. We have used intentional addition of charged scattering impurities and systematic variation of the dielectric environment to differentiate the effects of charged impurities and short-range scatterers. The results show that charged impurities indeed lead to a conductivity linear in density ( σ(n)∝n) in graphene, with a scattering magnitude that agrees quantitatively with theoretical estimates; increased dielectric screening reduces the scattering from charged impurities, but increases the scattering from short-range scatterers. We evaluate the effects of the corrugations (ripples) of graphene on SiO 2 on transport by measuring the height-height correlation function. The results show that the corrugations cannot mimic long-range (charged impurity) scattering effects, and have too small an amplitude-to-wavelength ratio to significantly affect the observed mobility via short-range scattering. Temperature-dependent measurements show that longitudinal acoustic phonons in graphene produce a resistivity that is linear in temperature and independent of carrier density; at higher temperatures, polar optical phonons of the SiO 2 substrate give rise to an activated, carrier density-dependent resistivity. Together the results paint a complete picture of charge carrier transport in graphene on SiO 2 in the diffusive regime.

  16. Self-cleaning cotton functionalized with TiO2/SiO2: focus on the role of silica.

    PubMed

    Pakdel, Esfandiar; Daoud, Walid A

    2013-07-01

    This manuscript aims to investigate the functionalization of cotton fabrics with TiO2/SiO2. In this study, the sol-gel method was employed to prepare titania and silica sols and the functionalization was carried out using the dip-pad-dry-cure process. Titanium tetra isopropoxide (TTIP) and tetra ethyl orthosilicate (TEOS) were utilized as precursors of TiO2 and SiO2, respectively. TiO2/SiO2 composite sols were prepared in three different Ti:Si molar ratios of 1:0.43, 1:1, and 1:2.33. The self-cleaning property of cotton samples functionalized with TiO2/SiO2 was assessed based on the coffee stain removal capability and the decomposition rate of methylene blue under UV irradiation. FTIR study of the TiO2/SiO2 photocatalyst confirmed the existence of Si-O-Si and Ti-O-Si bonds. Scanning electron microscopy was employed to investigate the morphology of the functionalized cotton samples. The samples coated with TiO2/SiO2 showed greater ability of coffee stain removal and methylene blue degradation compared with samples functionalized with TiO2 demonstrating improved self-cleaning properties. The role of SiO2 in improving these properties is also discussed. Copyright © 2013 Elsevier Inc. All rights reserved.

  17. Optical and Nonlinear Optical Response of Light Sensor Thin Films

    PubMed Central

    Liu, Huimin; Rua, Armando; Vasquez, Omar; Vikhnin, Valentin S.; Fernandez, Felix E.; Fonseca, Luis F.; Resto, Oscar; Weisz, Svi Z.

    2005-01-01

    For potential ultrafast optical sensor application, both VO2 thin films and nanocomposite crystal-Si enriched SiO2 thin films grown on fused quartz substrates were successfully prepared using pulsed laser deposition (PLD) and RF co-sputtering techniques. In photoluminescence (PL) measurement c-Si/SiO2 film contains nanoparticles of crystal Si exhibits strong red emission with the band maximum ranging from 580 to 750 nm. With ultrashort pulsed laser excitation all films show extremely intense and ultrafast nonlinear optical (NLO) response. The recorded holography from all these thin films in a degenerate-four-wave-mixing configuration shows extremely large third-order response. For VO2 thin films, an optically induced semiconductor-to-metal phase transition (PT) immediately occurred upon laser excitation. it accompanied. It turns out that the fast excited state dynamics was responsible to the induced PT. For c-Si/SiO2 film, its NLO response comes from the contribution of charge carriers created by laser excitation in conduction band of the c-Si nanoparticles. It was verified by introducing Eu3+ which is often used as a probe sensing the environment variations. It turns out that the entire excited state dynamical process associated with the creation, movement and trapping of the charge carriers has a characteristic 500 ps duration.

  18. In-situ observation of equilibrium transitions in Ni films; agglomeration and impurity effects.

    PubMed

    Thron, Andrew M; Greene, Peter; Liu, Kai; van Benthem, Klaus

    2014-02-01

    Dewetting of ultra-thin Ni films deposited on SiO2 layers was observed, in cross-section, by in situ scanning transmission electron microscopy. Holes were observed to nucleate by voids which formed at the Ni/SiO2 interface rather than at triple junctions at the free surface of the Ni film. Ni islands were observed to retract, in attempt to reach equilibrium on the SiO2 layer. SiO2 layers with 120 nm thickness were found to limit in situ heating experiments due to poor thermal conductivity of SiO2. The formation of graphite was observed during the agglomeration of ultra-thin Ni films. Graphite was observed to wet both the free surface and the Ni/SiO2 interface of the Ni islands. Cr forms surface oxide layers on the free surface of the SiO2 layer and the Ni islands. Cr does not prevent the dewetting of Ni, however it will likely alter the equilibrium shape of the Ni islands. © 2013 Published by Elsevier B.V.

  19. Selective adsorption of thiophenic compounds from fuel over TiO2/SiO2 under UV-irradiation.

    PubMed

    Miao, Guang; Ye, Feiyan; Wu, Luoming; Ren, Xiaoling; Xiao, Jing; Li, Zhong; Wang, Haihui

    2015-12-30

    This study investigates selective adsorption of thiophenic compounds from fuel over TiO2/SiO2 under UV-irradiation. The TiO2/SiO2 adsorbents were prepared and then characterized by N2 adsorption, X-ray diffraction and X-ray photoelectron spectroscopy. Adsorption isotherms, selectivity and kinetics of TiO2/SiO2 were measured in a UV built-in batch reactor. It was concluded that (a) with the employment of UV-irradiation, high organosulfur uptake of 5.12 mg/g was achieved on the optimized 0.3TiO2/0.7SiO2 adsorbent at low sulfur concentration of 15 ppmw-S, and its adsorption selectivity over naphthalene was up to 325.5; (b) highly dispersed TiO2 served as the photocatalytic sites for DBT oxidation, while SiO2 acted as the selective adsorption sites for the corresponding oxidized DBT using TiO2 as a promoter, the two types of active sites worked cooperatively to achieve the high adsorption selectivity of TiO2/SiO2; (c) The kinetic rate-determining step for the UV photocatalysis-assisted adsorptive desulfurization (PADS) over TiO2/SiO2 was DBT oxidation; (d) consecutive adsorption-regeneration cycles suggested that the 0.3TiO2/0.7SiO2 adsorbent can be regenerated by acetonitrile washing followed with oxidative air treatment. This work demonstrated an effective PADS approach to greatly enhance adsorption capacity and selectivity of thiophenic compounds at low concentrations for deep desulfurization under ambient conditions. Copyright © 2015 Elsevier B.V. All rights reserved.

  20. Uptake, transport, distribution and Bio-effects of SiO2 nanoparticles in Bt-transgenic cotton.

    PubMed

    Le, Van Nhan; Rui, Yukui; Gui, Xin; Li, Xuguang; Liu, Shutong; Han, Yaning

    2014-12-05

    SiO2 nanoparticle is one of the most popular nanomaterial which has been used in various fields, such as wastewater treatment, environmental remediation, food processing, industrial and household applications, biomedicine, disease labeling, and biosensor, etc. In agriculture, the use of SiO2 nanoparticles as insecticide, carriers in drug delivery, or in uptake and translocation of nutrient elements, etc., has been given attention. However, the effects of nanoparticles on plants have been seldom studied. In this work, the toxicity of SiO2 nanoparticles and their uptake, transport, distribution and bio-effects have been investigated in Bt-transgenic cotton. The phytotoxic effects of SiO2 nanoparticles were exhibited in Bt-transgenic cotton with different SiO2 concentrations of 0, 10, 100, 500 and 2000 mg.L(-1) for 3 weeks through dry biomasses, nutrient elements, xylem sap, enzymes activities, and hormone concentrations. The uptake and distribution of nanoparticles by the plants were confirmed using transmission electron microscopy (TEM). The SiO2 nanoparticles decreased significantly the plant height, shoot and root biomasses; the SiO2 nanoparticles also affected the contents of Cu, Mg in shoots and Na in roots of transgenic cotton; and SOD activity and IAA concentration were significantly influenced by SiO2 nanoparticles. In addition, SiO2 nanoparticles were present in the xylem sap and roots as examined by TEM showing that the SiO2 nanoparticles were transported from roots to shoots via xylem sap. This is the first report of the transportation of SiO2 nanoparticles via xylem sap within Bt-transgenic cotton. This study provides direct evidence for the bioaccumulation of SiO2 nanoparticles in plants, which shows the potential risks of SiO2 nanoparticles impact on food crops and human health.

  1. Nanocomposite Phosphor Consisting of CaI2:Eu2+ Single Nanocrystals Embedded in Crystalline SiO2.

    PubMed

    Daicho, Hisayoshi; Iwasaki, Takeshi; Shinomiya, Yu; Nakano, Akitoshi; Sawa, Hiroshi; Yamada, Wataru; Matsuishi, Satoru; Hosono, Hideo

    2017-11-29

    High luminescence efficiency is obtained in halide- and chalcogenide-based phosphors, but they are impractical because of their poor chemical durability. Here we report a halide-based nanocomposite phosphor with excellent luminescence efficiency and sufficient durability for practical use. Our approach was to disperse luminescent single nanocrystals of CaI 2 :Eu 2+ in a chemically stable, translucent crystalline SiO 2 matrix. Using this approach, we successfully prepared a nanocomposite phosphor by means of self-organization through a simple solid-state reaction. Single nanocrystals of 6H polytype (thr notation) CaI 2 :Eu 2+ with diameters of about 50 nm could be generated not only in a SiO 2 amorphous powder but also in a SiO 2 glass plate. The nanocomposite phosphor formed upon solidification of molten CaI 2 left behind in the crystalline SiO 2 that formed from the amorphous SiO 2 under the influence of a CaI 2 flux effect. The resulting nanocomposite phosphor emitted brilliant blue luminescence with an internal quantum efficiency up to 98% upon 407 nm violet excitation. We used cathodoluminescence microscopy, scanning transmission electron microscopy, and Rietveld refinement of the X-ray diffraction patterns to confirm that the blue luminescence was generated only by the CaI 2 :Eu 2+ single nanocrystals. The phosphor was chemically durable because the luminescence sites were embedded in the crystalline SiO 2 matrix. The phosphor is suitable for use in near-ultraviolet light-emitting diodes. The concept for this nanocomposite phosphor can be expected to be effective for improvements in the practicality of poorly durable materials such as halides and chalcogenides.

  2. Molecular dynamics of liquid SiO2 under high pressure

    NASA Technical Reports Server (NTRS)

    Rustad, James R.; Yuen, David A.; Spera, Frank J.

    1990-01-01

    The molecular dynamics of pure SiO2 liquids was investigated up to pressures of 20 GPa at 4000 K using 252, 498, 864, and 1371 particles. The results obtained suggest that the pressure-induced maxima in the self-diffusion coefficients of both oxygen and silicon are dependent on the system size. In the case of larger systems, the maximum decreases and shifts to lower pressures. Changes in the velocity autocorrelation function with increasing pressure are described. The populations of anomalously coordinated silicon and oxygen are then discussed as a function of pressure and system size.

  3. Effects of plasma-induced charging damage on random telegraph noise in metal-oxide-semiconductor field-effect transistors with SiO2 and high-k gate dielectrics

    NASA Astrophysics Data System (ADS)

    Kamei, Masayuki; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2014-01-01

    We clarified in this study how plasma-induced charging damage (PCD) affects the so-called “random telegraph noise (RTN)” — a principal concern in designing ultimately scaled large-scale integrated circuits (LSIs). Metal-oxide-semiconductor field-effect transistors (MOSFETs) with SiO2 and high-k gate dielectric were exposed to an inductively coupled plasma (ICP) with Ar gas. Drain current vs gate voltage (Ids-Vg) characteristics were obtained before and after the ICP plasma exposure for the same device. Then, the time evolution of Ids fluctuation defined as Ids/μIds was measured, where μIds is the mean Ids. This value corresponds to an RTN feature, and RTN was obtained under various gate voltages (Vg) by a customized measurement technique. We focused on the statistical distribution width of (Ids/μIds), δ(Ids/μIds), in order to clarify the effects of PCD on RTN. δ(Ids/μIds) was increased by PCD for both MOSFETs with the SiO2 and high-k gate dielectrics, suggesting that RTN can be used as a measure of PCD, i.e., a distribution width increase directly indicates the presence of PCD. The dependence of δ(Ids/μIds) on the overdrive voltage Vg-Vth, where Vth is the threshold voltage, was investigated by the present technique. It was confirmed that δ(Ids/μIds) increased with a decrease in the overdrive voltage for MOSFETs with the SiO2 and high-k gate dielectrics. The presence of created carrier trap sites with PCD was characterized by the time constants for carrier capture and emission. The threshold voltage shift (ΔVth) induced by PCD was also evaluated and compared with the RTN change, to correlate the RTN increase with ΔVth induced by PCD. Although the estimated time constants exhibited complex behaviors due to the nature of trap sites created by PCD, δ(Ids/μIds) showed a straightforward tendency in accordance with the amount of PCD. These findings provide an in-depth understanding of plasma-induced RTN characteristic changes in future MOSFETs.

  4. Polysilicic acid gel method derived V2O5/SiO2 composite materials: Synthesis and characterization

    NASA Astrophysics Data System (ADS)

    Wang, Dawei; Zhou, Linzong; Feng, Xiaofei; Zhao, Ning; Yang, Bin

    2017-01-01

    The V2O5/SiO2 composite was prepared by a sol-gel method followed a sintering procedure. The low-cost Na2SiO3•9H2O was used as silicon source, while NH4VO3 was used as vanadium source. By adding NH4VO3 to Na2SiO3 solution and adjusting the mixture's pH with saturated (NH4)2SO4 solution the polysilicic acid gel was formed to give a homogeneous gel composite with VO3-well-distributed in it. The gel composite was dried at 100 °C to give the xerogel, then the xerogel was calcined in air to obtain the V2O5/SiO2 composite. The V2O5/SiO2 composites were characterized by SEM analysis, FT-IR spectroscopy and powder X-ray diffractions.

  5. Upconversion induced enhancement of dye sensitized solar cells based on core-shell structured β-NaYF4:Er3+, Yb3+@SiO2 nanoparticles

    NASA Astrophysics Data System (ADS)

    Zhou, Ziyao; Wang, Jiahong; Nan, Fan; Bu, Chenghao; Yu, Zhenhua; Liu, Wei; Guo, Shishang; Hu, Hao; Zhao, Xing-Zhong

    2014-01-01

    Upconversion materials have been employed as energy relay materials in dye sensitized solar cells (DSCs) to broaden the range of light absorption. However, the origin of the enhancements can be induced by both upconversion and size-dependent light scattering effects. To clarify the role of the upconversion material in the photoelectrode of DSCs, an upconversion induced device was realized here, which has the size-dependent light scattering effect eliminated via the application of NaYF4:Er3+, Yb3+@SiO2 upconversion nanoparticles (β-NYEY@SiO2 UCNPs). An enhancement of 6% in efficiency was observed for the device. This demonstration provided an insight into the possible further employment of upconversion in DSCs.Upconversion materials have been employed as energy relay materials in dye sensitized solar cells (DSCs) to broaden the range of light absorption. However, the origin of the enhancements can be induced by both upconversion and size-dependent light scattering effects. To clarify the role of the upconversion material in the photoelectrode of DSCs, an upconversion induced device was realized here, which has the size-dependent light scattering effect eliminated via the application of NaYF4:Er3+, Yb3+@SiO2 upconversion nanoparticles (β-NYEY@SiO2 UCNPs). An enhancement of 6% in efficiency was observed for the device. This demonstration provided an insight into the possible further employment of upconversion in DSCs. Electronic supplementary information (ESI) available: Details of preparations and characterizations; the TEM images, EDX measurements, XRD measurements and upconversion emission spectrum of bared β-NYEY nanocrystals; SEM and AFM images of the photoelectrode with different concentrations of β-NYEY nanocrystals; J-V characteristics, EIS measurements and fitted EIS parameters of the DSCs based on five different photoelectrodes. See DOI: 10.1039/c3nr04315k

  6. Luminescence properties of Ca2 Ga2 SiO7 :RE phosphors for UV white-light-emitting diodes.

    PubMed

    Jiao, Mengmeng; Lv, Wenzhen; Lü, Wei; Zhao, Qi; Shao, Baiqi; You, Hongpeng

    2015-03-16

    A series of Eu(2+) -, Ce(3+) -, and Tb(3+) -doped Ca2 Ga2 SiO7 phosphors is synthesized by using a high-temperature solid-state reaction. The powder X-ray diffraction and structure refinement data indicate that our prepared phosphors are single phased and the phosphor crystalizes in a tetrahedral system with the ${P\\bar 42m}$ (113) space group. The Eu(2+) - and Ce(3+) -doped phosphors both have broad excitation bands, which match well with the UV light-emitting diodes chips. Under irradiation of λ=350 nm, Ca2 Ga2 SiO7 :Eu(2+) and Ca2 Ga2 SiO7 :Ce(3+) , Li(+) have green and blue emissions, respectively. Luminescence of Ca2 Ga2 SiO7 :Tb(3+) , Li(+) phosphor varies with the different Tb(3+) contents. The thermal stability and energy-migration mechanism of Ca2 Ga2 SiO7 :Eu(2+) are also studied. The investigation results indicate that the prepared Ca2 Ga2 SiO7 :Eu(2+) and Ca2 Ga2 SiO7 :Ce(3+) , Li(+) samples show potential as green and blue phosphors, respectively, for UV-excited white-light-emitting diodes. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Processing of SiO2 protective layer using HMDS precursor by combustion CVD.

    PubMed

    Park, Kyoung-Soo; Kim, Youngman

    2011-08-01

    Hexamethyldisilazane (HMDS, [(CH3)3Si]2NH) was used as a precursor to form SiO2 protective coatings on IN738LC alloys by combustion chemical vapor deposition (CCVD). SEM and XPS showed that the processed coatings were composed mainly of SiO2. The amount of HMDS had the largest effect on the size of the SiO2 agglomerates and the thickness of the deposited coatings. The specimens coated with SiO2 using the 0.05 mol/l HMDS solution showed a significantly higher temperature oxidation resistance than those deposited under other conditions.

  8. Plasmonic and metallic optical properties of Au/SiO2 metal-insulator films

    NASA Astrophysics Data System (ADS)

    Battie, Yann; En Naciri, Aotmane; Vergnat, Michel

    2017-12-01

    In this paper, the optical properties and the growth mechanism of Au/SiO2 metal-insulator films (MIFs) are investigated by combining ellipsometry and transmission electron microscopy. The ellipsometric measurements, analyzed by using effective medium theories, show that the growth mechanism involves a Volmer-Weber growth mode while the morphology and the optical properties of Au/SiO2 MIFs are directly related to the percolation of the Au nanostructures. Indeed, below the percolation threshold of Au, the MIFs consist of ellipsoidal Au inclusions embedded in a SiO2 matrix. These insulating films present anisotropic plasmonic properties, attributed to the asymmetric interactions between nanaoparticles (NPs), which can be modeled according to the interacted shape distributed nanoparticle effective medium theory. At the percolation threshold of Au, an insulator-to-metal transition is observed. The MIFs simultaneously exhibit plasmonic and metallic optical properties, which can be described by the Bruggeman theory. The density of free electrons increases and the MIFs become more and more conductive as the Au volume fraction increases. We also demonstrate that for a high Au volume fraction, Bruggeman and Maxwell Garnett theories converge toward the same results, suggesting that the film is composed of isolated SiO2 inclusion embedded in a gold matrix.

  9. Constructing dual-defense mechanisms on membrane surfaces by synergy of PFSA and SiO2 nanoparticles for persistent antifouling performance

    NASA Astrophysics Data System (ADS)

    Zhou, Linjie; Gao, Kang; Jiao, Zhiwei; Wu, Mengyuan; He, Mingrui; Su, Yanlei; Jiang, Zhongyi

    2018-05-01

    Synthetic antifouling membrane surfaces with dual-defense mechanisms (fouling-resistant and fouling-release mechanism) were constructed through the synergy of perfluorosulfonic acid (PFSA) and SiO2 nanoparticles. During the nonsolvent induced phase separation (NIPS) process, the amphiphilic PFSA polymers spontaneously segregated to membrane surfaces and catalyzed the hydrolysis-polycondensation of tetraethyl orthosilicate (TEOS) to generate hydrophilic SiO2 nanoparticles (NPs). The resulting PVDF/PFSA/SiO2 hybrid membranes were characterized by contact angle measurements, FTIR, XPS, SEM, AFM, TGA, and TEM. The hydrophilic microdomains and low surface energy microdomains of amphiphilic PFSA polymers respectively endowed membrane surfaces with fouling-resistant mechanism and fouling-release mechanism, while the hydrophilic SiO2 NPs intensified the fouling-resistant mechanism. When the addition of TEOS reached 3 wt%, the hybrid membrane with optimal synergy of PFSA and SiO2 NPs displayed low flux decline (17.4% DRt) and high flux recovery (99.8% FRR) during the filtration of oil-in-water emulsion. Meanwhile, the long-time stability test verified that the hybrid membrane possessed persistent antifouling performance.

  10. Intrinsic Charge Trapping Observed as Surface Potential Variations in diF-TES-ADT Films.

    PubMed

    Hoffman, Benjamin C; McAfee, Terry; Conrad, Brad R; Loth, Marsha A; Anthony, John E; Ade, Harald W; Dougherty, Daniel B

    2016-08-24

    Spatial variations in surface potential are measured with Kelvin probe force microscopy for thin films of 2,8-difluoro-5,11-bis(triethylsilylethynyl)anthradithiophenes (diF-TES-ADT) grown on SiO2 and silane-treated SiO2 substrates by organic molecular beam deposition. The variations are observed both between and within grains of the polycrystalline organic film and are quantitatively different than electrostatic variations on the substrate surfaces. The skewness of surface potential distributions is larger on SiO2 than on HMDS-treated substrates. This observation is attributed to the impact of substrate functionalization on minimizing intrinsic crystallographic defects in the organic film that can trap charge.

  11. Study on spectroscopic properties and effects of tungsten ions in 2Bi2O3-3GeO2/SiO2 glasses.

    PubMed

    Yu, Pingsheng; Su, Liangbi; Cheng, Junhua; Zhang, Xia; Xu, Jun

    2017-04-01

    The 2Bi 2 O 3 -3GeO 2 /SiO 2 glass samples have been prepared by the conventional melt quenching technique. XRD patterns, absorption spectra, excitation-emission spectra and Raman measurements were utilized to characterize the synthesized glasses. When substitute SiO 2 for GeO 2 , the 0.4Bi 2 O 3 -(0.4-0.1)GeO 2 -(0.2-0.5)SiO 2 glasses exhibit strong emission centered at about 475nm (under 300nm excitation), and the decay constants are within the scope of 20-40ns. W doping into 2Bi 2 O 3 -3SiO 2 glass could increase the emission intensity of 470nm, and the W-doped 2Bi 2 O 3 -3SiO 2 glass has shown another emission at about 433nm with much shorter decay time (near 10ns). The 2Bi 2 O 3 -3GeO 2 /SiO 2 glass system could be the possible candidate for scintillator in high energy physics applications. Copyright © 2017 Elsevier Ltd. All rights reserved.

  12. CROSS-DISCIPLINARY PHYSICS AND RELATED AREAS OF SCIENCE AND TECHNOLOGY: Excellent Passivation of p-Type Si Surface by Sol-Gel Al2O3 Films

    NASA Astrophysics Data System (ADS)

    Xiao, Hai-Qing; Zhou, Chun-Lan; Cao, Xiao-Ning; Wang, Wen-Jing; Zhao, Lei; Li, Hai-Ling; Diao, Hong-Wei

    2009-08-01

    Al2O3 films with a thickness of about 100 nm synthesized by spin coating and thermally treated are applied for field-induced surface passivation of p-type crystalline silicon. The level of surface passivation is determined by techniques based on photoconductance. An effective surface recombination velocity below 100 cm/s is obtained on 10Ω ·cm p-type c-Si wafers (Cz Si). A high density of negative fixed charges in the order of 1012 cm-2 is detected in the Al2O3 films and its impact on the level of surface passivation is demonstrated experimentally. Furthermore, a comparison between the surface passivation achieved for thermal SiO2 and plasma enhanced chemical vapor deposition SiNx:H films on the same c-Si is presented. The high negative fixed charge density explains the excellent passivation of p-type c-Si by Al2O3.

  13. Role of bovine serum albumin and humic acid in the interaction between SiO2 nanoparticles and model cell membranes.

    PubMed

    Wei, Xiaoran; Qu, Xiaolei; Ding, Lei; Hu, Jingtian; Jiang, Wei

    2016-12-01

    Silica nanoparticles (SiO 2 NPs) can cause health hazard after their release into the environment. Adsorption of natural organic matter and biomolecules on SiO 2 NPs alters their surface properties and cytotoxicity. In this study, SiO 2 NPs were treated by bovine serum albumin (BSA) and humic acid (HA) to study their effects on the integrity and fluidity of model cell membranes. Giant and small unilamellar vesicles (GUVs and SUVs) were prepared as model cell membranes in order to avoid the interference of cellular activities. The microscopic observation revealed that the BSA/HA treated (BSA-/HA-) SiO 2 NPs took more time to disrupt membrane than untreated-SiO 2 NPs, because BSA/HA adsorption covered the surface SiOH/SiO - groups and weakened the interaction between NPs and phospholipids. The deposition of SiO 2 NPs on membrane was monitored by a quartz crystal microbalance with dissipation (QCM-D). Untreated- and HA-SiO 2 NPs quickly disrupted the SUV layer on QCM-D sensor; BSA-SiO 2 NPs attached on the membranes but only caused slow vesicle disruption. Untreated-, BSA- and HA-SiO 2 NPs all caused the gelation of the positively-charged membrane, which was evaluated by the generalized polarity values. HA-SiO 2 NPs caused most serious gelation, and BSA-SiO 2 NPs caused the least. Our results demonstrate that the protein adsorption on SiO 2 NPs decreases the NP-induced membrane damage. Copyright © 2016 Elsevier Ltd. All rights reserved.

  14. Critical Evaluations and Thermodynamic Optimizations of the MnO-Mn2O3-SiO2 and FeO-Fe2O3-MnO-Mn2O3-SiO2 Systems

    NASA Astrophysics Data System (ADS)

    Kang, Youn-Bae; Jung, In-Ho

    2017-06-01

    A critical evaluation and thermodynamic modeling for thermodynamic properties of all oxide phases and phase diagrams in the Fe-Mn-Si-O system (MnO-Mn2O3-SiO2 and FeO-Fe2O3-MnO-Mn2O3-SiO2 systems) are presented. Optimized Gibbs energy parameters for the thermodynamic models of the oxide phases were obtained which reproduce all available and reliable experimental data within error limits from 298 K (25°C) to above the liquidus temperatures at all compositions covering from known oxide phases, and oxygen partial pressure from metal saturation to 0.21 bar. The optimized thermodynamic properties and phase diagrams are believed to be the best estimates presently available. Slag (molten oxide) was modeled using the modified quasichemical model in the pair approximation. Olivine (Fe2SiO4-Mn2SiO4) was modeled using two-sublattice model in the framework of the compound energy formalism (CEF), while rhodonite (MnSiO3-FeSiO3) and braunite (Mn7SiO_{12} with excess Mn2O3) were modeled as simple Henrian solutions. It is shown that the already developed models and databases of two spinel phases (cubic- and tetragonal-(Fe, Mn)3O4) using CEF [Kang and Jung, J. Phys. Chem. Solids (2016), vol. 98, pp. 237-246] can successfully be integrated into a larger thermodynamic database to be used in practically important higher order system such as silicate. The database of the model parameters can be used along with a software for Gibbs energy minimization in order to calculate any type of phase diagram section and thermodynamic properties.

  15. Structural and optical properties of SiC-SiO2 nanocomposite thin films

    NASA Astrophysics Data System (ADS)

    Bozetine, I.; Keffous, A.; Kaci, S.; Menari, H.; Manseri, A.

    2018-03-01

    This study deals with the deposition of thin films of a SiC-SiO2nanocomposite deposited on silicon substrates. The deposition is carried out by a co-sputtering RF magnetron 13.56 MHz, using two targets a polycristallin 6H-SiC and sprigs of SiO2. In order to study the influence of the deposition time on the morphology, the structural and optical properties of the thin films produced, two series of samples were prepared, namely a series A with a 30 min deposition time and a series B of one hour duration. The samples were investigated using different characterization techniques such as Scanning Electron Microscope (SEM), X-ray Diffraction (DRX), Fourier Transform Infrared Spectroscopy (FTIR), Secondary Ion Mass Spectrometry (SIMS) and photoluminescence. The results obtained, reveal an optical gap varies between 1.4 and 2.4 eV depending on the thickness of the film; thus depending on the deposition time. The SIMS profile recorded the presence of oxygen (16O) on the surface, which the signal beneath the silicon signal (28Si) and carbon (12C) signals, which confirms that the oxide (SiO2) is the first material deposited at the interface film - substrate with an a-OSiC structure. The photoluminescence (PL) measurement exhibits two peaks, centred at 390 nm due to the oxide and at 416 nm due probably to the nanocrystals of SiC crystals, note that when the deposition time increases, the intensity of the PL drops drastically, result in agreement with dense and smooth film.

  16. Study of structure and antireflective properties of LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 trilayers for UV applications

    NASA Astrophysics Data System (ADS)

    Marszalek, K.; Jaglarz, J.; Sahraoui, B.; Winkowski, P.; Kanak, J.

    2015-01-01

    The aim of this paper is to study antireflective properties of the tree-layer systems LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 deposited on heated optical glass substrates. The films were evaporated by the use two deposition techniques. In first method oxide films were prepared by means of e-gun evaporation in vacuum of 5 × 10-5 mbar in the presence of oxygen. The second was used for the deposition of fluoride films. They were obtained by means of thermal source evaporation. Simulation of reflectance was performed for 1M2H1L (Quarter Wavelength Optical Thickness) film stack on an optical quartz glass with the refractive index n = 1.46. The layer thickness was optimized to achieve the lowest light scattering from glass surface covered with dioxide and fluoride films. The values of the interface roughness were determined through atomic force microscopy measurements. The essence of performed calculation was to find minimum reflectance of light in wide ultraviolet region. The spectral dispersion of the refractive index needed for calculations was determined from ellipsometric measurements using the spectroscopic ellipsometer M2000. Additionally, the total reflectance measurements in integrating sphere coupled with Perkin Elmer 900 spectrophotometer were performed. These investigations allowed to determine the influence of such film features like surface and interface roughness on light scattering.

  17. In vitro and in vivo genotoxicity investigations of differently sized amorphous SiO2 nanomaterials.

    PubMed

    Maser, Elena; Schulz, Markus; Sauer, Ursula G; Wiemann, Martin; Ma-Hock, Lan; Wohlleben, Wendel; Hartwig, Andrea; Landsiedel, Robert

    2015-12-01

    In vitro and in vivo genotoxic effects of differently sized amorphous SiO2 nanomaterials were investigated. In the alkaline Comet assay (with V79 cells), non-cytotoxic concentrations of 300 and 100-300μg/mL 15nm-SiO2 and 55nm-SiO2, respectively, relevant (at least 2-fold relative to the negative control) DNA damage. In the Alkaline unwinding assay (with V79 cells), only 15nm-SiO2 significantly increased DNA strand breaks (and only at 100μg/mL), whereas neither nanomaterial (up to 300μg/mL) increased Fpg (Formamidopyrimidine DNA glycosylase)-sensitive sites reflecting oxidative DNA base modifications. In the Comet assay using rat precision-cut lung slices, 15nm-SiO2 and 55nm-SiO2 induced significant DNA damage at ≥100μg/mL. In the Alkaline unwinding assay (with A549 cells), 30nm-SiO2 and 55nm-SiO2 (with larger primary particle size (PPS)) induced significant increases in DNA strand breaks at ≥50μg/mL, whereas 9nm-SiO2 and 15nm-SiO2 (with smaller PPS) induced significant DNA damage at higher concentrations. These two amorphous SiO2 also increased Fpg-sensitive sites (significant at 100μg/mL). In vivo, within 3 days after single intratracheal instillation of 360μg, neither 15nm-SiO2 nor 55nm-SiO2 caused genotoxic effects in the rat lung or in the bone marrow. However, pulmonary inflammation was observed in both test groups with findings being more pronounced upon treatment with 15nm-SiO2 than with 55nm-SiO2. Taken together, the study shows that colloidal amorphous SiO2 with different particle sizes may induce genotoxic effects in lung cells in vitro at comparatively high concentrations. However, the same materials elicited no genotoxic effects in the rat lung even though pronounced pulmonary inflammation evolved. This may be explained by the fact that a considerably lower dose reached the target cells in vivo than in vitro. Additionally, the different time points of investigation may provide more time for DNA damage repair after instillation. Copyright

  18. Cd2SiO4/Graphene nanocomposite: Ultrasonic assisted synthesis, characterization and electrochemical hydrogen storage application.

    PubMed

    Masjedi-Arani, Maryam; Salavati-Niasari, Masoud

    2018-05-01

    For the first time, a simple and rapid sonochemical technique for preparing of pure Cd 2 SiO 4 nanostructures has been developed in presence of various surfactants of SDS, CTAB and PVP. Uniform and fine Cd 2 SiO 4 nanoparticle was synthesized using of polymeric PVP surfactant and ultrasonic irradiation. The optimized cadmium silicate nanostructures added to graphene sheets and Cd 2 SiO 4 /Graphene nanocomposite synthesized through pre-graphenization. Hydrogen storage capacity performances of Cd 2 SiO 4 nanoparticle and Cd 2 SiO 4 /Graphene nanocomposite were compared. Obtained results represent that Cd 2 SiO 4 /Graphene nanocomposites have higher hydrogen storage capacity than Cd 2 SiO 4 nanoparticles. Cd 2 SiO 4 /Graphene nanocomposites and Cd 2 SiO 4 nanoparticles show hydrogen storage capacity of 3300 and 1300 mAh/g, respectively. Copyright © 2018 Elsevier B.V. All rights reserved.

  19. Non-iridescent structural colors from uniform-sized SiO2 colloids

    NASA Astrophysics Data System (ADS)

    Topçu, Gökhan; Güner, Tuğrul; Demir, Mustafa M.

    2018-05-01

    Structural colors have recently attracted interest from diverse fields of research due to their ease of fabrication and eco-friendliness. These types of colors are, in principle, achieved by periodically arranged submicron-diameter colloidal particles. The interaction of light with a structure containing long-range ordered colloidal particles leads to coloration; this usually varies depending on the angle of observation (iridescence). However, the majority of the applications demand constant color that is independent of the viewing angle (non-iridescence). In this work, silica colloids were obtained using the Stöber method at different sizes from 150 to 300 nm in an alcoholic dispersion. The casting of the dispersion on a substrate leaves behind a photonic crystal showing a colorful iridescent film. However, centrifugation and redispersion of the SiO2 particles into fresh solvent may cause the formation of small, aggregated silica domains in the new dispersion. The casting of this dispersion allows for the development of photonic glass, presumably due to the accumulation of aggregates showing stable colloidal film independent of viewing angle. Moreover, depending on the size of the silica colloids, non-iridescent photonic glasses with various colors (violet, blue, green, and orange) are obtained.

  20. Magnetic glass-film based on single-nanosize 𝜺 -Fe2O3 nanoparticles

    NASA Astrophysics Data System (ADS)

    Yoshikiyo, Marie; Namai, Asuka; Nakagawa, Kosuke; Ohkoshi, Shin-ichi

    2017-05-01

    We report a magnetic thin film of single-nanosize ɛ-Fe2O3 in SiO2 matrix. The glass-film was prepared by sintering a silica coated iron oxide hydroxide on a quartz substrate in air. The glass-film consists of ɛ-Fe2O3 of 8.8 nm size, and its thickness was 570 nm (0.57 μm) with a roughness of 10 nm (0.01 μm). UV-vis spectrum showed that the glass-film has small absorbance of 0.043 at 500 nm. The magneto-optical effect was investigated, and Faraday ellipticity showed a magnetic hysteresis loop with a coercive field of 3.0 ± 0.2 kOe. Furthermore, single-nanosize ɛ-Fe2O3 without silica was prepared as a reference sample, and ferroelectricity was observed. Therefore, the present thin glass-film consists of single-nanosize ferroelectric-ferromagnetic nanoparticles.

  1. Analysis of SiO2 nanoparticles binding proteins in rat blood and brain homogenate.

    PubMed

    Shim, Kyu Hwan; Hulme, John; Maeng, Eun Ho; Kim, Meyoung-Kon; An, Seong Soo A

    2014-01-01

    A multitude of nanoparticles, such as titanium oxide (TiO2), zinc oxide, aluminum oxide, gold oxide, silver oxide, iron oxide, and silica oxide, are found in many chemical, cosmetic, pharmaceutical, and electronic products. Recently, SiO2 nanoparticles were shown to have an inert toxicity profile and no association with an irreversible toxicological change in animal models. Hence, exposure to SiO2 nanoparticles is on the increase. SiO2 nanoparticles are routinely used in numerous materials, from strengthening filler for concrete and other construction composites, to nontoxic platforms for biomedical application, such as drug delivery and theragnostics. On the other hand, recent in vitro experiments indicated that SiO2 nanoparticles were cytotoxic. Therefore, we investigated these nanoparticles to identify potentially toxic pathways by analyzing the adsorbed protein corona on the surface of SiO2 nanoparticles in the blood and brain of the rat. Four types of SiO2 nanoparticles were chosen for investigation, and the protein corona of each type was analyzed using liquid chromatography-tandem mass spectrometry technology. In total, 115 and 48 plasma proteins from the rat were identified as being bound to negatively charged 20 nm and 100 nm SiO2 nanoparticles, respectively, and 50 and 36 proteins were found for 20 nm and 100 nm arginine-coated SiO2 nanoparticles, respectively. Higher numbers of proteins were adsorbed onto the 20 nm sized SiO2 nanoparticles than onto the 100 nm sized nanoparticles regardless of charge. When proteins were compared between the two charges, higher numbers of proteins were found for arginine-coated positively charged SiO2 nanoparticles than for the negatively charged nanoparticles. The proteins identified as bound in the corona from SiO2 nanoparticles were further analyzed with ClueGO, a Cytoscape plugin used in protein ontology and for identifying biological interaction pathways. Proteins bound on the surface of nanoparticles may affect

  2. Properties of Cathodoluminescence for Cryogenic Applications of SiO2-based Space Observatory Optics and Coatings

    NASA Technical Reports Server (NTRS)

    Evans, Amberly; Dennison, J.R.; Wilson, Gregory; Dekany, Justin; Bowers Charles W.; Meloy, Robert; Heaney, James B.

    2013-01-01

    Disordered thin film SiO2SiOx coatings undergoing electron-beam bombardment exhibit cathodoluminescence, which can produce deleterious stray background light in cryogenic space-based astronomical observatories exposed to high-energy electron fluxes from space plasmas. As future observatory missions push the envelope into more extreme environments and more complex and sensitive detection, a fundamental understanding of the dependencies of this cathodoluminescence becomes critical to meet performance objectives of these advanced space-based observatories. Measurements of absolute radiance and emission spectra as functions of incident electron energy, flux, and power typical of space environments are presented for thin (60-200 nm) SiO2SiOx optical coatings on reflective metal substrates over a range of sample temperatures (40-400 K) and emission wavelengths (260-5000 nm). Luminescent intensity and peak wavelengths of four distinct bands were observed in UVVISNIR emission spectra, ranging from 300 nm to 1000 nm. A simple model is proposed that describes the dependence of cathodoluminescence on irradiation time, incident flux and energy, sample thickness, and temperature.

  3. Enhanced the hydrophobic surface and the photo-activity of TiO2-SiO2 composites

    NASA Astrophysics Data System (ADS)

    Wahyuni, S.; Prasetya, A. T.

    2017-02-01

    The aim of this research is to develop nanomaterials for coating applications. This research studied the effect of various TiO2-SiO2 composites in acrylic paint to enhance the hydrophobic properties of the substrate. Titanium dioxide containing silica in the range 20-35 mol% has been synthesized using sol-gel route. The XRD’s spectra show that increasing SiO2 content in the composite, decreasing its crystalline properties but increasing the surface area. TiO2-SiO2 composite was dispersed in acrylic paint in 2% composition by weight. The largest contact angle was 70, which produced by the substrate coated with TS-35-modified acrylic paint. This study also investigated the enhanced photo-activity of TiO2-SiO2 modified with poly-aniline. The XRD spectra show that the treatment does not change the crystal structure of TiO2. The photo-activity of the composite was evaluated by degradation of Rhodamine-B with visible light. The best performance of the degradation process was handled by the composite treated with 0.1mL anilines per gram of TiO2-SiO2 composite (TSP-A). On the other side, the contact angle 70 has not shown an excellent hydrophobic activity. However, the AFM spectra showed that nanoroughness has started to form on the surface of acrylic paint modified with TiO2-SiO2 than acrylic alone.

  4. Enhancement of red emission intensity of Ca2Al2SiO7:Eu3+ phosphor by MoO3 doping or excess SiO2 addition for application to white LEDs

    NASA Astrophysics Data System (ADS)

    Jiao, H. Y.; LiMao, C. R.; Chen, Q.; Wang, P. Y.; Cai, R. C.

    2018-01-01

    Ca1.86Al2(Si1-xMox)O7:0.14Eu3+ and Ca1.86Al2Si1+yO7+2y:0.14 Eu3+ were synthesized by solid-state reaction. X-ray powder diffraction, excitation and emission spectra were used to investigate their structures and photoluminescence properties. The results shows that the phosphor Ca1.86Al2SiO7:0.14Eu3+ cannot be excited efficiently by light of 393 nm. The introduced Mo ion does not change the position of the excitation peak, but increases both the absorption at 400nm and the emission intensity of Eu3+. The intense red emitting phosphor Ca1.86Al2(Si0.95Mo0.05)O7:0.14Eu3+ was obtained, which has 67% enhanced luminous intensity compared to that of the undoped sample Ca1.86Al2SiO7:0.14Eu3+. Otherwise, SiO2 excess of non-stoichiometric phosphors Ca1.86Al2Si1+yO7+2y:0.14Eu3+ showed the characteristic pattern of a tetragonal structure with a small SiO2 concentration. The optimal phosphor of Ca1.86Al2Si1.1O7.2:0.14Eu3+ has a luminous intensity about two times higher than that of the original stoichiometric phosphor Ca1.86Al2SiO7:0.14Eu3+. We confirmed that the photoluminescence intensity of the obtained phosphors is fairly enhanced by excessive SiO2. The mechanism of this photoluminescence enhancement is discussed in this paper.

  5. Ion beam induced amorphization and bond breaking in Zn2SiO4:Eu3+ nanocrystalline phosphor.

    PubMed

    Sunitha, D V; Nagabhushana, H; Singh, Fouran; Sharma, S C; Dhananjaya, N; Nagabhushana, B M; Chakradhar, R P S

    2012-05-01

    This paper reports on the ionoluminescence (IL) of Zn(2)SiO(4):Eu(3+) nanophosphors bombarded with 100 MeV Si(7+) ions with fluences in the range (3.91-21.48)×10(12) ions cm(-2). The prominent IL emission peaks recorded at 580, 590, 612, 650 and 705 nm are attributed to the luminescence centers activated by Eu(3+) ions. It is observed that IL intensity decreases and saturates with increase of Si(7+) ion fluence. Fourier transform infrared (FT-IR) studies confirm surface/bulk amorphization for a fluence of (3.91-21.48)×10(13) ions cm(-2). These results show degradation of SiO (2ν(3)) bonds present on the surface of the sample and/or due to lattice disorder produced by dense electronic excitation under heavy ion irradiation. These results are discussed in detail. Copyright © 2011 Elsevier B.V. All rights reserved.

  6. Facile transfer of thickness controllable poly(methyl methacrylate) patterns on a nanometer scale onto SiO2 substrates via microcontact printing combined with simplified Langmuir-Schaefer technique.

    PubMed

    Kim, Yong-Kwan; Kim, Dae-Il; Park, Jaehyun; Shin, Gunchul; Kim, Gyu Tae; Ha, Jeong Sook

    2008-12-16

    We report on the facile patterning of poly(methyl methacrylate) (PMMA) layers onto SiO2 substrates via microcontact printing combined with the simplified Langmuir-Schaefer (LS) technique. Langmuir film of PMMA was formed just by dropping a dilute PMMA solution onto the air/water surface in a glass Petri dish via self-assembly, and it was used as an ink for the patterned poly(dimethylsilioxane) (PDMS) stamp. The transferred film properties were systematically investigated with variation of postannealing temperature, molecular weight of PMMA, and the inking number. The patterned PMMA film surface was smooth with no vacancy defect in a few micrometers scale AFM images over the whole film area after post-annealing process. The thickness of the PMMA patterns was controlled on the nanometer scale by the number of inkings of the LS layer of PMMA on the PDMS stamp. By using the PMMA patterns as a barrier and a sacrificial layer against the chemical etching and metal deposition, SiO2 and metal patterns were fabricated, respectively. The PMMA layers also worked as a passivation layer against the patterning of V2O5 nanowires and the selective adsorption of single-walled carbon nanotubes (SWCNTs). We also fabricated thin film transistors using patterned SWCNTs with different percolation states and investigated the electrical properties.

  7. Whiter, brighter, and more stable cellulose paper coated with TiO2 /SiO2 core/shell nanoparticles using a layer-by-layer approach.

    PubMed

    Cheng, Fei; Lorch, Mark; Sajedin, Seyed Mani; Kelly, Stephen M; Kornherr, Andreas

    2013-08-01

    To inhibit the photocatalytic degradation of organic material supports induced by small titania (TiO2 ) nanoparticles, four kinds of TiO2 nanoparticles, that is, commercial P25-TiO2 , commercial rutile phase TiO2 , rutile TiO2 nanorods and rutile TiO2 spheres, prepared from TiCl4 , were coated with a thin, but dense, coating of silica (SiO2 ) using a conventional sol-gel technique to form TiO2 /SiO2 core/shell nanoparticles. These core/shell particles were deposited and fixed as a very thin coating onto the surface of cellulose paper samples by a wet-chemistry polyelectrolyte layer-by-layer approach. The TiO2 /SiO2 nanocoated paper samples exhibit higher whiteness and brightness and greater stability to UV-bleaching than comparable samples of blank paper. There are many potential applications for this green chemistry approach to protect cellulosic fibres from UV-bleaching in sunlight and to improve their whiteness and brightness. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Investigation of pentacene growth on SiO2 gate insulator after photolithography for nitrogen-doped LaB6 bottom-contact electrode formation

    NASA Astrophysics Data System (ADS)

    Maeda, Yasutaka; Hiroki, Mizuha; Ohmi, Shun-ichiro

    2018-04-01

    Nitrogen-doped (N-doped) LaB6 is a candidate material for the bottom-contact electrode of n-type organic field-effect transistors (OFETs). However, the formation of a N-doped LaB6 electrode affects the surface morphology of a pentacene film. In this study, the effects of surface treatments and a N-doped LaB6 interfacial layer (IL) were investigated to improve the pentacene film quality after N-doped LaB6 electrode patterning with diluted HNO3, followed by resist stripping with acetone and methanol. It was found that the sputtering damage during N-doped LaB6 deposition on a SiO2 gate insulator degraded the crystallinity of pentacene. The H2SO4 and H2O2 (SPM) and diluted HF treatments removed the damaged layer on the SiO2 gate insulator surface. Furthermore, the N-doped LaB6 IL improved the crystallinity of pentacene and realized dendritic grain growth. Owing to these surface treatments, the hole mobility improved from 2.8 × 10-3 to 0.11 cm2/(V·s), and a steep subthreshold swing of 78 mV/dec for the OFET with top-contact configuration was realized in air even after bottom-contact electrode patterning.

  9. Preparation of Nano-TiO2-Coated SiO2 Microsphere Composite Material and Evaluation of Its Self-Cleaning Property

    PubMed Central

    Sun, Sijia; Deng, Tongrong; Ding, Hao; Chen, Ying; Chen, Wanting

    2017-01-01

    In order to improve the dispersion of nano-TiO2 particles and enhance its self-cleaning properties, including photocatalytic degradation of pollutants and surface hydrophilicity, we prepared nano-TiO2-coated SiO2 microsphere composite self-cleaning materials (SiO2–TiO2) by co-grinding SiO2 microspheres and TiO2 soliquid and calcining the ground product. The structure, morphology, and self-cleaning properties of the SiO2–TiO2 were characterized. The characterization results showed that the degradation efficiency of methyl orange by SiO2–TiO2 was 97%, which was significantly higher than that obtained by pure nano-TiO2. The minimum water contact angle of SiO2–TiO2 was 8°, indicating strong hydrophilicity and the good self-cleaning effect. The as-prepared SiO2–TiO2 was characterized by the nano-TiO2 particles uniformly coated on the SiO2 microspheres and distributed in the gap among the microspheres. The nano-TiO2 particles were in an anatase phase with the particle size of 15–20 nm. The nano-TiO2 particles were combined with SiO2 microspheres via the dehydroxylation of hydroxyl groups on their surfaces. PMID:29099774

  10. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    NASA Astrophysics Data System (ADS)

    Youroukov, S.; Kitova, S.; Danev, G.

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO2 together with concurrent bombardment with low energy N2+ ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N2+ ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV).

  11. Trapping time of excitons in Si nanocrystals embedded in a SiO2 matrix

    NASA Astrophysics Data System (ADS)

    de Jong, E. M. L. D.; de Boer, W. D. A. M.; Yassievich, I. N.; Gregorkiewicz, T.

    2017-05-01

    Silicon (Si) nanocrystals (NCs) are of great interest for many applications, ranging from photovoltaics to optoelectonics. The photoluminescence quantum yield of Si NCs dispersed in SiO2 is limited, suggesting the existence of very efficient processes of nonradiative recombination, among which the formation of a self-trapped exciton state on the surface of the NC. In order to improve the external quantum efficiency of these systems, the carrier relaxation and recombination need to be understood more thoroughly. For that purpose, we perform transient-induced absorption spectroscopy on Si NCs embedded in a SiO2 matrix over a broad probe range for NCs of average sizes from 2.5 to 5.5 nm. The self-trapping of free excitons on surface-related states is experimentally and theoretically discussed and found to be dependent on the NC size. These results offer more insight into the self-trapped exciton state and are important to increase the optical performance of Si NCs.

  12. Antioxidant migration resistance of SiOx layer in SiOx/PLA coated film.

    PubMed

    Huang, Chongxing; Zhao, Yuan; Su, Hongxia; Bei, Ronghua

    2018-02-01

    As novel materials for food contact packaging, inorganic silicon oxide (SiO x ) films are high barrier property materials that have been developed rapidly and have attracted the attention of many manufacturers. For the safe use of SiO x films for food packaging it is vital to study the interaction between SiO x layers and food contaminants, as well as the function of a SiO x barrier layer in antioxidant migration resistance. In this study, we deposited a SiO x layer on polylactic acid (PLA)-based films to prepare SiO x /PLA coated films by plasma-enhanced chemical vapour deposition. Additionally, we compared PLA-based films and SiO x /PLA coated films in terms of the migration of different antioxidants (e.g. t-butylhydroquinone [TBHQ], butylated hydroxyanisole [BHA], and butylated hydroxytoluene [BHT]) via specific migration experiments and then investigated the effects of a SiO x layer on antioxidant migration under different conditions. The results indicate that antioxidant migration from SiO x /PLA coated films is similar to that for PLA-based films: with increase of temperature, decrease of food simulant polarity, and increase of single-sided contact time, the antioxidant migration rate and amount in SiO x /PLA coated films increase. The SiO x barrier layer significantly reduced the amount of migration of antioxidants with small and similar molecular weights and similar physical and chemical properties, while the degree of migration blocking was not significantly different among the studied antioxidants. However, the migration was affected by temperature and food simulant. Depending on the food simulants considered, the migration amount in SiO x /PLA coated films was reduced compared with that in PLA-based films by 42-46%, 44-47%, and 44-46% for TBHQ, BHA, and BHT, respectively.

  13. Annealing temperature and environment effects on ZnO nanocrystals embedded in SiO2: a photoluminescence and TEM study

    PubMed Central

    2013-01-01

    We report on efficient ZnO nanocrystal (ZnO-NC) emission in the near-UV region. We show that luminescence from ZnO nanocrystals embedded in a SiO2 matrix can vary significantly as a function of the annealing temperature from 450°C to 700°C. We manage to correlate the emission of the ZnO nanocrystals embedded in SiO2 thin films with transmission electron microscopy images in order to optimize the fabrication process. Emission can be explained using two main contributions, near-band-edge emission (UV range) and defect-related emissions (visible). Both contributions over 500°C are found to be size dependent in intensity due to a decrease of the absorption cross section. For the smallest-size nanocrystals, UV emission can only be accounted for using a blueshifted UV contribution as compared to the ZnO band gap. In order to further optimize the emission properties, we have studied different annealing atmospheres under oxygen and under argon gas. We conclude that a softer annealing temperature at 450°C but with longer annealing time under oxygen is the most preferable scenario in order to improve near-UV emission of the ZnO nanocrystals embedded in an SiO2 matrix. PMID:24314071

  14. Annealing temperature and environment effects on ZnO nanocrystals embedded in SiO2: a photoluminescence and TEM study.

    PubMed

    Pita, Kantisara; Baudin, Pierre; Vu, Quang Vinh; Aad, Roy; Couteau, Christophe; Lérondel, Gilles

    2013-12-06

    We report on efficient ZnO nanocrystal (ZnO-NC) emission in the near-UV region. We show that luminescence from ZnO nanocrystals embedded in a SiO2 matrix can vary significantly as a function of the annealing temperature from 450°C to 700°C. We manage to correlate the emission of the ZnO nanocrystals embedded in SiO2 thin films with transmission electron microscopy images in order to optimize the fabrication process. Emission can be explained using two main contributions, near-band-edge emission (UV range) and defect-related emissions (visible). Both contributions over 500°C are found to be size dependent in intensity due to a decrease of the absorption cross section. For the smallest-size nanocrystals, UV emission can only be accounted for using a blueshifted UV contribution as compared to the ZnO band gap. In order to further optimize the emission properties, we have studied different annealing atmospheres under oxygen and under argon gas. We conclude that a softer annealing temperature at 450°C but with longer annealing time under oxygen is the most preferable scenario in order to improve near-UV emission of the ZnO nanocrystals embedded in an SiO2 matrix.

  15. Effect of SiO2 addition and gamma irradiation on the lithium borate glasses

    NASA Astrophysics Data System (ADS)

    Raut, A. P.; Deshpande, V. K.

    2018-01-01

    The physical properties like density, glass transition temperature (Tg), and ionic conductivity of lithium borate (LB) glasses with SiO2 addition were measured before and after gamma irradiation. Remarkable changes in properties have been obtained in the physical properties of LB glasses with SiO2 addition and after gamma irradiation. The increase in density and glass transition temperature of LB glasses with SiO2 addition has been explained with the help of increase in density of cross linking due to SiO4 tetrahedra formation. The increase in ionic conductivity with SiO2 addition was explained with the help of ‘mixed glass former effect’. The increase in density and Tg of LB glasses with SiO2 addition after gamma irradiation has been attributed to fragmentation of bigger ring structure into smaller rings, which increases the density of cross linking and hence compaction. The exposure of gamma irradiation has lead to decrease in ionic conductivity of LB glasses with SiO2 addition. The atomic displacement caused by gamma irradiation resulted in filling of interstices and decrease in trapping sites. This explains the obtained decrease in ionic conductivity after gamma irradiation of glasses. The obtained results of effect of SiO2 addition and gamma irradiation on the density, Tg and ionic conductivity has been supported by FTIR results.

  16. Model for interface formation and the resulting electrical properties for barium-strontium-titanate films on silicon

    NASA Astrophysics Data System (ADS)

    Mueller, A. H.; Suvorova, N. A.; Irene, E. A.; Auciello, O.; Schultz, J. A.

    2003-04-01

    The interface formation between sputtered barium strontium titanate (BST) films and both Si and SiO2 substrate surfaces has been followed using real-time spectroscopic ellipsometry and the mass spectrometry of recoiled ions. In both substrates an intermixed interface layer was observed and subcutaneous Si oxidation occurred. A model for the interface formation is proposed in which the interface includes an SiO2 film on Si, and an intermixed film on which is pure BST. During the deposition of BST the interfaces films were observed to change in time. Electrical characterization of the resulting metal-BST interface capacitors indicates that those samples with SiO2 on the Si surface had the best electrical characteristics.

  17. Fabrication of silicon-on-diamond substrate with an ultrathin SiO2 bonding layer

    NASA Astrophysics Data System (ADS)

    Nagata, Masahiro; Shirahama, Ryouya; Duangchan, Sethavut; Baba, Akiyoshi

    2018-06-01

    We proposed and demonstrated a sputter etching method to prepare both a flat surface (root-mean-square surface roughness of approximately 0.2–0.3 nm) and an ultrathin SiO2 bonding layer at an accuracy of approximately 5 nm in thickness to fabricate a silicon-on-diamond substrate (SOD). We also investigated a plasma activation method on a SiO2 surface using various gases. We found that O2 plasma activation is more suitable for the bonding between SiO2 and Si than N2 or Ar plasma activation. We speculate that the concentration of hydroxyl groups on the SiO2 surface was increased by O2 plasma activation. We fabricated the SOD substrate with an ultrathin (15 nm in thickness) SiO2 bonding layer using the sputter etching and O2 plasma activation methods.

  18. Radiation-induced amorphization of Langasite La3Ga5SiO14

    NASA Astrophysics Data System (ADS)

    Yao, Tiankai; Lu, Fengyuan; Zhang, Haifeng; Gong, Bowen; Ji, Wei; Zuo, Lei; Lian, Jie

    2018-03-01

    Single crystals of Langasite La3Ga5SiO14 (LGS) were irradiated by 1 MeV Kr2+ ions at temperature range from 298 to 898 K in order to simulate the damage effect of neutron radiation on Langasite, a candidate sensor material proposed as high temperature and pressure sensors in nuclear reactors. The microstructure evolution of LGS as functions of irradiation dose and temperature was followed by in-situ TEM observation through electron diffraction pattern. LGS is found to be sensitive to ion beam irradiation-induced amorphization from displacive heavy ions with a low critical dose of ∼0.5 ± 0.2 dpa (neutron fluence of (1.6 ± 0.6) × 1019 neutrons/cm2) at room temperature. The critical amorphization temperature, Tc, is determined to be 910 ± 10 K. Under simultaneous ionizing electron (300 keV, 45 nA) and displacive heavy ion irradiations (1-MeV Kr2+ and flux of 6.25 × 1011 ions/cm2·s), LGS displayed greater stability of crystal structure against amorphization, possibly due to the electron radiation-induced recovery of displacive damage by heavy ions.

  19. Atomic layer deposition for fabrication of HfO2/Al2O3 thin films with high laser-induced damage thresholds.

    PubMed

    Wei, Yaowei; Pan, Feng; Zhang, Qinghua; Ma, Ping

    2015-01-01

    Previous research on the laser damage resistance of thin films deposited by atomic layer deposition (ALD) is rare. In this work, the ALD process for thin film generation was investigated using different process parameters such as various precursor types and pulse duration. The laser-induced damage threshold (LIDT) was measured as a key property for thin films used as laser system components. Reasons for film damaged were also investigated. The LIDTs for thin films deposited by improved process parameters reached a higher level than previously measured. Specifically, the LIDT of the Al2O3 thin film reached 40 J/cm(2). The LIDT of the HfO2/Al2O3 anti-reflector film reached 18 J/cm(2), the highest value reported for ALD single and anti-reflect films. In addition, it was shown that the LIDT could be improved by further altering the process parameters. All results show that ALD is an effective film deposition technique for fabrication of thin film components for high-power laser systems.

  20. The relation between residual stress, interfacial structure and the joint property in the SiO2f/SiO2-Nb joints.

    PubMed

    Ma, Qiang; Li, Zhuo Ran; Yang, Lai Shan; Lin, Jing Huang; Ba, Jin; Wang, Ze Yu; Qi, Jun Lei; Feng, Ji Cai

    2017-06-23

    In order to achieve a high-quality joint between SiO 2f /SiO 2 and metals, it is necessary to address the poor wettability of SiO 2f /SiO 2 and the high residual stress in SiO 2f /SiO 2 -Nb joint. Here, we simultaneously realize good wettability and low residual stress in SiO 2f /SiO 2 -Nb joint by combined method of HF etching treatment and Finite Element Analysis (FEA). After etching treatment, the wettability of E-SiO 2f /SiO 2 was improved, and the residual stress in the joint was decreased. In order to better control the quality of joints, efforts were made to understand the relationship between surface structure of E-SiO 2f /SiO 2 and residual stress in joint using FEA. Based on the direction of FEA results, a relationship between residual stress, surface structure and joint property in the brazed joints were investigated by experiments. As well the FEA and the brazing test results both realized the high-quality joint of E-SiO 2f /SiO 2 -Nb and the shear strength of the joint reached 61.9 MPa.

  1. Low-temperature heat capacities of CaAl2SiO6 glass and pyroxene and thermal expansion of CaAl2SiO6 pyroxene.

    USGS Publications Warehouse

    Haselton, H.T.; Hemingway, B.S.; Robie, R.A.

    1984-01-01

    Low-T heat capacities (5-380 K) have been measured by adiabatic calorimetry for synthetic CaAl2SiO6 glass and pyroxene. High-T unit cell parameters were measured for CaAl2SiO6 pyroxene by means of a Nonius Guinier-Lenne powder camera in order to determine the mean coefficient of thermal expansion in the T range 25-1200oC. -J.A.Z.

  2. The photodeposition of surface plasmon Ag metal on SiO2@α-Fe2O3 nanocomposites sphere for enhancement of the photo-Fenton behavior

    NASA Astrophysics Data System (ADS)

    Uma, Kasimayan; Arjun, Nadarajan; Pan, Guan-Ting; Yang, Thomas C.-K.

    2017-12-01

    In this study, a simple sol-gel method was used for the synthesis of a core-shell structure of SiO2@α-Fe2O3 nanocomposites for employment as a visible light photocatalyst. It was observed that Ag nanoparticles about 20 nm in size were successfully deposited on the surface of the SiO2@α-Fe2O3 nanocomposites. The photocatalytic activity of the Ag-SiO2@α-Fe2O3 nanocomposites catalyst was investigated by observing the degradation of methylene blue (MB) dye in a photo-Fenton process. The results showed that the Ag nanoparticles acted as centers for photo induced electron transfer. The catalytic activity in the SiO2@α-Fe2O3 nanocomposites were enhanced due to the plasmoni c effect of Ag metal under visible light irradiation. The addition of H2O2 played an important role, generating more OH radicals which improved the photo-Fenton catalytic activity, resulting in quicker degradation of the MB dye using the Ag-SiO2@α-Fe2O3 nanocomposite catalyst.

  3. Electrical properties of pseudo-single-crystalline Ge films grown by Au-induced layer exchange crystallization at 250 °C

    NASA Astrophysics Data System (ADS)

    Higashi, H.; Kudo, K.; Yamamoto, K.; Yamada, S.; Kanashima, T.; Tsunoda, I.; Nakashima, H.; Hamaya, K.

    2018-06-01

    We study the electrical properties of pseudo-single-crystalline Ge (PSC-Ge) films grown by a Au-induced layer exchange crystallization method at 250 °C. By inserting the SiNx layer between PSC-Ge and SiO2, we initiatively suppress the influence of the Ge/SiO2 interfacial defective layers, which have been reported in our previous works, on the electrical properties of the PSC-Ge layers. As a result, we can detect the influence of the ionized Au+ donors on the temperature-dependent hole concentration and Hall mobility. To further examine their electrical properties in detail, we also fabricate p-thin-film transistors (TFTs) with the PSC-Ge layer. Although the off-state leakage currents are suppressed by inserting the SiNx layer, the value of on/off ratio remains poor (<102). Even after the post-annealing at 400 °C for the TFTs, the on/off ratio is still poor (˜102) because of the gate-induced drain leakage current although a nominal field effect mobility is enhanced up to ˜25 cm2/V s. Considering these features, we conclude that the Au contaminations into the PSC-Ge layer can affect the electrical properties and device performances despite a low-growth temperature of 250 °C. To achieve further high-performance p-TFTs, we have to suppress the Au contaminations into PSC-Ge during the Au-induced crystallization growth.

  4. Light-emitting Si nanostructures formed by swift heavy ions in stoichiometric SiO2 layers

    NASA Astrophysics Data System (ADS)

    Kachurin, G. A.; Cherkova, S. G.; Marin, D. V.; Kesler, V. G.; Volodin, V. A.; Skuratov, V. A.

    2012-07-01

    Three hundred and twenty nanometer-thick SiO2 layers were thermally grown on the Si substrates. The layers were irradiated with 167 MeV Xe ions to the fluences ranging between 1012 cm-2 and 1014 cm-2, or with 700 MeV Bi ions in the fluence range of 3 × 1012-1 × 1013 cm-2. After irradiation the yellow-orange photoluminescence (PL) band appeared and grew with the ion fluences. In parallel optical absorption in the region of 950-1150 cm-1, Raman scattering and X-ray photoelectron spectroscopy evidenced a decrease in the number of Si-O bonds and an increase in the number of Si-coordinated atoms. The results obtained are interpreted as the formation of the light-emitting Si-enriched nanostructures inside the tracks of swift heavy ions through the disproportionation of SiO2. Ionization losses of the ions are regarded as responsible for the processes observed. Difference between the dependences of the PL intensity on the fluences of Xe and Bi ions are ascribed to their different stopping energy, therewith the diameters of the tracks of Xe and Bi ions were assessed as <3 nm and ˜10 nm, respectively. The observed shift of the PL bands, induced by Xe and Bi ions, agrees with the predictions of the quantum confinement theory.

  5. Bioactivity of gel-glass powders in the CaO-SiO2 system: a comparison with ternary (CaO-P2O5-SiO2) and quaternary glasses (SiO2-CaO-P2O5-Na2O).

    PubMed

    Saravanapavan, Priya; Jones, Julian R; Pryce, Russell S; Hench, Larry L

    2003-07-01

    Bioactive glasses react chemically with body fluids in a manner that is compatible with the repair processes of the tissues. This results in the formation of an interfacial bond between the glasses and living tissue. Bioactive glasses also stimulate bone-cell proliferation. This behavior is dependent on the chemical composition as well as the surface texture of the glasses. It has been recently reported that gel-derived monolith specimens in the binary SiO2 - CaO are bioactive over a similar molar range of SiO2 content as the previously studied ternary CaO-P2O5-SiO2 system. In this report, the preparation and bioactivity of the binary gel-glass powder with 70 mol % SiO2 is discussed and its bioactivity is compared with the melt-derived 45S5 (quaternary) Bioglass and sol-gel-derived 58S (ternary) bioactive gel-glass compositions. Dissolution kinetic parameters K(1) and K(2) were also computed based on the silicon release for all glass powders. It was shown that the simple two-component SiO2-CaO gel-glass powder is bioactive with comparable dissolution rates as the clinically used melt-derived 45S5 Bioglass powder and extensively studied sol-gel-derived 58S gel-glass powder. Copyright 2003 Wiley Periodicals, Inc.

  6. Structural and dielectric properties of thin ZrO2 films on silicon grown by atomic layer deposition from cyclopentadienyl precursor

    NASA Astrophysics Data System (ADS)

    Niinistö, J.; Putkonen, M.; Niinistö, L.; Kukli, K.; Ritala, M.; Leskelä, M.

    2004-01-01

    ZrO2 thin films with thicknesses below 20 nm were deposited by the atomic layer deposition process on Si(100) substrates at 350 °C. An organometallic precursor, Cp2Zr(CH3)2 (Cp=cyclopentadienyl, C5H5) was used as the zirconium source and water or ozone as oxygen source. The influence of oxygen source and substrate pretreatment on the dielectric properties of ZrO2 films was investigated. Structural characterization with high-resolution transmission electron microscopy was performed to films grown onto HF-etched or native oxide covered silicon. Strong inhibition of ZrO2 film growth was observed with the water process on HF-etched Si. Ozone process on HF-etched Si resulted in interfacial SiO2 formation between the dense and uniform film and the substrate while water process produced interfacial layer with intermixing of SiO2 and ZrO2. The effective permittivity of ZrO2 in Al/ZrO2/Si/Al capacitor structures was dependent on the ZrO2 layer thickness and oxygen source used. The interfacial layer formation increased the capacitance equivalent oxide thickness (CET). CET of 2.0 nm was achieved with 5.9 nm ZrO2 film deposited with the H2O process on HF-stripped Si. The ozone-processed films showed good dielectric properties such as low hysteresis and nearly ideal flatband voltage. The leakage current density was lower and breakdown field higher for the ozone-processed ZrO2 films.

  7. Superlattice Multinanolayered Thin Films of SiO2/SiO2 + Ge for Thermoelectric Device Applications

    DTIC Science & Technology

    2013-04-05

    radioiso- tope sources in the past. In a space nuclear reactor system, the energy source is the heat generated by the controlled fission of uranium ...to the nanodots and/or nanocluster formations in the multilayered thin films. This is one of the expected results of the ion beam bombardments on...very large (150 W m 1 K 1 for Si and 63 W m 1 K 1 for Ge). The lattice thermal conductivity can be substantially reduced by alloy formation between

  8. Shock states of solid Mg2SiO4

    NASA Astrophysics Data System (ADS)

    Townsend, Joshua; Shulenburger, Luke

    2017-06-01

    To date there have been thousands of planets discovered outside our solar system. Forsterite, the magnesium end-member of olivine, ((Mg , Fe) 2SiO4) is abundant in the Earth's mantle, and is likely a common planetary building block throughout the galaxy. Despite extensive investigation under terrestrial pressure and temperature regimes, the behavior of the Mg2SiO4 system at higher pressures and temperatures (P>100 GPa, T>4000 K) remains poorly understood. To better understand the behavior of planetary impact processes and the structure of massive planets we investigated the high pressure and high temperature properties of Mg2SiO4 using combined shock compression experiments on the Z-machine at Sandia National Laboratories, and ab-initio molecular dynamics simulations. We compare our results to other recent experiments on shocked forsterite. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under Contract No. DE-AC04-94AL85000. SAND2017-1987 C.

  9. The effects of surface polarity and dangling bonds on the electronic properties of MoS2 on SiO2

    NASA Astrophysics Data System (ADS)

    Sung, Ha-Jun; Choe, Duk-Hyun; Chang, Kee Joo

    2015-03-01

    MoS2 has recently attracted much attention due to its intriguing physical phenomena and possible applications for the next generation electronic devices. In pristine monolayer MoS2, strong spin-orbit coupling and inversion symmetry breaking allow for an effective coupling between the spin and valley degrees of freedom, inducing valley polarization at the K valleys. However, the spin-valley coupling disappears in bilayer MoS2 because the inversion symmetry is restored. In this work, we investigate the effects of surface polarity and dangling bonds on the electronic properties of MoS2 on α-quartz SiO2 through first-principles calculations. In monolayer MoS2, a transition can take place from the direct-gap to indirect-gap semiconductor in the presence of O dangling bonds. In bilayer MoS2, O dangling bonds induce dipole fields across the interface and thus break the inversion symmetry, resulting in the valley polarization, similar to that of pristine monolayer MoS2. Based on the results, we discuss the origin of the valley polarization observed in MoS2 deposited on SiO2 This work was supported by National Research Foundation of Korea (NRF) under Grant No. NRF-2005-0093845 and by Samsung Science and Technology Foundation under Grant No. SSTFBA1401-08.

  10. Metal dioxides as analogue of SiO2 under strong compression studied by synchrotron XRD and simulations

    NASA Astrophysics Data System (ADS)

    Liu, H.; Liu, L. L.

    2017-12-01

    The phase transition sequence of SiO2 inducing by high pressure was theoretically predicted as coordination number (CN=6) structures (rutile, pyrite), CN=8 (Pnma) and CN=9 (P-62m) structures, but only the phases up to pyrite structure in SiO2 were observed experimentally up to now. The CN8 phase and CN9 phases of SiO2 were predicted to be stable at least 650 GPa, which is challenging to achieve in the static DAC experiment at present. In other metal dioxide systems, such as TiO2, the ambient rutile and anatase phases first transform to pyrite (CN6), then to the baddeleyite (CN7) phase, to a Pnma (CN8) phase and P-62m(CN9) phase. In this report, under strong compression at room temperature, several metal dioxides were studied experimentally and theoretically, to verify whether this theoretical predicted trend is common transition path under strong compression. This work was supported by Natural Science Foundation of China (11374075), Heilongjiang Province Science Fund for Distinguished Young Scholars (JC201005), Longjiang Scholar, the Fundamental Research Funds for the Central Universities (HIT. BRET1.2010002, HIT. IBRSEM.A.201403).

  11. Thermal and ion-induced surface reactions of 1,1-difluoroethylene on Si(111)7 x 7 and vitreous SiO2.

    PubMed

    He, Zhenhua; Leung, K T

    2005-08-11

    Thermal and ion-induced reactions of 1,1-difluoroethylene (1,1-C2H2F2 or iso-DFE) on Si(111)7 x 7 and vitreous SiO2 surfaces have been investigated by vibrational electron energy loss spectroscopy and thermal desorption spectrometry. Like ethylene, iso-DFE predominantly chemisorbs via a [2 + 2] cycloaddition mechanism onto the 7 x 7 surface as a di-sigma-bonded difluoroethane-1,2-diyl adstructure, which undergoes H abstraction and defluorination, producing hydrocarbon fragments and SiF(x) (x = 1-3) upon annealing to >700 K. Ion irradiation of Si(111)7 x 7 in iso-DFE at 50 eV impact energy appears to substantially enhance the production of hydrocarbon fragments and SiF(x)(), leading to stronger SiF4 desorption products over an extended temperature range (400-900 K). The observed SiC and SiF(x) produced on the 7 x 7 surface by ion irradiation in iso-DFE are found to be similar to those obtained by ion irradiation in the fluoromethane homologues, CF4 and CH2F2. The production of higher relative concentrations for the larger SiF(x) and C2-containing fragments is evidently favored on the 7 x 7 surface. On a vitreous SiO2 surface, ion irradiation in iso-DFE, unlike that in CF4 and CH2F2, appears to produce less SiF(x) than that on the 7 x 7 surface, which indicates that surface O does not interact strongly with the C2-containing fragments. The presence or absence of a C=C bond and the relative F-to-C ratio of the sputtering gas could therefore produce important effects on the resulting surface products obtained by low-energy ion irradiation.

  12. TiO2, SiO2 and ZrO2 Nanoparticles Synergistically Provoke Cellular Oxidative Damage in Freshwater Microalgae

    PubMed Central

    Liu, Yinghan; Ye, Nan; Fang, Hao; Wang, Degao

    2018-01-01

    Metal-based nanoparticles (NPs) are the most widely used engineered nanomaterials. The individual toxicities of metal-based NPs have been plentifully studied. However, the mixture toxicity of multiple NP systems (n ≥ 3) remains much less understood. Herein, the toxicity of titanium dioxide (TiO2) nanoparticles (NPs), silicon dioxide (SiO2) NPs and zirconium dioxide (ZrO2) NPs to unicellular freshwater algae Scenedesmus obliquus was investigated individually and in binary and ternary combination. Results show that the ternary combination systems of TiO2, SiO2 and ZrO2 NPs at a mixture concentration of 1 mg/L significantly enhanced mitochondrial membrane potential and intracellular reactive oxygen species level in the algae. Moreover, the ternary NP systems remarkably increased the activity of the antioxidant defense enzymes superoxide dismutase and catalase, together with an increase in lipid peroxidation products and small molecule metabolites. Furthermore, the observation of superficial structures of S. obliquus revealed obvious oxidative damage induced by the ternary mixtures. Taken together, the ternary NP systems exerted more severe oxidative stress in the algae than the individual and the binary NP systems. Thus, our findings highlight the importance of the assessment of the synergistic toxicity of multi-nanomaterial systems. PMID:29419775

  13. Wetting properties of phospholipid dispersion on tunable hydrophobic SiO2-glass plates.

    PubMed

    Alexandrova, Lidia; Karakashev, Stoyan I; Grigorov, L; Phan, Chi M; Smoukov, Stoyan K

    2015-06-01

    We study the wetting properties of very small droplets of salty aqueous suspensions of unilamellar liposomes of DMPC (dimyristoylphosphatidylcholine), situated on SiO2-glass surfaces with different levels of hydrophobicity. We evaluated two different measures of hydrophobicity of solid surfaces - receding contact angles and the thickness of wetting films trapped between an air bubble and the solid surface at different levels of hydrophobicity. We established a good correlation between methods which differ significantly in measurement difficulty and experimental setup. We also reveal details of the mechanism of wetting of different surfaces by the DMPC liposome suspension. Hydrophilic surfaces with water contact angles in the range of 0° to 35° are readily hydrophobized by the liposomes and only showed corresponding contact angles in the range 27°-43°. For same range of surface hydrophobicities, there was a clear reduction of the thickness of the wetting films between the surface and a bubble, reaching a minimum in the 35°-40° range. At higher levels of hydrophobicity both pure water and the liposome suspension show similar contact angles, and the thickness of wetting films between a bubble and those surfaces increases in parallel. Our analysis showed that the only force able to stabilize the film under these experimental conditions is steric repulsion. The latter suggests that nanobubbles adsorbed on hydrophobic parts of the surface, and coated with a DMPC layer, may be the cause of the 40-70 nm thickness of wetting films we observe. Copyright © 2014 Elsevier B.V. All rights reserved.

  14. Surface modification of SiO2 coated ZnO nanoparticles for multifunctional cotton fabrics.

    PubMed

    El-Naggar, Mehrez E; Hassabo, Ahmed G; Mohamed, Amina L; Shaheen, Tharwat I

    2017-07-15

    A simple chemical synthetic route was designed to prepare zinc oxide nanoparticles (ZnO-NPs) by using sodium alginate as anti-agglomeration agent in the presence of sodium hydroxide as alkali. Next, surface modification of ZnO-NPs with SiO 2 nanoparticles was achieved as per to sol-gel process. Further enhancing of the multifunctional properties of SiO 2 @ZnO-NPs was conducted successfully thanks to (aminopropyl)triethoxysilan (APTES) and vinyltriethoxysilan (VTES) which, in turns, increase the affinity of the SiO 2 @ZnO-NPs nanocomposite towards glycosidic chains of cotton fabrics. Thorough characterizations of synthesized ZnO-NPs, SiO 2 @ZnO-NPs, SiO 2 @ZnO-NPs/APTES and SiO 2 @ZnO-NPs/VTES were conducted by the making use of well advanced techniques such as FT-IR, XRD, TEM, DLS and SEM-EDX. The data obtained clarified the formation of an interfacial chemical bond between ZnO and SiO 2 as affirmed by FT-IR and XRD analysis. In addition, the results revealed by TEM, zeta sizer and SEM-EDX techniques, declared that the amorphous layers of SiO 2 , APTES or VTES evenly coated the surface of ZnO-NPs. For these nanocomposites, the work was extended to render cotton fabrics multifunctional properties such as antibacterial and UV protection with high durability even after 20 washing cycles using pad dry cure method. Taking the advantages of the silane compounds terminated by active groups such as OH, NH 2 , etc., open the door for further functionalization of the cotton fabrics' surfaces by durable multifunctional agents applied in various applications. Copyright © 2017 Elsevier Inc. All rights reserved.

  15. Crack-resistant Al2O3-SiO2 glasses.

    PubMed

    Rosales-Sosa, Gustavo A; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-07

    Obtaining "hard" and "crack-resistant" glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3 • 40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  16. Crack-resistant Al2O3-SiO2 glasses

    NASA Astrophysics Data System (ADS)

    Rosales-Sosa, Gustavo A.; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-01

    Obtaining “hard” and “crack-resistant” glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3•40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  17. Complex oxide thin films for microelectronics

    NASA Astrophysics Data System (ADS)

    Suvorova, Natalya

    The rapid scaling of the device dimensions, namely in metal oxide semiconductor field effect transistor (MOSFET), is reaching its fundamental limit which includes the increase in allowable leakage current due to direct tunneling with decrease of physical thickness of SiO2 gate dielectric. The significantly higher relative dielectric constant (in the range 9--25) of the gate dielectric beyond the 3.9 value of silicon dioxide will allow increasing the physical thickness. Among the choices for the high dielectric constant (K) materials for future generation MOSFET application, barium strontium titanate (BST) and strontium titanate (STO) possess one of the highest attainable K values making them the promising candidates for alternative gate oxide. However, the gate stack engineering does not imply the simple replacement of the SiO2 with the new dielectric. Several requirements should be met for successful integration of a new material. The major one is a production of high level of interface states (Dit) compared to that of SiO 2 on Si. An insertion of a thin SiO2 layer prior the growth of high-K thin film is a simple solution that helps to limit reaction with Si substrate and attains a high quality interface. However, the combination of two thin films reduces the overall K of the dielectric stack. An optimization of the SiO2 underlayer in order to maintain the interface quality yet minimize the effect on K is the focus of this work. The results from our study are presented with emphasis on the key process parameters that improve the dielectric film stack. For in-situ growth characterization of BST and STO films sputter deposited on thermally oxidized Si substrates spectroscopic ellipsometry in combination with time of flight ion scattering and recoil spectrometry have been employed. Studies of material properties have been complemented with analytical electron microscopy. To evaluate the interface quality the electrical characterization has been employed using

  18. Gigantic transverse voltage induced via off-diagonal thermoelectric effect in CaxCoO2 thin films

    NASA Astrophysics Data System (ADS)

    Takahashi, Kouhei; Kanno, Tsutomu; Sakai, Akihiro; Adachi, Hideaki; Yamada, Yuka

    2010-07-01

    Gigantic transverse voltages exceeding several tens volt have been observed in CaxCoO2 thin films with tilted c-axis orientation upon illumination of nanosecond laser pulses. The voltage signals were highly anisotropic within the film surface showing close relation with the c-axis tilt direction. The magnitude and the decay time of the voltage strongly depended on the film thickness. These results confirm that the large laser-induced voltage originates from a phenomenon termed the off-diagonal thermoelectric effect, by which a film out-of-plane temperature gradient leads to generation of a film in-plane voltage.

  19. Microwave electromagnetic and absorption properties of SiO2/C core/shell composites plated with metal cobalt

    NASA Astrophysics Data System (ADS)

    Shen, Guozhu; Fang, Xumin; Wu, Hongyan; Wei, Hongyu; Li, Jingfa; Li, Kaipeng; Mei, Buqing; Xu, Yewen

    2017-04-01

    A facile method has been developed to fabricate magnetic core/shell SiO2/C/Co sub-microspheres via the pyrolysis of SiO2/PANI (polyaniline) and electroless plating method. The electromagnetic parameters of these SiO2/C and SiO2/C/Co composites were measured and the microwave reflection loss properties were evaluated in the frequency range of 2-18 GHz. The results show that the dielectric loss of SiO2/C composite increases with the increase of carbonization temperature and the magnetic loss enhances due to the deposition of cobalt on the SiO2/C sub-microspheres. The reflection loss results exhibit that the microwave absorption properties of the SiO2/C/Co composites are more excellent than those of SiO2/C composites for each thickness. The maximum effective absorption bandwidth (reflection loss ≤ -10 dB) arrives at 5.0 GHz (13.0-18 GHz) for SiO2/C/Co composite with 1.5 mm of thickness and the minimum reflection loss value is -24.0 dB at 5.0 GHz with 4.0 mm of thickness. The microwave loss mechanism of the SiO2/C/Co composites was also discussed in this paper.

  20. Enhanced antioxidation and microwave absorbing properties of SiO2-coated flaky carbonyl iron particles

    NASA Astrophysics Data System (ADS)

    Zhou, Yingying; Xie, Hui; Zhou, Wancheng; Ren, Zhaowen

    2018-01-01

    SiO2 was successfully coated on the surface of flaky carbonyl iron particles using a chemical bath deposition method in the presence of 3-aminopropyl triethoxysilane (APTES). The morphologies, composition, valence states of elements, as well as antioxidation and electromagnetic properties of the samples were characterized by scanning electron microscope (SEM), energy dispersive spectrometer (EDS), X-ray photoelectron spectroscopy (XPS), thermogravimetric (TG) and microwave network analyzer. TG curve shows the obvious weight gain of carbonyl iron was deferred to 360 °C after SiO2-coated, which can be ascribed to the exits of SiO2 overlayer. Compared with the raw carbonyl iron, SiO2-coated sample shows good wave absorption performance due to its impedance matching. The electromagnetic properties of raw and SiO2-coated carbonyl iron particles were characterized in X band before and after heat treatment at 250 °C for 10 h. It was established that SiO2-coated carbonyl iron demonstrate good thermal stability, indicating SiO2-coating is useful in the usage of microwave absorbers operating at temperature up to 250 °C.

  1. X-ray absorption spectroscopy study on SiC-side interface structure of SiO2–SiC formed by thermal oxidation in dry oxygen

    NASA Astrophysics Data System (ADS)

    Isomura, Noritake; Kosaka, Satoru; Kataoka, Keita; Watanabe, Yukihiko; Kimoto, Yasuji

    2018-06-01

    Extended X-ray absorption fine structure (EXAFS) spectroscopy is demonstrated to measure the fine atomic structure of SiO2–SiC interfaces. The SiC-side of the interface can be measured by fabricating thin SiO2 films and using SiC-selective EXAFS measurements. Fourier transforms of the oscillations of the EXAFS spectra correspond to radial-structure functions and reveal a new peak of the first nearest neighbor of Si for m-face SiC, which does not appear in measurements of the Si-face. This finding suggests that the m-face interface could include a structure with shorter Si–C distances. Numerical calculations provide additional support for this finding.

  2. New structure of high-pressure body-centered orthorhombic Fe 2SiO 4

    DOE PAGES

    Yamanaka, Takamitsu; Kyono, Atsushi; Nakamoto, Yuki; ...

    2015-08-01

    Here, a structural change in Fe 2SiO 4 spinel and the structure of a new high pressure phase are determined by Rietveld 26 profile fitting of x-ray diffraction data up to 64 GPa at ambient temperature. The compression curve of the spinel is discontinuous at approximately 20 GPa. Fe Kβ x-ray emission measurements at high pressure show that the transition from a high spin (HS) to an intermediate spin (IS) state begins at 17 GPa in the spinel phase. The IS electronic state is gradually enhanced with pressure, which results in an isostructural phase transition. A transition from the cubic spinel structure to a body centered orthorhombic phase (I-Fe 2SiO 4) with space group Imma and Z=4 was observed at approximately 34 GPa. The structure of I-Fe 2SiO 4 has two crystallographically distinct FeO 6 octahedra, which are arranged in layers parallel to (101) and (011) and are very similar to the layers of FeO 6 octahedra that constitute the spinel structure. Silicon also exists in six-fold coordination in I-Fe 2SiO 4. The transformation to the new high-pressure phase is reversible under decompression at ambient temperature. A Martensitic transformation of each slab of the spinel structure with translation vector [more » $$\\vec{1/8}$$ $$\\vec{1/8}$$ $$\\vec{1/8}$$] generates the I-Fe 2SiO 4 structure. Laser heating of I-Fe 2SiO 4 at 1500 K results in a decomposition of the material to rhombohedral FeO and SiO 2 stishovite.« less

  3. Influence of SiO2 Addition on Properties of PTFE/TiO2 Microwave Composites

    NASA Astrophysics Data System (ADS)

    Yuan, Ying; Wang, Jie; Yao, Minghao; Tang, Bin; Li, Enzhu; Zhang, Shuren

    2018-01-01

    Composite substrates for microwave circuit applications have been fabricated by filling polytetrafluoroethylene (PTFE) polymer matrix with ceramic powder consisting of rutile TiO2 ( D 50 ≈ 5 μm) partially substituted with fused amorphous SiO2 ( D 50 ≈ 8 μm) with composition x vol.% SiO2 + (50 - x) vol.% TiO2 ( x = 0, 3, 6, 9, 12), and the effects of SiO2 addition on characteristics such as the density, moisture absorption, microwave dielectric properties, and thermal properties systematically investigated. The results show that the filler was well distributed throughout the matrix. High dielectric constant ( ɛ r > 7.19) and extremely low moisture absorption (<0.02%) were obtained, resulting from the relatively high density of the composites. The ceramic particles served as barriers and improved the thermal stability of the PTFE polymer, retarding its decomposition. The temperature coefficient of dielectric constant ( τ ɛ ) of the composites shifted toward the positive direction (from - 309 ppm/°C to - 179 ppm/°C) as the SiO2 content was increased, while the coefficient of thermal expansion remained almost unchanged (˜ 35 ppm/°C).

  4. Design of flexible PANI-coated CuO-TiO2-SiO2 heterostructure nanofibers with high ammonia sensing response values

    NASA Astrophysics Data System (ADS)

    Pang, Zengyuan; Nie, Qingxin; Lv, Pengfei; Yu, Jian; Huang, Fenglin; Wei, Qufu

    2017-06-01

    We report a room-temperature ammonia sensor with extra high response values and ideal flexibility, including polyaniline (PANI)-coated titanium dioxide-silicon dioxide (TiO2-SiO2) or copper oxide-titanium dioxide-silicon dioxide (CuO-TiO2-SiO2) composite nanofibers. Such flexible inorganic TiO2-SiO2 and CuO-TiO2-SiO2 composite nanofibers were prepared by electrospinning, followed by calcination. Then, in situ polymerization of aniline monomers was carried out with inorganic TiO2-SiO2 and CuO-TiO2-SiO2 composite nanofibers as templates. Gas sensing tests at room temperature indicated that the obtained CuO-TiO2-SiO2/PANI composite nanofibers had much higher response values to ammonia gas (ca. 45.67-100 ppm) than most of those reported before as well as the prepared TiO2-SiO2/PANI composite nanofibers here. These excellent sensing properties may be due to the P-N, P-P heterojunctions and a structure similar to field-effect transistors formed on the interfaces between PANI, TiO2, and CuO, which is p-type, n-type, and p-type semiconductor, respectively. In addition, the prepared free-standing CuO-TiO2-SiO2/PANI composite nanofiber membrane was easy to handle and possessed ideal flexibility, which is promising for potential applications in wearable sensors in the future.

  5. Design of flexible PANI-coated CuO-TiO2-SiO2 heterostructure nanofibers with high ammonia sensing response values.

    PubMed

    Pang, Zengyuan; Nie, Qingxin; Lv, Pengfei; Yu, Jian; Huang, Fenglin; Wei, Qufu

    2017-06-02

    We report a room-temperature ammonia sensor with extra high response values and ideal flexibility, including polyaniline (PANI)-coated titanium dioxide-silicon dioxide (TiO 2 -SiO 2 ) or copper oxide-titanium dioxide-silicon dioxide (CuO-TiO 2 -SiO 2 ) composite nanofibers. Such flexible inorganic TiO 2 -SiO 2 and CuO-TiO 2 -SiO 2 composite nanofibers were prepared by electrospinning, followed by calcination. Then, in situ polymerization of aniline monomers was carried out with inorganic TiO 2 -SiO 2 and CuO-TiO 2 -SiO 2 composite nanofibers as templates. Gas sensing tests at room temperature indicated that the obtained CuO-TiO 2 -SiO 2 /PANI composite nanofibers had much higher response values to ammonia gas (ca. 45.67-100 ppm) than most of those reported before as well as the prepared TiO 2 -SiO 2 /PANI composite nanofibers here. These excellent sensing properties may be due to the P-N, P-P heterojunctions and a structure similar to field-effect transistors formed on the interfaces between PANI, TiO 2 , and CuO, which is p-type, n-type, and p-type semiconductor, respectively. In addition, the prepared free-standing CuO-TiO 2 -SiO 2 /PANI composite nanofiber membrane was easy to handle and possessed ideal flexibility, which is promising for potential applications in wearable sensors in the future.

  6. Morphology and crystalline phase study of electrospun TiO2 SiO2 nanofibres

    NASA Astrophysics Data System (ADS)

    Ding, Bin; Kim, Hakyong; Kim, Chulki; Khil, Myungseob; Park, Soojin

    2003-05-01

    Nanofibres of TiO2-SiO2 (Ti:Si = 50: 50 mol%) with diameters of 50-400 nm were prepared by calcining electrospun nanofibres of polyvinyl acetate (PVac)/titania-silica composite as precursor. These PVac/titania-silica hybrid nanofibres were obtained from a homogenous solution of PVac with a sol-gel of titanium isopropoxide (TiP) and tetraethoxysilane by using the electrospinning technique. The nanofibres were characterized by scanning electron microscopy (SEM), wide-angle x-ray diffraction (WAXD), Fourier transform infrared (FTIR) spectroscopy and Brunauer-Emmett-Teller (BET) surface area. SEM, WAXD and FTIR results indicated that the morphology and crystalline phase of TiO2-SiO2 nanofibres were strongly influenced by the calcination temperature and the content of titania and silica in the nanofibres. Additionally, the BET results showed that the surface area of TiO2-SiO2 nanofibres was decreased with increasing calcination temperature and the content of titania and silica in nanofibres.

  7. Control of Ga-oxide interlayer growth and Ga diffusion in SiO2/GaN stacks for high-quality GaN-based metal-oxide-semiconductor devices with improved gate dielectric reliability

    NASA Astrophysics Data System (ADS)

    Yamada, Takahiro; Watanabe, Kenta; Nozaki, Mikito; Yamada, Hisashi; Takahashi, Tokio; Shimizu, Mitsuaki; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-01-01

    A simple and feasible method for fabricating high-quality and highly reliable GaN-based metal-oxide-semiconductor (MOS) devices was developed. The direct chemical vapor deposition of SiO2 films on GaN substrates forming Ga-oxide interlayers was carried out to fabricate SiO2/GaO x /GaN stacked structures. Although well-behaved hysteresis-free GaN-MOS capacitors with extremely low interface state densities below 1010 cm-2 eV-1 were obtained by postdeposition annealing, Ga diffusion into overlying SiO2 layers severely degraded the dielectric breakdown characteristics. However, this problem was found to be solved by rapid thermal processing, leading to the superior performance of the GaN-MOS devices in terms of interface quality, insulating property, and gate dielectric reliability.

  8. An ab initio investigation of Bi2Se3 topological insulator deposited on amorphous SiO2.

    PubMed

    de Oliveira, I S S; Scopel, W L; Miwa, R H

    2017-02-01

    We use first-principles simulations to investigate the topological properties of Bi 2 Se 3 thin films deposited on amorphous SiO 2 , Bi 2 Se 3 /a-SiO 2 , which is a promising substrate for topological insulator (TI) based device applications. The Bi 2 Se 3 films are bonded to a-SiO 2 mediated by van der Waals interactions. Upon interaction with the substrate, the Bi 2 Se 3 topological surface and interface states remain present, however the degeneracy between the Dirac-like cones is broken. The energy separation between the two Dirac-like cones increases with the number of Bi 2 Se 3 quintuple layers (QLs) deposited on the substrate. Such a degeneracy breaking is caused by (i) charge transfer from the TI to the substrate and charge redistribution along the Bi 2 Se 3 QLs, and (ii) by deformation of the QL in contact with the a-SiO 2 substrate. We also investigate the role played by oxygen vacancies ([Formula: see text]) on the a-SiO 2 , which increases the energy splitting between the two Dirac-like cones. Finally, by mapping the electronic structure of Bi 2 Se 3 /a-SiO 2 , we found that the a-SiO 2 surface states, even upon the presence of [Formula: see text], play a minor role on gating the electronic transport properties of Bi 2 Se 3 .

  9. Evaporation kinetics of Mg2SiO4 crystals and melts from molecular dynamics simulations

    NASA Technical Reports Server (NTRS)

    Kubicki, J. D.; Stolper, E. M.

    1993-01-01

    Computer simulations based on the molecular dynamics (MD) technique were used to study the mechanisms and kinetics of free evaporation from crystalline and molten forsterite (i.e., Mg2SiO4) on an atomic level. The interatomic potential employed for these simulations reproduces the energetics of bonding in forsterite and in gas-phase MgO and SiO2 reasonably accurately. Results of the simulation include predicted evaporation rates, diffusion rates, and reaction mechanisms for Mg2SiO4(s or l) yields 2Mg(g) + 20(g) + SiO2(g).

  10. Photo- and thermally induced property change in Ag diffusion into Ag/As2Se3 thin films

    NASA Astrophysics Data System (ADS)

    Aparimita, Adyasha; Sripan, C.; Ganesan, R.; Naik, Ramakanta

    2018-03-01

    In the present report, we have prepared As2Se3 and bilayer Ag/As2Se3 chalcogenide thin films prepared by thermal evaporation process. The top Ag layer is being diffused into the bottom As2Se3 layer by 532 nm laser irradiation and thermal annealing process. The photo and thermal energy drives the Ag+ ions into the As2Se3 matrix that enhances the formation of As-Se-Ag solid solution which shows the changes of optical properties such as transmission, absorption power, refractive index, and optical band gap. The transmission power drastically decreased for the thermal-induced film than the laser induced one; and the reverse effect is seen for the absorption coefficient. The non-linear refractive index is found to be increased due to the Ag diffusion into As2Se3 film. The indirect allowed optical band gap is being reduced by a significant amount of 0.17 eV (thermal diffusion) and 0.03 eV (photo diffusion) from the Ag/As2Se3 film. The Ag diffusion creates chemical disorderness in the film observed from the two parameters which measures the degree of disorder such as Urbach energy and Tauc parameter. The structural change is not noticed in the studied film as seen from the X-ray diffraction pattern. Scanning electron microscopy and atomic force microscopy investigations showed that the surface morphology was influenced by the diffusion phenomena. The change in optical constants in such type of film can be used in optical waveguides and optical devices.

  11. Confocal Raman microscopy of morphological changes in poly(ethylene terephthalate) film induced by supercritical CO(2).

    PubMed

    Fleming, Oliver S; Kazarian, Sergei G

    2004-04-01

    Poly(ethylene terephthalate) (PET) film was exposed to supercritical (sc) CO(2) and confocal Raman microscopy was used to investigate the morphological changes induced. The study evaluates the use of oil and dry objectives in confocal mode to obtain depth profiles of PET film. These results were compared with the data obtained by mapping of the film cross-section. A significant gradient of degree of crystallinity normal to the surface of PET film down to 60 microm has been observed. The gradient of the degree of morphological changes are functions of exposure time and pressure.

  12. Red/blue-shift dual-directional regulation of α-(Ca, Sr)2SiO4:Eu(2+) phosphors resulting from the incorporation content of Eu(2+)/Sr(2+) ions.

    PubMed

    Lu, Zhijuan; Mao, Zhiyong; Chen, Jingjing; Wang, Dajian

    2015-09-21

    In this work, tunable emission from green to red and the inverse tuning from red to green in α-(Ca, Sr)2SiO4:Eu(2+) phosphors were demonstrated magically by varying the incorporation content of Eu(2+) and Sr(2+) ions, respectively. The tunable emission properties and the tuning mechanism of red-shift resulting from the Eu(2+) content as well as that of blue-shift induced by the Sr(2+) content were investigated in detail. As a result of fine-controlling the incorporation content of Eu(2+), the emission peak red-shifts from 541 nm to 640 nm. On the other hand, the emission peak inversely blue-shifts from 640 nm to 546 nm through fine-adjusting the incorporation content of Sr(2+). The excellent tuning characteristics for α-(Ca, Sr)2SiO4:Eu(2+) phosphors presented in this work exhibited their various application prospects in solid-state lighting combining with a blue chip or a near-UV chip.

  13. Comparison of trapped charges and hysteresis behavior in hBN encapsulated single MoS2 flake based field effect transistors on SiO2 and hBN substrates.

    PubMed

    Lee, Changhee; Rathi, Servin; Khan, Muhammad Atif; Lim, Dongsuk; Kim, Yunseob; Yun, Sun Jin; Youn, Doo-Hyeb; Watanabe, Kenji; Taniguchi, Takashi; Kim, Gil-Ho

    2018-08-17

    Molybdenum disulfide (MoS 2 ) based field effect transistors (FETs) are of considerable interest in electronic and opto-electronic applications but often have large hysteresis and threshold voltage instabilities. In this study, by using advanced transfer techniques, hexagonal boron nitride (hBN) encapsulated FETs based on a single, homogeneous and atomic-thin MoS 2 flake are fabricated on hBN and SiO 2 substrates. This allows for a better and a precise comparison between the charge traps at the semiconductor-dielectric interfaces at MoS 2 -SiO 2 and hBN interfaces. The impact of ambient environment and entities on hysteresis is minimized by encapsulating the active MoS 2 layer with a single hBN on both the devices. The device to device variations induced by different MoS 2 layer is also eliminated by employing a single MoS 2 layer for fabricating both devices. After eliminating these additional factors which induce variation in the device characteristics, it is found from the measurements that the trapped charge density is reduced to 1.9 × 10 11 cm -2 on hBN substrate as compared to 1.1 × 10 12 cm -2 on SiO 2 substrate. Further, reduced hysteresis and stable threshold voltage are observed on hBN substrate and their dependence on gate sweep rate, sweep range, and gate stress is also studied. This precise comparison between encapsulated devices on SiO 2 and hBN substrates further demonstrate the requirement of hBN substrate and encapsulation for improved and stable performance of MoS 2 FETs.

  14. Structure and crystallization of SiO2 and B2O3 doped lithium disilicate glasses from theory and experiment.

    PubMed

    Erlebach, Andreas; Thieme, Katrin; Sierka, Marek; Rüssel, Christian

    2017-09-27

    Solid solutions of SiO 2 and B 2 O 3 in Li 22SiO 2 are synthesized and characterized for the first time. Their structure and crystallization mechanisms are investigated employing a combination of simulations at the density functional theory level and experiments on the crystallization of SiO 2 and B 2 O 3 doped lithium disilicate glasses. The remarkable agreement of calculated and experimentally determined cell parameters reveals the preferential, kinetically controlled incorporation of [SiO 4 ] and [BO 4 ] at the Li + lattice sites of the Li 22SiO 2 crystal structure. While the addition of SiO 2 increases the glass viscosity resulting in lower crystal growth velocities, glasses containing B 2 O 3 show a reduction of both viscosities and crystal growth velocities. These observations could be rationalized by a change of the chemical composition of the glass matrix surrounding the precipitated crystal phase during the course of crystallization, which leads to a deceleration of the attachment of building units required for further crystal growth at the liquid-crystal interface.

  15. Beyond sixfold coordinated Si in SiO2 glass at ultrahigh pressures.

    PubMed

    Prescher, Clemens; Prakapenka, Vitali B; Stefanski, Johannes; Jahn, Sandro; Skinner, Lawrie B; Wang, Yanbin

    2017-09-19

    We investigated the structure of SiO 2 glass up to 172 GPa using high-energy X-ray diffraction. The combination of a multichannel collimator with diamond anvil cells enabled the measurement of structural changes in silica glass with total X-ray diffraction to previously unachievable pressures. We show that SiO 2 first undergoes a change in Si-O coordination number from fourfold to sixfold between 15 and 50 GPa, in agreement with previous investigations. Above 50 GPa, the estimated coordination number continuously increases from 6 to 6.8 at 172 GPa. Si-O bond length shows first an increase due to the fourfold to sixfold coordination change and then a smaller linear decrease up to 172 GPa. We reconcile the changes in relation to the oxygen-packing fraction, showing that oxygen packing decreases at ultrahigh pressures to accommodate the higher than sixfold Si-O coordination. These results give experimental insight into the structural changes of silicate glasses as analogue materials for silicate melts at ultrahigh pressures.

  16. The preparation and photocatalytic activity of CdS/(Cal-Ta2O5-SiO2) composite photocatalyst under visible light

    NASA Astrophysics Data System (ADS)

    Li, Juxia

    2018-02-01

    CdS/(Cal-Ta2O5-SiO2) composite photocatalyst has been successfully fabricated via wet chemistry method. Ta2O5-SiO2 with multi-step Ta2O5 deposition on SiO2 has more Ta2O5 on SiO2 to ensure the active sites. Trough multi-step calcination, Ta2O5 can load on SiO2 with uniform and stable, which make it have high photocatalytic activity. The obtained samples were characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), diffuse reflectance ultraviolet-visible spectroscopy (UV-vis) and photoluminescence spectroscopy (PL). Without any co-catalysts, the as-prepared CdS/(Cal-Ta2O5-SiO2) exhibited remarkable photocatalytic activity and recyclability both in the degradation of rhodamine B and in the hydrogen production from water splitting under visible light.

  17. Size-tunable synthesis of SiO(2) nanotubes via a simple in situ templatelike process.

    PubMed

    Shen, Guozhen; Bando, Yoshio; Golberg, Dmitri

    2006-11-23

    SiO(2) nanotubes with tunable diameters and lengths have been successfully synthesized via a simple in situ templatelike process by thermal evaporation of SiO, ZnS, and GaN in a vertical induction furnace. The structure and morphologies were systematically investigated using X-ray diffraction, scanning electron microscopy, transmission electron microscopy, and energy-dispersive X-ray spectrometry. Studies found that both the diameters and lengths of the SiO(2) nanotubes can be effectively tuned by simply changing the reaction temperatures. The range of changes was from 30 nm (diameter) and several hundred micrometers (length) at 1450 degrees C to 100 nm (diameter) and 2-10 micrometers (length) at 1300 degrees C. Varying some other experimental parameters results in the formation of additional SiO(2)-based nanostructures, such as core-shell ZnS-SiO(2) nanocables, ZnS nanoparticle filled SiO(2) nanotubes, and fluffy SiO(2) spheres. Based on the observations, an in situ templatelike process was proposed to explain the possible growth mechanism.

  18. Preparation, chromatographic evaluation and application of adenosine 5'-monophosphate modified ZrO2/SiO2 stationary phase in hydrophilic interaction chromatography.

    PubMed

    Wang, Qing; Luo, Zhi-Yuan; Ye, Mao; Wang, Yu-Zhuo; Xu, Li; Shi, Zhi-Guo; Xu, Lanying

    2015-02-27

    The zirconia-coated silica (ZrO2/SiO2) material was obtained by coupling layer-by-layer (LbL) self-assembly method and sol-gel technology, to take dual advantages of the suitable porous structure of SiO2 and basic resistance of ZrO2. Adenosine 5'-monophosphate (5'-AMP) was then self-assembled onto ZrO2/SiO2 via Lewis acid-base interaction, generating 5'-AMP-ZrO2/SiO2. The chromatographic properties of 5'-AMP-ZrO2/SiO2 were systemically studied by evaluating the effect of acetonitrile content, pH and buffer concentration in the mobile phase. The results demonstrated that the 5'-AMP-ZrO2/SiO2 possessed hydrophilic interaction chromatographic (HILIC) property comprising hydrophilic, hydrogen-bonding, electrostatic and ion-exchange interactions. For basic analytes, the column efficiency of ZrO2/SiO2 and 5'-AMP-ZrO2/SiO2 was superior to the bare ZrO2, and different selectivity was obtained after the introduction of 5'-AMP. For acidic analytes, good resolution was obtained on 5'-AMP-ZrO2/SiO2 while the analysis failed on the bare ZrO2 column owing to strong adsorption. Hence, the proposed 5'-AMP-ZrO2/SiO2 had great potential in analyzing acidic compounds in HILIC mode. It was an extended application of ZrO2 based SP. Copyright © 2015 Elsevier B.V. All rights reserved.

  19. Preparation of polystyrene/SiO2 microsphere via Pickering emulsion polymerization: Synergistic effect of SiO2 concentrations and initiator sorts

    NASA Astrophysics Data System (ADS)

    Zhou, Haiou; Shi, Tiejun; Zhou, Xun

    2013-02-01

    In this paper, polystyrene (PS)/SiO2 microspheres were successfully prepared via Pickering emulsion polymerization stabilized solely by ethacryloxypropyltrimethoxysilane (MPTMS) modified SiO2 nanoparticles. The formation mechanisms of PS/SiO2 microspheres with different morphology were investigated under various Pickering emulsion polymerization conditions. The results showed that SiO2 concentrations and initiator sorts would synergistically impact on the morphology of products corresponding to distinct formation mechanisms. When SiO2 concentrations was low and water-solute initiator potassium persulfate (KPS) was used, aqueous nucleation was dominant, which was deduced to the formation of dispersive microspheres sparsely anchored by SiO2 particles. When SiO2 concentrations was increased and oil-solute initiator azobisisobutyronitrile (AIBN) was applied, nucleation in oil phase prevailed which lead to the formation of microspheres densely packed by SiO2 particles.

  20. Ca2 Al2 SiO7 :Ce3+ phosphors for mechanoluminescence dosimetry.

    PubMed

    Tiwari, Geetanjali; Brahme, Nameeta; Sharma, Ravi; Bisen, D P; Sao, Sanjay Kumar; Sahu, Ishwar Prasad

    2016-12-01

    A series of Ce 3+ ion single-doped Ca 2 Al 2 SiO 7 phosphors was synthesized by a combustion-assisted method at an initiating temperature of 600 °C. The samples were annealed at 1100 °C for 3 h and their X-ray diffraction patterns confirmed a tetragonal structure. The phase structure, particle size, surface morphology and elemental analysis were analyzed using X-ray diffraction (XRD), transmission electron microscope (TEM), scanning electron microscopy (SEM) and energy dispersive X-ray (EDX) spectroscopy techniques. Thermoluminescence (TL) intensity increased with increase in ultraviolet (UV) light exposure time up to 15 min. With further increase in the UV irradiation time the TL intensity decreases. The increase in TL intensity indicates that trap concentration increased with UV exposure time. A broad peak at 121 °C suggested the existence of a trapping level. The peak of mechanoluminescence (ML) intensity versus time curve increased linearly with increasing impact velocity of the moving piston. Mechanoluminescence intensity increased with increase in UV irradiation time up to 15 min. Under UV-irradiation excitation, the TL and ML emission spectra of Ca 2 Al 2 SiO 7 :Ce 3+ phosphor showed the characteristic emission of Ce 3+ peaking at 400 nm (UV-violet) and originating from the Ce 3+ transitions of 5d-4f ( 2 F 5/2 and 2 F 7/2 ). The photoluminescence (PL) emission spectra for Ca 2 Al 2 SiO 7 :Ce 3+ were similar to the ML/TL emission spectra. The mechanism of ML excitation and the suitability of the Ca 2 Al 2 SiO 7 :Ce 3+ phosphor for radiation dosimetry are discussed. Copyright © 2016 John Wiley & Sons, Ltd. Copyright © 2016 John Wiley & Sons, Ltd.

  1. High photon-to-heat conversion efficiency in the wavelength region of 250–1200 nm based on a thermoelectric Bi2Te3 film structure

    PubMed Central

    Hu, Er-Tao; Yao, Yuan; Zang, Kai-Yan; Liu, Xin-Xing; Jiang, An-Qing; Zheng, Jia-Jin; Yu, Ke-Han; Wei, Wei; Zheng, Yu-Xiang; Zhang, Rong-Jun; Wang, Song-You; Zhao, Hai-Bin; Yoshie, Osamu; Lee, Young-Pak; Wang, Cai-Zhuang; Lynch, David W.; Guo, Jun-Peng; Chen, Liang-Yao

    2017-01-01

    In this work, 4-layered SiO2/Bi2Te3/SiO2/Cu film structures were designed and fabricated and the optical properties investigated in the wavelength region of 250–1200 nm for their promising applications for direct solar-thermal-electric conversion. A typical 4-layered film sample with the structure SiO2 (66.6 nm)/Bi2Te3 (7.0 nm)/SiO2 (67.0 nm)/Cu (>100.0 nm) was deposited on a Si or K9-glass substrate by magnetron sputtering. The experimental results agree well with the simulated ones showing an average optical absorption of 96.5%, except in the shorter wavelength region, 250–500 nm, which demonstrates the superior absorption property of the 4-layered film due to the randomly rough surface of the Cu layer resulting from the higher deposition power. The high reflectance of the film structure in the long wavelength region of 2–20 μm will result in a low thermal emittance, 0.064 at 600 K. The simpler 4-layered structure with the thermoelectric Bi2Te3 used as the absorption layer may provide a straightforward way to obtain solar-thermal-electric conversion more efficiently through future study. PMID:28300178

  2. Facile synthesis of microporous SiO2/triangular Ag composite nanostructures for photocatalysis

    NASA Astrophysics Data System (ADS)

    Sirohi, Sidhharth; Singh, Anandpreet; Dagar, Chakit; Saini, Gajender; Pani, Balaram; Nain, Ratyakshi

    2017-11-01

    In this article, we present a novel fabrication of microporous SiO2/triangular Ag nanoparticles for dye (methylene blue) adsorption and plasmon-mediated degradation. Microporous SiO2 nanoparticles with pore size <2 nm were synthesized using cetyltrimethylammonium bromide as a structure-directing agent and functionalized with APTMS ((3-aminopropyl) trimethoxysilane) to introduce amine groups. Amine-functionalized microporous silica was used for adsorption of triangular silver (Ag) nanoparticles. The synthesized microporous SiO2 nanostructures were investigated for adsorption of different dyes including methylene blue, congo red, direct green 26 and curcumin crystalline. Amine-functionalized microporous SiO2/triangular Ag nanostructures were used for plasmon-mediated photocatalysis of methylene blue. The experimental results revealed that the large surface area of microporous silica facilitated adsorption of dye. Triangular Ag nanoparticles, due to their better charge carrier generation and enhanced surface plasmon resonance, further enhanced the photocatalysis performance.

  3. Space Weathering of Silicates Simulated by Successive Laser Irradiation: In Situ Reflectance Measurements of Fo90, Fo99+, and Sio2

    NASA Technical Reports Server (NTRS)

    Loeffler, M. J.; Dukes, C. A.; Christoffersen, R.; Baragiola, R. A.

    2016-01-01

    Pulsed-laser irradiation causes the visible-near-infrared spectral slope of olivine (Fo90 and Fo99+) and SiO2 to increase (redden), while the olivine samples darken and the SiO2 samples brighten slightly. XPS analysis shows that irradiation of Fo90 produces metallic Fe. Analytical SEM and TEM measurements confirm that reddening in the Fo90 olivine samples correlates with the production of nanophase metallic Fe (npFe0) grains, 2050 nm in size. The reddening observed in the SiO2 sample is consistent with the formation of SiO or other SiOx species that absorb in the visible. The weak spectral brightening induced by laser irradiation of SiO2 is consistent with a change in surface topography of the sample. The darkening observed in the olivine samples is likely caused by the formation of larger npFe0 particles, such as the 100400 nm diameter npFe0 identified during our TEM analysis of Fo90 samples. The Fo90 reflectance spectra are qualitatively similar to those in previous experiments suggesting that in all cases formation of npFe0 is causing the spectral alteration. Finally, we find that the accumulation of successive laserpulses cause continued sample darkening in the Vis-NIR, which suggests that repeated surface impacts are an efficient way to darken airless body surfaces.

  4. Preparation of xerogel SiO2 from roasted iron sand under various acidic solution

    NASA Astrophysics Data System (ADS)

    Ramelan, A. H.; Wahyuningsih, S.; Ismoyo, Y. A.; Pranata, H. P.; Munawaroh, H.

    2016-11-01

    Xerogel SiO2 had been prepared from roasted iron sand through variation of Na2CO3 addition and sol-gel process under various acidic solution. Roasting treatment was carried out on the compositional variation of iron sand:Na2CO3 = 1:2; 1:1 and 2:1 at 1100 °C. While the sol-gel process was conducted at room temperature and neutralized using HCl 0.1 M and 6 M. The color characteristics of roasted iron sand shown light brown, dark brown and dark gray of the compositional variation of iron sand:Na2CO3 = 1:2; 1:1 and 2:1, respectively. In addition, the levels of thoughness increased by increasing the ratio of sand in the composition of the mixture. The best composition of roasted treatment was at a variety of iron sand:Na2SiO3 = 1:2 with 57.72% had been dissolved in hot water. The addition of Na2CO3 will influence the Na2SiO3 formation, because of the increase of Na2CO3 capable produced the iron sand decomposition product. Na2SiO3 gel had been produced after it was neutralized with certain amount of HCl solution. The neutralization was more effective if using high concentration of HCl because of the formation of gel SiO2 will be easier occurred. The results of SiO2 had been identified by the FTIR spectra, which an absorption spectra of Si-O-Si asymmetric stretching at 1098.51 cm-1, symmetric stretching of Si-O-Si at 804.35 cm-1 and the bending O-Si-O at 469.69 cm'1. The result of SiO2 content by XRF analysis is about 85.15%.

  5. Heterogeneous integration of thin film compound semiconductor lasers and SU8 waveguides on SiO2/Si

    NASA Astrophysics Data System (ADS)

    Palit, Sabarni; Kirch, Jeremy; Mawst, Luke; Kuech, Thomas; Jokerst, Nan Marie

    2010-02-01

    We present the heterogeneous integration of a 3.8 μm thick InGaAs/GaAs edge emitting laser that was metal-metal bonded to SiO2/Si and end-fire coupled into a 2.8 μm thick tapered SU8 polymer waveguide integrated on the same substrate. The system was driven in pulsed mode and the waveguide output was captured on an IR imaging array to characterize the mode. The waveguide output was also coupled into a multimode fiber, and into an optical head and spectrum analyzer, indicating lasing at ~997 nm and a threshold current density of 250 A/cm2.

  6. Preparation and Optimization of Fluorescent Thin Films of Rosamine-SiO2/TiO2 Composites for NO2 Sensing

    PubMed Central

    Guillén, María G.; Gámez, Francisco; Suárez, Belén; Queirós, Carla; Silva, Ana M. G.; Barranco, Ángel; Sánchez-Valencia, Juan Ramón; Pedrosa, José María; Lopes-Costa, Tânia

    2017-01-01

    The incorporation of a prototypical rosamine fluorescent dye from organic solutions into transparent and microstructured columnar TiO2 and SiO2 (MO2) thin films, prepared by evaporation at glancing angles (GAPVD), was evaluated. The aggregation of the adsorbed molecules, the infiltration efficiency and the adsorption kinetics were studied by means of UV-Vis absorption and fluorescence spectroscopies. Specifically, the infiltration equilibrium as well as the kinetic of adsorption of the emitting dye has been described by a Langmuir type adsorption isotherm and a pseudosecond order kinetic model, respectively. The anchoring mechanism of the rosamine to the MO2 matrix has been revealed by specular reflectance Fourier transform infrared spectroscopy and infiltration from aqueous solutions at different pH values. Finally, the sensing performance towards NO2 gas of optimized films has been assessed by following the changes of its fluorescence intensity revealing that the so-selected device exhibited improved sensing response compared to similar hybrid films reported in the literature. PMID:28772484

  7. Effect of atomic layer deposition temperature on current conduction in Al2O3 films formed using H2O oxidant

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Matsumura, Daisuke; Kawarada, Hiroshi

    2016-08-01

    To develop high-performance, high-reliability gate insulation and surface passivation technologies for wide-bandgap semiconductor devices, the effect of atomic layer deposition (ALD) temperature on current conduction in Al2O3 films is investigated based on the recently proposed space-charge-controlled field emission model. Leakage current measurement shows that Al2O3 metal-insulator-semiconductor capacitors formed on the Si substrates underperform thermally grown SiO2 capacitors at the same average field. However, using equivalent oxide field as a more practical measure, the Al2O3 capacitors are found to outperform the SiO2 capacitors in the cases where the capacitors are negatively biased and the gate material is adequately selected to reduce virtual dipoles at the gate/Al2O3 interface. The Al2O3 electron affinity increases with the increasing ALD temperature, but the gate-side virtual dipoles are not affected. Therefore, the leakage current of negatively biased Al2O3 capacitors is approximately independent of the ALD temperature because of the compensation of the opposite effects of increased electron affinity and permittivity in Al2O3. By contrast, the substrate-side sheet of charge increases with increasing ALD temperature above 210 °C and hence enhances the current of positively biased Al2O3 capacitors more significantly at high temperatures. Additionally, an anomalous oscillatory shift of the current-voltage characteristics with ALD temperature was observed in positively biased capacitors formed by low-temperature (≤210 °C) ALD. This shift is caused by dipoles at the Al2O3/underlying SiO2 interface. Although they have a minimal positive-bias leakage current, the low-temperature-grown Al2O3 films cause the so-called blisters problem when heated above 400 °C. Therefore, because of the absence of blistering, a 450 °C ALD process is presently the most promising technology for growing high-reliability Al2O3 films.

  8. Efficiency improvement of InGaN light emitting diodes with embedded self-assembled SiO2 nanosphere arrays

    NASA Astrophysics Data System (ADS)

    Zhang, Yonghui; Wei, Tongbo; Wang, Junxi; Fan, Chao; Chen, Yu; Hu, Qiang; Li, Jinmin

    2014-05-01

    In this study, the periodic SiO2 nanosphere nanopatterned sapphire substrate (SiO2-NPSS) was made using self-assembled SiO2 nanosphere monolayer template and inductively coupled plasma (ICP) etching. And the self-assembled SiO2 nanosphere monolayer was directly embedded into the GaN/sapphire interface by nanoscale epitaxial lateral overgrowth (NELOG). For comparison, a common nanopatterned sapphire substrate (C-NPSS) was also made through dry etching with the SiO2 nanospheres used as the mask. Compared with LEDs grown on C-NPSS and flat sapphire substrate (FSS), the external quantum efficiency of LEDs with SiO2 nanopheres (SiO2-NPSS) was increased by 30.7% and 81.9% under a driving current 350 mA. The SiO2-NPSS not only improved the crystalline quality of GaN but also enhanced the light extraction efficiency (LEE) of LED. And the SiO2-NPSS LED also showed more light in vertical direction and more uniform light distribution. By finite-difference time-domain (FDTD) simulation, we confirmed that more light could be reflected from the GaN/SiO2 interface than the GaN/sapphire interface because the refractive index of SiO2 was lower than that of sapphire. Therefore, LED grown on the SiO2-NPSS showed superior light extraction efficiency compared to that on C-NPSS.

  9. Photon induced facile synthesis and growth of CuInS2 absorber thin film for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Singh, Manjeet; Jiu, Jinting; Suganuma, Katsuaki

    2016-04-01

    In this paper, we demonstrate the use of high intensity pulsed light technique for the synthesis of phase pure CuInS2 (CIS) thin film at room temperature. The intense pulse of light is used to induce sintering of binary sulfides CuS and In2S3 to produce CIS phase without any direct thermal treatment. Light energy equivalent to the 706 mJ/cm2 is found to be the best energy to convert the CIS precursor film deposited at room temperature into CIS pure phase and well crystalline film. The CIS absorber film thus prepared is useful in making printed solar cell at room temperature on substrate with large area.

  10. Low-voltage electric-double-layer paper transistors gated by microporous SiO2 processed at room temperature

    NASA Astrophysics Data System (ADS)

    Sun, Jia; Wan, Qing; Lu, Aixia; Jiang, Jie

    2009-11-01

    Battery drivable low-voltage SnO2-based paper thin-film transistors with a near-zero threshold voltage (Vth=0.06 V) gated by microporous SiO2 dielectric with electric-double-layer (EDL) effect are fabricated at room temperature. The operating voltage is found to be as low as 1.5 V due to the huge gate specific capacitance (1.34 μF/cm2 at 40 Hz) related to EDL formation. The subthreshold gate voltage swing and current on/off ratio is found to be 82 mV/decade and 2.0×105, respectively. The electron field-effect mobility is estimated to be 47.3 cm2/V s based on the measured gate specific capacitance at 40 Hz.

  11. Filtering effect of SiO2 optical waveguide ring resonator applied to optoelectronic oscillator.

    PubMed

    Chen, Jiamin; Zheng, Yongqiu; Xue, Chenyang; Zhang, Chengfei; Chen, Yi

    2018-05-14

    Single-mode oscillation is crucial to the practicality of optoelectronic oscillator (OEO). Due to the limited by bandwidth and precision of radio frequency (RF) filters, it is difficult to be achieved for the OEO based on the long fiber-optic delay line. So instead of the long fiber-optic delay line, SiO 2 optical waveguide ring resonator (OWRR) with high-Q and mode selection is first presented to be applied to OEO. The OEOs based on the minimum loop and SiO 2 OWRR are constructed. The oscillation characteristics of the minimum loop OEO and the transmission characteristics of the SiO 2 OWRR are simulated by MATLAB, respectively. The filtering effect of the SiO 2 OWRR applied to the OEO is verified theoretically by comparing these simulation results. Subsequently, the contrastive experiments of the above two OEOs on oscillation modes are carried out. The oscillation mode spacing of 40.32 MHz and 2.137 GHz are obtained. These results show that the SiO 2 OWRR can function as an excellent 'filter' in the minimum loop of the OEO. Moreover, the side mode suppression ratio and the phase noise of the OEO have been improved. Our experimental results demonstrate that the OEO adopting SiO 2 OWRR is feasible to achieve the single-mode oscillation and obtain better performance microwave signals.

  12. Fourier transform infrared spectroscopic study of gamma irradiated SiO2 nanoparticles

    NASA Astrophysics Data System (ADS)

    Huseynov, Elchin; Garibov, Adil; Mehdiyeva, Ravan; Huseynova, Efsane

    2018-03-01

    In the present work, nano SiO2 particles are investigated before and after gamma irradiation (25, 50, 75, 100 and 200 kGy) using Fourier transform infrared (FTIR) spectroscopy method for the wavenumber between 400-4000 cm-1. It is found that as a result of spectroscopic analysis, five new peaks have appeared after gamma radiation. Two of new obtained peaks (which are located at 687 cm-1 and 2357 cm-1 of wavenumber) were formed as a result of gamma radiation interaction with Si-O bonds. Another three new peaks (peaks appropriate to 941, 2052 and 2357 cm-1 values of wavenumber) appear as a result of interaction of water with nano SiO2 particles after gamma irradiation. It has been defined as asymmetrical bending vibration, symmetrical bending vibration, symmetrical stretching vibration and asymmetrical stretching vibration of Si-O bonds appropriate to peaks.

  13. Light diffusing films fabricated by strawberry-like PMMA/SiO₂ composite microspheres for LED application.

    PubMed

    Guo, Shuang; Zhou, Shuxue; Li, Huijing; You, Bo

    2015-06-15

    This paper presents a facile method to fabricate volumetric light diffusing films with high transmittance and haze simultaneously by mimicking the micro- and nanostructure of compound eyes. Strawberry-like polymethyl methacrylate/SiO2 composite microspheres were first prepared via the electrostatic attraction between positively charged PMMA spheres and negatively charged SiO2 nanoparticles, and further blended with polyacrylate latex to produce light diffusing coatings. A novel light diffusing film with hemispherical surface was built by casting the light diffusing coatings on optical-grade PET film. Effects of the sizes of PMMA spheres and SiO2 nanoparticles on the optical properties of light diffusing film were investigated by a haze meter and application on a LED lamp. The best result (transmittance 94.6% and haze 84.2%) was achieved for the strawberry-like composite microspheres based on 1 μm PMMA spheres and 50 nm SiO2 nanoparticles. The light-diffusing mechanism of the strawberry-like microspheres in the film was discussed. Copyright © 2015 Elsevier Inc. All rights reserved.

  14. Robust nanopatterning by laser-induced dewetting of metal nanofilms.

    PubMed

    Favazza, Christopher; Kalyanaraman, Ramki; Sureshkumar, Radhakrishna

    2006-08-28

    We have observed nanopattern formation with robust and controllable spatial ordering by laser-induced dewetting in nanoscopic metal films. Pattern evolution in Co film of thickness 1≤h≤8 nm on SiO(2) was achieved under multiple pulse irradiation using a 9 ns pulse laser. Dewetting leads to the formation of cellular patterns which evolve into polygons that eventually break up into nanoparticles with unimodal size distribution and short range ordering in nearest neighbour spacing R. Spatial ordering was attributed to a hydrodynamic thin film instability and resulted in a predictable variation of R and particle diameter D with h. The length scales R and D were found to be independent of the laser energy. These results suggest that spatially ordered metal nanoparticles can be robustly assembled by laser-induced dewetting.

  15. The role of defects in the electrical properties of NbO2thin film vertical devices

    NASA Astrophysics Data System (ADS)

    Joshi, Toyanath; Borisov, Pavel; Lederman, David

    Epitaxial NbO2 thin films were grown on Si:GaN layers deposited on Al2O3 substrates using pulsed laser deposition. Pulsed current-voltage (IV) curves and self-sustained current oscillations were measured across a 31 nm NbO2 film and compared with a similar device made from polycrystalline NbO2 film grown on TiN-coated SiO2/Si substrate. Crystal quality of the as grown films was determined from x-ray diffractometric, x-ray photoelectron spectroscopy and atomic force microscopy data. The epitaxial film device was found to be more stable than the defect-rich polycrystalline sample in terms of current switching and oscillation behaviors. This work was supported in part by FAME, one of six centers of STARnet, a Semiconductor Research Corporation program sponsored by MARCO and DARPA (Contract 2013-MA-2382), and the WVU Shared Research Facilities.

  16. Design and fabrication of a large area freestanding compressive stress SiO2 optical window

    NASA Astrophysics Data System (ADS)

    Van Toan, Nguyen; Sangu, Suguru; Ono, Takahito

    2016-07-01

    This paper reports the design and fabrication of a 7.2 mm  ×  9.6 mm freestanding compressive stress SiO2 optical window without buckling. An application of the SiO2 optical window with and without liquid penetration has been demonstrated for an optical modulator and its optical characteristic is evaluated by using an image sensor. Two methods for SiO2 optical window fabrication have been presented. The first method is a combination of silicon etching and a thermal oxidation process. Silicon capillaries fabricated by deep reactive ion etching (deep RIE) are completely oxidized to form the SiO2 capillaries. The large compressive stress of the oxide causes buckling of the optical window, which is reduced by optimizing the design of the device structure. A magnetron-type RIE, which is investigated for deep SiO2 etching, is the second method. This method achieves deep SiO2 etching together with smooth surfaces, vertical shapes and a high aspect ratio. Additionally, in order to avoid a wrinkling optical window, the idea of a Peano curve structure has been proposed to achieve a freestanding compressive stress SiO2 optical window. A 7.2 mm  ×  9.6 mm optical window area without buckling integrated with an image sensor for an optical modulator has been successfully fabricated. The qualitative and quantitative evaluations have been performed in cases with and without liquid penetration.

  17. Preparation of Macroporous Epitaxial Quartz Films on Silicon by Chemical Solution Deposition.

    PubMed

    Carretero-Genevrier, Adrián; Gich, Martí

    2015-12-21

    This work describes the detailed protocol for preparing piezoelectric macroporous epitaxial quartz films on silicon(100) substrates. This is a three-step process based on the preparation of a sol in a one-pot synthesis which is followed by the deposition of a gel film on Si(100) substrates by evaporation induced self-assembly using the dip-coating technique and ends with a thermal treatment of the material to induce the gel crystallization and the growth of the quartz film. The formation of a silica gel is based on the reaction of a tetraethyl orthosilicate and water, catalyzed by HCl, in ethanol. However, the solution contains two additional components that are essential for preparing mesoporous epitaxial quartz films from these silica gels dip-coated on Si. Alkaline earth ions, like Sr(2+) act as glass melting agents that facilitate the crystallization of silica and in combination with cetyl trimethylammonium bromide (CTAB) amphiphilic template form a phase separation responsible of the macroporosity of the films. The good matching between the quartz and silicon cell parameters is also essential in the stabilization of quartz over other SiO2 polymorphs and is at the origin of the epitaxial growth.

  18. Preparation of Macroporous Epitaxial Quartz Films on Silicon by Chemical Solution Deposition

    PubMed Central

    Carretero-Genevrier, Adrián; Gich, Martí

    2015-01-01

    This work describes the detailed protocol for preparing piezoelectric macroporous epitaxial quartz films on silicon(100) substrates. This is a three-step process based on the preparation of a sol in a one-pot synthesis which is followed by the deposition of a gel film on Si(100) substrates by evaporation induced self-assembly using the dip-coating technique and ends with a thermal treatment of the material to induce the gel crystallization and the growth of the quartz film. The formation of a silica gel is based on the reaction of a tetraethyl orthosilicate and water, catalyzed by HCl, in ethanol. However, the solution contains two additional components that are essential for preparing mesoporous epitaxial quartz films from these silica gels dip-coated on Si. Alkaline earth ions, like Sr2+ act as glass melting agents that facilitate the crystallization of silica and in combination with cetyl trimethylammonium bromide (CTAB) amphiphilic template form a phase separation responsible of the macroporosity of the films. The good matching between the quartz and silicon cell parameters is also essential in the stabilization of quartz over other SiO2 polymorphs and is at the origin of the epitaxial growth. PMID:26710210

  19. SiO2 nanofluid planar jet impingement cooling on a convex heated plate

    NASA Astrophysics Data System (ADS)

    Asghari Lafmajani, Neda; Ebrahimi Bidhendi, Mahsa; Ashjaee, Mehdi

    2016-12-01

    The main objective of this paper is to investigate the heat transfer coefficient of a planar jet of SiO2 nanofluid that impinges vertically on the middle of a convex heated plate for cooling purposes. The planar jet issues from a rectangular slot nozzle. The convex aluminum plate has a thickness, width and length of 0.2, 40 and 130 mm, respectively, and is bent with a radius of 200 mm. A constant heat-flux condition is employed. 7 nm SiO2 particles are added to water to prepare the nanofluid with 0.1, 1 and 2 % (ml SiO2/ml H2O) concentrations. The tests are also performed at different Reynolds numbers from 1803 to 2782. Results indicate that adding the SiO2 nanoparticles can effectively increase both local and average heat transfer coefficients up to 39.37 and 32.78 %, respectively. These positive effects often are more pronounced with increasing Reynolds numbers. This enhancement increases with ascending the concentration of nanofluid, especially from 0.1 to 1 %.

  20. High-pressure Phase Relation In The MgAl2O4-Mg2SiO4 System

    NASA Astrophysics Data System (ADS)

    Kojitani, H.; Hisatomi, R.; Akaogi, M.

    2005-12-01

    High-pressure and high-temperature experiments indicate that high-pressure phases of oceanic basalts contain Al-rich phases. MgAl2O4 with calcium ferrite-type crystal structure is considered as a main component of such the Al-rich phases. Since the calcium ferrite-type MgAl2O4 can be synthesized at only the maximum pressure of a Kawai-type high-pressure apparatus with tungsten carbide (WC) anvils, the amount of a synthesized sample is very limited. Therefore, the crystal structure of the calcium ferrite-type MgAl2O4 has been hardly known in detail due to these difficulties in sample synthesis. In our high-pressure experiments in the MgO-Al2O3-SiO2 system, it was shown that Mg2SiO4 component could be dissolved in the MgAl2O4 calcium ferrite. In this study, we tried to synthesize a single phase MgAl2O4 calcium ferrite sample and to make the Rietveld refinement of the XRD pattern of the sample. The high-pressure phase relations in the MgAl2O4-Mg2SiO4 system were studied to know the stability field of the MgAl2O4-Mg2SiO4 calcium ferrite solid solutions. Lattice parameters-composition relation of the MgAl2O4-Mg2SiO4 calcium ferrite solid solutions was also determined. High-pressure and high-temperature experiments were performed by using a Kawai-type high-pressure apparatus at Gakushuin University. WC anvils with truncated edge length of 1.5 mm were used. Heating was made by a Re heater. Temperature was measured by a Pt/Pt-13%Rh thermocouple. Starting materials for the phase relation experiments were the mixture of MgO, Al2O3 and SiO2 with bulk compositions of MgAl2O4:Mg2SiO4 = 90:10, 78:22, 70:30 and 50:50. The starting materials were held at 21-27 GPa and 1600 °C for 3 hours and then were recovered by the quenching method. The MgAl2O4 calcium ferrite sample for the Rietveld analysis was prepared by heating MgAl2O4 spinel at 27 GPa and about 2200 °C for one hour. Powder X-ray diffraction (XRD) profiles of obtained samples were measured by using a X-ray diffractometer

  1. New evidence for hybrid acrylic/TiO2 films inducing bacterial inactivation under low intensity simulated sunlight.

    PubMed

    Bonnefond, Audrey; González, Edurne; Asua, Jose María; Leiza, Jose Ramon; Kiwi, John; Pulgarin, Cesar; Rtimi, Sami

    2015-11-01

    This study addresses the preparation and characterization of hybrid films prepared from Titanium dioxide (TiO2) Pickering stabilized acrylic polymeric dispersion as well as their bacterial inactivation efficiency under sunlight irradiation. Complete bacterial inactivation under low intensity simulated solar light irradiation (55 mW/cm(2)) was observed within 240 min for the films containing 10 weight based on monomers (wbm) % of TiO2, whereas 360 min were needed for the films containing 20 wbm% of TiO2. The hybrid films showed repetitive Escherichia coli (E. coli) inactivation under light irradiation. TiO2 released from the films surfaces was measured by inductively coupled plasma mass spectrometry (IPC-MS), obtaining values of ∼ 0.5 and 1 ppb/cm(2) for the films containing 10 wbm% and 20 wbm% of TiO2, respectively, far below the allowed cytotoxicity level for TiO2 (200 ppb). Transmission electron microscopy (TEM) of the hybrid films showed that TiO2 nanoparticles (NPs) were located at the polymer particle's surface forming a continuous inorganic network inside the film matrix. Atomic force microscopy (AFM) images showed differences in the TiO2 dispersion between the air-film and film-substrate interfaces. Films containing 10 wbm% of TiO2 had higher roughness (Rg) at both interfaces than the one containing 20 wbm% of TiO2 inducing an increase in the bacterial adhesion as well as the bacterial inactivation kinetics. The highly oxidative OH-radicals participating in the bacterial inactivation were determined by fluorescence. Copyright © 2015 Elsevier B.V. All rights reserved.

  2. Tribological evaluation of an Al2O3-SiO2 ceramic fiber candidate for high temperature sliding seals

    NASA Technical Reports Server (NTRS)

    Dellacorte, Christopher; Steinetz, Bruce

    1994-01-01

    A test program to determine the relative sliding durability of an alumina-silica candidate ceramic fiber for high temperature sliding seal applications is described. Pin-on-disk tests were used to evaluate the potential seal material by sliding a tow or bundle of the candidate ceramic fiber against a superalloy test disk. Friction was measured during the tests and fiber wear, indicated by the extent of fibers broken in the tow or bundle, was measured at the end of each test. Test variables studied included ambient temperatures from 25 to 900 C, loads from 1.3 to 21.2 N, and sliding velocities from 0.025 to 0.25 m/sec. In addition, the effects of fiber diameter and elastic modulus on friction and wear were measured. Thin gold films deposited on the superalloy disk surface were evaluated in an effort to reduce friction and wear of the fibers. In most cases, wear increased with test temperature. Friction ranged from 0.36 at 500 C and low velocity (0.025 m/sec) to over 1.1 at 900 C and high velocity (0.25 m/sec). The gold films resulted in satisfactory lubrication of the fibers at 25 C. At elevated temperatures diffusion of substrate elements degraded the films. These results indicate that the alumina-silica (Al2O3-SiO2) fiber is a good candidate material system for high temperature sliding seal applications. More work is needed to reduce friction.

  3. B2O3/SiO2 substitution effect on structure and properties of Na2O-CaO-SrO-P2O5-SiO2 bioactive glasses from molecular dynamics simulations.

    PubMed

    Ren, Mengguo; Lu, Xiaonan; Deng, Lu; Kuo, Po-Hsuen; Du, Jincheng

    2018-05-23

    The effect of B2O3/SiO2 substitution in SrO-containing 55S4.3 bioactive glasses on glass structure and properties, such as ionic diffusion and glass transition temperature, was investigated by combining experiments and molecular dynamics simulations with newly developed potentials. Both short-range (such as bond length and bond angle) and medium-range (such as polyhedral connection and ring size distribution) structures were determined as a function of glass composition. The simulation results were used to explain the experimental results for glass properties such as glass transition temperature and bioactivity. The fraction of bridging oxygen increased linearly with increasing B2O3 content, resulting in an increase in overall glass network connectivity. Ion diffusion behavior was found to be sensitive to changes in glass composition and the trend of the change with the level of substitution is also temperature dependent. The differential scanning calorimetry (DSC) results show a decrease in glass transition temperature (Tg) with increasing B2O3 content. This is explained by the increase in ion diffusion coefficient and decrease in ion diffusion energy barrier in glass melts, as suggested by high-temperature range (above Tg) ion diffusion calculations as B2O3/SiO2 substitution increases. In the low-temperature range (below Tg), the Ea for modifier ions increased with B2O3/SiO2 substitution, which can be explained by the increase in glass network connectivity. Vibrational density of states (VDOS) were calculated and show spectral feature changes as a result of the substitution. The change in bioactivity with B2O3/SiO2 substitution is discussed with the change in pH value and release of boric acid into the solution.

  4. Vaporization of SiO2 and MgSiO3

    NASA Astrophysics Data System (ADS)

    Stixrude, L. P.; Xiao, B.

    2016-12-01

    Vaporization of SiO2 and MgSiO3B Xiaoa and L Stixrude*a, a Department of Earth Sciences, University College London, WC1E 6BT London, UK *presenting author, email: l.stixrude@ucl.ac.uk Vaporization is an important process in Earth's earliest evolution during which giant impacts are thought to have produced a transient silicate atmosphere. As experimental data are very limited, little is known of the near-critical vaporization of Earth's major oxide components: MgO and SiO2. We have performed novel ab initio molecular dynamics simulations of vapor-liquid coexistence in the SiO2 and MgSiO3 systems. The simulations, based on density functional theory using the VASP code, begin with a suitably prepared liquid slab embedded in a vacuum. During the dynamical trajectory in the canonical ensemble, we see spontaneous vaporization, leading eventually to a steady-state chemical equilibrium between the two coexisting phases. We locate the liquid-vapor critical point at 6600 K and 0.40 g/cm3 for MgSiO3 and 5300 K and 0.43 g/cm3 for SiO2. By carefully examining the trajectories, we determine the composition and speciation of the vapor. For MgSiO3, We find that the vapor is significantly richer in Mg, O, and atomic (non-molecular) species than extrapolation of low-temperature experimental data has suggested. These results will have important implications for our understanding of the initial chemistry of the Earth and Moon and the initial thermal state of Earth.

  5. Thickness measurement of a thin hetero-oxide film with an interfacial oxide layer by X-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Kim, Kyung Joong; Lee, Seung Mi; Jang, Jong Shik; Moret, Mona

    2012-02-01

    The general equation Tove = L cos θ ln(Rexp/R0 + 1) for the thickness measurement of thin oxide films by X-ray photoelectron spectroscopy (XPS) was applied to a HfO2/SiO2/Si(1 0 0) as a thin hetero-oxide film system with an interfacial oxide layer. The contribution of the thick interfacial SiO2 layer to the thickness of the HfO2 overlayer was counterbalanced by multiplying the ratio between the intensity of Si4+ from a thick SiO2 film and that of Si0 from a Si(1 0 0) substrate to the intensity of Si4+ from the HfO2/SiO2/Si(1 0 0) film. With this approximation, the thickness levels of the HfO2 overlayers showed a small standard deviation of 0.03 nm in a series of HfO2 (2 nm)/SiO2 (2-6 nm)/Si(1 0 0) films. Mutual calibration with XPS and transmission electron microscopy (TEM) was used to verify the thickness of HfO2 overlayers in a series of HfO2 (1-4 nm)/SiO2 (3 nm)/Si(1 0 0) films. From the linear relation between the thickness values derived from XPS and TEM, the effective attenuation length of the photoelectrons and the thickness of the HfO2 overlayer could be determined.

  6. Improved opto-electronic properties of silicon heterojunction solar cells with SiO x /Tungsten-doped indium oxide double anti-reflective coatings

    NASA Astrophysics Data System (ADS)

    Yu, Jian; Zhou, Jie; Bian, Jiantao; Zhang, Liping; Liu, Yucheng; Shi, Jianhua; Meng, Fanying; Liu, Jinning; Liu, Zhengxin

    2017-08-01

    Amorphous SiO x was prepared by plasma enhanced chemical vapor deposition (PECVD) to form SiO x /tungsten-doped indium oxide (IWO) double anti-reflective coatings for silicon heterojunction (SHJ) solar cell. The sheet resistance of SiO x /IWO stacks decreases due to plasma treatment during deposition process, which means thinner IWO film would be deposited for better optical response. However, the comparisons of three anti-reflective coating (ARC) structures reveal that SiO x film limits carier transport and the path of IWO-SiO x -Ag structure is non-conductive. The decrease of sheet resistance is defined as pseudo conductivity. IWO film capping with SiO x allows observably reduced reflectance and better response in 300-400 and 600-1200 nm wavelength ranges. Compared with IWO single ARC, the average reflection is reduced by 1.65% with 70 nm SiO x /80 nm IWO double anti-reflective coatings (DARCs) in 500-1200 nm wavelength range, leading to growing external quantum efficiency response, short circuit current density (J sc), and efficiency. After well optimization of SiO x /IWO stacks, an impressive efficiency of 23.08% is obtained with high J sc and without compromising open circuit voltage (V oc) and fill factor. SiO x /IWO DARCs provide better anti-reflective properties over a broad range of wavelength, showing promising application for SHJ solar cells.

  7. Structure-Dependent Spectroscopic Properties of Yb3+-Doped Phosphosilicate Glasses Modified by SiO2

    PubMed Central

    Wang, Ling; Zeng, Huidan; Yang, Bin; Ye, Feng; Chen, Jianding; Chen, Guorong; Smith, Andew T.; Sun, Luyi

    2017-01-01

    Yb3+-doped phosphate glasses containing different amounts of SiO2 were successfully synthesized by the conventional melt-quenching method. The influence mechanism of SiO2 on the structural and spectroscopic properties was investigated systematically using the micro-Raman technique. It was worth noting that the glass with 26.7 mol % SiO2 possessed the longest fluorescence lifetime (1.51 ms), the highest gain coefficient (1.10 ms·pm2), the maximum Stark splitting manifold of 2F7/2 level (781 cm−1), and the largest scalar crystal-field NJ and Yb3+ asymmetry degree. Micro-Raman spectra revealed that introducing SiO2 promoted the formation of P=O linkages, but broke the P=O linkages when the SiO2 content was greater than 26.7 mol %. Based on the previous 29Si MAS NMR experimental results, these findings further demonstrated that the formation of [SiO6] may significantly affect the formation of P=O linkages, and thus influences the spectroscopic properties of the glass. These results indicate that phosphosilicate glasses may have potential applications as a Yb3+-doped gain medium for solid-state lasers and optical fiber amplifiers. PMID:28772601

  8. Space Weathering of Silicates Simulated by Successive Laser Irradiation: in Situ Reflectance Measurements of Fo90, Fo99+, and SiO2

    NASA Technical Reports Server (NTRS)

    Loeffler, M. J.; Dukes, C. A.; Christoffersen, R.; Baragiola, R. A.

    2016-01-01

    Pulsed-laser irradiation causes the visible-near-infrared spectral slope of olivine (Fo90 and Fo99+) and SiO2 to increase (redden), while the olivine samples darken and the SiO2 samples brighten slightly. XPS analysis shows that irradiation of Fo90 produces metallic Fe. Analytical SEM and TEM measurements confirm that reddening in the Fo90 olivine samples correlates with the production of "nanophase" metallic Fe (npFe0) grains, 20-50 nm in size. The reddening observed in the SiO2 sample is consistent with the formation of SiO or other SiOx species that absorb in the visible. The weak spectral brightening induced by laser irradiation of SiO2 is consistent with a change in surface topography of the sample. The darkening observed in the olivine samples is likely caused by the formation of larger npFe0 particles, such as the 100-400 nm diameter npFe0 identified during our TEM analysis of Fo90 samples. The Fo90 reflectance spectra are qualitatively similar to those in previous experiments suggesting that in all cases formation of npFe0 is causing the spectral alteration. Finally, we find that the accumulation of successive laser pulses cause continued sample darkening in the Vis-NIR, which suggests that repeated surface impacts are an efficient way to darken airless body surfaces.

  9. Area-selective atomic layer deposition of Ru on electron-beam-written Pt(C) patterns versus SiO2 substratum

    NASA Astrophysics Data System (ADS)

    Junige, Marcel; Löffler, Markus; Geidel, Marion; Albert, Matthias; Bartha, Johann W.; Zschech, Ehrenfried; Rellinghaus, Bernd; van Dorp, Willem F.

    2017-09-01

    Area selectivity is an emerging sub-topic in the field of atomic layer deposition (ALD), which employs opposite nucleation phenomena to distinct heterogeneous starting materials on a surface. In this paper, we intend to grow Ru exclusively on locally pre-defined Pt patterns, while keeping a SiO2 substratum free from any deposition. In a first step, we study in detail the Ru ALD nucleation on SiO2 and clarify the impact of the set-point temperature. An initial incubation period with actually no growth was revealed before a formation of minor, isolated RuO x islands; clearly no continuous Ru layer formed on SiO2. A lower temperature was beneficial in facilitating a longer incubation and consequently a wider window for (inherent) selectivity. In a second step, we write C-rich Pt micro-patterns on SiO2 by focused electron-beam-induced deposition (FEBID), varying the number of FEBID scans at two electron beam acceleration voltages. Subsequently, the localized Pt(C) deposits are pre-cleaned in O2 and overgrown by Ru ALD. Already sub-nanometer-thin Pt(C) patterns, which were supposedly purified into some form of Pt(O x ), acted as very effective activation for the locally restricted, thus area-selective ALD growth of a pure, continuous Ru covering, whereas the SiO2 substratum sufficiently inhibited towards no growth. FEBID at lower electron energy reduced unwanted stray deposition and achieved well-resolved pattern features. We access the nucleation phenomena by utilizing a hybrid metrology approach, which uniquely combines in-situ real-time spectroscopic ellipsometry, in-vacuo x-ray photoelectron spectroscopy, ex-situ high-resolution scanning electron microscopy, and mapping energy-dispersive x-ray spectroscopy.

  10. Mechanical properties and in vitro bioactivity of Ca5(PO4)2SiO4 bioceramic.

    PubMed

    Lu, Wenhao; Duan, Wei; Guo, Yaping; Ning, Congqin

    2012-02-01

    Pure Ca(5)(PO(4))(2)SiO(4) bioceramic was first prepared by a sol-gel method using triethyl phosphate, tetraethoxysilane, and calcium nitrate tetrahydrate as original materials. Simulated body fluid (SBF) immersion tests revealed that Ca(5)(PO(4))(2)SiO(4) samples had a greater in vitro apatite-forming ability than hydroxyapatite (HA). After soaking Ca(5)(PO(4))(2)SiO(4) samples in the SBF for 1 day, bone-like apatite precipitated on the surfaces and the apatite layer became thicker with increasing the soaking time. However, few bone-like apatites precipitated on the HA samples even after soaking in the SBF for 7 days. The good in vitro bioactivity of Ca(5)(PO(4))(2)SiO(4) samples was attributed to the silanol (Si-OH) groups and greater solubility of Ca(5)(PO(4))(2)SiO(4). In addition, hot-pressed Ca(5)(PO(4))(2)SiO(4) ceramic exhibited lower bending strength and elastic modulus than hot-pressed HA, since the former had a lower relative density than the latter. The results have shown that Ca(5)(PO(4))(2)SiO(4) is a potential candidate material for bone repair. © The Author(s), 2010.

  11. Interaction of cesium adatoms with free-standing graphene and graphene-veiled SiO 2 surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weck, Philippe F.; Kim, Eunja; Biedermann, Grant W.

    2015-04-21

    In this study, the interaction of Cs adatoms with mono- or bi-layered graphene (MLG and BLG), either free-standing or on a SiO 2 substrate, was investigated using density functional theory. The most stable adsorption sites for Cs are found to be hollow sites on both graphene sheets and graphene-veiled SiO 2(0001). In addition, larger dipole moments are created when a MLG-veiled SiO 2(0001) substrate is used for adsorption of Cs atoms compared to the adsorption on free-standing MLG, due to charge transfer occurring between the MLG and the SiO 2 substrate. For the adsorption of Cs on BLG-veiled SiO 2(0001)more » substrate, these differences are smoothed out and the binding energies corresponding to different sites are nearly degenerate; smaller dipole moments created by the Cs adatoms on BLG compared to MLG are also predicted.« less

  12. In-situ synthesis of SiO2@MOF composites for high-efficiency removal of aniline from aqueous solution

    NASA Astrophysics Data System (ADS)

    Han, Tongtong; Li, Caifeng; Guo, Xiangyu; Huang, Hongliang; Liu, Dahuan; Zhong, Chongli

    2016-12-01

    A series of SiO2@aluminum-MOF(MIL-68) composites with different SiO2 loadings have been synthesized by a simple and mild compositing strategy for high-efficiency removal of aniline. As evidenced from SEM and TEM images as well as the particle size distribution, the incorporation of SiO2 can improve the dispersity of MIL-68(Al) in composites, and result in the smaller particle size than that of pristine MIL-68(Al). Besides, the adsorption of aniline over SiO2, MIL-68(Al), the physical mixture of these two materials, and SiO2@MIL-68(Al) composites was investigated comparatively, demonstrating a relatively high adsorption capacity (531.9 mg g-1) of 7% SiO2@MIL-68(Al) towards aniline. Combining the ultrafast adsorption dynamics (reaching equilibrium within 40 s) and great reusability, 7% SiO2@MIL-68(Al) shows excellent adsorption performance. This indicates that the SiO2@MIL-68(Al) composites possess great potential applications as a kind of fascinating adsorbent in water pollution protection.

  13. High frequency capacitance-voltage characteristics of thermally grown SiO2 films on beta-SiC

    NASA Technical Reports Server (NTRS)

    Tang, S. M.; Berry, W. B.; Kwor, R.; Zeller, M. V.; Matus, L. G.

    1990-01-01

    Silicon dioxide films grown under dry and wet oxidation environment on beta-SiC films have been studied. The beta-SiC films had been heteroepitaxially grown on both on-axis and 2-deg off-axis (001) Si substrates. Capacitance-voltage and conductance-voltage characteristics of metal-oxide-semiconductor structures were measured in a frequency range of 10 kHz to 1 MHz. From these measurements, the interface trap density and the effective fixed oxide charge density were observed to be generally lower for off-axis samples.

  14. Transferring-free and large-area graphitic carbon film growth by using molecular beam epitaxy at low growth temperature

    NASA Astrophysics Data System (ADS)

    Lin, Meng-Yu; Wang, Cheng-Hung; Pao, Chun-Wei; Lin, Shih-Yen

    2015-09-01

    Graphitic carbon films prepared by using molecular beam epitaxy (MBE) on metal templates with different thicknesses deposited on SiO2/Si substrates are investigated in this paper. With thick Cu templates, only graphitic carbon flakes are obtained near the Cu grain boundaries at low growth temperatures on metal/SiO2 interfaces. By replacing the Cu templates with thin Ni templates, complete graphitic carbon films with superior crystalline quality is obtained at 600 °C on SiO2/Si substrates after removing the Ni templates. The enhanced attachment of the graphitic carbon film to the SiO2/Si substrates with reduced Ni thickness makes the approach a promising approach for transferring-free graphene preparation at low temperature by using MBE.

  15. The Preparation and Microstructure of Nanocrystal 3C-SiC/ZrO2 Bilayer Films

    PubMed Central

    Ye, Chao; Ran, Guang; Zhou, Wei; Qu, Yazhou; Yan, Xin; Cheng, Qijin; Li, Ning

    2017-01-01

    The nanocrystal 3C-SiC/ZrO2 bilayer films that could be used as the protective coatings of zirconium alloy fuel cladding were prepared on a single-crystal Si substrate. The corresponding nanocrystal 3C-SiC film and nanocrystal ZrO2 film were also dividedly synthesized. The microstructure of nanocrystal films was analyzed by grazing incidence X-ray diffraction (GIXRD) and cross-sectional transmission electron microscopy (TEM). The 3C-SiC film with less than 30 nm crystal size was synthesized by Plasma Enhanced Chemical Vapor Deposition (PECVD) and annealing. The corresponding formation mechanism of some impurities in SiC film was analyzed and discussed. An amorphous Zr layer about 600 nm in width was first deposited by magnetron sputtering and then oxidized to form a nanocrystal ZrO2 layer during the annealing process. The interface characteristics of 3C-SiC/ZrO2 bilayer films prepared by two different processes were obviously different. SiZr and SiO2 compounds were formed at the interface of 3C-SiC/ZrO2 bilayer films. A corrosion test of 3C-SiC/ZrO2 bilayer films was conducted to qualitatively analyze the surface corrosion resistance and the binding force of the interface. PMID:29168782

  16. Heat capacity and entropy of Ni2SiO4-olivine from 5 to 1000 K and heat capacity of Co2SiO4 from 360 to 1000 K.

    USGS Publications Warehouse

    Robie, R.A.; Hemingway, B.S.; Ito, J.; Krupka, K.M.

    1984-01-01

    The heat capacity of Ni2SiO4-olivine has been measured between 5 and 387 K by cryogenic adiabatic-shield calorimetry and between 360 and 1000 K by differential scanning calorimetry. The heat capacity of Co2SiO4-olivine was measured between 360 and 1000 K by differential scanning calorimetry.-J.A.Z.

  17. Role of bulk and Nanosized SiO2 to overcome salt stress during Fenugreek germination (Trigonella foenum- graceum L.).

    PubMed

    Ivani, Reihane; Sanaei Nejad, Seyed Hossein; Ghahraman, Bijan; Astaraei, Ali Reza; Feizi, Hassan

    2018-04-05

    The effects of bulk and Nanosized SiO 2 on seed germination and seedling growth indices of fenugreek under salinity stress were studied in the College of Agriculture, Ferdowsi University of Mashhad, Iran, in 2013. The experimental treatments included 4 levels of salinity stress (0, 50, 100 and 150 mM), 2 concentrations of bulk (50 and 100 ppm), 2 concentrations of nanosized SiO 2 (50 and 100 ppm), and control (without any SiO 2 types). Seedling growth attributes significantly improved when bulk and nanosized SiO 2 concentrations applied singly or with different levels of salt stress. However, they significantly declined with salt application. The adverse effects of salt on shoot, root and seedling lengths were alleviated by application of 50 ppm nanosized SiO 2 treatment. Under salt stress condition, addition of 50 and 100 ppm nanosized SiO 2 to fenugreek seeds increased shoot, root and seedling dry weights as compared to bulk SiO 2 concentrations and control treatments, though 50 ppm nanosized SiO 2 was more effective than 100 ppm nanosized SiO 2 application. It was concluded that nanosized SiO 2 improves growth attributes of fenugreek and mitigate adverse effects of salt stress.

  18. Low energy electron irradiation induced carbon etching: Triggering carbon film reacting with oxygen from SiO{sub 2} substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Cheng; Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education and Guangdong Province, College of Optoelectronic Engineering, Shenzhen University, Shenzhen 518060; Wang, Chao, E-mail: cwang367@szu.edu.cn, E-mail: dfdiao@szu.edu.cn

    2016-08-01

    We report low-energy (50–200 eV) electron irradiation induced etching of thin carbon films on a SiO{sub 2} substrate. The etching mechanism was interpreted that electron irradiation stimulated the dissociation of the carbon film and SiO{sub 2}, and then triggered the carbon film reacting with oxygen from the SiO{sub 2} substrate. A requirement for triggering the etching of the carbon film is that the incident electron penetrates through the whole carbon film, which is related to both irradiation energy and film thickness. This study provides a convenient electron-assisted etching with the precursor substrate, which sheds light on an efficient pathway to themore » fabrication of nanodevices and nanosurfaces.« less

  19. Quenching from highly-excited SiO rotational levels due to H2 collision

    NASA Astrophysics Data System (ADS)

    Stancil, Phillip C.; Belayneh, Michael; Wan, Yier; Yang, Benhui H.

    2018-06-01

    Using a full quantum-mechanical close-coupling approach on a 4D rigid-rotor potential energy surface (PES), we performed scattering calculations for highly-excited rotational levels (j=6-10) of SiO for interactions with H2 for the first time. Emission lines from highly excited SiO rotational levels are observed in a variety of environments including outflows from AGB stars. However, explicit collisional data are lacking for H2 colliders, except for recent work from our group for j=1-5. Here we extend that work using a hybrid OpenMP/MPI scattering code and a PES computed at the CCSD(T)-F12b level of theory. The H2 and SiO bond lengths are fixed at their equilibrium values. The current results will allow for non-local thermodynamic models of SiO rotational emission from AGB stars. This work was funded by NASA grant NNX16AF09G.

  20. Guest-Induced Two-Way Structural Transformation in a Layered Metal-Organic Framework Thin Film.

    PubMed

    Haraguchi, Tomoyuki; Otsubo, Kazuya; Sakata, Osami; Fujiwara, Akihiko; Kitagawa, Hiroshi

    2016-12-28

    Fabrication of thin films made of metal-organic frameworks (MOFs) has been intensively pursued for practical applications that use the structural response of MOFs. However, to date, only physisorption-induced structural response has been studied in these films. Chemisorption can be expected to provide a remarkable structural response because of the formation of bonds between guest molecules and reactive metal sites in host MOFs. Here, we report that chemisorption-induced two-way structural transformation in a nanometer-sized MOF thin film. We prepared a two-dimensional layered-type MOF Fe[Pt(CN) 4 ] thin film using a step-by-step approach. Although the as-synthesized film showed poor crystallinity, the dehydrated form of this thin film had a highly oriented crystalline nature (Film-D) as confirmed by synchrotron X-ray diffraction (XRD). Surprisingly, under water and pyridine vapors, Film-D showed chemisorption-induced dynamic structural transformations to Fe(L) 2 [Pt(CN) 4 ] thin films [L = H 2 O (Film-H), pyridine (Film-P)], where water and pyridine coordinated to the open Fe 2+ site. Dynamic structural transformations were also confirmed by in situ XRD, sorption measurement, and infrared reflection absorption spectroscopy. This is the first report of chemisorption-induced dynamic structural response in a MOF thin film, and it provides useful insights, which would lead to future practical applications of MOFs utilizing chemisorption-induced structural responses.

  1. Highly Enhanced H2 Sensing Performance of Few-Layer MoS2/SiO2/Si Heterojunctions by Surface Decoration of Pd Nanoparticles.

    PubMed

    Hao, Lanzhong; Liu, Yunjie; Du, Yongjun; Chen, Zhaoyang; Han, Zhide; Xu, Zhijie; Zhu, Jun

    2017-10-17

    A novel few-layer MoS 2 /SiO 2 /Si heterojunction is fabricated via DC magnetron sputtering technique, and Pd nanoparticles are further synthesized on the device surface. The results demonstrate that the fabricated sensor exhibits highly enhanced responses to H 2 at room temperature due to the decoration of Pd nanoparticles. For example, the Pd-decorated MoS 2 /SiO 2 /Si heterojunction shows an excellent response of 9.2 × 10 3 % to H 2 , which is much higher than the values for the Pd/SiO 2 /Si and MoS 2 /SiO 2 /Si heterojunctions. In addition, the H 2 sensing properties of the fabricated heterojunction are dependent largely on the thickness of the Pd-nanoparticle layer and there is an optimized Pd thickness for the device to achieve the best sensing characteristics. Based on the microstructure characterization and electrical measurements, the sensing mechanisms of the Pd-decorated MoS 2 /SiO 2 /Si heterojunction are proposed. These results indicate that the Pd decoration of few-layer MoS 2 /SiO 2 /Si heterojunctions presents an effective strategy for the scalable fabrication of high-performance H 2 sensors.

  2. Improved performance of Bis-GMA/TEGDMA dental composites by net-like structures formed from SiO2 nanofiber fillers.

    PubMed

    Wang, Xiaoyan; Cai, Qing; Zhang, Xuehui; Wei, Yan; Xu, Mingming; Yang, Xiaoping; Ma, Qi; Cheng, Yali; Deng, Xuliang

    2016-02-01

    The major objective of this study was to explore the effects of silicon dioxide (SiO2) nanofibers on the performance of 2, 2-bis-[4-(methacryloxypropoxy)-phenyl]-propane (Bis-GMA)/tri-(ethyleneglycol) dimethacrylate (TEGDMA) dental composites. At first, the mechanical properties of Bis-GMA/TEGDMA (50/50, w/w) resins containing different contents of SiO2 nanofibers were evaluated to identify the appropriate composition to achieve the significant reinforcing effect. Secondly, optimized contents (5 or 10wt.%) of SiO2 nanofibers were mixed into resins together with SiO2 microparticles, which was 60wt.% of the resin. Controls for comparison were Bis-GMA/TEGDMA resins containing only SiO2 microparticles (60wt.%) or with additional SiO2 nanoparticles (5 or 10wt.%). Properties including abrasion, polymerization shrinkage and mechanical properties were evaluated to determine the contribution of SiO2 nanofibers. In comparison with SiO2 nanoparticles, SiO2 nanofibers improved the overall performance of Bis-GMA/TEGDMA composite resins, especially in improving abrasion resistance and decreasing polymerization shrinkage. The explanations were that one-dimensional SiO2 nanofibers were able to shield particular fillers from being abraded off, and able to form a kind of overlapped fibrous network to resist polymerization shrinkage. With these approaches, SiO2 nanofiber-containing Bis-GMA composite resins were envisioned a promising choice to achieve long-term durable restorations in clinical therapies. Copyright © 2015. Published by Elsevier B.V.

  3. UV Light-Driven Photodegradation of Methylene Blue by Using Mn0.5Zn0.5Fe2O4/SiO2 Nanocomposites

    NASA Astrophysics Data System (ADS)

    Indrayana, I. P. T.; Julian, T.; Suharyadi, E.

    2018-04-01

    The photodegradation activity of nanocomposites for 20 ppm methylene blue solution has been investigated in this work. Nanocomposites Mn0.5Zn0.5Fe2O4/SiO2 have been synthesized using coprecipitation method. The X-ray diffraction (XRD) pattern confirmed the formation of three phases in sample Mn0.5Zn0.5Fe2O4/SiO2 i.e., Mn0.5Zn0.5Fe2O4, Zn(OH)2, and SiO2. The appearance of SiO2 phase showed that the encapsulation process has been carried out. The calculated particles size of Mn0.5Zn0.5Fe2O4/SiO2 is greater than Mn0.5Zn0.5Fe2O4. Bonding analysis via vibrational spectra for Mn0.5Zn0.5Fe2O4/SiO2 confirmed the formation of bonds Me-O-Si stretching (2854.65 cm-1) and Si-O-Si asymmetric stretching (1026.13 cm-1). The optical gap energy of Mn0.5Zn0.5Fe2O4/SiO2 was smaller (2.70 eV) than Mn0.5Zn0.5Fe2O4 (3.04 eV) due to smaller lattice dislocation and microstrain that affect their electronic structure. The Mn0.5Zn0.5Fe2O4/SiO2 showed high photodegradation ability due to smaller optical gap energy and the appearance of SiO2 ligand that can easily attract dye molecules. The Mn0.5Zn0.5Fe2O4/SiO2 also showed high degradation activity even without UV light radiation. The result showed that photodegradation reaction doesn’t follow pseudo-first order kinetics.

  4. First-principles study on leakage current caused by oxygen vacancies at HfO2/SiO2/Si interface

    NASA Astrophysics Data System (ADS)

    Takagi, Kensuke; Ono, Tomoya

    2018-06-01

    The relationship between the position of oxygen vacancies in HfO2/SiO2/Si gate stacks and the leakage current is studied by first-principles electronic-structure and electron-conduction calculations. We find that the increase in the leakage current due to the creation of oxygen vacancies in the HfO2 layer is much larger than that in the SiO2 interlayer. According to previous first-principles total energy calculations, the formation energy of oxygen vacancies is smaller in the SiO2 interlayer than that in the HfO2 layer under the same conditions. Therefore, oxygen vacancies will be attracted from the SiO2 interlayer to minimize the energy, thermodynamically justifying the scavenging technique. Thus, the scavenging process efficiently improves the dielectric constant of HfO2-based gate stacks without increasing the number of oxygen vacancies, which cause the dielectric breakdown.

  5. Ge nanocrystals embedded in ultrathin Si3N4 multilayers with SiO2 barriers

    NASA Astrophysics Data System (ADS)

    Bahariqushchi, R.; Gundogdu, Sinan; Aydinli, A.

    2017-04-01

    Multilayers of germanium nanocrystals (NCs) embedded in thin films of silicon nitride matrix separated with SiO2 barriers have been fabricated using plasma enhanced chemical vapor deposition (PECVD). SiGeN/SiO2 alternating bilayers have been grown on quartz and Si substrates followed by post annealing in Ar ambient from 600 to 900 °C. High resolution transmission electron microscopy (HRTEM) as well as Raman spectroscopy show good crystallinity of Ge confined to SiGeN layers in samples annealed at 900 °C. Strong compressive stress for SiGeN/SiO2 structures were observed through Raman spectroscopy. Size, as well as NC-NC distance were controlled along the growth direction for multilayer samples by varying the thickness of bilayers. Visible photoluminescence (PL) at 2.3 and 3.1 eV with NC size dependent intensity is observed and possible origin of PL is discussed.

  6. Etching Selectivity of Cr, Fe and Ni Masks on Si & SiO2 Wafers

    NASA Astrophysics Data System (ADS)

    Garcia, Jorge; Lowndes, Douglas H.

    2000-10-01

    During this Summer 2000 I joined the Semiconductors and Thin Films group led by Dr. Douglas H. Lowndes at Oak Ridge National Laboratory’s Solid State Division. Our objective was to evaluate the selectivity that Trifluoromethane (CHF3), and Sulfur Hexafluoride (SF6) plasmas have for Si, SiO2 wafers and the Ni, Cr, and Fe masks; being this etching selectivity the ratio of the etching rates of the plasmas for each of the materials. We made use of Silicon and Silicon Dioxide-coated wafers that have Fe, Cr or Ni masks. In the semiconductor field, metal layers are often used as masks to protect layers underneath during processing steps; when these wafers are taken to the dry etching process, both the wafer and the mask layers’ thickness are reduced.

  7. Self-assembly and electrical characteristics of 4-pentynoic acid functionalized Fe3O4-γ-Fe2O3 nanoparticles on SiO2/n-Si

    NASA Astrophysics Data System (ADS)

    Baharuddin, Aainaa Aqilah; Ang, Bee Chin; Wong, Yew Hoong

    2017-11-01

    A novel investigation on a relationship between temperature-influential self-assembly (70-300 °C) of 4-pentynoic acid functionalized Fe3O4-γ-Fe2O3 nanoparticles (NPs) on SiO2/n-Si with electrical properties was reported with the interests for metal-oxide-semiconductor applications. X-ray diffractometer (XRD) analysis conveyed that 8 ± 1 nm of the NPs were assembled. Increasing heating temperature induced growth of native oxide (SiO2). Raman analysis confirmed the coexistence of Fe3O4-γ-Fe2O3. Attenuated Total Reflectance Infrared (ATR-IR) spectra showed that self-assembly occurred via Sisbnd Osbnd C linkages. While Sisbnd Osbnd C linkages were broken down at elevated temperatures, formations of Si-OH defects were amplified; a consequence of physisorbed surfactants disintegration. Atomic force microscopy (AFM) showed that sample with more physisorbed surfactants exhibited the highest root-mean-square (RMS) roughness (18.12 ± 7.13 nm) whereas sample with lesser physisorbed surfactants displayed otherwise (12.99 ± 4.39 nm RMS roughness). Field Emission Scanning Electron Microscope (FE-SEM) analysis showed non-uniform aggregation of the NPs, deposited as film (12.6 μm thickness). The increased saturation magnetization (71.527 A m2/kg) and coercivity (929.942 A/m) acquired by vibrating sample magnetometer (VSM) of the sample heated at 300 °C verified the surfactants' disintegration. Leakage current density-electric field (J-E) characteristics showed that sample heated at 150 °C with the most aggregated NPs as well as the most developed Sisbnd Osbnd C linkages demonstrated the highest breakdown field and barrier height at 2.58 × 10-3 MV/cm and 0.38 eV respectively. Whereas sample heated at 300 °C with the least Sisbnd Osbnd C linkages as well as lesser aggregated NPs showed the lowest breakdown field and barrier height at 1.08 × 10-3 MV/cm and 0.19 eV respectively. This study opens up better understandings on how formation and breaking down of covalent

  8. High fluence swift heavy ion structure modification of the SiO2/Si interface and gate insulator in 65 nm MOSFETs

    NASA Astrophysics Data System (ADS)

    Ma, Yao; Gao, Bo; Gong, Min; Willis, Maureen; Yang, Zhimei; Guan, Mingyue; Li, Yun

    2017-04-01

    In this work, a study of the structure modification, induced by high fluence swift heavy ion radiation, of the SiO2/Si structures and gate oxide interface in commercial 65 nm MOSFETs is performed. A key and novel point in this study is the specific use of the transmission electron microscopy (TEM) technique instead of the conventional atomic force microscope (AFM) or scanning electron microscope (SEM) techniques which are typically performed following the chemical etching of the sample to observe the changes in the structure. Using this method we show that after radiation, the appearance of a clearly visible thin layer between the SiO2 and Si is observed presenting as a variation in the TEM intensity at the interface of the two materials. Through measuring the EDX line scans we reveal that the Si:O ratio changed and that this change can be attributed to the migration of the Si towards interface after the Si-O bond is destroyed by the swift heavy ions. For the 65 nm MOSFET sample, the silicon substrate, the SiON insulator and the poly-silicon gate interfaces become blurred under the same irradiation conditions.

  9. Searching for high-k RE2O3 nanoparticles embedded in SiO2 glass matrix

    NASA Astrophysics Data System (ADS)

    Mukherjee, S.; Lin, Y. H.; Kao, T. H.; Chou, C. C.; Yang, H. D.

    2012-03-01

    Significant experimental effort has been explored to search and characterize high-k materials with magnetodielectric effect (MDE) of series of rare earth (RE) oxide (RE2O3) nanoparticles (NPs) embedded in SiO2 glass matrix by a sol-gel route. Properly annealed sol-gel glass (in which RE = Sm, Gd, and Er) shows colossal response of dielectric constant along with diffuse phase transition and MDE around room temperature. The radial distribution functions, reconstructed from extended x-ray absorption fine structure, show the shortening of RE3 + -O depending on the RE2O3 NP size, which is consistent with oxygen vacancy induced dielectric anomaly. The magnetoresistive MDE is very much conditioned by magnetic property of RE2O3 NP grain, the degree of deformation of the lattice and constituent host.

  10. Bulk properties and near-critical behaviour of SiO2 fluid

    NASA Astrophysics Data System (ADS)

    Green, Eleanor C. R.; Artacho, Emilio; Connolly, James A. D.

    2018-06-01

    Rocky planets and satellites form through impact and accretion processes that often involve silicate fluids at extreme temperatures. First-principles molecular dynamics (FPMD) simulations have been used to investigate the bulk thermodynamic properties of SiO2 fluid at high temperatures (4000-6000 K) and low densities (500-2240 kg m-3), conditions which are relevant to protoplanetary disc condensation. Liquid SiO2 is highly networked at the upper end of this density range, but depolymerises with increasing temperature and volume, in a process characterised by the formation of oxygen-oxygen (Odbnd O) pairs. The onset of vaporisation is closely associated with the depolymerisation process, and is likely to be non-stoichiometric at high temperature, initiated via the exsolution of O2 molecules to leave a Si-enriched fluid. By 6000 K the simulated fluid is supercritical. A large anomaly in the constant-volume heat capacity occurs near the critical temperature. We present tabulated thermodynamic properties for silica fluid that reconcile observations from FPMD simulations with current knowledge of the SiO2 melting curve and experimental Hugoniot curves.

  11. Microstructural dependence on relevant physical-mechanical properties on SiO2-Na2O-CaO-P2O5 biological glasses.

    PubMed

    Rajendran, V; Begum, A Nishara; Azooz, M A; el Batal, F H

    2002-11-01

    Bioactive glasses of the system SiO2-Na2O-CaO-P2O5 have been prepared by the normal melting and annealing technique. The elastic moduli, attenuation, Vickers hardness, fracture toughness and fracture surface energy have been obtained using the known method at room temperature. The temperature dependence of elastic moduli and attenuation measurements have been extended over a wide range of temperature from 150 to 500 K. The SiO2 content dependence of velocities, attenuation, elastic moduli, and other parameters show an interesting observation at 45 wt% of SiO2 by exhibiting an anomalous behaviour. A linear relation is developed for Tg, which explores the influence of Na2O on SiO2-Na2O-CaO-P2O5 bioactive glasses. The measured hardness, fracture toughness and fracture surface energy show a linear relation with Young's modulus. It is also interesting to note that the observed results are functions of polymerisation and the number of non-bridging oxygens (NBO) prevailing in the network with change in SiO2 content. The temperature dependence of velocities, attenuation and elastic moduli show the existence of softening in the glass network structure as temperature increases.

  12. Improved electrochemical and thermal properties of nickel rich LiNi0.6Co0.2Mn0.2O2 cathode materials by SiO2 coating

    NASA Astrophysics Data System (ADS)

    Cho, Woosuk; Kim, Sang-Min; Song, Jun Ho; Yim, Taeeun; Woo, Sang-Gil; Lee, Ko-Woon; Kim, Jeom-Soo; Kim, Young-Jun

    2015-05-01

    A surface coating of SiO2 is applied to a Ni rich LiNi0.6Co0.2Mn0.2O2 cathode material in a bid to improve its electrochemical and thermal properties. A uniform coating is achieved through a wet process using nano-sized SiO2 powder, and though the coated electrode is found to exhibit a reduced rate capability, its cycle performance at a high temperature of 60 °C is greatly enhanced. The effect of this SiO2 coating is further investigated by electrochemical impedance spectroscopy, which confirms that it suppresses the growth of interfacial impedance during progressive cycles. The SiO2 coating also demonstrates good HF scavenging ability, producing a subsequent reduction in the degradation of the active core material. The thermal properties of LiNi0.6Co0.2Mn0.2O2 are also improved by the SiO2 coating due to a reduction in the direct contact between the electrode and electrolyte. On the basis of these results, SiO2 coating is considered a viable surface modification method for improving the electrochemical and thermal properties of LiNi0.6Co0.2Mn0.2O2.

  13. Site Occupancies, Luminescence, and Thermometric Properties of LiY9(SiO4)6O2:Ce3+ Phosphors.

    PubMed

    Zhou, Weijie; Pan, Fengjuan; Zhou, Lei; Hou, Dejian; Huang, Yan; Tao, Ye; Liang, Hongbin

    2016-10-04

    In this work, we report the tunable emission properties of Ce 3+ in an apatite-type LiY 9 (SiO 4 ) 6 O 2 compound via adjusting the doping concentration or temperature. The occupancies of Ce 3+ ions at two different sites (Wyckoff 6h and 4f sites) in LiY 9 (SiO 4 ) 6 O 2 have been determined by Rietveld refinements. Two kinds of Ce 3+ f-d transitions have been studied in detail and then assigned to certain sites. The effects of temperature and doping concentration on Ce 3+ luminescence properties have been systematically investigated. It is found that the Ce 3+ ions prefer occupying Wyckoff 6h sites and the energy transfer between Ce 3+ at two sites becomes more efficient with an increase in doping concentration. In addition, the charge-transfer vibronic exciton (CTVE) induced by the existence of free oxygen ion plays an important role in the thermal quenching of Ce 3+ at 6h sites. Because of the tunable emissions from cyan to blue with increasing temperature, the phosphors LiY 9 (SiO 4 ) 6 O 2 :Ce 3+ are endowed with possible thermometric applications.

  14. Sixfold-coordinated amorphous polymorph of SiO2 under high pressure.

    PubMed

    Sato, Tomoko; Funamori, Nobumasa

    2008-12-19

    We have developed synchrotron x-ray absorption and diffraction techniques for measuring the density and structure of noncrystalline materials at high pressures and have applied them to studying the behavior of SiO2 glass. The density, coordination number, and Si-O bond length at a pressure of 50 GPa were measured to be 4.63 g/cm;{3}, 6.3, and 1.71 A, respectively. Based on the density data measured in this study and the sound velocity data available in the literature, the bulk modulus at 50 GPa was estimated to be 390 GPa, which is consistent with the pressure dependence of the density in the vicinity of 50 GPa. These results, together with the knowledge from our exploratory study, suggest that SiO2 glass behaves as a single amorphous polymorph having a sixfold-coordinated structure at pressures above 40-45 GPa up to at least 100 GPa.

  15. Efficient VEGF targeting delivery of DOX using Bevacizumab conjugated SiO2@LDH for anti-neuroblastoma therapy.

    PubMed

    Zhu, Rongrong; Wang, Zhaoqi; Liang, Peng; He, Xiaolie; Zhuang, Xizhen; Huang, Ruiqi; Wang, Mei; Wang, Qigang; Qian, Yechang; Wang, Shilong

    2017-11-01

    Vascular endothelial growth factor (VEGF) plays an important role in angiogenesis and is highly expressed in carcinoma, which make it an important target for tumor targeting therapy. Neuroblastoma is the main cause for cancer-related death in children. Like most solid tumors, it is also accompanied with the overexpression of VEGF. Doxorubicin Hydrochloride (DOX), a typical chemotherapeutic agent, exhibits efficient anticancer activities for various cancers. However, DOX, without targeting ability, usually causes severe damage to normal tissues. To overcome the shortages, we designed a novel nano-composite, which is Bevacizumab (Bev) modified SiO 2 @LDH nanoparticles (SiO 2 @LDH-Bev), loading with DOX to achieve targeting ability and curative efficiency. SiO 2 @LDH-DOX and SiO 2 @LDH-Bev-DOX nanoparticles were synthesized and the physicochemical properties were characterized by TEM detection, Zeta potential analysis, FTIR, Raman and XPS analysis. Then in vitro and in vivo anti-neuroblastoma efficiency, targeting ability and mechanisms of anti-carcinoma and anti-angiogenesis of SiO 2 @LDH-Bev-DOX were explored. Our results indicated that we obtained the core-shell structure SiO 2 @LDH-Bev with an average diameter of 253±10nm and the amount of conjugated Bev was 4.59±0.38μg/mg SiO 2 @LDH-Bev. SiO 2 @LDH-Bev-DOX could improve the cellular uptake and the targeting effect of DOX to brain and tumor, enhance the anti-neuroblastoma and anti-angiogenesis efficiency both in vitro and in vivo, and alleviate side effects of DOX sharply, especially hepatic injury. In addition, we also demonstrated that angiogenesis inhibitory effect was mediated by DOX and VEGF triggered signal pathways, including PI3K/Akt, Raf/MEK/ERK, and adhesion related pathways. In summary, SiO 2 @LDH-Bev could be a potential VEGF targeting nanocarrier applied in VEGF positive cancer therapy. This paper explored that a novel core-shell structure nanomaterial SiO 2 @LDH and modified SiO 2 @LDH with

  16. Temperature field analysis of single layer TiO2 film components induced by long-pulse and short-pulse lasers.

    PubMed

    Wang, Bin; Zhang, Hongchao; Qin, Yuan; Wang, Xi; Ni, Xiaowu; Shen, Zhonghua; Lu, Jian

    2011-07-10

    To study the differences between the damaging of thin film components induced by long-pulse and short-pulse lasers, a model of single layer TiO(2) film components with platinum high-absorptance inclusions was established. The temperature rises of TiO(2) films with inclusions of different sizes and different depths induced by a 1 ms long-pulse and a 10 ns short-pulse lasers were analyzed based on temperature field theory. The results show that there is a radius range of inclusions that corresponds to high temperature rises. Short-pulse lasers are more sensitive to high-absorptance inclusions and long-pulse lasers are more easily damage the substrate. The first-damage decision method is drawn from calculations. © 2011 Optical Society of America

  17. Thin-film-based optical fiber Fabry-Perot interferometer used for humidity sensing.

    PubMed

    Peng, Jiankun; Qu, Yapeng; Wang, Weijia; Sun, Tengpeng; Yang, Minghong

    2018-04-20

    A thin-film-based optical fiber Fabry-Perot interferometer that consists of ZrO 2 and SiO 2 porous thin films is designed and fabricated by electron beam physical vapor deposition. Since the SiO 2 porous thin film has the capability of water adsorption, the proposed Fabry-Perot interferometer is appropriate to detect humidity. Experimental results show that the prepared sensor has a humidity detection range from 0.06% RH to 70% RH. A cycling test shows that the humidity sensor has a responding or recover time of 4 s and good repeatability among different humidity environments. Especially, the proposed humidity sensor is insensitive to temperature variation and suitable for the detection of low relative humidity.

  18. Electrostatic modulation of the electronic properties of Dirac semimetal Na3Bi thin films

    NASA Astrophysics Data System (ADS)

    Hellerstedt, Jack; Yudhistira, Indra; Edmonds, Mark T.; Liu, Chang; Collins, James; Adam, Shaffique; Fuhrer, Michael S.

    2017-10-01

    Large-area thin films of topological Dirac semimetal Na3Bi are grown on amorphous SiO2:Si substrates to realize a field-effect transistor with the doped Si acting as a back gate. As-grown films show charge carrier mobilities exceeding 7 000 cm2/V s and carrier densities below 3 ×1018cm-3 , comparable to the best thin-film Na3Bi . An ambipolar field effect and minimum conductivity are observed, characteristic of Dirac electronic systems. The results are quantitatively understood within a model of disorder-induced charge inhomogeneity in topological Dirac semimetals. The hole mobility is significantly larger than the electron mobility in Na3Bi which we ascribe to the inverted band structure. When present, these holes dominate the transport properties.

  19. Organic-Free, ZnO-Assisted Synthesis of Zeolite FAU with Tunable SiO2 /Al2 O3 Molar Ratio.

    PubMed

    Guo, Ya; Sun, Tianjun; Gu, Yiming; Liu, Xiaowei; Ke, Quanli; Wang, Shudong

    2018-05-04

    Zeolite FAU with tunable SiO 2 /Al 2 O 3 molar ratio has been successfully synthesized in the absence of organic structure-directing agents (OSDA). Specifically, the addition of zinc species contributes to the feasible and effective adjustment of the framework SiO 2 /Al 2 O 3 molar ratio between about 4 and 6 depending on the amount of zinc species added in the batch composition. In contrast, a typical OSDA such as tetramethylammonium hydroxide (TMAOH) has a limited effect on the SiO 2 /Al 2 O 3 molar ratio of the zeolite. The role of zinc species is essential for the crystallization of zeolite FAU with a higher SiO 2 /Al 2 O 3 molar ratio under the particular synthesis conditions. It is speculated that zinc species may suppress the incorporation of aluminum into the aluminosilicate framework, which is due to the Coulombic repulsive interaction. A higher SiO 2 /Al 2 O 3 molar ratio is also found to be accompanied by a lower CO 2 adsorption heat for CO 2 /CH 4 separation. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. SiO2-Ag-SiO2 core/shell structure with a high density of Ag nanoparticles for CO oxidation catalysis.

    PubMed

    Feng, Xiaoqian; Li, Hongmo; Zhang, Qing; Zhang, Peng; Song, Xuefeng; Liu, Jing; Zhao, Liping; Gao, Lian

    2016-11-11

    SiO 2 -Ag-SiO 2 , a sandwiched core/shell structure with a layer of Ag nanoparticles (∼4 nm) encapsulated between a shallow SiO 2 surface layer and a SiO 2 submicrosphere substrate (∼200 nm), has been synthesized from [Formula: see text] and SiO 2 spheres by a facile one-pot hydrothermal method. The composite is proposed to result from the dynamic balance between the [Formula: see text] reduction and the dissolution-redeposition of SiO 2 in mild basic media. The synthetic mechanism and the roles of the reaction time, temperature, and the amount of ammonia in the formation of this unique structure are investigated and discussed. The composite structure shows superior catalytic performance in CO oxidation to the control Ag/SiO 2 structure prepared by impregnation. Pre-treatment by O 2 at 600 °C significantly improves the catalytic performance of the composite structure and preserves the nanocomposite structure well.

  1. Simple sonochemical synthesis of Ho2O3-SiO2 nanocomposites as an effective photocatalyst for degradation and removal of organic contaminant.

    PubMed

    Zinatloo-Ajabshir, Sahar; Mortazavi-Derazkola, Sobhan; Salavati-Niasari, Masoud

    2017-11-01

    In this work, highly photocatalytically active Ho 2 O 3 -SiO 2 nanocomposites have been designed and applied for decomposition of methylene blue pollutant. Ho 2 O 3 -SiO 2 nanocomposites have been produced by new, quick and facile sonochemical process with the aid of tetramethylethylenediamine as a novel basic agent for the first time. The effect of the kind of basic agent, ultrasonic time and dosage of Ho source on the grain size, photocatalytic behavior and shape of the Ho 2 O 3 -SiO 2 nanocomposites have been evaluated for optimization the production condition. FESEM, EDX, FT-IR, DRS, XRD and TEM have been applied to characterize the as-produced Ho 2 O 3 -SiO 2 nanocomposites. Use of the as-produced Ho 2 O 3 -SiO 2 nanocomposites as photocatalyst via destruction of methylene blue pollutant under UV illumination has been compared. It was observed that SiO 2 has notable impact on catalytic activity of holmium oxide photocatalyst for destruction. Introducing of SiO 2 to holmium oxide can enhance destruction efficiency of holmium oxide to methylene blue pollutant under ultraviolet light. Copyright © 2017 Elsevier B.V. All rights reserved.

  2. Natural Occurrence of Fe2SiO4 - in Shocked Umbarger l6 Chondrite

    NASA Astrophysics Data System (ADS)

    Xie, Z.; Sharp, T. G.

    2001-12-01

    Fe2SiO4 with the spinel structure was synthesized by Ringwood [1] and inferred to be important in Earth's upper mantle [1,2], but it has not previously been found in nature. Umbarger is an L6 chondrite, which contains a series of high-pressure phases: ring-woodite, akimotoite, augite and hollandite-structured plagioclase [3-6]. Transmission electron microscopy also revealed a Fe2SiO4-spinel and stishovite assem-blage in a Fe-rich zone of a melt pocket. Phyllosili-cates and glass are also associated with the Fe2SiO4-spinel, indicating post-shock aqueous glass alteration. The Fe2SiO4-spinel grains are equant to irregular, with sizes from 100 to 400 nm. Diffraction patterns can only be indexed as a spinel structure, but the unit cell is a little large (a= 8.46 Å) relative to synthetic Fe2SiO4-spinel (a=8.234 Å [1]). EDS analyses show that the spinel has a fayalite compositon with Fe/(Fe+Mg) ratio ranging from 62% to 97%. Stishovite occurs as elongated prismatic crystals up to 1 mm long and up to 100 mm wide. The alteration products in Umbarger are phyllosilicates rich in Fe and Si, with a basal layer spacing of about 9.7 Å. Crystallization of melt veins began with akimotoite and ringwoodite at pressures up to 25 GPa and ended with augite at pressure less than 18 GPa [3, 4]. Mg2SiO4-spinel and stishovite are stable in the pres-sure range from 15 GPa to 25 GPa in the enstatite-forsterite system [7], while Fe2SiO4-spinel and stishovite is stable at lower pressure. Fe2SiO4-spinel and stishovite assemblage, like that of Akimotoite plus ringwoodite, is a sub-solidus assemblage, indicating crystallization of a supercooled liquid during rapid cooling and decompression associated with shock pres-sure release. Reference: [1] Ringwood A.E. (1958) GCA,15, 18-29. [2] Irifune T. (1993) The Island Arc, 2, 55-71. [3] Xie Z. and Sharp T. G. (2000a) LPS XXXI, 2065.pdf. [4] Xie Z. and Sharp T. G. (2000b) MAPS, 35 A172. [5] Xie Z. et al.(2001) LPS XXXII, 1805.pdf. [6] Sharp T.G. (2001

  3. Preparation and photocatalytic properties of nanometer-sized magnetic TiO2/SiO2/CoFe2O4 composites.

    PubMed

    Li, Hansheng; Zhang, Yaping; Wu, Qin; Wang, Xitao; Liu, Changhao

    2011-11-01

    Magnetic TiO2/SiO2/CoFe2O4 nanoparticles (TiO2/SCFs) were prepared by a sol-gel process in a reverse microemulsion combined with solvent-thermal technique. TiO2/SCFs were characterized by Fourier transform infrared spectrometry, thermogravimetric analysis-differential scanning calorimetry, X-ray diffraction, Raman spectrometry, TEM, BET specific surface area measurement, and magnetic analysis. Structure analyses indicated that TiO2/SCFs presented a core-shell structure with TiO2 uniformly coating on SiO2/CoFe2O4 nanomagnets (SCFs) and typical ferromagnetic hysteresis. TiO2/SCFs showed larger specific surface area and better photocatalytic activities than TiO2 and TiO2/CoFe2O4 photocatalysts prepared by the same method. The doping interaction between TiO2 and CoFe2O4 reduced thanks to the inert SiO2 mesosphere.

  4. Two-Dimensional SiO2/VO2 Photonic Crystals with Statically Visible and Dynamically Infrared Modulated for Smart Window Deployment.

    PubMed

    Ke, Yujie; Balin, Igal; Wang, Ning; Lu, Qi; Tok, Alfred Iing Yoong; White, Timothy J; Magdassi, Shlomo; Abdulhalim, Ibrahim; Long, Yi

    2016-12-07

    Two-dimensional (2D) photonic structures, widely used for generating photonic band gaps (PBG) in a variety of materials, are for the first time integrated with the temperature-dependent phase change of vanadium dioxide (VO 2 ). VO 2 possesses thermochromic properties, whose potential remains unrealized due to an undesirable yellow-brown color. Here, a SiO 2 /VO 2 core/shell 2D photonic crystal is demonstrated to exhibit static visible light tunability and dynamic near-infrared (NIR) modulation. Three-dimensional (3D) finite difference time domain (FDTD) simulations predict that the transmittance can be tuned across the visible spectrum, while maintaining good solar regulation efficiency (ΔT sol = 11.0%) and high solar transmittance (T lum = 49.6%). Experiments show that the color changes of VO 2 films are accompanied by NIR modulation. This work presents a novel way to manipulate VO 2 photonic structures to modulate light transmission as a function of wavelength at different temperatures.

  5. Electrical properties of Bi2Mg2/3Nb4/3O7 (BMN) pyrochlore thin films deposited on Pt and Cu metal at low temperatures for embedded capacitor applications

    NASA Astrophysics Data System (ADS)

    Xian, Cheng-Ji; Park, Jong-Hyun; Ahn, Kyung-Chan; Yoon, Soon-Gil; Lee, Jeong-Won; Kim, Woon-Chun; Lim, Sung-Taek; Sohn, Seung-Hyun; Moon, Jin-Seok; Jung, Hyung-Mi; Lee, Seung-Eun; Lee, In-Hyung; Chung, Yul-Kyo; Jeon, Min-Ku; Woo, Seong-Ihl

    2007-01-01

    200-nm-thick BMN films were deposited on Pt /TiO2/SiO2/Si and Cu /Ti/SiO2/Si substrates at various temperatures by pulsed laser deposition. The dielectric constant and capacitance density of the films deposited on Pt and Cu electrodes show similar tendency with increasing deposition temperature. On the other hand, dielectric loss of the films deposited on Cu electrode varies from 0.7% to 1.3%, while dielectric loss of films on Pt constantly shows 0.2% even though the deposition temperature increases. The low value of breakdown strength in BMN films on Pt compared to films deposited on Cu electrode was attributed to the increase of surface roughness by the formation of secondary phases at interface between BMN films and Pt electrodes.

  6. Physiological responses induced by emotion-eliciting films.

    PubMed

    Fernández, Cristina; Pascual, Juan C; Soler, Joaquim; Elices, Matilde; Portella, Maria J; Fernández-Abascal, Enrique

    2012-06-01

    Emotion-eliciting films are commonly used to evoke subjective emotional responses in experimental settings. The main aim of the present study was to investigate whether a set of film clips with discrete emotions were capable to elicit measurable objective physiological responses. The convergence between subjective and objective measures was evaluated. Finally, the effect of gender on emotional responses was investigated. A sample of 123 subjects participated in the study. Individuals were asked to view a set of emotional film clips capable to induce seven emotions: anger, fear, sadness, disgust, amusement, tenderness and neutral state. Skin conductance level (SCL), heart rate (HR) and subjective emotional responses were measured for each film clip. In comparison with neutral films, SCL was significantly increased after viewing fear films, and HR was also significantly incremented for anger and fear films. Physiological variations were associated with arousal measures indicating a convergence between subjective and objective reactions. Women appeared to display significantly greater SCL and HR responses for films inducing sadness. The findings suggest that physiological activation would be more easily induced by emotion-eliciting films that tap into emotions with higher subjective arousal such as anger and fear.

  7. Formation of Si grains from a NaSi melt prepared by reaction of SiO2 and Na

    NASA Astrophysics Data System (ADS)

    Yamane, Hisanori; Morito, Haruhiko; Uchikoshi, Masahito

    2013-08-01

    A mixture of Na2SiO3 and NaSi was found to be formed by reaction of SiO2 and Na at 650 °C as follows: 5Na+3SiO22Na2SiO3+NaSi. Single crystals of NaSi were grown by cooling the mixture of Na2SiO3 and NaSi with an excess amount of Na from 850 °C, and polycrystalline Si was obtained by vaporization of Na from the crystals. Coarse grains of Si were also crystallized by Na evaporation after the formation of Na2SiO3 and Si-dissolved liquid Na at 830 °C. The Si grains were collected by washing the product with water. The yield of the Si grains was 85% of the ideal amount expected from the reaction.

  8. Thickness and composition of ultrathin SiO2 layers on Si

    NASA Astrophysics Data System (ADS)

    van der Marel, C.; Verheijen, M. A.; Tamminga, Y.; Pijnenburg, R. H. W.; Tombros, N.; Cubaynes, F.

    2004-07-01

    Ultrathin SiO2 layers are of importance for the semiconductor industry. One of the techniques that can be used to determine the chemical composition and thickness of this type of layers is x-ray photoelectron spectroscopy (XPS). As shown by Seah and Spencer [Surf. Interface Anal. 33, 640 (2002)], it is not trivial to characterize this type of layer by means of XPS in a reliable way. We have investigated a series of ultrathin layers of SiO2 on Si (in the range from 0.3 to 3 nm) using XPS. The samples were also analyzed by means of transmission electron microscopy (TEM), Rutherford backscattering (RBS), and ellipsometry. The thickness of the SiO2 layers (d) was determined from the XPS results using three different approaches: the ``standard'' equation (Seah and Spencer) for d, an overlayer-substrate model calculation, and the QUASES-Tougaard [Surf. Interface Anal. 26, 249 (1998), QUASES-Tougaard: Software package for Quantitative Analysis of Surfaces by Electron Spectroscopy, version 4.4 (2000); http://www.quases.com] method. Good agreement was obtained between the results of XPS analyses using the ``standard'' equation, the overlayer-substrate model calculation, and RBS results. The QUASES-Tougaard results were approximately 62% above the other XPS results. The optical values for the thickness were always slightly higher than the thickness according to XPS or RBS. Using the model calculation, these (relatively small) deviations from the optical results could be explained as being a consequence of surface contaminations with hydrocarbons. For a thickness above 2.5 nm, the TEM results were in good agreement with the results obtained from the other techniques (apart from QUASES-Tougaard). Below 2.5 nm, significant deviations were found between RBS, XPS, and optical data on the one hand and TEM results on the other hand; the deviations became larger as the thickness of the SiO2 decreased. This effect may be related to interface states of oxygen, which have been

  9. An Investigation on the Tribological Performances of the SiO2/MoS2 Hybrid Nanofluids for Magnesium Alloy-Steel Contacts

    NASA Astrophysics Data System (ADS)

    Xie, Hongmei; Jiang, Bin; Liu, Bo; Wang, Qinghang; Xu, Junyao; Pan, Fusheng

    2016-07-01

    Hybrid nano-materials offer potential scope for an increasing numerous novel applications when engineered to deliver availably functional properties. In the present study, the SiO2/MoS2 hybrid nanoparticles with different mass ratios were employed as lubricant additives in the base oil, and their tribological properties were evaluated using a reciprocating ball-on-plate tribometer for magnesium alloy-steel contacts. The results demonstrate that the SiO2/MoS2 hybrid nanoparticles exhibit superior lubrication performances than individual nano-SiO2 or nano-MoS2 even in high load and diverse velocity cases. The optimal SiO2/MoS2 mixing ratio and the concentration of SiO2/MoS2 hybrid nanoparticles in the base oil are 0.25:0.75 and 1.00-1.25 wt%, respectively. The excellent lubrication properties of the SiO2/MoS2 hybrid nanoparticles are attributed to the physical synergistic lubricating actions of nano-SiO2 and nano-MoS2 during the rubbing process.

  10. An Investigation on the Tribological Performances of the SiO2/MoS2 Hybrid Nanofluids for Magnesium Alloy-Steel Contacts.

    PubMed

    Xie, Hongmei; Jiang, Bin; Liu, Bo; Wang, Qinghang; Xu, Junyao; Pan, Fusheng

    2016-12-01

    Hybrid nano-materials offer potential scope for an increasing numerous novel applications when engineered to deliver availably functional properties. In the present study, the SiO2/MoS2 hybrid nanoparticles with different mass ratios were employed as lubricant additives in the base oil, and their tribological properties were evaluated using a reciprocating ball-on-plate tribometer for magnesium alloy-steel contacts. The results demonstrate that the SiO2/MoS2 hybrid nanoparticles exhibit superior lubrication performances than individual nano-SiO2 or nano-MoS2 even in high load and diverse velocity cases. The optimal SiO2/MoS2 mixing ratio and the concentration of SiO2/MoS2 hybrid nanoparticles in the base oil are 0.25:0.75 and 1.00-1.25 wt%, respectively. The excellent lubrication properties of the SiO2/MoS2 hybrid nanoparticles are attributed to the physical synergistic lubricating actions of nano-SiO2 and nano-MoS2 during the rubbing process.

  11. Analysis of thermal stresses in HfO2/SiO2 high reflective optical coatings for high power laser applications

    NASA Astrophysics Data System (ADS)

    Gao, Chunxue; Zhao, Zhiwei; Zhu, Zhuoya; Li, Shuang; Mi, Changwen

    2015-02-01

    HfO2/SiO2 high reflective optical coatings are widely used in high power laser applications because of their high laser damage resistance and appropriate spectral performance. The residual stresses strongly influence the performance and longevity of the optical coatings. Thermal stresses are the primary components of the residual stresses. In the present work, the distribution of thermal stresses in HfO2/SiO2 high reflective optical coatings was investigated using two different computational methods: finite element method (FEM) and an analytical method based on force and moment balances and classical beam bending theory. The results by these two methods were compared and found to be in agreement with each other, demonstrating that these two methods are effective and accurate ways to predict the thermal stresses in HfO2/SiO2 optical coatings. In addition, these two methods were also used to obtain the thermal stresses in HfO2/SiO2 optical coatings with different layer number to investigate the effect of the layer number on the thermal stresses of the HfO2/SiO2 optical coatings. The results show that with the increase of the layer number, the stresses in the substrate increase, while the stresses in the respective SiO2 and HfO2 layers decrease. Besides, it was also found that the radius of curvature of the coating system decreases as the layer number increases, leading to larger bending curvature in the system.

  12. UV-Triggered Self-Healing of a Single Robust SiO2 Microcapsule Based on Cationic Polymerization for Potential Application in Aerospace Coatings.

    PubMed

    Guo, Wanchun; Jia, Yin; Tian, Kesong; Xu, Zhaopeng; Jiao, Jiao; Li, Ruifei; Wu, Yuehao; Cao, Ling; Wang, Haiyan

    2016-08-17

    UV-triggered self-healing of single microcapsules has been a good candidate to enhance the life of polymer-based aerospace coatings because of its rapid healing process and healing chemistry based on an accurate stoichiometric ratio. However, free radical photoinitiators used in single microcapsules commonly suffer from possible deactivation due to the presence of oxygen in the space environment. Moreover, entrapment of polymeric microcapsules into coatings often involves elevated temperature or a strong solvent, probably leading to swelling or degradation of polymer shell, and ultimately the loss of active healing species into the host matrix. We herein describe the first single robust SiO2 microcapsule self-healing system based on UV-triggered cationic polymerization for potential application in aerospace coatings. On the basis of the similarity of solubility parameters of the active healing species and the SiO2 precursor, the epoxy resin and cationic photoinitiator are successfully encapsulated into a single SiO2 microcapsule via a combined interfacial/in situ polymerization. The single SiO2 microcapsule shows solvent resistance and thermal stability, especially a strong resistance for thermal cycling in a simulated space environment. In addition, the up to 89% curing efficiency of the epoxy resin in 30 min, and the obvious filling of scratches in the epoxy matrix demonstrate the excellent UV-induced healing performance of SiO2 microcapsules, attributed to a high load of healing species within the capsule (up to 87 wt %) and healing chemistry based on an accurate stoichiometric ratio of the photoinitiator and epoxy resin at 9/100. More importantly, healing chemistry based on a UV-triggered cationic polymerization mechanism is not sensitive to oxygen, extremely facilitating future embedment of this single SiO2 microcapsule in spacecraft coatings to achieve self-healing in a space environment with abundant UV radiation and oxygen.

  13. Design and Synthesis of Hierarchical SiO2@C/TiO2 Hollow Spheres for High-Performance Supercapacitors.

    PubMed

    Zhang, Ying; Zhao, Yan; Cao, Shunsheng; Yin, Zhengliang; Cheng, Li; Wu, Limin

    2017-09-06

    TiO 2 has been widely investigated as an electrode material because of its long cycle life and good durability, but the relatively low theoretical capacity restricts its practical application. Herein, we design and synthesize novel hierarchical SiO 2 @C/TiO 2 (HSCT) hollow spheres via a template-directed method. These unique HSCT hollow spheres combine advantages from both TiO 2 such as cycle stability and SiO 2 with a high accessible area and ionic transport. In particular, the existence of a C layer is able to enhance the electrical conductivity. The SiO 2 layer with a porous structure can increase the ion diffusion channels and accelerate the ion transfer from the outer to the inner layers. The electrochemical measurements demonstrate that the HSCT-hollow-sphere-based electrode manifests a high specific capacitance of 1018 F g -1 at 1 A g -1 which is higher than those for hollow TiO 2 (113 F g -1 ) and SiO 2 /TiO 2 (252 F g -1 ) electrodes, and substantially higher than those of all the previously reported TiO 2 -based electrodes.

  14. Novel nanofluidic chemical cells based on self-assembled solid-state SiO2 nanotubes.

    PubMed

    Zhu, Hao; Li, Haitao; Robertson, Joseph W F; Balijepalli, Arvind; Krylyuk, Sergiy; Davydov, Albert V; Kasianowicz, John J; Suehle, John S; Li, Qiliang

    2017-10-27

    Novel nanofluidic chemical cells based on self-assembled solid-state SiO 2 nanotubes on silicon-on-insulator (SOI) substrate have been successfully fabricated and characterized. The vertical SiO 2 nanotubes with a smooth cavity are built from Si nanowires which were epitaxially grown on the SOI substrate. The nanotubes have rigid, dry-oxidized SiO 2 walls with precisely controlled nanotube inner diameter, which is very attractive for chemical-/bio-sensing applications. No dispersion/aligning procedures were involved in the nanotube fabrication and integration by using this technology, enabling a clean and smooth chemical cell. Such a robust and well-controlled nanotube is an excellent case of developing functional nanomaterials by leveraging the strength of top-down lithography and the unique advantage of bottom-up growth. These solid, smooth, clean SiO 2 nanotubes and nanofluidic devices are very encouraging and attractive in future bio-medical applications, such as single molecule sensing and DNA sequencing.

  15. Novel nanofluidic chemical cells based on self-assembled solid-state SiO2 nanotubes

    NASA Astrophysics Data System (ADS)

    Zhu, Hao; Li, Haitao; Robertson, Joseph W. F.; Balijepalli, Arvind; Krylyuk, Sergiy; Davydov, Albert V.; Kasianowicz, John J.; Suehle, John S.; Li, Qiliang

    2017-10-01

    Novel nanofluidic chemical cells based on self-assembled solid-state SiO2 nanotubes on silicon-on-insulator (SOI) substrate have been successfully fabricated and characterized. The vertical SiO2 nanotubes with a smooth cavity are built from Si nanowires which were epitaxially grown on the SOI substrate. The nanotubes have rigid, dry-oxidized SiO2 walls with precisely controlled nanotube inner diameter, which is very attractive for chemical-/bio-sensing applications. No dispersion/aligning procedures were involved in the nanotube fabrication and integration by using this technology, enabling a clean and smooth chemical cell. Such a robust and well-controlled nanotube is an excellent case of developing functional nanomaterials by leveraging the strength of top-down lithography and the unique advantage of bottom-up growth. These solid, smooth, clean SiO2 nanotubes and nanofluidic devices are very encouraging and attractive in future bio-medical applications, such as single molecule sensing and DNA sequencing.

  16. Effect of source frequency and pulsing on the SiO2 etching characteristics of dual-frequency capacitive coupled plasma

    NASA Astrophysics Data System (ADS)

    Kim, Hoe Jun; Jeon, Min Hwan; Mishra, Anurag Kumar; Kim, In Jun; Sin, Tae Ho; Yeom, Geun Young

    2015-01-01

    A SiO2 layer masked with an amorphous carbon layer (ACL) has been etched in an Ar/C4F8 gas mixture with dual frequency capacitively coupled plasmas under variable frequency (13.56-60 MHz)/pulsed rf source power and 2 MHz continuous wave (CW) rf bias power, the effects of the frequency and pulsing of the source rf power on the SiO2 etch characteristics were investigated. By pulsing the rf power, an increased SiO2 etch selectivity was observed with decreasing SiO2 etch rate. However, when the rf power frequency was increased, not only a higher SiO2 etch rate but also higher SiO2 etch selectivity was observed for both CW and pulse modes. A higher CF2/F ratio and lower electron temperature were observed for both a higher source frequency mode and a pulsed plasma mode. Therefore, when the C 1s binding states of the etched SiO2 surfaces were investigated using X-ray photoelectron spectroscopy (XPS), the increase of C-Fx bonding on the SiO2 surface was observed for a higher source frequency operation similar to a pulsed plasma condition indicating the increase of SiO2 etch selectivity over the ACL. The increase of the SiO2 etch rate with increasing etch selectivity for the higher source frequency operation appears to be related to the increase of the total plasma density with increasing CF2/F ratio in the plasma. The SiO2 etch profile was also improved not only by using the pulsed plasma but also by increasing the source frequency.

  17. Multifunctional, angle dependent antireflection, and hydrophilic properties of SiO2 inspired by nano-scale structures of cicada wings

    NASA Astrophysics Data System (ADS)

    Zada, Imran; Zhang, Wang; Sun, Peng; Imtiaz, Muhammad; Abbas, Waseem; Zhang, Di

    2017-10-01

    Inspired by the multifunctional properties of cicada wings, we have precisely replicated biomorphic SiO2 with antireflective structures (ARSs) using a simple, inexpensive, and highly effective sol-gel ultrasonic method. The biomorphic replica of SiO2 was directly achieved from a cicada template at high calcination. The biomorphic SiO2 not only inherited the ARS effectively but also exhibited the excellent angle dependent antireflective properties over a wide range of incident angles (10°-60°). The change in reflectance spectra (visible wavelength) of biomorphic SiO2 was observed from 0.3% to 3.3% with the increasing incident angles. The smooth surface of the SiO2 crystal without nanostructures showed a high reflection of 9.2% compared to the biomorphic SiO2 with ARS. These excellent antireflective properties of biomorphic SiO2 can be attributed to the nanoscale structures which introduce a gradient in the refractive index between air and the material surface via ARS. In the meantime, biomorphic SiO2 demonstrates high hydrophilic properties due to the existence of nanostructures on its surface. These multifunctional properties of biomorphic SiO2, angle dependent antireflective properties, and hydrophilicity with high thermal stability may have potential applications in solar cells and antifogging optical materials.

  18. Photoluminescence and scintillation properties of Ce-doped Sr2(Gd1-xLux)8(SiO4)6O2 (x = 0.1, 0.2, 0.4, 0.5, 0.6) crystals

    NASA Astrophysics Data System (ADS)

    Igashira, Takuya; Kawano, Naoki; Okada, Go; Kawaguchi, Noriaki; Yanagida, Takayuki

    2018-05-01

    Apatite crystals with chemical compositions of 0.5% Ce-doped Sr2(Gd1-xLux)8(SiO4)6O2 (x = 0.1, 0.2, 0.4, 0.5, 0.6) were synthesized by the Floating Zone method, and then we evaluated their photoluminescence (PL) and scintillation properties. All the Ce-doped samples exhibited PL and scintillation with an intense broad emission in 400-550 nm in which the origin was attributed to the 5d-4f transition of Ce3+, and the emission peak became broader with increasing the concentration of Lu3+. Both PL and scintillation decay time profiles were best-approximated by a sum of two exponential decay functions, and the origin of slower component was attributed to the 5d-4f transition of Ce3+. In the X-ray induced afterglow measurements, the Ce-doped Sr2(Gd0.4Lu0.6)8(SiO4)6O2 sample exhibited the lowest afterglow level. Furthermore, the Ce-doped Sr2(Gd0.5Lu0.5)8(SiO4)6O2 and Sr2(Gd0.4Lu0.6)8(SiO4)6O2 samples showed a clear full energy deposited peak under 5.5 MeV 241Am α-ray irradiation, and the estimated absolute scintillation light yields were around 290 and 1300 ph/5.5 MeV-α, respectively.

  19. Adsorption of transgenic insecticidal Cry1Ab protein to SiO2. 2. Patch-controlled electrostatic attraction.

    PubMed

    Madliger, Michael; Sander, Michael; Schwarzenbach, René P

    2010-12-01

    Adsorption governs the fate of Cry proteins from genetically modified Bt crops in soils. The effect of ionic strength (I) on the adsorption of Cry1Ab (isoelectric point IEP(Cry1Ab) ≈ 6) to negatively charged quartz (SiO(2)) and positively charged poly-L-lysine (PLL) was investigated at pH 5 to 8, using quartz crystal microbalance with dissipation monitoring and optical waveguide lightmode spectroscopy. Cry1Ab adsorbed via positively and negatively charged surface patches to SiO(2) and PLL, respectively. This patch controlled electrostatic attraction (PCEA) explains the observed increase in Cry1Ab adsorption to sorbents that carried the same net charge as the protein (SiO(2) at pH > IEP(Cry1Ab) and PLL at pH < IEP(Cry1Ab)) with decreasing I. In contrast, the adsorption of two reference proteins, BSA and HEWL, with different adsorption mechanism, were little affected by similar changes of I. Consistent with PCEA, Cry1Ab desorption from SiO(2) at pH > IEP(Cry1Ab) increased with increasing I and pH. Weak Cry1Ab-SiO(2) PCEA above pH 7 resulted in reversible, concentration dependent adsorption. Solution depletion experiments showed that PCEA also governed Cry1Ab adsorption to SiO(2) particles at environmentally relevant concentrations (a few ng mL(-1)). These results imply that models describing Cry1Ab adsorption to charged surfaces in soils need to account for the nonuniform surface charge distribution of the protein.

  20. Nd:YAG-CO(2) double-pulse laser induced breakdown spectroscopy of organic films.

    PubMed

    Weidman, Matthew; Baudelet, Matthieu; Palanco, Santiago; Sigman, Michael; Dagdigian, Paul J; Richardson, Martin

    2010-01-04

    Laser-induced breakdown spectroscopy (LIBS) using double-pulse irradiation with Nd:YAG and CO(2) lasers was applied to the analysis of a polystyrene film on a silicon substrate. An enhanced emission signal, compared to single-pulse LIBS using a Nd:YAG laser, was observed from atomic carbon, as well as enhanced molecular emission from C(2) and CN. This double-pulse technique was further applied to 2,4,6-trinitrotoluene residues, and enhanced LIBS signals for both atomic carbon and molecular CN emission were observed; however, no molecular C(2) emission was detected.

  1. Cr doping induced negative transverse magnetoresistance in C d3A s2 thin films

    NASA Astrophysics Data System (ADS)

    Liu, Yanwen; Tiwari, Rajarshi; Narayan, Awadhesh; Jin, Zhao; Yuan, Xiang; Zhang, Cheng; Chen, Feng; Li, Liang; Xia, Zhengcai; Sanvito, Stefano; Zhou, Peng; Xiu, Faxian

    2018-02-01

    The magnetoresistance of a material conveys various dynamic information about charge and spin carriers, inspiring both fundamental studies in physics and practical applications such as magnetic sensors, data storage, and spintronic devices. Magnetic impurities play a crucial role in the magnetoresistance as they induce exotic states of matter such as the quantum anomalous Hall effect in topological insulators and tunable ferromagnetic phases in dilute magnetic semiconductors. However, magnetically doped topological Dirac semimetals are hitherto lacking. Here, we report a systematic study of Cr-doped C d3A s2 thin films grown by molecular-beam epitaxy. With the Cr doping, C d3A s2 thin films exhibit unexpected negative transverse magnetoresistance and strong quantum oscillations, bearing a trivial Berry's phase and an enhanced effective mass. More importantly, with ionic gating the magnetoresistance of Cr-doped C d3A s2 thin films can be drastically tuned from negative to positive, demonstrating the strong correlation between electrons and the localized spins of the Cr impurities, which we interpret through the formation of magnetic polarons. Such a negative magnetoresistance under perpendicular magnetic field and its gate tunability have not been observed previously in the Dirac semimetal C d3A s2 . The Cr-induced topological phase transition and the formation of magnetic polarons in C d3A s2 provide insights into the magnetic interaction in Dirac semimetals as well as their potential applications in spintronics.

  2. Synergistic Effect of MoS2 and SiO2 Nanoparticles as Lubricant Additives for Magnesium Alloy–Steel Contacts

    PubMed Central

    Xie, Hongmei; Jiang, Bin; Hu, Xingyu; Peng, Cheng; Guo, Hongli; Pan, Fusheng

    2017-01-01

    The tribological performances of the SiO2/MoS2 hybrids as lubricant additives were explored by a reciprocating ball-on-flat tribometer for AZ31 magnesium alloy/AISI 52100 bearing steel pairs. The results demonstrated that the introduction of SiO2/MoS2 hybrids into the base oil exhibited a significant reduction in the friction coefficient and wear volume as well as an increase in load bearing capacity, which was better than the testing results of the SiO2 or MoS2 nanolubricants. Specifically, the addition of 0.1 wt % nano-SiO2 mixed with 1.0 wt % nano-MoS2 into the base oil reduced the friction coefficient by 21.8% and the wear volume by 8.6% compared to the 1.0 wt % MoS2 nanolubricants. The excellent lubrication behaviors of the SiO2/MoS2 hybrid nanolubricants can be explained by the micro-cooperation of different nanoparticles with disparate morphology and lubrication mechanisms. PMID:28644394

  3. Preparation and Characterization of ZnO Nanoparticles Supported on Amorphous SiO2

    PubMed Central

    Chen, Ying; Ding, Hao; Sun, Sijia

    2017-01-01

    In order to reduce the primary particle size of zinc oxide (ZnO) and eliminate the agglomeration phenomenon to form a monodisperse state, Zn2+ was loaded on the surface of amorphous silica (SiO2) by the hydrogen bond association between hydroxyl groups in the hydrothermal process. After calcining the precursors, dehydration condensation among hydroxyl groups occurred and ZnO nanoparticles supported on amorphous SiO2 (ZnO–SiO2) were prepared. Furthermore, the SEM and TEM observations showed that ZnO nanoparticles with a particle size of 3–8 nm were uniformly and dispersedly loaded on the surface of amorphous SiO2. Compared with pure ZnO, ZnO–SiO2 showed a much better antibacterial performance in the minimum inhibitory concentration (MIC) test and the antibacterial properties of the paint adding ZnO–SiO2 composite. PMID:28796157

  4. Spinodal decomposition in amorphous metal-silicate thin films: Phase diagram analysis and interface effects on kinetics

    NASA Astrophysics Data System (ADS)

    Kim, H.; McIntyre, P. C.

    2002-11-01

    Among several metal silicate candidates for high permittivity gate dielectric applications, the mixing thermodynamics of the ZrO2-SiO2 system were analyzed, based on previously published experimental phase diagrams. The driving force for spinodal decomposition was investigated in an amorphous silicate that was treated as a supercooled liquid solution. A subregular model was used for the excess free energy of mixing of the liquid, and measured invariant points were adopted for the calculations. The resulting simulated ZrO2-SiO2 phase diagram matched the experimental results reasonably well and indicated that a driving force exists for amorphous Zr-silicate compositions between approx40 mol % and approx90 mol % SiO2 to decompose into a ZrO2-rich phase (approx20 mol % SiO2) and SiO2-rich phase (>98 mol % SiO2) through diffusional phase separation at a temperature of 900 degC. These predictions are consistent with recent experimental reports of phase separation in amorphous Zr-silicate thin films. Other metal-silicate systems were also investigated and composition ranges for phase separation in amorphous Hf, La, and Y silicates were identified from the published bulk phase diagrams. The kinetics of one-dimensional spinodal decomposition normal to the plane of the film were simulated for an initially homogeneous Zr-silicate dielectric layer. We examined the effects that local stresses and the capillary driving force for component segregation to the interface have on the rate of spinodal decomposition in amorphous metal-silicate thin films.

  5. A Study of the Dielectric Breakdown of SiO2 Films on Si by the Self- Quenching Technique

    DTIC Science & Technology

    1974-10-01

    Cambell . Much of the early work on the breakdown of oxide films in 2 1 Q MOS structures was done by N. Klein and his coworkers...Electron Physics, 26, Academic Press. New York (1969). P. J. Harrop and D. S. Cambell , "Dielectric Properties of Thin Films," Handbook of Thin Film

  6. The effect of X-ray exposure on Ba2SiO4:Eu3+

    NASA Astrophysics Data System (ADS)

    Volhard, Max-Fabian; Jüstel, Thomas

    2018-03-01

    The ortho-silicates Ba2SiO4:Eu3+ and Ba2SiO4:Eu2+ are well-established materials for fluorescent light sources, e.g., phosphor converted LEDs. Samples containing Eu2+or Eu3+were synthesised by the solid-state-method, and the phase purity was determined by X-ray powder diffractometry. The photoluminescence of both phosphors was examined as a function of the pre-treatment. Upon irradiation of Ba2SiO4:Eu3+ with X-rays (tungsten target source), the reduction of Eu3+ towards Eu2+ was observed. This reduction behaviour was thoroughly recorded, and the linearity of the process was determined. Furthermore, the relationship between the acceleration voltage and the reduction process is discussed.

  7. Enhancement of activity and sulfur resistance of CeO2 supported on TiO2-SiO2 for the selective catalytic reduction of NO by NH3.

    PubMed

    Liu, Caixia; Chen, Liang; Li, Junhua; Ma, Lei; Arandiyan, Hamidreza; Du, Yu; Xu, Jiayu; Hao, Jiming

    2012-06-05

    A series of novel metal-oxide-supported CeO(2) catalysts were prepared via the wet impregnation method, and their NH(3)-SCR activities were investigated. The Ce/TiO(2)-SiO(2) catalyst with a Ti/Si mass ratio of 3/1 exhibited superior NH(3)-SCR activity and high N(2) selectivity in the temperature range of 250-450 °C. The characterization results revealed that the activity enhancement was correlated with the properties of the support material. Cerium was highly dispersed on the TiO(2)-SiO(2) binary metal oxide support, and the interaction of Ti and Si resulted in greater conversion of Ce(4+) to Ce(3+) on the surface of the catalyst compared to that on the single metal oxide supports. As a result of in the increased number of acid sites on Ce/TiO(2)-SiO(2) that resulted from the addition of SiO(2), the NH(3) adsorption capacity was significantly improved. All of these factors played significant roles in the high SCR activity. More importantly, Ce/TiO(2)-SiO(2) exhibited strong resistance to SO(2) and H(2)O poisoning. After the addition of SiO(2), the number of Lewis-acid sites was not decreased, but the number of Brønsted-acid sites on the TiO(2)-SiO(2) carrier was increased. The introduction of SiO(2) further weakened the alkalinity over the surface of the Ce/TiO(2)-SiO(2) catalyst, which resulted in sulfate not easily accumulating on the surface of the Ce/TiO(2)-SiO(2) catalyst in comparison with Ce/TiO(2).

  8. Fabrication of graphene oxide decorated with Fe3O4@SiO2 for immobilization of cellulase

    NASA Astrophysics Data System (ADS)

    Li, Yue; Wang, Xiang-Yu; Jiang, Xiao-Ping; Ye, Jing-Jing; Zhang, Ye-Wang; Zhang, Xiao-Yun

    2015-01-01

    Fe3O4@SiO2-graphene oxide (GO) composites were successfully fabricated by chemical binding of functional Fe3O4@SiO2 and GO and applied to immobilization of cellulase via covalent attachment. The prepared composites were further characterized by transmission electron microscopy and Fourier transform infrared spectroscopy. Fe3O4 nanoparticles (NPs) were monodisperse spheres with a mean diameter of 17 ± 0.2 nm. The thickness of SiO2 layer was calculated as being 6.5 ± 0.2 nm. The size of Fe3O4@SiO2 NPs was 24 ± 0.3 nm, similar to that of Fe3O4@SiO2-NH2. Fe3O4@SiO2-GO composites were synthesized by linking of Fe3O4@SiO2-NH2 NPs to GO with the catalysis of EDC and NHS. The prepared composites were used for immobilization of cellulase. A high immobilization yield and efficiency of above 90 % were obtained after the optimization. The half-life of immobilized cellulase (722 min) was 3.34-fold higher than that of free enzyme (216 min) at 50 °C. Compared with the free cellulase, the optimal temperature of the immobilized enzyme was not changed; but the optimal pH was shifted from 5.0 to 4.0, and the thermal stability was enhanced. The immobilized cellulase could be easily separated and reused under magnetic field. These results strongly indicate that the cellulase immobilized onto the Fe3O4@SiO2-GO composite has potential applications in the production of bioethanol.

  9. Preparation and characterization of silane-modified SiO2 particles reinforced resin composites with fluorinated acrylate polymer.

    PubMed

    Liu, Xue; Wang, Zengyao; Zhao, Chengji; Bu, Wenhuan; Na, Hui

    2018-04-01

    A series of fluorinated dental resin composites were prepared with two kinds of SiO 2 particles. Bis-GMA (bisphenol A-glycerolate dimethacrylate)/4-TF-PQEA (fluorinated acrylate monomer)/TEGDMA (triethylene glycol dimethacrylate) (40/30/30, wt/wt/wt) was introduced as resin matrix. SiO 2 nanopartices (30nm) and SiO 2 microparticles (0.3µm) were silanized with 3-methacryloxypropyl trimethoxysilane (γ-MPS) and used as fillers. After mixing the resin matrix with 0%, 10%, 20%, 30% SiO 2 nanopartices and 0%, 10%, 20%, 30%, 40%, 50% SiO 2 microparticles, respectively, the fluorinated resin composites were obtained. Properties including double bond conversion (DC), polymerization shrinkage (PS), water sorption (W p ), water solubility (W y ), mechanical properties and cytotoxicity were investigated in comparison with those of neat resin system. The results showed that, filler particles could improve the overall performance of resin composites, particularly in improving mechanical properties and reducing PS of composites along with the addition of filler loading. Compared to resin composites containing SiO 2 microparticles, SiO 2 nanoparticles resin composites had higher DC, higher mechanical properties, lower PS and lower W p under the same filler content. Especially, 50% SiO 2 microparticles reinforced resins exhibited the best flexural strength (104.04 ± 7.40MPa), flexural modulus (5.62 ± 0.16GPa), vickers microhardness (37.34 ± 1.13 HV), compressive strength (301.54 ± 5.66MPa) and the lowest polymerization (3.42 ± 0.22%). Copyright © 2018 Elsevier Ltd. All rights reserved.

  10. Oxygen defect induced photoluminescence of HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Ni, Jie; Zhou, Qin; Li, Zhengcao; Zhang, Zhengjun

    2008-07-01

    Amorphous HfO2 films prepared by e-beam deposition exhibited room-temperature photoluminescence (PL) in the visible range, i.e., at ˜620 and 700nm, due to oxygen vacancies involved during deposition. This PL can be enhanced by two orders in intensity by crystallizing the amorphous films in flowing argon, where a large amount of oxygen vacancies were introduced, and can be diminished by removal of the oxygen vacancies by annealing HfO2 films in oxygen. This study could help understand the defect-property relationship and provides ways to tune the PL property of HfO2 films.

  11. Ion irradiation synthesis of Ag-Au bimetallic nanospheroids in SiO2 glass substrate with tunable surface plasmon resonance frequency

    NASA Astrophysics Data System (ADS)

    Meng, Xuan; Shibayama, Tamaki; Yu, Ruixuan; Takayanagi, Shinya; Watanabe, Seiichi

    2013-08-01

    Ag-Au bimetallic nanospheroids with tunable localized surface plasmon resonance (LSPR) were synthesized by 100 keV Ar-ion irradiation of 30 nm Ag-Au bimetallic films deposited on SiO2 glass substrates. A shift of the LSPR peaks toward shorter wavelengths was observed up to an irradiation fluence of 1.0 × 1017 cm-2, and then shifted toward the longer wavelength because of the increase of fragment volume under ion irradiation. Further control of LSPR frequency over a wider range was realized by modifying the chemical components. The resulting LSPR frequencies lie between that of the pure components, and an approximate linear shift of the LSPR toward the longer wavelength with the Au concentration was achieved, which is in good agreement with the theoretical calculations based on Gans theory. In addition, the surface morphology and compositions were examined with a scanning electron microscope equipped with an energy dispersive spectrometer, and microstructural characterizations were performed using a transmission electron microscope. The formation of isolated photosensitive Ag-Au nanospheroids with a FCC structure partially embedded in the SiO2 substrate was confirmed, which has a potential application in solid-state devices.

  12. Ta2O5/ Al2O3/ SiO2 - antireflective coating for non-planar optical surfaces by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Pfeiffer, K.; Schulz, U.; Tünnermann, A.; Szeghalmi, A.

    2017-02-01

    Antireflective coatings are essential to improve transmittance of optical elements. Most research and development of AR coatings has been reported on a wide variety of plane optical surfaces; however, antireflection is also necessary on nonplanar optical surfaces. Physical vapor deposition (PVD), a common method for optical coatings, often results in thickness gradients on strongly curved surfaces, leading to a failure of the desired optical function. In this work, optical thin films of tantalum pentoxide, aluminum oxide and silicon dioxide were prepared by atomic layer deposition (ALD), which is based on self-limiting surface reactions. The results demonstrate that ALD optical layers can be deposited on both vertical and horizontal substrate surfaces with uniform thicknesses and the same optical properties. A Ta2O5/Al2O3/ SiO2 multilayer AR coating (400-700 nm) was successfully applied to a curved aspheric glass lens with a diameter of 50 mm and a center thickness of 25 mm.

  13. A study on electrical conductivity of chemosynthetic Al 2O 3-2SiO 2 geoploymer materials

    NASA Astrophysics Data System (ADS)

    Cui, Xue-Min; Zheng, Guang-Jian; Han, Yao-Cong; Su, Feng; Zhou, Ji

    Al 2O 3-2SiO 2 amorphous powders are synthesized by sol-gel method with tetraethoxysilane (TEOS) and aluminum nitrate (ANN) as the starting materials. The microstructure and phase structure of the powders are investigated by SEM and XRD analysis. Geopolymer materials samples are prepared by mechanically mixing stoichiometric amounts of calcined Al 2O 3-2SiO 2 powders and sodium silicate solutions to allow a mass ratio of Na 2O/Al 2O 3 = 0.4, 0.375, 0.35, 0.325, 0.288, 0.26, 0.23 or 0.2 separately, and finally to form a homogenous slurry at a fixed H 2O/Na 2O mole ratio = 11.7. The results show that the synthetic Al 2O 3-2SiO 2 powders have polycondensed property and their compressive strengthes are similar to that of nature metakaolin geopolymer materials. The results also show that the water consumption is not the main influencing factor on electrical conductivity of harden geopolymer materials but it can intensively affect the microstructure of geopolymer materials. In addition, the electrical conductivity of harden geopolymer sample is investigated, and the results show that the geopolymer materials have a high ionic electrical conductivity of about 1.5 × 10 -6 S cm -1 in air at room temperature.

  14. Atomic force microscopy investigation of growth process of organic TCNQ aggregates on SiO2 and mica substrates

    NASA Astrophysics Data System (ADS)

    Huan, Qing; Hu, Hao; Pan, Li-Da; Xiao, Jiang; Du, Shi-Xuan; Gao, Hong-Jun

    2010-08-01

    Deposition patterns of tetracyanoquinodimethane (TCNQ) molecules on different surfaces are investigated by atomic force microscopy. A homemade physical vapour deposition system allows the better control of molecule deposition. Taking advantage of this system, we investigate TCNQ thin film growth on both SiO2 and mica surfaces. It is found that dense island patterns form at a high deposition rate, and a unique seahorse-like pattern forms at a low deposition rate. Growth patterns on different substrates suggest that the fractal pattern formation is dominated by molecule-molecule interaction. Finally, a phenomenal “two-branch" model is proposed to simulate the growth process of the seahorse pattern.

  15. Facile preparation of magnetic mesoporous MnFe2O4@SiO2-CTAB composites for Cr(VI) adsorption and reduction.

    PubMed

    Li, Na; Fu, Fenglian; Lu, Jianwei; Ding, Zecong; Tang, Bing; Pang, Jiabin

    2017-01-01

    Chromium-contaminated water is regarded as one of the biggest threats to human health. In this study, a novel magnetic mesoporous MnFe 2 O 4 @SiO 2 -CTAB composite was prepared by a facile one-step modification method and applied to remove Cr(VI). X-ray diffraction, scanning electron microscopy, transmission electron microscopy, specific surface area, and vibrating sample magnetometer were used to characterize MnFe 2 O 4 @SiO 2 -CTAB composites. The morphology analysis showed that the composites displayed a core-shell structure. The outer shell was mesoporous silica with CTAB and the core was MnFe 2 O 4 nanoparticles, which ensured the easy separation by an external magnetic field. The performance of MnFe 2 O 4 @SiO 2 -CTAB composites in Cr(VI) removal was far better than that of bare MnFe 2 O 4 nanoparticles. There were two reasons for the effective removal of Cr(VI) by MnFe 2 O 4 @SiO 2 -CTAB composites: (1) mesoporous silica shell with abundant CTA + significantly enhanced the Cr(VI) adsorption capacity of the composites; (2) a portion of Cr(VI) was reduced to less toxic Cr(III) by MnFe 2 O 4 , followed by Cr(III) immobilized on MnFe 2 O 4 @SiO 2 -CTAB composites, which had been demonstrated by X-ray photoelectron spectroscopy results. The adsorption of Cr(VI) onto MnFe 2 O 4 @SiO 2 -CTAB followed the Freundlich isotherm model and pseudo-second-order model. Tests on the regeneration and reuse of the composites were performed. The removal efficiency of Cr(VI) still retained 92.4% in the sixth cycle. MnFe 2 O 4 @SiO 2 -CTAB composites exhibited a great potential for the removal of Cr(VI) from water. Copyright © 2016 Elsevier Ltd. All rights reserved.

  16. Matrix solid-phase dispersion extraction of organophosphorus pesticide using SiO2-poly(N-vinylimidazole)

    NASA Astrophysics Data System (ADS)

    Gutiérrez-Solís, M. C.; Muñoz-Rodríguez, D.; Medina-Peralta, S.; Carrera-Figueiras, C.; Ávila-Ortega, A.

    2013-06-01

    A sorbent material based on silica particles modified with poly(N-vinylimidazole) (SiO2-PVI) has been evaluated for the treatment of samples by matrix solid-phase dispersion (MSPD). The extraction of four organophosphorus pesticides was done from a spiked tomato and the extracts were analyzed by gas chromatography coupled to mass spectrometry. Six elution solvents were evaluated and acetone was selected due to better recovery of the four pesticides and low background signal in the chromatograms. A factorial design 24 was used for selection of extraction conditions. The factors were contact time, acetone volume, treatment (with or without freeze-drying) and adsorbent (SiO2 or SiO2-PVI). The best recoveries were obtained using 15 minutes of contact, 2 mL of solvent and sorbent without freeze-drying. The recoveries were between 60 and 83% for SiO2-PVI in spiked tomato with 0.2 and 0.8μg/g.

  17. Laser-induced vibration of a thin soap film.

    PubMed

    Emile, Olivier; Emile, Janine

    2014-09-21

    We report on the vibration of a thin soap film based on the optical radiation pressure force. The modulated low power laser induces a counter gravity flow in a vertical free-standing draining film. The thickness of the soap film is then higher in the upper region than in the lower region of the film. Moreover, the lifetime of the film is dramatically increased by a factor of 2. Since the laser beam only acts mechanically on the film interfaces, such a film can be employed in an optofluidic diaphragm pump, the interfaces behaving like a vibrating membrane and the liquid in-between being the fluid to be pumped. Such a pump could then be used in delicate micro-equipment, in chips where temperature variations are detrimental and even in biological systems.

  18. Salt-assisted clean transfer of continuous monolayer MoS2 film for hydrogen evolution reaction

    NASA Astrophysics Data System (ADS)

    Cho, Heung-Yeol; Nguyen, Tri Khoa; Ullah, Farman; Yun, Jong-Won; Nguyen, Cao Khang; Kim, Yong Soo

    2018-03-01

    The transfer of two-dimensional (2D) materials from one substrate to another is challenging but of great importance for technological applications. Here, we propose a facile etching and residue-free method for transferring a large-area monolayer MoS2 film continuously grown on a SiO2/Si by chemical vapor deposition. Prior to synthesis, the substrate is dropped with water- soluble perylene-3, 4, 9, 10-tetracarboxylic acid tetrapotassium salt (PTAS). The as-grown MoS2 on the substrate is simply dipped in water to quickly dissolve PTAS to yield the MoS2 film floating on the water surface, which is subsequently transferred to the desired substrate. The morphological, optical and X-ray photoelectron spectroscopic results show that our method is useful for fast and clean transfer of the MoS2 film. Specially, we demonstrate that monolayer MoS2 film transferred onto a conducting substrate leads to excellent performance for hydrogen evolution reaction with low overpotential (0.29 V vs the reversible hydrogen electrode) and Tafel slope (85.5 mV/decade).

  19. Viscosity of SiO2-"FeO"-Al2O3 System in Equilibrium with Metallic Fe

    NASA Astrophysics Data System (ADS)

    Chen, Mao; Raghunath, Sreekanth; Zhao, Baojun

    2013-08-01

    The present study delivered the measurements of viscosities in SiO2-"FeO"-Al2O3 system in equilibrium with metallic Fe. The rotational spindle technique was used in the measurements at the temperature range of 1473 K to 1773 K (1200 °C to 1500 °C). Molybdenum crucibles and spindles were employed in all measurements. The Fe saturation condition was maintained by an iron plate placed at the bottom of the crucible. The equilibrium compositions of the slags were measured by EPMA after the viscosity measurements. The effect of up to 20 mol. pct Al2O3 on the viscosity of the SiO2-"FeO" slag was investigated. The "charge compensation effect" of the Al2O3 and FeO association has been discussed. The modified quasi-chemical viscosity model has been optimized in the SiO2-"FeO"-Al2O3 system in equilibrium with metallic Fe to describe the viscosity measurements of the present study.

  20. Nanoscale charge transfer and diffusion at the MoS2/SiO2 interface by atomic force microscopy: contact injection versus triboelectrification.

    PubMed

    Xu, Rui; Ye, Shili; Xu, Kunqi; Lei, Le; Hussain, Sabir; Zheng, Zhiyue; Pang, Fei; Xing, Shuya; Liu, Xinmeng; Ji, Wei; Cheng, Zhihai

    2018-08-31

    Understanding the process of charge generation, transfer, and diffusion between two-dimensional (2D) materials and their supporting substrates is very important for potential applications of 2D materials. Compared with the systematic studies of triboelectric charging in a bulk sample, a fundamental understanding of the triboelectrification of the 2D material/insulator system is rather limited. Here, the charge transfer and diffusion of both the SiO 2 surface and MoS 2 /SiO 2 interface through contact electrification and frictional electrification are investigated systematically in situ by scanning Kelvin probe microscopy and dual-harmonic electrostatic force microscopy. Different from the simple static charge transfer between SiO 2 and the PtSi alloy atomic force microscope (AFM) tip, the charge transfer between the tip and the MoS 2 /SiO 2 system is complicated. Triboelectric charges, generated by contact or frictional electrification with the AFM tip, are trapped at the MoS 2 /SiO 2 interface and act as floating gates. The local charge discharge processes can be obtained by monitoring the surface potential. The charge decay time (τ) of the MoS 2 /SiO 2 interface is one (or two) orders of magnitude larger than the decay time τ of the SiO 2 surface. This work facilitates an understanding of the triboelectric and de-electrification of the interface between 2D materials and substrates. In addition to the charge transfer and diffusion, we demonstrate the nanopatterns of surface and interfacial charges, which have great potential for the application of self-assembly of charged nanostructures.