Sample records for ta buffer layer

  1. Improvement of the interfacial Dzyaloshinskii-Moriya interaction by introducing a Ta buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Nam-Hui; Jung, Jinyong; Cho, Jaehun

    2015-10-05

    We report systematic measurements of the interfacial Dzyaloshinskii-Moriya interaction (iDMI) by employing Brillouin light scattering in Pt/Co/AlO{sub x} and Ta/Pt/Co/AlO{sub x} structures. By introducing a tantalum buffer layer, the saturation magnetization and the interfacial perpendicular magnetic anisotropy are significantly improved due to the better interface between heavy metal and ferromagnetic layer. From the frequency shift between Stokes- and anti-Stokes spin-waves, we successively obtain considerably larger iDM energy densities (D{sub max} = 1.65 ± 0.13 mJ/m{sup 2} at t{sub Co} = 1.35 nm) upon adding the Ta buffer layer, despite the nominally identical interface materials. Moreover, the energy density shows an inverse proportionality with the Co layer thickness,more » which is the critical clue that the observed iDMI is indeed originating from the interface between the Pt and Co layers.« less

  2. Magneto-optical properties of CoFeB ultrathin films: Effect of Ta buffer and capping layer

    NASA Astrophysics Data System (ADS)

    Husain, Sajid; Gupta, Nanhe Kumar; Barwal, Vineet; Chaudhary, Sujeet

    2018-05-01

    The effect of adding Ta as a capping and buffer layer on ultrathin CFB(Co60Fe20B20) thin films has been investigated by magneto-optical Kerr effect. A large difference in the coercivity and saturation field is observed between the single layer CFB(2nm) and Ta(5nm)/CFB(2nm)/Ta(2nm) trilayer structure. In particular, the in-plane anisotropy energy is found to be 90kJ/m3 on CFB(2nm) and 2.22kJ/m3 for Ta(5nm)/CFB(2nm)/Ta(2nm) thin films. Anisotropy energy further reduced to 0.93kJ/m3 on increasing the CFB thinness in trilayer structure i.e., Ta(5nm)/CFB(4nm)/Ta(2nm). Using VSM measurement, the saturation magnetization is found to be 1230±50 kA/m. Low coercivity and anisotropy energy in capped and buffer layer thin films envisage the potential of employing CFB for low field switching applications of the spintronic devices.

  3. On buffer layers as non-reflecting computational boundaries

    NASA Technical Reports Server (NTRS)

    Hayder, M. Ehtesham; Turkel, Eli L.

    1996-01-01

    We examine an absorbing buffer layer technique for use as a non-reflecting boundary condition in the numerical simulation of flows. One such formulation was by Ta'asan and Nark for the linearized Euler equations. They modified the flow inside the buffer zone to artificially make it supersonic in the layer. We examine how this approach can be extended to the nonlinear Euler equations. We consider both a conservative and a non-conservative form modifying the governing equations in the buffer layer. We compare this with the case that the governing equations in the layer are the same as in the interior domain. We test the effectiveness of these buffer layers by a simulation of an excited axisymmetric jet based on a nonlinear compressible Navier-Stokes equations.

  4. Suppression of Leakage Current of Metal-Insulator-Semiconductor Ta2O5 Capacitors with Al2O3/SiON Buffer Layer

    NASA Astrophysics Data System (ADS)

    Tonomura, Osamu; Miki, Hiroshi; Takeda, Ken-ichi

    2011-10-01

    An Al2O3/SiO buffer layer was incorporated in a metal-insulator-semiconductor (MIS) Ta2O5 capacitor for dynamic random access memory (DRAM) application. Al2O3 was chosen for the buffer layer owing to its high band offset against silicon and oxidation resistance against increase in effective oxide thickness (EOT). It was clarified that post-deposition annealing in nitrogen at 800 °C for 600 s increased the band offset between Al2O3 and the lower electrode and decreased leakage current by two orders of magnitude at 1 V. Furthermore, we predicted and experimentally confirmed that there was an optimized value of y in (Si3N4)y(SiO2)(1-y), which is 0.58, for minimizing the leakage current and EOT of SiON. To clarify the oxidation resistance and appropriate thickness of Al2O3, a TiN/Ta2O5/Al2O3/SiON/polycrystalline-silicon capacitor was fabricated. It was confirmed that the lower electrode was not oxidized during the crystallization annealing of Ta2O5. By setting the Al2O3 thickness to 3.4 nm, the leakage current is lowered below the required value with an EOT of 3.6 nm.

  5. Improvement in temperature dependence and dielectric tunability properties of PbZr0.52Ti0.48O3 thin films using Ba(Mg1/3Ta2/3)O3 buffer layer

    NASA Astrophysics Data System (ADS)

    Wu, Zhi; Zhou, Jing; Chen, Wen; Shen, Jie; Yang, Huimin; Zhang, Shisai; Liu, Yueli

    2016-12-01

    In this paper, Pb(Zr0.52Ti0.48)O3 (PZT) thin films were prepared via sol-gel method. The effects of Ba(Mg1/3Ta2/3)O3 (BMT) buffer layer on the temperature dependence and dielectric tunability properties of PZT thin films were studied. As the thickness of BMT buffer layer increases, the tan δ and tunability of PZT thin films decrease while tunability still maintains above 10%. This result shows that BMT buffer layer can improve the dielectric tunability properties of PZT thin films. Furthermore, the temperature coefficient of the dielectric constant decreases from 2333.4 to 906.9 ppm/°C with the thickness of BMT buffer layer increasing in the range from 25 to 205 °C, indicating that BMT buffer layer can improve the temperature stability of PZT thin films. Therefore, BMT buffer layer plays a critical role in improving temperature dependence and dielectric tunability properties of PbZr0.52Ti0.48O3 thin films.

  6. Dependence of magnetic properties on different buffer layers of Mn3.5Ga thin films

    NASA Astrophysics Data System (ADS)

    Takahashi, Y.; Sato, K.; Shima, T.; Doi, M.

    2018-05-01

    D022-Mn3.5Ga thin films were prepared on MgO (100) single crystalline substrates with different buffer layer (Cr, Fe, Cr/Pt and Cr/Au) using an ultra-high-vacuum electron beam vapor deposition system. From XRD patterns, a fundamental (004) peak has clearly observed for all samples. The relatively low saturation magnetization (Ms) of 178 emu/cm3, high magnetic anisotropy (Ku) of 9.1 Merg/cm3 and low surface roughness (Ra) of 0.30 nm were obtained by D022-Mn3.5Ga film (20 nm) on Cr/Pt buffer layer at Ts = 300 °C, Ta = 400 °C (3h). These findings suggest that MnGa film on Cr/Pt buffer layer is a promising PMA layer for future spin electronics devices.

  7. Silicide formation process of Er films with Ta and TaN capping layers.

    PubMed

    Choi, Juyun; Choi, Seongheum; Kim, Jungwoo; Na, Sekwon; Lee, Hoo-Jeong; Lee, Seok-Hee; Kim, Hyoungsub

    2013-12-11

    The phase development and defect formation during the silicidation reaction of sputter-deposited Er films on Si with ∼20-nm-thick Ta and TaN capping layers were examined. TaN capping effectively prevented the oxygen incorporation from the annealing atmosphere, which resulted in complete conversion to the ErSi2-x phase. However, significant oxygen penetration through the Ta capping layer inhibited the ErSi2-x formation, and incurred the growth of several Er-Si-O phases, even consuming the ErSi2-x layer formed earlier. Both samples produced a number of small recessed defects at an early silicidation stage. However, large rectangular or square-shaped surface defects, which were either pitlike or pyramidal depending on the capping layer identity, were developed as the annealing temperature increased. The origin of different defect generation mechanisms was suggested based on the capping layer-dependent silicidation kinetics.

  8. Doped LZO buffer layers for laminated conductors

    DOEpatents

    Paranthaman, Mariappan Parans [Knoxville, TN; Schoop, Urs [Westborough, MA; Goyal, Amit [Knoxville, TN; Thieme, Cornelis Leo Hans [Westborough, MA; Verebelyi, Darren T [Oxford, MA; Rupich, Martin W [Framingham, MA

    2010-03-23

    A laminated conductor includes a metallic substrate having a surface, a biaxially textured buffer layer supported by the surface of the substrate, the biaxially textured buffer layer comprising LZO and a dopant for mitigating metal diffusion through the LZO, and a biaxially textured conductor layer supported by the biaxially textured buffer layer.

  9. Buffer layer for thin film structures

    DOEpatents

    Foltyn, Stephen R.; Jia, Quanxi; Arendt, Paul N.; Wang, Haiyan

    2006-10-31

    A composite structure including a base substrate and a layer of a mixture of strontium titanate and strontium ruthenate is provided. A superconducting article can include a composite structure including an outermost layer of magnesium oxide, a buffer layer of strontium titanate or a mixture of strontium titanate and strontium ruthenate and a top-layer of a superconducting material such as YBCO upon the buffer layer.

  10. Buffer layer for thin film structures

    DOEpatents

    Foltyn, Stephen R.; Jia, Quanxi; Arendt, Paul N.; Wang, Haiyan

    2010-06-15

    A composite structure including a base substrate and a layer of a mixture of strontium titanate and strontium ruthenate is provided. A superconducting article can include a composite structure including an outermost layer of magnesium oxide, a buffer layer of strontium titanate or a mixture of strontium titanate and strontium ruthenate and a top-layer of a superconducting material such as YBCO upon the buffer layer.

  11. Tunable magnetic and transport properties of Mn3Ga thin films on Ta/Ru seed layer

    NASA Astrophysics Data System (ADS)

    Hu, Fang; Xu, Guizhou; You, Yurong; Zhang, Zhi; Xu, Zhan; Gong, Yuanyuan; Liu, Er; Zhang, Hongguo; Liu, Enke; Wang, Wenhong; Xu, Feng

    2018-03-01

    Hexagonal D019-type Mn3Z alloys that possess large anomalous and topological-like Hall effects have attracted much attention due to their great potential in antiferromagnetic spintronic devices. Herein, we report the preparation of Mn3Ga films in both tetragonal and hexagonal phases with a tuned Ta/Ru seed layer on a thermally oxidized Si substrate. Large coercivity together with large anomalous Hall resistivity is found in the Ta-only sample with a mixed tetragonal phase. By increasing the thickness of the Ru layer, the tetragonal phase gradually disappears and a relatively pure hexagonal phase is obtained in the Ta(5)/Ru(30) buffered sample. Further magnetic and transport measurements revealed that the anomalous Hall conductivity nearly vanishes in the pure hexagonal sample, while an abnormal asymmetric hump structure emerges in the low field region. The extracted additional Hall term is robust in a large temperature range and presents a sign reversal above 200 K. The abnormal Hall properties are proposed to be closely related to the frustrated spin structure of D019 Mn3Ga.

  12. Back contact buffer layer for thin-film solar cells

    DOEpatents

    Compaan, Alvin D.; Plotnikov, Victor V.

    2014-09-09

    A photovoltaic cell structure is disclosed that includes a buffer/passivation layer at a CdTe/Back contact interface. The buffer/passivation layer is formed from the same material that forms the n-type semiconductor active layer. In one embodiment, the buffer layer and the n-type semiconductor active layer are formed from cadmium sulfide (CdS). A method of forming a photovoltaic cell includes the step of forming the semiconductor active layers and the buffer/passivation layer within the same deposition chamber and using the same material source.

  13. Characterization of Ultrathin Ta-oxide Films Formed on Ge(100) by ALD and Layer-by-Layer Methods

    NASA Astrophysics Data System (ADS)

    Mishima, K.; Murakami, H.; Ohta, A.; Sahari, S. K.; Fujioka, T.; Higashi, S.; Miyazaki, S.

    2013-03-01

    Atomic layer deposition (ALD) and Layer-by-Layer deposition of Ta-oxide films on Ge(100) with using tris (tert-butoxy) (tert-butylimido) tantalum have been studied systematically. From the analysis of the chemical bonding features of the interface between TaOx and Ge(100) using x-ray photoelectron spectroscopy (XPS), Ge atom diffusion into the Ta oxide layer and resultant TaGexOy formation during deposition at temperatures higher than 200°C were confirmed. Also, we have demonstrated that nanometer-thick deposition of Tantalum oxide as an interfacial layer effectively suppresses the formation of GeOx in the HfO2 ALD on Ge. By the combination of TaOx pre-deposition on Ge(100) and subsequent ALD of HfO2, a capacitance equivalent thickness (CET) of 1.35 nm and relative dielectric constant of 23 were achieved.

  14. Reducing interface recombination for Cu(In,Ga)Se 2 by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Li, Jian V.; Kuciauskas, Darius

    2015-07-20

    Partial CuInGaSe2 (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnOx buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II-VImore » systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  15. Reducing interface recombination for Cu(In,Ga)Se 2 by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Li, Jian V.; Kuciauskas, Darius

    2015-07-20

    Partial CuInGaSe2 (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnOx buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VImore » systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  16. Methods for improved growth of group III nitride buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Melnik, Yurity; Chen, Lu; Kojiri, Hidehiro

    Methods are disclosed for growing high crystal quality group III-nitride epitaxial layers with advanced multiple buffer layer techniques. In an embodiment, a method includes forming group III-nitride buffer layers that contain aluminum on suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. A hydrogen halide or halogen gas is flowing into the growth zone during deposition of buffer layers to suppress homogeneous particle formation. Some combinations of low temperature buffers that contain aluminum (e.g., AlN, AlGaN) and high temperature buffers that contain aluminum (e.g., AlN, AlGaN) may be used to improve crystal quality and morphologymore » of subsequently grown group III-nitride epitaxial layers. The buffer may be deposited on the substrate, or on the surface of another buffer. The additional buffer layers may be added as interlayers in group III-nitride layers (e.g., GaN, AlGaN, AlN).« less

  17. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Bent, Stacey F.; Li, Jian V.

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystallinemore » II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  18. Growth of <111>-oriented Cu layer on thin TaWN films

    NASA Astrophysics Data System (ADS)

    Takeyama, Mayumi B.; Sato, Masaru

    2017-07-01

    In this study, we examine the growth of a <111>-oriented Cu layer on a thin TaWN ternary alloy barrier for good electromigration reliability. The strongly preferentially oriented Cu(111) layer is observed on a thin TaWN barrier even in the as-deposited Cu (100 nm)/TaWN (5 nm)/Si system. Also, this system tolerates annealing at 700 °C for 1 h without silicide reaction. It is revealed that the TaWN film is one of the excellent barriers with thermal stability and low resistivity. Simultaneously, the TaWN film is a candidate for a superior underlying material to achieve the Cu(111) preferential orientation.

  19. Buffer layers for high-Tc thin films on sapphire

    NASA Technical Reports Server (NTRS)

    Wu, X. D.; Foltyn, S. R.; Muenchausen, R. E.; Cooke, D. W.; Pique, A.; Kalokitis, D.; Pendrick, V.; Belohoubek, E.

    1992-01-01

    Buffer layers of various oxides including CeO2 and yttrium-stabilized zirconia (YSZ) have been deposited on R-plane sapphire. The orientation and crystallinity of the layers were optimized to promote epitaxial growth of YBa2Cu3O(7-delta) (YBCO) thin films. An ion beam channeling minimum yield of about 3 percent was obtained in the CeO2 layer on sapphire, indicating excellent crystallinity of the buffer layer. Among the buffer materials used, CeO2 was found to be the best one for YBCO thin films on R-plane sapphire. High Tc and Jc were obtained in YBCO thin films on sapphire with buffer layers. Surface resistances of the YBCO films were about 4 mOmega at 77 K and 25 GHz.

  20. Thin film photovoltaic devices with a minimally conductive buffer layer

    DOEpatents

    Barnes, Teresa M.; Burst, James

    2016-11-15

    A thin film photovoltaic device (100) with a tunable, minimally conductive buffer (128) layer is provided. The photovoltaic device (100) may include a back contact (150), a transparent front contact stack (120), and an absorber (140) positioned between the front contact stack (120) and the back contact (150). The front contact stack (120) may include a low resistivity transparent conductive oxide (TCO) layer (124) and a buffer layer (128) that is proximate to the absorber layer (140). The photovoltaic device (100) may also include a window layer (130) between the buffer layer (128) and the absorber (140). In some cases, the buffer layer (128) is minimally conductive, with its resistivity being tunable, and the buffer layer (128) may be formed as an alloy from a host oxide and a high-permittivity oxide. The high-permittivity oxide may further be chosen to have a bandgap greater than the host oxide.

  1. AlGaSb Buffer Layers for Sb-Based Transistors

    DTIC Science & Technology

    2010-01-01

    transistor ( HEMT ), molecular beam epitaxy (MBE), field-effect transistor (FET), buffer layer INTRODUCTION High-electron-mobility transistors ( HEMTs ) with InAs...monolayers/s. The use of thinner buffer layers reduces molecular beam epitaxial growth time and source consumption. The buffer layers also exhibit...source. In addition, some of the flux from an Sb cell in a molecular beam epitaxy (MBE) system will deposit near the mouth of the cell, eventually

  2. Rare earth zirconium oxide buffer layers on metal substrates

    DOEpatents

    Williams, Robert K.; Paranthaman, Mariappan; Chirayil, Thomas G.; Lee, Dominic F.; Goyal, Amit; Feenstra, Roeland

    2001-01-01

    A laminate article comprises a substrate and a biaxially textured (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer over the substrate, wherein 0buffer layer can be deposited using sol-gel or metal-organic decomposition. The laminate article can include a layer of YBCO over the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer. A layer of CeO.sub.2 between the YBCO layer and the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer can also be include. Further included can be a layer of YSZ between the CeO.sub.2 layer and the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer. The substrate can be a biaxially textured metal, such as nickel. A method of forming the laminate article is also disclosed.

  3. Mitigation of substrate defects in reticles using multilayer buffer layers

    DOEpatents

    Mirkarimi, Paul B.; Bajt, Sasa; Stearns, Daniel G.

    2001-01-01

    A multilayer film is used as a buffer layer to minimize the size of defects on a reticle substrate prior to deposition of a reflective coating on the substrate. The multilayer buffer layer deposited intermediate the reticle substrate and the reflective coating produces a smoothing of small particles and other defects on the reticle substrate. The reduction in defect size is controlled by surface relaxation during the buffer layer growth process and by the degree of intermixing and volume contraction of the materials at the multilayer interfaces. The buffer layers are deposited at near-normal incidence via a low particulate ion beam sputtering process. The growth surface of the buffer layer may also be heated by a secondary ion source to increase the degree of intermixing and improve the mitigation of defects.

  4. Buffer layers on metal alloy substrates for superconducting tapes

    DOEpatents

    Jia, Quanxi; Foltyn, Stephen R.; Arendt, Paul N.; Groves, James R.

    2004-10-05

    An article including a substrate, at least one intermediate layer upon the surface of the substrate, a layer of an oriented cubic oxide material having a rock-salt-like structure upon the at least one intermediate layer, and a layer of a SrRuO.sub.3 buffer material upon the oriented cubic oxide material layer is provided together with additional layers such as a HTS top-layer of YBCO directly upon the layer of a SrRuO.sub.3 buffer material layer. With a HTS top-layer of YBCO upon at least one layer of the SrRuO.sub.3 buffer material in such an article, J.sub.c 's of up to 1.3.times.10.sup.6 A/cm.sup.2 have been demonstrated with projected I.sub.c 's of over 200 Amperes across a sample 1 cm wide.

  5. Buffer layers on metal alloy substrates for superconducting tapes

    DOEpatents

    Jia, Quanxi; Foltyn, Stephen R.; Arendt, Paul N.; Groves, James R.

    2004-06-29

    An article including a substrate, a layer of an inert oxide material upon the surface of the substrate, a layer of an amorphous oxide or oxynitride material upon the inert oxide material layer, a layer of an oriented cubic oxide material having a rock-salt-like structure upon the amorphous oxide material layer, and a layer of a SrRuO.sub.3 buffer material upon the oriented cubic oxide material layer is provided together with additional layers such as a HTS top-layer of YBCO directly upon the layer of a SrRuO.sub.3 buffer material layer. With a HTS top-layer of YBCO upon at least one layer of the SrRuO.sub.3 buffer material in such an article, J.sub.c 's of up to 1.3.times.10.sup.6 A/cm.sup.2 have been demonstrated with projected IC's of over 200 Amperes across a sample 1 cm wide.

  6. Direct electron injection into an oxide insulator using a cathode buffer layer

    PubMed Central

    Lee, Eungkyu; Lee, Jinwon; Kim, Ji-Hoon; Lim, Keon-Hee; Seok Byun, Jun; Ko, Jieun; Dong Kim, Young; Park, Yongsup; Kim, Youn Sang

    2015-01-01

    Injecting charge carriers into the mobile bands of an inorganic oxide insulator (for example, SiO2, HfO2) is a highly complicated task, or even impossible without external energy sources such as photons. This is because oxide insulators exhibit very low electron affinity and high ionization energy levels. Here we show that a ZnO layer acting as a cathode buffer layer permits direct electron injection into the conduction bands of various oxide insulators (for example, SiO2, Ta2O5, HfO2, Al2O3) from a metal cathode. Studies of current–voltage characteristics reveal that the current ohmically passes through the ZnO/oxide-insulator interface. Our findings suggests that the oxide insulators could be used for simply fabricated, transparent and highly stable electronic valves. With this strategy, we demonstrate an electrostatic discharging diode that uses 100-nm SiO2 as an active layer exhibiting an on/off ratio of ∼107, and protects the ZnO thin-film transistors from high electrical stresses. PMID:25864642

  7. Buffer layers for REBCO films for use in superconducting devices

    DOEpatents

    Goyal, Amit; Wee, Sung-Hun

    2014-06-10

    A superconducting article includes a substrate having a biaxially textured surface. A biaxially textured buffer layer, which can be a cap layer, is supported by the substrate. The buffer layer includes a double perovskite of the formula A.sub.2B'B''O.sub.6, where A is rare earth or alkaline earth metal and B' and B'' are different transition metal cations. A biaxially textured superconductor layer is deposited so as to be supported by the buffer layer. A method of making a superconducting article is also disclosed.

  8. Method of depositing buffer layers on biaxially textured metal substrates

    DOEpatents

    Beach, David B.; Morrell, Jonathan S.; Paranthaman, Mariappan; Chirayil, Thomas; Specht, Eliot D.; Goyal, Amit

    2002-08-27

    A laminate article comprises a substrate and a biaxially textured (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer layer over the substrate, wherein 0buffer layer can be deposited using sol-gel or metal-organic decomposition. The laminate article can include a layer of YBCO over the (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer layer. A layer of CeO.sub.2 between the YBCO layer and the (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer can also be include. Further included can be a layer of YSZ between the CeO.sub.2 layer and the (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer layer. The substrate can be a biaxially textured metal, such as nickel. A method of forming the laminate article is also disclosed.

  9. Leakage effects in n-GaAs MESFET with n-GaAs buffer layer

    NASA Technical Reports Server (NTRS)

    Wang, Y. C.; Bahrami, M.

    1983-01-01

    Whereas improvement of the interface between the active layer and the buffer layer has been demonstrated, the leakage effects can be important if the buffer layer resistivity is not sufficiently high and/or the buffer layer thickness is not sufficiently small. It was found that two buffer leakage currents exist from the channel under the gate to the source and from drain to the channel in addition to the buffer leakage resistance between drain and source. It is shown that for a 1 micron gate-length n-GaAs MESFET, if the buffer layer resistivity is 12 OHM-CM and the buffer layer thickness h is 2 microns, the performance of the device degrades drastically. It is suggested that h should be below 2 microns.

  10. Nano suboxide layer generated in Ta{sub 2}O{sub 5} by Ar{sup +} ion irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, W. D., E-mail: song-wendong@dsi.a-star.edu.sg, E-mail: ying-ji-feng@dsi.a-star.edu.sg; Ying, J. F., E-mail: song-wendong@dsi.a-star.edu.sg, E-mail: ying-ji-feng@dsi.a-star.edu.sg; He, W.

    2015-01-19

    Ta{sub 2}O{sub 5}/TaO{sub x} heterostructure has become a leading oxide layer in memory cells and/or a bidirectional selector for resistive random access memory (RRAM). Although atomic layer deposition (ALD) was found to be uniquely suitable for depositing uniform and conformal films on complex topographies, it is hard to use ALD to grow suboxide TaO{sub x} layer. In this study, tantalum oxide films with a composition of Ta{sub 2}O{sub 5} were grown by ALD. Using Ar{sup +} ion irradiation, the suboxide was formed in the top layer of Ta{sub 2}O{sub 5} films by observing the Ta core level shift toward lowermore » binding energy with angle-resolved X-ray photoelectron spectroscopy. By controlling the energy and irradiation time of an Ar{sup +} ion beam, Ta{sub 2}O{sub 5}/TaO{sub x} heterostructure can be reliably produced on ALD films, which provides a way to fabricate the critical switching layers of RRAM.« less

  11. Doped Y.sub.2O.sub.3 buffer layers for laminated conductors

    DOEpatents

    Paranthaman, Mariappan Parans [Knoxville, TN; Schoop, Urs [Westborough, MA; Goyal, Amit [Knoxville, TN; Thieme, Cornelis Leo Hans [Westborough, MA; Verebelyi, Darren T [Oxford, MA; Rupich, Martin W [Framingham, MA

    2007-08-21

    A laminated conductor includes a metallic substrate having a surface, a biaxially textured buffer layer supported by the surface of the metallic substrate, the biaxially textured buffer layer comprising Y.sub.2O.sub.3 and a dopant for blocking cation diffusion through the Y.sub.2O.sub.3, and a biaxially textured conductor layer supported by the biaxially textured buffer layer.

  12. Structural and electronic investigations of PbTa4O11 and BiTa7O19 constructed from α-U3O8 types of layers

    NASA Astrophysics Data System (ADS)

    Boltersdorf, Jonathan; Maggard, Paul A.

    2015-09-01

    The PbTa4O11 and BiTa7O19 phases were prepared by ion-exchange and solid-state methods, respectively, and their structures were characterized by neutron time-of-flight diffraction and Rietveld refinement methods (PbTa4O11, R 3 (No. 146), a=6.23700(2) Å, c=36.8613(1) Å; BiTa7O19, P 6 bar c 2 (No. 188), a=6.2197(2) Å, c=20.02981(9) Å). Their structures are comprised of layers of TaO6 octahedra surrounded by three 7-coordinate Pb(II) cations or two 8-coordinate Bi(III) cations. These layers alternate down the c-axis with α-U3O8 types of single and double TaO7 pentagonal bipyramid layers. In contrast to earlier studies, both phases are found to crystallize in noncentrosymmetric structures. Symmetry-lowering structural distortions within PbTa4O11, i.e. R 3 bar c →R3, are found to be a result of the displacement of the Ta atoms within the TaO7 and TaO6 polyhedra, towards the apical and facial oxygen atoms, respectively. In BiTa7O19, relatively lower reaction temperatures leads to an ordering of the Bi/Ta cations within a lower-symmetry structure, i.e., P63/mcm→ P 6 bar c 2 . In the absence of Bi/Ta site disorder, the Ta-O-Ta bond angles decrease and the Ta-O bond distances increase within the TaO7 double layers. Scanning electron microscopy images reveal two particle morphologies for PbTa4O11, hexagonal rods and finer irregularly-shaped particles, while BiTa7O19 forms as aggregates of irregularly-shaped particles. Electronic-structure calculations confirm the highest-energy valence band states are comprised of O 2p-orbitals and the respective Pb 6s-orbital and Bi 6s-orbital contributions. The lowest-energy conduction band states are composed of Ta 5d-orbital contributions that are delocalized over the TaO6 octahedra and layers of TaO7 pentagonal bipyramids. The symmetry-lowering distortions in the PbTa4O11 structure, and the resulting effects on its electronic structure, lead to its relatively higher photocatalytic activity compared to similar structures without

  13. Selective excitation of window and buffer layers in chalcopyrite devices and modules

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Glynn, Stephen; Repins, Ingrid L.; Burst, James M.

    Window and buffer layers in chalcopyrite devices are well known to affect junctions, conduction, and photo-absorption properties of the device. Some of these layers, particularly 'buffers,' which are deposited directly on top of the absorber, exhibit metastable effects upon exposure to light. Thus, to understand device performance and/or metastability, it is sometimes desirable to selectively excite different layers in the device stack. Absorption characteristics of various window and buffer layers used in chalcopyrite devices are measured. These characteristics are compared with emission spectra of common and available light sources that might be used to optically excite such layers. Effects ofmore » the window and buffer absorption on device quantum efficiency and metastability are discussed. For the case of bath-deposited Zn(O,S) buffers, we conclude that this layer is not optically excited in research devices or modules. Furthermore, this provides a complimentary mechanism to the chemical differences that may cause long time constants (compared to devices with CdS buffers) associated with reaching a stable 'light-soaked' state.« less

  14. Selective excitation of window and buffer layers in chalcopyrite devices and modules

    DOE PAGES

    Glynn, Stephen; Repins, Ingrid L.; Burst, James M.; ...

    2018-02-02

    Window and buffer layers in chalcopyrite devices are well known to affect junctions, conduction, and photo-absorption properties of the device. Some of these layers, particularly 'buffers,' which are deposited directly on top of the absorber, exhibit metastable effects upon exposure to light. Thus, to understand device performance and/or metastability, it is sometimes desirable to selectively excite different layers in the device stack. Absorption characteristics of various window and buffer layers used in chalcopyrite devices are measured. These characteristics are compared with emission spectra of common and available light sources that might be used to optically excite such layers. Effects ofmore » the window and buffer absorption on device quantum efficiency and metastability are discussed. For the case of bath-deposited Zn(O,S) buffers, we conclude that this layer is not optically excited in research devices or modules. Furthermore, this provides a complimentary mechanism to the chemical differences that may cause long time constants (compared to devices with CdS buffers) associated with reaching a stable 'light-soaked' state.« less

  15. Thin TiOx layer as a voltage divider layer located at the quasi-Ohmic junction in the Pt/Ta2O5/Ta resistance switching memory.

    PubMed

    Li, Xiang Yuan; Shao, Xing Long; Wang, Yi Chuan; Jiang, Hao; Hwang, Cheol Seong; Zhao, Jin Shi

    2017-02-09

    Ta 2 O 5 has been an appealing contender for the resistance switching random access memory (ReRAM). The resistance switching (RS) in this material is induced by the repeated formation and rupture of the conducting filaments (CFs) in the oxide layer, which are accompanied by the almost inevitable randomness of the switching parameters. In this work, a 1 to 2 nm-thick Ti layer was deposited on the 10 nm-thick Ta 2 O 5 RS layer, which greatly improved the RS performances, including the much-improved switching uniformity. The Ti metal layer was naturally oxidized to TiO x (x < 2) and played the role of a series resistor, whose resistance value was comparable to the on-state resistance of the Ta 2 O 5 RS layer. The series resistor TiO x efficiently suppressed the adverse effects of the voltage (or current) overshooting at the moment of switching by the appropriate voltage partake effect, which increased the controllability of the CF formation and rupture. The switching cycle endurance was increased by two orders of magnitude even during the severe current-voltage sweep tests compared with the samples without the thin TiO x layer. The Ti deposition did not induce any significant overhead to the fabrication process, making the process highly promising for the mass production of a reliable ReRAM.

  16. Enhanced adhesion for LIGA microfabrication by using a buffer layer

    DOEpatents

    Bajikar, Sateesh S.; De Carlo, Francesco; Song, Joshua J.

    2004-01-27

    The present invention is an improvement on the LIGA microfabrication process wherein a buffer layer is applied to the upper or working surface of a substrate prior to the placement of a resist onto the surface of the substrate. The buffer layer is made from an inert low-Z material (low atomic weight), a material that absorbs secondary X-rays emissions from the substrate that are generated from the substrate upon exposure to a primary X-rays source. Suitable materials for the buffer layer include polyamides and polyimide. The preferred polyimide is synthesized form pyromellitic anhydride and oxydianiline (PMDA-ODA).

  17. Enhanced adhesion for LIGA microfabrication by using a buffer layer

    DOEpatents

    Bajikar, Sateesh S.; De Carlo, Francesco; Song, Joshua J.

    2001-01-01

    The present invention is an improvement on the LIGA microfabrication process wherein a buffer layer is applied to the upper or working surface of a substrate prior to the placement of a resist onto the surface of the substrate. The buffer layer is made from an inert low-Z material (low atomic weight), a material that absorbs secondary X-rays emissions from the substrate that are generated from the substrate upon exposure to a primary X-rays source. Suitable materials for the buffer layer include polyamides and polyimide. The preferred polyimide is synthesized form pyromellitic anhydride and oxydianiline (PMDA-ODA).

  18. Study on electrical defects level in single layer two-dimensional Ta2O5

    NASA Astrophysics Data System (ADS)

    Dahai, Li; Xiongfei, Song; Linfeng, Hu; Ziyi, Wang; Rongjun, Zhang; Liangyao, Chen; David, Wei Zhang; Peng, Zhou

    2016-04-01

    Two-dimensional atomic-layered material is a recent research focus, and single layer Ta2O5 used as gate dielectric in field-effect transistors is obtained via assemblies of Ta2O5 nanosheets. However, the electrical performance is seriously affected by electronic defects existing in Ta2O5. Therefore, spectroscopic ellipsometry is used to calculate the transition energies and corresponding probabilities for two different charged oxygen vacancies, whose existence is revealed by x-ray photoelectron spectroscopy analysis. Spectroscopic ellipsometry fitting also calculates the thickness of single layer Ta2O5, exhibiting good agreement with atomic force microscopy measurement. Nondestructive and noncontact spectroscopic ellipsometry is appropriate for detecting the electrical defects level of single layer Ta2O5. Project supported by the National Natural Science Foundation of China (Grant Nos. 11174058 and 61376093), the Fund from Shanghai Municipal Science and Technology Commission (Grant No. 13QA1400400), the National Science and Technology Major Project, China (Grant No. 2011ZX02707), and the Innovation Program of Shanghai Municipal Education Commission (Grant No. 12ZZ010).

  19. Photo-induced wettability of TiO{sub 2} film with Au buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Purkayastha, Debarun Dhar; Sangani, L. D. Varma; Krishna, M. Ghanashyam

    2014-04-24

    The effect of thickness of Au buffer layer (15-25 nm) between TiO{sub 2} film and substrate on the wettability of TiO{sub 2} films is reported. TiO{sub 2} films grown on Au buffer layer have a higher contact angle of 96-;100° as compared to 47.6o for the film grown without buffer layer. The transition from hydrophobicity to hydrophilicity under UV irradiation occurs within 10 min. for the buffer layered films whereas it is almost 30 min. for the film grown without buffer layer. The enhanced photo induced hydrophilicity is shown to be surface energy driven.

  20. Matching characteristics of different buffer layers with VO2 thin films

    NASA Astrophysics Data System (ADS)

    Yang, Kai; Zhang, Dongping; Liu, Yi; Guan, Tianrui; Qin, Xiaonan; Zhong, Aihua; Cai, Xingmin; Fan, Ping; Lv, Weizhong

    2016-10-01

    VO2 thin films were fabricated by reactive DC magnetron sputtering on different buffer layers of MgF2, Al2O3 and TiO2, respectively. The crystallinity and orientation relationship, thickness of VO2 thin films, atoms vibrational modes, optical and electrical property, surface morphology of films were characterized by X-ray diffraction, Raman scattering microscopy, step profiler, spectrophotometer, four-probe technique, and scanning electron microscopy, respectively. XRD results investigated that the films have preferential crystalline planes VO2 (011). The crystallinity of VO2 films grown on TiO2 buffer layers are superior to VO2 directly deposited on soda-lime glass. The Raman bands of the VO2 films correspond to an Ag symmetry mode of VO2 (M). The sample prepared on 100nm TiO2 buffer layer appears nanorods structure, and exhibits remarkable solar energy modulation ability as high as 5.82% in full spectrum and 23% in near infrared spectrum. Cross-sectional SEM image of the thin films samples indicate that MgF2 buffer layer has clear interface with VO2 layer. But there are serious interdiffusion phenomenons between Al2O3, TiO2 buffer layer with VO2 layer.

  1. Current isolating epitaxial buffer layers for high voltage photodiode array

    DOEpatents

    Morse, Jeffrey D.; Cooper, Gregory A.

    2002-01-01

    An array of photodiodes in series on a common semi-insulating substrate has a non-conductive buffer layer between the photodiodes and the semi-insulating substrate. The buffer layer reduces current injection leakage between the photodiodes of the array and allows optical energy to be converted to high voltage electrical energy.

  2. Simulation study on single event burnout in linear doping buffer layer engineered power VDMOSFET

    NASA Astrophysics Data System (ADS)

    Yunpeng, Jia; Hongyuan, Su; Rui, Jin; Dongqing, Hu; Yu, Wu

    2016-02-01

    The addition of a buffer layer can improve the device's secondary breakdown voltage, thus, improving the single event burnout (SEB) threshold voltage. In this paper, an N type linear doping buffer layer is proposed. According to quasi-stationary avalanche simulation and heavy ion beam simulation, the results show that an optimized linear doping buffer layer is critical. As SEB is induced by heavy ions impacting, the electric field of an optimized linear doping buffer device is much lower than that with an optimized constant doping buffer layer at a given buffer layer thickness and the same biasing voltages. Secondary breakdown voltage and the parasitic bipolar turn-on current are much higher than those with the optimized constant doping buffer layer. So the linear buffer layer is more advantageous to improving the device's SEB performance. Project supported by the National Natural Science Foundation of China (No. 61176071), the Doctoral Fund of Ministry of Education of China (No. 20111103120016), and the Science and Technology Program of State Grid Corporation of China (No. SGRI-WD-71-13-006).

  3. GaAs buffer layer technique for vertical nanowire growth on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Xiaoqing, E-mail: steelxu@stanford.edu; Parizi, Kokab B.; Huo, Yijie

    2014-02-24

    Gold catalyzed vapor-liquid-solid method is widely applied to III–V nanowire (NW) growth on Si substrate. However, the easy oxidation of Si, possible Si contamination in the NWs, high defect density in the NWs, and high sensitivity of the NW morphology to growth conditions largely limit its controllability. In this work, we developed a buffer layer technique by introducing a GaAs thin film with predefined polarity as a template. It is found that samples grown on these buffer layers all have high vertical NW yields in general, due to the single-orientation of the buffer layers. Low temperature buffer with smoother surfacemore » leads to highest yield of vertical NWs, while high temperature (HT) buffer with better crystallinity results in perfect NW quality. The defect-free property we observed here is very promising for optoelectronic device applications based on GaAs NW. Moreover, the buffer layers can eliminate Si contamination by preventing Si-Au alloy formation and by increasing the thickness of the Si diffusion barrier, thus providing more flexibility to vertical NW growth. The buffer layer technique we demonstrated here could be easily extended to other III-V on Si system for electronic and photonic applications.« less

  4. Effect of ZnO seed layer on the morphology and optical properties of ZnO nanorods grown on GaN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nandi, R., E-mail: rajunandi@iitb.ac.in; Mohan, S., E-mail: rajunandi@iitb.ac.in; Major, S. S.

    2014-04-24

    ZnO nanorods were grown by chemical bath deposition on sputtered, polycrystalline GaN buffer layers with and without ZnO seed layer. Scanning electron microscopy and X-ray diffraction show that the ZnO nanorods on GaN buffer layers are not vertically well aligned. Photoluminescence spectrum of ZnO nanorods grown on GaN buffer layer, however exhibits a much stronger near-band-edge emission and negligible defect emission, compared to the nanorods grown on ZnO buffer layer. These features are attributed to gallium incorporation at the ZnO-GaN interface. The introduction of a thin (25 nm) ZnO seed layer on GaN buffer layer significantly improves the morphology andmore » vertical alignment of ZnO-NRs without sacrificing the high optical quality of ZnO nanorods on GaN buffer layer. The presence of a thick (200 nm) ZnO seed layer completely masks the effect of the underlying GaN buffer layer on the morphology and optical properties of nanorods.« less

  5. Characterization of Cu buffer layers for growth of L10-FeNi thin films

    NASA Astrophysics Data System (ADS)

    Mizuguchi, M.; Sekiya, S.; Takanashi, K.

    2010-05-01

    A Cu(001) layer was fabricated on a Au(001) layer to investigate the use of Cu as a buffer layer for growing L10-FeNi thin films. The epitaxial growth of a Cu buffer layer was observed using reflection high-energy electron diffraction. The flatness of the layer improved drastically with an increase in the substrate temperature although the layer was an alloy (AuCu3). An FeNi thin film was epitaxially grown on the AuCu3 buffer layer by alternate monatomic layer deposition and the formation of an L10-FeNi ordered alloy was expected. The AuCu3 buffer layer is thus a promising candidate material for the growth of L10-FeNi thin films.

  6. Method of depositing an electrically conductive oxide buffer layer on a textured substrate and articles formed therefrom

    DOEpatents

    Paranthaman, M. Parans; Aytug, Tolga; Christen, David K.

    2005-10-18

    An article with an improved buffer layer architecture includes a substrate having a textured metal surface, and an electrically conductive lanthanum metal oxide epitaxial buffer layer on the surface of the substrate. The article can also include an epitaxial superconducting layer deposited on the epitaxial buffer layer. An epitaxial capping layer can be placed between the epitaxial buffer layer and the superconducting layer. A method for preparing an epitaxial article includes providing a substrate with a metal surface and depositing on the metal surface a lanthanum metal oxide epitaxial buffer layer. The method can further include depositing a superconducting layer on the epitaxial buffer layer, and depositing an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer.

  7. Method of depositing an electrically conductive oxide buffer layer on a textured substrate and articles formed therefrom

    DOEpatents

    Paranthaman, M. Parans; Aytug, Tolga; Christen, David K.

    2003-09-09

    An article with an improved buffer layer architecture includes a substrate having a textured metal surface, and an electrically conductive lanthanum metal oxide epitaxial buffer layer on the surface of the substrate. The article can also include an epitaxial superconducting layer deposited on the epitaxial buffer layer. An epitaxial capping layer can be placed between the epitaxial buffer layer and the superconducting layer. A method for preparing an epitaxial article includes providing a substrate with a metal surface and depositing on the metal surface a lanthanum metal oxide epitaxial buffer layer. The method can further include depositing a superconducting layer on the epitaxial buffer layer, and depositing an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer.

  8. MgO buffer layers on rolled nickel or copper as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Goyal, Amit; Kroeger, Donald M.; List, III, Frederic A.

    2001-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled-Ni and/or Cu substrates for high current conductors, and more particularly buffer layer architectures such as MgO/Ag/Pt/Ni, MgO/Ag/Pd/Ni, MgO/Ag/Ni, MgO/Ag/Pd/Cu, MgO/Ag/Pt/Cu, and MgO/Ag/Cu. Techniques used to deposit these buffer layers include electron beam evaporation, thermal evaporation, rf magnetron sputtering, pulsed laser deposition, metal-organic chemical vapor deposition (MOCVD), combustion CVD, and spray pyrolysis.

  9. Impact of total ionizing dose irradiation on Pt/SrBi2Ta2O9/HfTaO/Si memory capacitors

    NASA Astrophysics Data System (ADS)

    Yan, S. A.; Zhao, W.; Guo, H. X.; Xiong, Y.; Tang, M. H.; Li, Z.; Xiao, Y. G.; Zhang, W. L.; Ding, H.; Chen, J. W.; Zhou, Y. C.

    2015-01-01

    In this work, metal-ferroelectric-insulator-semiconductor (MFIS) structure capacitors with SrBi2Ta2O9 (300 nm) as ferroelectric thin film and HfTaO (6 nm, 8 nm, 10 nm, and 12 nm) as insulating buffer layer were proposed and investigated. The prepared capacitors were fabricated and characterized before radiation and then subjected to 60Co gamma irradiation in steps of two dose levels. Significant irradiation-induced degradation of the electrical characteristics was observed. The radiation experimental results indicated that stability and reliability of as-fabricated MFIS capacitors for nonvolatile memory applications could become uncontrollable under strong irradiation dose and/or long irradiation time.

  10. Critical CuI buffer layer surface density for organic molecular crystal orientation change

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahn, Kwangseok; Kim, Jong Beom; Lee, Dong Ryeol, E-mail: drlee@ssu.ac.kr

    We have determined the critical surface density of the CuI buffer layer inserted to change the preferred orientation of copper phthalocyanine (CuPc) crystals grown on the buffer layer. X-ray reflectivity measurements were performed to obtain the density profiles of the buffer layers and out-of-plane and 2D grazing-incidence X-ray diffraction measurements were performed to determine the preferred orientations of the molecular crystals. Remarkably, it was found that the preferred orientation of the CuPc film is completely changed from edge-on (1 0 0) to face-on (1 1 −2) by a CuI buffer layer with a very low surface density, so low thatmore » a large proportion of the substrate surface is bare.« less

  11. Effect of a CoFeB layer on the anisotropic magnetoresistance of Ta/CoFeB/MgO/NiFe/MgO/CoFeB/Ta films

    NASA Astrophysics Data System (ADS)

    Li, Minghua; Shi, Hui; Dong, Yuegang; Ding, Lei; Han, Gang; Zhang, Yao; Liu, Ye; Yu, Guanghua

    2017-10-01

    The anisotropic magnetoresistance (AMR) and magnetic properties of NiFe films can be remarkably enhanced via CoFeB layer. In the case of an ultrathin NiFe film having a Ta/CoFeB/MgO/NiFe/MgO/CoFeB/Ta structure, the CoFeB/MgO layers suppressed the formation of magnetic dead layers and the interdiffusions and interface reactions between the NiFe and Ta layers. The AMR reached a maximum value of 3.56% at 450 °C. More importantly, a single NiFe (1 1 1) peak can be formed resulting in higher AMR values for films having CoFeB layer. This enhanced AMR also originated from the significant specular reflection of electrons owing to the crystalline MgO layer, together with the sharp interfaces with the NiFe layer. These factors together resulted in higher AMR and improved magnetic properties.

  12. Color tone and interfacial microstructure of white oxide layer on commercially pure Ti and Ti-Nb-Ta-Zr alloys

    NASA Astrophysics Data System (ADS)

    Miura-Fujiwara, Eri; Mizushima, Keisuke; Watanabe, Yoshimi; Kasuga, Toshihiro; Niinomi, Mitsuo

    2014-11-01

    In this study, the relationships among oxidation condition, color tone, and the cross-sectional microstructure of the oxide layer on commercially pure (CP) Ti and Ti-36Nb-2Ta-3Zr-0.3O were investigated. “White metals” are ideal metallic materials having a white color with sufficient strength and ductility like a metal. Such materials have long been sought for in dentistry. We have found that the specific biomedical Ti alloys, such as CP Ti, Ti-36Nb-2Ta-3Zr-0.3O, and Ti-29Nb-13Ta-4.6Zr, form a bright yellowish-white oxide layer after a particular oxidation heat treatment. The brightness L* and yellowness +b* of the oxide layer on CP Ti and Ti-36Nb-2Ta-3Zr-0.3O increased with heating time and temperature. Microstructural observations indicated that the oxide layer on Ti-29Nb-13Ta-4.6Zr and Ti-36Nb-2Ta-3Zr-0.3O was dense and firm, whereas a piecrust-like layer was formed on CP Ti. The results obtained in this study suggest that oxide layer coating on Ti-36Nb-2Ta-3Zr-0.3O is an excellent technique for dental applications.

  13. Buffer layers on biaxially textured metal substrates

    DOEpatents

    Shoup, Shara S.; Paranthamam, Mariappan; Beach, David B.; Kroeger, Donald M.; Goyal, Amit

    2001-01-01

    A method is disclosed for forming a biaxially textured buffer layer on a biaxially oriented metal substrate by using a sol-gel coating technique followed by pyrolyzing/annealing in a reducing atmosphere. This method is advantageous for providing substrates for depositing electronically active materials thereon.

  14. Diamond field effect transistors with a high-dielectric constant Ta2O5 as gate material

    NASA Astrophysics Data System (ADS)

    Liu, J.-W.; Liao, M.-Y.; Imura, M.; Watanabe, E.; Oosato, H.; Koide, Y.

    2014-06-01

    A Ta2O5/Al2O3 bilayer gate oxide with a high-dielectric constant (high-k) has been successfully applied to a hydrogenated-diamond (H-diamond) metal-insulator-semiconductor field effect transistor (MISFET). The Ta2O5 layer is prepared by a sputtering-deposition (SD) technique on the Al2O3 buffer layer fabricated by an atomic layer deposition (ALD) technique. The ALD-Al2O3 plays an important role to eliminate plasma damage for the H-diamond surface during SD-Ta2O5 deposition. The dielectric constants of the SD-Ta2O5/ALD-Al2O3 bilayer and single SD-Ta2O5 are as large as 12.7 and 16.5, respectively. The k value of the single SD-Ta2O5 in this study is in good agreement with that of the SD-Ta2O5 on oxygen-terminated diamond. The capacitance-voltage characteristic suggests low interfacial trapped charge density for the SD-Ta2O5/ALD-Al2O3/H-diamond MIS diode. The MISFET with a gate length of 4 µm has a drain current maximum and an extrinsic transconductance of -97.7 mA mm-1 (normalized by gate width) and 31.0 ± 0.1 mS mm-1, respectively. The effective mobility in the H-diamond channel layer is found to be 70.1 ± 0.5 cm2 V-1 s-1.

  15. Thickness effect of ultra-thin Ta2O5 resistance switching layer in 28 nm-diameter memory cell

    NASA Astrophysics Data System (ADS)

    Park, Tae Hyung; Song, Seul Ji; Kim, Hae Jin; Kim, Soo Gil; Chung, Suock; Kim, Beom Yong; Lee, Kee Jeung; Kim, Kyung Min; Choi, Byung Joon; Hwang, Cheol Seong

    2015-11-01

    Resistance switching (RS) devices with ultra-thin Ta2O5 switching layer (0.5-2.0 nm) with a cell diameter of 28 nm were fabricated. The performance of the devices was tested by voltage-driven current—voltage (I-V) sweep and closed-loop pulse switching (CLPS) tests. A Ta layer was placed beneath the Ta2O5 switching layer to act as an oxygen vacancy reservoir. The device with the smallest Ta2O5 thickness (0.5 nm) showed normal switching properties with gradual change in resistance in I-V sweep or CLPS and high reliability. By contrast, other devices with higher Ta2O5 thickness (1.0-2.0 nm) showed abrupt switching with several abnormal behaviours, degraded resistance distribution, especially in high resistance state, and much lower reliability performance. A single conical or hour-glass shaped double conical conducting filament shape was conceived to explain these behavioural differences that depended on the Ta2O5 switching layer thickness. Loss of oxygen via lateral diffusion to the encapsulating Si3N4/SiO2 layer was suggested as the main degradation mechanism for reliability, and a method to improve reliability was also proposed.

  16. Toward Exploring the Structure of Monolayer to Few-layer TaS2 by Efficient Ultrasound-free Exfoliation

    NASA Astrophysics Data System (ADS)

    Hu, Yiwei; Hao, Qiaoyan; Zhu, Baichuan; Li, Biao; Gao, Zhan; Wang, Yan; Tang, Kaibin

    2018-01-01

    Tantalum disulfide nanosheets have attracted great interest due to its electronic properties and device applications. Traditional solution-ased ultrasonic process is limited by ultrasound which may cause the disintegration into submicron-sized flake. Here, an efficient multi-step intercalation and ultrasound-free process has been successfully used to exfoliate 1T-TaS2. The obtained TaS2 nanosheets reveal an average thickness of 3 nm and several micrometers in size. The formation of few-layer TaS2 nanosheets as well as monolayer TaS2 sheets is further confirmed by atomic force microscopy images. The few-layer TaS2 nanosheets remain the 1T structure, whereas monolayer TaS2 sheets show lattice distortion and may adopt the 1H-like structure with trigonal prism coordination.

  17. Method of deforming a biaxially textured buffer layer on a textured metallic substrate and articles therefrom

    DOEpatents

    Lee, Dominic F.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    The present invention provides methods and biaxially textured articles having a deformed epitaxial layer formed therefrom for use with high temperature superconductors, photovoltaic, ferroelectric, or optical devices. A buffer layer is epitaxially deposited onto biaxially-textured substrates and then mechanically deformed. The deformation process minimizes or eliminates grooves, or other irregularities, formed on the buffer layer while maintaining the biaxial texture of the buffer layer. Advantageously, the biaxial texture of the buffer layer is not altered during subsequent heat treatments of the deformed buffer. The present invention provides mechanical densification procedures which can be incorporated into the processing of superconducting films through the powder deposit or precursor approaches without incurring unfavorable high-angle grain boundaries.

  18. Buffer layer between a planar optical concentrator and a solar cell

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Solano, Manuel E.; Barber, Greg D.; Department of Chemistry, Pennsylvania State University, University Park, PA 16802

    2015-09-15

    The effect of inserting a buffer layer between a periodically multilayered isotropic dielectric (PMLID) material acting as a planar optical concentrator and a photovoltaic solar cell was theoretically investigated. The substitution of the photovoltaic material by a cheaper dielectric material in a large area of the structure could reduce the fabrication costs without significantly reducing the efficiency of the solar cell. Both crystalline silicon (c-Si) and gallium arsenide (GaAs) were considered as the photovoltaic material. We found that the buffer layer can act as an antireflection coating at the interface of the PMLID and the photovoltaic materials, and the structuremore » increases the spectrally averaged electron-hole pair density by 36% for c-Si and 38% for GaAs compared to the structure without buffer layer. Numerical evidence indicates that the optimal structure is robust with respect to small changes in the grating profile.« less

  19. Transparent ALD-grown Ta2O5 protective layer for highly stable ZnO photoelectrode in solar water splitting.

    PubMed

    Li, Chengcheng; Wang, Tuo; Luo, Zhibin; Zhang, Dong; Gong, Jinlong

    2015-04-30

    This communication describes a highly stable ZnO/Ta2O5 photoanode with Ta2O5 deposited by atomic layer deposition. The ultrathin Ta2O5 protective layer prevents corrosion of ZnO and reduces surface carrier recombination, leading to a nearly two-fold increase of photo-conversion efficiency. The transparency of Ta2O5 to sunlight is identified as the main reason for the excellent stability of the photoelectrode for 5 hours.

  20. Interface perpendicular magnetic anisotropy in ultrathin Ta/NiFe/Pt layered structures

    NASA Astrophysics Data System (ADS)

    Hirayama, Shigeyuki; Kasai, Shinya; Mitani, Seiji

    2018-01-01

    Interface perpendicular magnetic anisotropy (PMA) in ultrathin Ta/NiFe/Pt layered structures was investigated through magnetization measurements. Ta/NiFe/Pt films with NiFe layer thickness (t) values of 2 nm or more showed typical in-plane magnetization curves, which was presumably due to the dominant contribution of the shape magnetic anisotropy. The thickness dependence of the saturation magnetization of the entire NiFe layer (M s) was well analyzed using the so-called dead-layer model, showing that the magnetically active part of the NiFe layer has saturation magnetization (M\\text{s}\\text{act}) independent of t and comparable to the bulk value. In the perpendicular direction, the saturation field H k was found to clearly decrease with decreasing t, while the effective field of shape magnetic anisotropy due to the active NiFe saturation magnetization M\\text{s}\\text{act} should be independent of t. These observations show that there exists interface PMA in the layered structures. The interface PMA energy density was determined to be ∼0.17 erg/cm2 using the dead-layer model. Motivated by the correlation observed between M s and H k, we also attempted to interpret the experimental results using an alternative approach beyond the dead-layer model; however, it gives only implications on the incomplete validity of the dead-layer model and no better understanding.

  1. Buffer Layer Effects on Tandem InGaAs TPV Devices

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Wehrer, Rebecca J.; Maurer, William F.

    2004-01-01

    Single junction indium gallium arsenide (InGaAs) based TPV devices have demonstrated efficiencies in excess of 20% at radiator temperatures of 1058 C. Modeling suggests that efficiency improvements in single bandgap devices should continue although they will eventually plateau. One approach for extending efficiencies beyond the single bandgap limit is to follow the technique taken in the solar cell field, namely tandem TPV cells. Tandem photovoltaic devices are traditionally composed of cells of decreasing bandgap, connected electrically and optically in series. The incident light impinges upon the highest bandgap first. This device acts as a sieve, absorbing the high-energy photons, while allowing the remainder to pass through to the underlying cell(s), and so on. Tandem devices reduce the energy lost to overexcitation as well as reducing the current density (Jsc). Reduced Jsc results in lower resistive losses and enables the use of thinner and lower doped lateral current conducting layers as well as a higher pitch grid design. Fabricating TPV tandem devices utilizing InGaAs for all of the component cells in a two cell tandem necessitates the inclusion of a buffer layer in-between the high bandgap device (In0.53 Ga0.47As - 0.74eV) and the low bandgap device (In0.66Ga0.34As - 0.63eV) to accommodate the approximately 1% lattice strain generated due to the change in InGaAs composition. To incorporate only a single buffer layer structure, we have investigated the use of the indium phosphide (InP) substrate as a superstrate. Thus the high-bandgap, lattice- matched device is deposited first, followed by the buffer structure and the low-bandgap cell. The near perfect transparency of the high bandgap (1.35eV) iron-doped InP permits the device to be oriented such that the light enters through the substrate. In this paper we examine the impact of the buffer layer on the underlying lattice-matched InGaAs device. 0.74eV InGaAs devices were produced in a variety of

  2. Influence of inserted Mo layer on the thermal stability of perpendicularly magnetized Ta/Mo/Co{sub 20}Fe{sub 60}B{sub 20}/MgO/Ta films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Minghua, E-mail: mhli@ustb.edu.cn; Department of Electrical Engineering, University of California, Los Angeles, California 90095; Lu, Jinhui

    2016-04-15

    We studied the thermal stability of perpendicular magnetic anisotropy (PMA) in Ta/Mo/CoFeB/MgO/Ta films with and without inserted Mo layers. In the absence of a Mo layer, the films show PMA at annealing temperatures below 300 °C. On the other hand, the insertion of a Mo layer preserves PMA at annealing temperatures of up to 500 °C; however, a higher annealing temperature leads to the collapse of PMA. X-ray photoelectron spectroscopy (XPS) and high-resolution transmission electron microscopy (HRTEM) were used to study the microstructure of the films to understand the deterioration of PMA. The XPS results show that the segregation ofmore » Ta is partly suppressed by inserting a Mo layer. Once inserted, Mo does not remain at the interface of Ta and CoFeB but migrates to the surface of the films. The HRTEM results show that the crystallization of the MgO (001) texture is improved owing to the higher annealing temperature of the Mo inserted sample. A smooth and clear CoFeB/MgO interface is evident. The inserted Mo layer not only helps to obtain sharper and smoother interfaces but also contributes to the crystallization after the higher annealing temperature of films.« less

  3. Tunneling Injection and Exciton Diffusion of White Organic Light-Emitting Diodes with Composed Buffer Layers

    NASA Astrophysics Data System (ADS)

    Yang, Su-Hua; Wu, Jian-Ping; Huang, Tao-Liang; Chung, Bin-Fong

    2018-02-01

    Four configurations of buffer layers were inserted into the structure of a white organic light emitting diode, and their impacts on the hole tunneling-injection and exciton diffusion processes were investigated. The insertion of a single buffer layer of 4,4'-bis(carbazol-9-yl)biphenyl (CBP) resulted in a balanced carrier concentration and excellent color stability with insignificant chromaticity coordinate variations of Δ x < 0.023 and Δ y < 0.023. A device with a 2,9-Dimethyl-4,7-diphenyl-1,10-phenanthroline (BCP) buffer layer was beneficial for hole tunneling to the emission layer, resulting in a 1.45-fold increase in current density. The tunneling of holes and the diffusion of excitons were confirmed by the preparation of a dual buffer layer of CBP:tris-(phenylpyridine)-iridine (Ir(ppy)3)/BCP. A maximum current efficiency of 12.61 cd/A with a luminance of 13,850 cd/m2 was obtained at 8 V when a device with a dual-buffer layer of CBP:6 wt.% Ir(ppy)3/BCP was prepared.

  4. Layer-based buffer aware rate adaptation design for SHVC video streaming

    NASA Astrophysics Data System (ADS)

    Gudumasu, Srinivas; Hamza, Ahmed; Asbun, Eduardo; He, Yong; Ye, Yan

    2016-09-01

    This paper proposes a layer based buffer aware rate adaptation design which is able to avoid abrupt video quality fluctuation, reduce re-buffering latency and improve bandwidth utilization when compared to a conventional simulcast based adaptive streaming system. The proposed adaptation design schedules DASH segment requests based on the estimated bandwidth, dependencies among video layers and layer buffer fullness. Scalable HEVC video coding is the latest state-of-art video coding technique that can alleviate various issues caused by simulcast based adaptive video streaming. With scalable coded video streams, the video is encoded once into a number of layers representing different qualities and/or resolutions: a base layer (BL) and one or more enhancement layers (EL), each incrementally enhancing the quality of the lower layers. Such layer based coding structure allows fine granularity rate adaptation for the video streaming applications. Two video streaming use cases are presented in this paper. The first use case is to stream HD SHVC video over a wireless network where available bandwidth varies, and the performance comparison between proposed layer-based streaming approach and conventional simulcast streaming approach is provided. The second use case is to stream 4K/UHD SHVC video over a hybrid access network that consists of a 5G millimeter wave high-speed wireless link and a conventional wired or WiFi network. The simulation results verify that the proposed layer based rate adaptation approach is able to utilize the bandwidth more efficiently. As a result, a more consistent viewing experience with higher quality video content and minimal video quality fluctuations can be presented to the user.

  5. Buffer layer enhanced stability of sodium-ion storage

    NASA Astrophysics Data System (ADS)

    Wang, Xusheng; Yang, Zhanhai; Wang, Chao; Chen, Dong; Li, Rui; Zhang, Xinxiang; Chen, Jitao; Xue, Mianqi

    2017-11-01

    Se-Se buffer layers are introduced into tin sequences as SnSe2 single crystal to enhance the cycling stability for long-term sodium-ion storage by blazing a trail of self-defence strategy to structural pulverization especially at high current density. Specifically, under half-cell test, the SnSe2 electrodes could yield a high discharge capacity of 345 mAh g-1 after 300 cycles at 1 A g-1 and a high discharge capacity of 300 mAh g-1 after 2100 cycles at 5 A g-1 with stable coulombic efficiency and no capacity fading. Even with the ultrafast sodium-ion storage at 10 A g-1, the cycling stability still makes a positive response and a high discharge capacity of 221 mAh g-1 is demonstrated after 2700 cycles without capacity fading. The full-cell test for the SnSe2 electrodes also demonstrates the superior cycling stability. The flexible and tough Se-Se buffer layers are favourable to accommodate the sodium-ion intercalation process, and the autogenous Na2Se layers could confine the structural pulverization of further sodiated tin sequences by the slip along the Na2Se-NaxSn interfaces.

  6. Photoluminescence of Ta2O5 films formed by the molecular layer deposition method

    NASA Astrophysics Data System (ADS)

    Baraban, A. P.; Dmitriev, V. A.; Prokof'ev, V. A.; Drozd, V. E.; Filatova, E. O.

    2016-04-01

    Ta2O5 films of different thicknesses (20-100 nm) synthesized by the molecular layer deposition method on p-type silicon substrates and thermally oxidized silicon substrates have been studied by the methods of high-frequency capacitance-voltage characteristics and photoluminescence. A hole-conduction channel is found to form in the Si-Ta2O5-field electrode system. A model of the electronic structure of Ta2O5 films is proposed based on an analysis of the measured PL spectra and performed electrical investigations.

  7. Contrast and Raman spectroscopy study of single- and few-layered charge density wave material: 2H-TaSe2

    PubMed Central

    Hajiyev, Parviz; Cong, Chunxiao; Qiu, Caiyu; Yu, Ting

    2013-01-01

    In this article, we report the first successful preparation of single- and few-layers of tantalum diselenide (2H-TaSe2) by mechanical exfoliation technique. Number of layers is confirmed by white light contrast spectroscopy and atomic force microscopy (AFM). Vibrational properties of the atomically thin layers of 2H-TaSe2 are characterized by micro-Raman spectroscopy. Room temperature Raman measurements demonstrate MoS2-like spectral features, which are reliable for thickness determination. E1g mode, usually forbidden in backscattering Raman configuration is observed in the supported TaSe2 layers while disappears in the suspended layers, suggesting that this mode may be enabled because of the symmetry breaking induced by the interaction with the substrate. A systematic in-situ low temperature Raman study, for the first time, reveals the existence of incommensurate charge density wave phase transition in single and double-layered 2H-TaSe2 as reflected by a sudden softening of the second-order broad Raman mode resulted from the strong electron-phonon coupling (Kohn anomaly). PMID:24005335

  8. Final Report: Rational Design of Wide Band Gap Buffer Layers for High-Efficiency Thin-Film Photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lordi, Vincenzo

    The main objective of this project is to enable rational design of wide band gap buffer layer materials for CIGS thin-film PV by building understanding of the correlation of atomic-scale defects in the buffer layer and at the buffer/absorber interface with device electrical properties. Optimized wide band gap buffers are needed to reduce efficiency loss from parasitic absorption in the buffer. The approach uses first-principles materials simulations coupled with nanoscale analytical electron microscopy as well as device electrical characterization. Materials and devices are produced by an industrial partner in a manufacturing line to maximize relevance, with the goal of enablingmore » R&D of new buffer layer compositions or deposition processes to push device efficiencies above 21%. Cadmium sulfide (CdS) is the reference material for analysis, as the prototypical high-performing buffer material.« less

  9. Benzocyclobutene (BCB) Polymer as Amphibious Buffer Layer for Graphene Field-Effect Transistor.

    PubMed

    Wu, Yun; Zou, Jianjun; Huo, Shuai; Lu, Haiyan; Kong, Yuecan; Chen, Tangshen; Wu, Wei; Xu, Jingxia

    2015-08-01

    Owing to the scattering and trapping effects, the interfaces of dielectric/graphene or substrate/graphene can tailor the performance of field-effect transistor (FET). In this letter, the polymer of benzocyclobutene (BCB) was used as an amphibious buffer layer and located at between the layers of substrate and graphene and between the layers of dielectric and graphene. Interestingly, with the help of nonpolar and hydrophobic BCB buffer layer, the large-scale top-gated, chemical vapor deposited (CVD) graphene transistors was prepared on Si/SiO2 substrate, its cutoff frequency (fT) and the maximum cutoff frequency (fmax) of the graphene field-effect transistor (GFET) can be reached at 12 GHz and 11 GHz, respectively.

  10. Threading Dislocations in InGaAs/GaAs (001) Buffer Layers for Metamorphic High Electron Mobility Transistors

    NASA Astrophysics Data System (ADS)

    Song, Yifei; Kujofsa, Tedi; Ayers, John E.

    2018-07-01

    In order to evaluate various buffer layers for metamorphic devices, threading dislocation densities have been calculated for uniform composition In x Ga1- x As device layers deposited on GaAs (001) substrates with an intermediate graded buffer layer using the L MD model, where L MD is the average length of misfit dislocations. On this basis, we compare the relative effectiveness of buffer layers with linear, exponential, and S-graded compositional profiles. In the case of a 2 μm thick buffer layer linear grading results in higher threading dislocation densities in the device layer compared to either exponential or S-grading. When exponential grading is used, lower threading dislocation densities are obtained with a smaller length constant. In the S-graded case, lower threading dislocation densities result when a smaller standard deviation parameter is used. As the buffer layer thickness is decreased from 2 μm to 0.1 μm all of the above effects are diminished, and the absolute threading dislocation densities increase.

  11. Method for making MgO buffer layers on rolled nickel or copper as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Goyal, Amit; Kroeger, Donald M.; List, III, Frederic A.

    2002-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled-Ni and/or Cu substrates for high current conductors, and more particularly buffer layer architectures such as MgO/Ag/Pt/Ni, MgO/Ag/Pd/Ni, MgO/Ag/Ni, MgO/Ag/Pd/Cu, MgO/Ag/Pt/Cu, and MgO/Ag/Cu. Techniques used to deposit these buffer layers include electron beam evaporation, thermal evaporation, rf magnetron sputtering, pulsed laser deposition, metal-organic chemical vapor deposition (MOCVD), combustion CVD, and spray pyrolysis.

  12. Photovoltaic devices comprising zinc stannate buffer layer and method for making

    DOEpatents

    Wu, Xuanzhi; Sheldon, Peter; Coutts, Timothy J.

    2001-01-01

    A photovoltaic device has a buffer layer zinc stannate Zn.sub.2 SnO.sub.4 disposed between the semiconductor junction structure and the transparent conducting oxide (TCO) layer to prevent formation of localized junctions with the TCO through a thin window semiconductor layer, to prevent shunting through etched grain boundaries of semiconductors, and to relieve stresses and improve adhesion between these layers.

  13. Orientation dependences of atomic structures in chemically heterogeneous Cu{sub 50}Ta{sub 50}/Ta glass-crystal interfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Guiqin; Gao, Xiaoze; Li, Jinfu

    2015-01-07

    Molecular dynamics simulations based on an angular-dependent potential were performed to examine the structural properties of chemically heterogeneous interfaces between amorphous Cu{sub 50}Ta{sub 50} and crystalline Ta. Several phenomena, namely, layering, crystallization, intermixing, and composition segregation, were observed in the Cu{sub 50}Ta{sub 50} region adjacent to the Ta layers. These interfacial behaviors are found to depend on the orientation of the underlying Ta substrate: Layering induced by Ta(110) extends the farthest into Cu{sub 50}Ta{sub 50}, crystallization in the Cu{sub 50}Ta{sub 50} region is most significant for interface against Ta(100), while inter-diffusion is most pronounced for Ta(111). It turns out thatmore » the induced layering behavior is dominated by the interlayer distances of the underlying Ta layers, while the degree of inter-diffusion is governed by the openness of the Ta crystalline layers. In addition, composition segregations are observed in all interface models, corresponding to the immiscible nature of the Cu-Ta system. Furthermore, Voronoi polyhedra 〈0,5,2,6〉 and 〈0,4,4,6〉 are found to be abundant in the vicinity of the interfaces for all models, whose presence is believed to facilitate the structural transition between amorphous and body centered cubic.« less

  14. The effect of the MgO buffer layer thickness on magnetic anisotropy in MgO/Fe/Cr/MgO buffer/MgO(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozioł-Rachwał, Anna, E-mail: a.koziolrachwal@aist.go.jp; AGH University of Science and Technology, Faculty of Physics and Applied Computer Science, al. Mickiewicza 30, 30-059 Kraków; Nozaki, Takayuki

    2016-08-28

    The relationship between the magnetic properties and MgO buffer layer thickness d was studied in epitaxial MgO/Fe(t)/Cr/MgO(d) layers grown on MgO(001) substrate in which the Fe thickness t ranged from 0.4 nm to 1.1 nm. For 0.4 nm ≤ t ≤ 0.7 nm, a non-monotonic coercivity dependence on the MgO buffer thickness was shown by perpendicular magneto-optic Kerr effect magnetometry. For thicker Fe films, an increase in the buffer layer thickness resulted in a spin reorientation transition from perpendicular to the in-plane magnetization direction. Possible origins of these unusual behaviors were discussed in terms of the suppression of carbon contamination at the Fe surface and changes inmore » the magnetoelastic anisotropy in the system. These results illustrate a method to control magnetic anisotropy in MgO/Fe/Cr/MgO(d) via an appropriate choice of MgO buffer layer thickness d.« less

  15. Conductive and robust nitride buffer layers on biaxially textured substrates

    DOEpatents

    Sankar, Sambasivan; Goyal, Amit; Barnett, Scott A.; Kim, Ilwon; Kroeger, Donald M.

    2004-08-31

    The present invention relates to epitaxial, electrically conducting and mechanically robust, cubic nitride buffer layers deposited epitaxially on biaxially textured substrates such as metal and alloys. The invention comprises of a biaxially textured substrate with epitaxial layers of nitrides. The invention also discloses a method to form such epitaxial layers using a high rate deposition method as well as without the use of forming gases. The invention further comprises epitaxial layers of oxides on the biaxially textured nitride layers. In some embodiments the article further comprises electromagnetic devices which may be super conducting properties.

  16. Conductive and robust nitride buffer layers on biaxially textured substrates

    DOEpatents

    Sankar, Sambasivan [Chicago, IL; Goyal, Amit [Knoxville, TN; Barnett, Scott A [Evanston, IL; Kim, Ilwon [Skokie, IL; Kroeger, Donald M [Knoxville, TN

    2009-03-31

    The present invention relates to epitaxial, electrically conducting and mechanically robust, cubic nitride buffer layers deposited epitaxially on biaxially textured substrates such as metals and alloys. The invention comprises of a biaxially textured substrate with epitaxial layers of nitrides. The invention also discloses a method to form such epitaxial layers using a high rate deposition method as well as without the use of forming gases. The invention further comprises epitaxial layers of oxides on the biaxially textured nitride layer. In some embodiments the article further comprises electromagnetic devices which may have superconducting properties.

  17. Layer thickness dependence of the current-induced effective field vector in Ta|CoFeB|MgO.

    PubMed

    Kim, Junyeon; Sinha, Jaivardhan; Hayashi, Masamitsu; Yamanouchi, Michihiko; Fukami, Shunsuke; Suzuki, Tetsuhiro; Mitani, Seiji; Ohno, Hideo

    2013-03-01

    Current-induced effective magnetic fields can provide efficient ways of electrically manipulating the magnetization of ultrathin magnetic heterostructures. Two effects, known as the Rashba spin orbit field and the spin Hall spin torque, have been reported to be responsible for the generation of the effective field. However, a quantitative understanding of the effective field, including its direction with respect to the current flow, is lacking. Here we describe vector measurements of the current-induced effective field in Ta|CoFeB|MgO heterostructrures. The effective field exhibits a significant dependence on the Ta and CoFeB layer thicknesses. In particular, a 1 nm thickness variation of the Ta layer can change the magnitude of the effective field by nearly two orders of magnitude. Moreover, its sign changes when the Ta layer thickness is reduced, indicating that there are two competing effects contributing to it. Our results illustrate that the presence of atomically thin metals can profoundly change the landscape for controlling magnetic moments in magnetic heterostructures electrically.

  18. Low-Cd CIGS solar cells made with a hybrid CdS/Zn(O,S) buffer layer

    DOE PAGES

    Garris, Rebekah L.; Mansfield, Lorelle M.; Egaas, Brian; ...

    2016-10-27

    In Cu(In,Ga)Se2 (CIGS) solar cells, CdS and Zn(O,S) buffer layers were compared with a hybrid buffer layer consisting of thin CdS followed Zn(O,S). We explore the physics of this hybrid layer that combines the standard (Cd) approach with the alternative (Zn) approach in the pursuit to unlock further potential for CIGS technology. CdS buffer development has shown optimal interface properties, whereas Zn(O,S) buffer development has shown increased photocurrent. Although a totally Cd-free solar module is more marketable, the retention of a small amount of Cd can be beneficial to achieve optimum junction properties. As long as the amount of Cdmore » is reduced to less than 0.01% by weight, the presence of Cd does not violate the hazardous substance restrictions of the European Union (EU). We estimate the amount of Cd allowed in the EU for CIGS on both glass and stainless steel substrates, and we show that reducing Cd becomes increasingly important as substrate weights decrease. As a result, this hybrid buffer layer had reduced Cd content and a wider space charge region, while achieving equal or better solar cell performance than buffer layers of either CdS or Zn(O,S) alone.« less

  19. The effect of the carbon nanotube buffer layer on the performance of a Li metal battery

    NASA Astrophysics Data System (ADS)

    Zhang, Ding; Zhou, Yi; Liu, Changhong; Fan, Shoushan

    2016-05-01

    Lithium (Li) metal is one of the most promising candidates as an anode for the next-generation energy storage systems because of its high specific capacity and lowest negative electrochemical potential. But the growth of Li dendrites limits the application of the Li metal battery. In this work, a type of modified Li metal battery with a carbon nanotube (CNT) buffer layer inserted between the separator and the Li metal electrode was reported. The electrochemical results show that the modified batteries have a much better rate capability and cycling performance than the conventional Li metal batteries. The mechanism study by electrochemical impedance spectroscopy reveals that the modified battery has a smaller charge transfer resistance and larger Li ion diffusion coefficient during the deposition process on the Li electrode than the conventional Li metal batteries. Symmetric battery tests show that the interfacial behavior of the Li metal electrode with the buffer layer is more stable than the naked Li metal electrode. The morphological characterization of the CNT buffer layer and Li metal lamina reveals that the CNT buffer layer has restrained the growth of Li dendrites. The CNT buffer layer has great potential to solve the safety problem of the Li metal battery.Lithium (Li) metal is one of the most promising candidates as an anode for the next-generation energy storage systems because of its high specific capacity and lowest negative electrochemical potential. But the growth of Li dendrites limits the application of the Li metal battery. In this work, a type of modified Li metal battery with a carbon nanotube (CNT) buffer layer inserted between the separator and the Li metal electrode was reported. The electrochemical results show that the modified batteries have a much better rate capability and cycling performance than the conventional Li metal batteries. The mechanism study by electrochemical impedance spectroscopy reveals that the modified battery has a

  20. Smooth Interfacial Scavenging for Resistive Switching Oxide via the Formation of Highly Uniform Layers of Amorphous TaOx.

    PubMed

    Tsurumaki-Fukuchi, Atsushi; Nakagawa, Ryosuke; Arita, Masashi; Takahashi, Yasuo

    2018-02-14

    We demonstrate that the inclusion of a Ta interfacial layer is a remarkably effective strategy for forming interfacial oxygen defects at metal/oxide junctions. The insertion of an interfacial layer of a reactive metal, that is, a "scavenging" layer, has been recently proposed as a way to create a high concentration of oxygen defects at an interface in redox-based resistive switching devices, and growing interest has been given to the underlying mechanism. Through structural and chemical analyses of Pt/metal/SrTiO 3 /Pt structures, we reveal that the rate and amount of oxygen scavenging are not directly determined by the formation free energies in the oxidation reactions of the scavenging metal and unveil the important roles of oxygen diffusibility. Active oxygen scavenging and highly uniform oxidation via scavenging are revealed for a Ta interfacial layer with high oxygen diffusibility. In addition, the Ta scavenging layer is shown to exhibit a highly uniform structure and to form a very flat interface with SrTiO 3 , which are advantageous for the fabrication of a steep metal/oxide contact.

  1. Alternative buffer layer development in Cu(In,Ga)Se2 thin film solar cells

    NASA Astrophysics Data System (ADS)

    Xin, Peipei

    Cu(In,Ga)Se2-based thin film solar cells are considered to be one of the most promising photovoltaic technologies. Cu(In,Ga)Se2 (CIGS) solar devices have the potential advantage of low-cost, fast fabrication by using semiconductor layers of only a few micrometers thick and high efficiency photovoltaics have been reported at both the cell and the module levels. CdS via chemical bath deposition (CBD) has been the most widely used buffer option to form the critical junction in CIGS-based thin film photovoltaic devices. However, the disadvantages of CdS can’t be ignored - regulations on cadmium usage are getting stricter primarily due to its toxicity and environmental impacts, and the proper handling of the large amount of toxic chemical bath waste is a massive and expensive task. This dissertation is devoted to the development of Cd-free alternative buffer layers in CIGS-based thin film solar cells. Based on the considerations of buffer layer selection criteria and extensive literature review, Zn-compound buffer materials are chosen as the primary investigation candidates. Radio frequency magnetron sputtering is the preferred buffer deposition approach since it’s a clean and more controllable technique compared to CBD, and is readily scaled to large area manufacturing. First, a comprehensive study of the ZnSe1-xOx compound prepared by reactive sputtering was completed. As the oxygen content in the reactive sputtering gas increased, ZnSe1-xOx crystallinity and bandgap decreased. It’s observed that oxygen miscibility in ZnSe was low and a secondary phase formed when the O2 / (O2 + Ar) ratio in the sputtering gas exceeded 2%. Two approaches were proposed to optimize the band alignment between the CIGS and buffer layer. One method focused on the bandgap engineering of the absorber, the other focused on the band structure modification of the buffer. As a result, improved current of the solar cell was achieved although a carrier transport barrier at the junction

  2. Effect of buffer layer on photoresponse of MoS2 phototransistor

    NASA Astrophysics Data System (ADS)

    Miyamoto, Yuga; Yoshikawa, Daiki; Takei, Kuniharu; Arie, Takayuki; Akita, Seiji

    2018-06-01

    An atomically thin MoS2 field-effect transistor (FET) is expected as an ultrathin photosensor with high sensitivity. However, a persistent photoconductivity phenomenon prevents high-speed photoresponse. Here, we investigate the photoresponse of a MoS2 FET with a thin Al2O3 buffer layer on a SiO2 gate insulator. The application of a 2-nm-thick Al2O3 buffer layer greatly improves not only the steady state properties but also the response speed from 1700 to 0.2 s. These experimental results are well explained by the random localized potential fluctuation model combined with the model based on the recombination of the bounded electrons around the trapped hole.

  3. Inverted bulk-heterojunction organic solar cells with the transfer-printed anodes and low-temperature-processed ultrathin buffer layers

    NASA Astrophysics Data System (ADS)

    Itoh, Eiji; Sakai, Shota; Fukuda, Katsutoshi

    2018-03-01

    We studied the effects of a hole buffer layer [molybdenum oxide (MoO3) and natural copper oxide layer] and a low-temperature-processed electron buffer layer on the performance of inverted bulk-heterojunction organic solar cells in a device consisting of indium-tin oxide (ITO)/poly(ethylene imine) (PEI)/titanium oxide nanosheet (TiO-NS)/poly(3-hexylthiopnehe) (P3HT):phenyl-C61-butyric acid methylester (PCBM)/oxide/anode (Ag or Cu). The insertion of ultrathin TiO-NS (˜1 nm) and oxide hole buffer layers improved the open circuit voltage V OC, fill factor, and rectification properties owing to the effective hole blocking and electron transport properties of ultrathin TiO-NS, and to the enhanced work function difference between TiO-NS and the oxide hole buffer layer. The insertion of the TiO-NS contributed to the reduction in the potential barrier at the ITO/PEI/TiO-NS/active layer interface for electrons, and the insertion of the oxide hole buffer layer contributed to the reduction in the potential barrier for holes. The marked increase in the capacitance under positive biasing in the capacitance-voltage characteristics revealed that the combination of TiO-NS and MoO3 buffer layers contributes to the selective transport of electrons and holes, and blocks counter carriers at the active layer/oxide interface. The natural oxide layer of the copper electrode also acts as a hole buffer layer owing to the increase in the work function of the Cu surface in the inverted cells. The performance of the cell with evaporated MoO3 and Cu layers that were transfer-printed to the active layer was almost comparable to that of the cell with MoO3 and Ag layers directly evaporated onto the active layer. We also demonstrated comparable device performance in the cell with all-printed MoO3 and low-temperature-processed silver nanoparticles as an anode.

  4. Role of the Heat Sink Layer Ta for Ultrafast Spin Dynamic Process in Amorphous TbFeCo Thin Films

    NASA Astrophysics Data System (ADS)

    Ren, Y.; Zhang, Z. Z.; Min, T.; Jin, Q. Y.

    The ultrafast demagnetization processes (UDP) in Ta (t nm)/TbFeCo (20 nm) films have been studied using the time-resolved magneto-optical Kerr effect (TRMOKE). With a fixed pump fluence of 2 mJ/cm2, for the sample without a Ta underlayer (t=0nm), we observed the UDP showing a two-step decay behavior, with a relatively longer decay time (τ2) around 3.0 ps in the second step due to the equilibrium of spin-lattice relaxation following the 4f occupation. As a 10nm Ta layer is deposited, the two-step demagnetization still exists while τ2 decreases to ˜1.9ps. Nevertheless, the second-step decay (τ2=0ps) disappears as the Ta layer thickness is increased up to 20 nm, only the first-step UDP occurs within 500 fs, followed by a fast recovery process. The rapid magnetization recovery rate strongly depends on the pump fluence. We infer that the Ta layer provides conduction electrons involving the thermal equilibrium of spin-lattice interaction and serves as heat bath taking away energy from spins of TbFeCo alloy film in UDP.

  5. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  6. Effect of ZnO buffer layer on phase transition properties of vanadium dioxide thin films

    NASA Astrophysics Data System (ADS)

    Zhu, Huiqun; Li, Lekang; Li, Chunbo

    2016-03-01

    VO2 thin films were prepared on ZnO buffer layers by DC magnetron sputtering at room temperature using vanadium target and post annealing at 400 °C. The ZnO buffer layers with different thickness deposited on glass substrates by magnetron sputtering have a high visible and near infrared optical transmittance. The electrical resistivity and the phase transition properties of the VO2/ZnO composite thin films in terms of temperature were investigated. The results showed that the resistivity variation of VO2 thin film with ZnO buffer layer deposited for 35 min was 16 KΩ-cm. The VO2/ZnO composite thin films exhibit a reversible semiconductor-metal phase transition at 48 °C.

  7. Comparative analysis of strain fields in layers of step-graded metamorphic buffers of various designs

    NASA Astrophysics Data System (ADS)

    Aleshin, A. N.; Bugaev, A. S.; Ruban, O. A.; Tabachkova, N. Yu.; Shchetinin, I. V.

    2017-10-01

    Spatial distribution of residual elastic strain in the layers of two step-graded metamophic buffers of various designs, grown by molecular beam epitaxy from ternary InxAl1-xAs solutions on GaAs(001) substrates, is obtained using reciprocal space mapping by three-axis X-ray diffractometry and the linear theory of elasticity. The difference in the design of the buffers enabled the formation of a dislocation-free layer with different thickness in each of the heterostructures, which was the main basis of this study. It is shown that, in spite of the different design of graded metamorphic buffers, the nature of strain fields in them is the same, and the residual elastic strains in the final elements of both buffers adjusted for the effect of work hardening subject to the same phenomenological law, which describes the strain relief process in single-layer heterostructures.

  8. The effect of the carbon nanotube buffer layer on the performance of a Li metal battery.

    PubMed

    Zhang, Ding; Zhou, Yi; Liu, Changhong; Fan, Shoushan

    2016-06-07

    Lithium (Li) metal is one of the most promising candidates as an anode for the next-generation energy storage systems because of its high specific capacity and lowest negative electrochemical potential. But the growth of Li dendrites limits the application of the Li metal battery. In this work, a type of modified Li metal battery with a carbon nanotube (CNT) buffer layer inserted between the separator and the Li metal electrode was reported. The electrochemical results show that the modified batteries have a much better rate capability and cycling performance than the conventional Li metal batteries. The mechanism study by electrochemical impedance spectroscopy reveals that the modified battery has a smaller charge transfer resistance and larger Li ion diffusion coefficient during the deposition process on the Li electrode than the conventional Li metal batteries. Symmetric battery tests show that the interfacial behavior of the Li metal electrode with the buffer layer is more stable than the naked Li metal electrode. The morphological characterization of the CNT buffer layer and Li metal lamina reveals that the CNT buffer layer has restrained the growth of Li dendrites. The CNT buffer layer has great potential to solve the safety problem of the Li metal battery.

  9. Selective growth of Pb islands on graphene/SiC buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, X. T.; Miao, Y. P.; Ma, D. Y.

    2015-02-14

    Graphene is fabricated by thermal decomposition of silicon carbide (SiC) and Pb islands are deposited by Pb flux in molecular beam epitaxy chamber. It is found that graphene domains and SiC buffer layer coexist. Selective growth of Pb islands on SiC buffer layer rather than on graphene domains is observed. It can be ascribed to the higher adsorption energy of Pb atoms on the 6√(3) reconstruction of SiC. However, once Pb islands nucleate on graphene domains, they will grow very large owing to the lower diffusion barrier of Pb atoms on graphene. The results are consistent with first-principle calculations. Sincemore » Pb atoms on graphene are nearly free-standing, Pb islands grow in even-number mode.« less

  10. Improved speed and data retention characteristics in flash memory using a stacked HfO2/Ta2O5 charge-trapping layer

    NASA Astrophysics Data System (ADS)

    Zheng, Zhiwei; Huo, Zongliang; Zhang, Manhong; Zhu, Chenxin; Liu, Jing; Liu, Ming

    2011-10-01

    This paper reports the simultaneous improvements in erase speed and data retention characteristics in flash memory using a stacked HfO2/Ta2O5 charge-trapping layer. In comparison to a memory capacitor with a single HfO2 trapping layer, the erase speed of a memory capacitor with a stacked HfO2/Ta2O5 charge-trapping layer is 100 times faster and its memory window is enlarged from 2.7 to 4.8 V for the same ±16 V sweeping voltage range. With the same initial window of ΔVFB = 4 V, the device with a stacked HfO2/Ta2O5 charge-trapping layer has a 3.5 V extrapolated 10-year retention window, while the control device with a single HfO2 trapping layer has only 2.5 V for the extrapolated 10-year window. The present results demonstrate that the device with the stacked HfO2/Ta2O5 charge-trapping layer has a strong potential for future high-performance nonvolatile memory application.

  11. Dependence of Interfacial Dzyaloshinskii-Moriya Interaction on Layer Thicknesses in Ta /Co -Fe -B /TaOx Heterostructures from Brillouin Light Scattering

    NASA Astrophysics Data System (ADS)

    Chaurasiya, Avinash Kumar; Choudhury, Samiran; Sinha, Jaivardhan; Barman, Anjan

    2018-01-01

    The interfacial Dzyaloshinskii-Moriya interaction (IDMI) has recently drawn extensive research interest due to its fundamental role in stabilizing chiral spin textures in ultrathin ferromagnets, which are suitable candidates for future magnetic-memory devices. Here, we explore the ferromagnetic and heavy-metal layer-thickness dependence of IDMI in technologically important Ta /Co20Fe60B20/TaOx heterostructures by measuring nonreciprocity in spin-wave frequency using the Brillouin light-scattering technique. The observed value of the IDMI constant agrees with that obtained from a separate measurement of in-plane angular dependence of frequency nonreciprocity, which is also in good agreement with the theory predicted by Cortes-Ortuno and Landeros. Linear scaling behavior of IDMI with the inverse of Co-Fe-B thicknesses suggests that IDMI originates primarily from the interface in these heterostructures, whereas we observe a weak dependence of Ta thickness on the strength of IDMI. Importantly, the observed value of the IDMI constant is reasonably large by a factor of 3 compared to annealed Ta /Co -Fe -B /MgO heterostructures. We propose that the observation of large IDMI is likely due to the absence of boron diffusion towards the Ta /Co -Fe -B interface as the heterostructures are as deposited. Our detailed investigation opens up a route to designing thin-film heterostructures with the tailored IDMI constant for controlling Skyrmion-based magnetic-memory devices.

  12. The roles of buffer layer thickness on the properties of the ZnO epitaxial films

    NASA Astrophysics Data System (ADS)

    Tang, Kun; Huang, Shimin; Gu, Shulin; Zhu, Shunming; Ye, Jiandong; Xu, Zhonghua; Zheng, Youdou

    2016-12-01

    In this article, the authors have investigated the optimization of the buffer thickness for obtaining high-quality ZnO epi-films on sapphire substrates. The growth mechanism of the buffers with different thickness has been clearly revealed, including the initial nucleation and vertical growth, the subsequent lateral growth with small grain coalescence, and the final vertical growth along the existing larger grains. Overall, the quality of the buffer improves with increasing thickness except the deformed surface morphology. However, by a full-scale evaluation of the properties for the epi-layers, the quality of the epi-film is briefly determined by the surface morphology of the buffer, rather than the structural, optical, or electrical properties of it. The best quality epi-layer has been grown on the buffer with a smooth surface and well-coalescent grains. Meanwhile, due to the huge lattice mismatch between sapphire and ZnO, dislocations are inevitably formed during the growth of buffers. More importantly, as the film grows thicker, the dislocations may attracting other smaller dislocations and defects to reduce the total line energy and thus result in the formation of V-shape defects, which are connected with the bottom of the threading dislocations in the buffers. The V-defects appear as deep and large hexagonal pits from top view and they may act as electron traps which would affect the free carrier concentration of the epi-layers.

  13. Improving fatigue resistance of Pb(Zr,Ti)O3 thin films by using PbZrO3 buffer layers

    NASA Astrophysics Data System (ADS)

    Mensur Alkoy, Ebru; Uchiyama, Kiyoshi; Shiosaki, Tadashi; Alkoy, Sedat

    2006-05-01

    Ferroelectric Pb(Zr0.52Ti0.48)O3 (PZT) thin films with PbZrO3 (PZ) buffer layers were prepared on Pt(111)/Ti/SiO2/Si(100) substrates using a hybrid rf magnetron sputtering and sol-gel process. Texture of PZT films was found to depend on Pb content of PZ buffer layers. Buffered PZT films displayed comparable ferroelectric properties (2Pr=38-53 μC/cm2,2Ec=136-170 kV/cm) with unbuffered PZT. Asymmetric leakage current and fatigue behavior with superior fatigue resistance was observed in PZ buffered PZT compared to unbuffered films. PZ buffer layers were found to affect crystallization and texture of PZT, and act as a capacitive interface layer possibly blocking charge injection from electrodes.

  14. Buffer layers and articles for electronic devices

    DOEpatents

    Paranthaman, Mariappan P.; Aytug, Tolga; Christen, David K.; Feenstra, Roeland; Goyal, Amit

    2004-07-20

    Materials for depositing buffer layers on biaxially textured and untextured metallic and metal oxide substrates for use in the manufacture of superconducting and other electronic articles comprise RMnO.sub.3, R.sub.1-x A.sub.x MnO.sub.3, and combinations thereof; wherein R includes an element selected from the group consisting of La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, and Y, and A includes an element selected from the group consisting of Be, Mg, Ca, Sr, Ba, and Ra.

  15. Enhanced electrical stability of flexible indium tin oxide films prepared on stripe SiO 2 buffer layer-coated polymer substrates by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Yu, Zhi-nong; Zhao, Jian-jian; Xia, Fan; Lin, Ze-jiang; Zhang, Dong-pu; Leng, Jian; Xue, Wei

    2011-03-01

    The electrical stability of flexible indium tin oxide (ITO) films fabricated on stripe SiO 2 buffer layer-coated polyethylene terephthalate (PET) substrates by magnetron sputtering was investigated by the bending test. The ITO thin films with stripe SiO 2 buffer layer under bending have better electrical stability than those with flat SiO 2 buffer layer and without buffer layer. Especially in inward bending text, the ITO thin films with stripe SiO 2 buffer layer only have a slight resistance change when the bending radius r is not less than 8 mm, while the resistances of the films with flat SiO 2 buffer layer and without buffer layer increase significantly at r = 16 mm with decreasing bending radius. This improvement of electrical stability in bending test is due to the small mismatch factor α in ITO-SiO 2, the enhanced interface adhesion and the balance of residual stress. These results indicate that the stripe SiO 2 buffer layer is suited to enhance the electrical stability of flexible ITO film under bending.

  16. Influence of C or In buffer layer on photoluminescence behaviour of ultrathin ZnO film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saravanan, K., E-mail: saravanan@igcar.gov.in; Jayalakshmi, G.; Krishnan, R.

    We study the effect of the indium or carbon buffer layer on the photoluminescence (PL) property of ZnO ultrathin films deposited on a Si(100) substrate. The surface morphology of the films obtained using scanning tunnelling microscopy shows spherical shaped ZnO nanoparticles of size ∼8 nm in ZnO/C/Si and ∼22 nm in ZnO/Si samples, while the ZnO/In/Si sample shows elliptical shaped ZnO particles. Further, the ZnO/C/Si sample shows densely packed ZnO nanoparticles in comparison with other samples. Strong band edge emission has been observed in the presence of In or C buffer layer, whereas the ZnO/Si sample exhibits poor PL emission. The influencemore » of C and In buffer layers on the PL behaviour of ZnO films is studied in detail using temperature dependent PL measurements in the range of 4 K–300 K. The ZnO/C/Si sample exhibits a multi-fold enhancement in the PL emission intensity with well-resolved free and bound exciton emission lines. Our experimental results imply that the ZnO films deposited on the C buffer layer showed higher particle density and better exciton emission desired for optoelectronic applications.« less

  17. Cooptimization of Adhesion and Power Conversion Efficiency of Organic Solar Cells by Controlling Surface Energy of Buffer Layers.

    PubMed

    Lee, Inhwa; Noh, Jonghyeon; Lee, Jung-Yong; Kim, Taek-Soo

    2017-10-25

    Here, we demonstrate the cooptimization of the interfacial fracture energy and power conversion efficiency (PCE) of poly[N-9'-heptadecanyl-2,7-carbazole-alt-5,5-(4',7'-di-2-thienyl-2',1',3'-benzothiadiazole)] (PCDTBT)-based organic solar cells (OSCs) by surface treatments of the buffer layer. The investigated surface treatments of the buffer layer simultaneously changed the crack path and interfacial fracture energy of OSCs under mechanical stress and the work function of the buffer layer. To investigate the effects of surface treatments, the work of adhesion values were calculated and matched with the experimental results based on the Owens-Wendt model. Subsequently, we fabricated OSCs on surface-treated buffer layers. In particular, ZnO layers treated with poly[(9,9-bis(3'-(N,N-dimethylamino)propyl)-2,7-fluorene)-alt-2,7-(9,9-dioctylfluorene)] (PFN) simultaneously satisfied the high mechanical reliability and PCE of OSCs by achieving high work of adhesion and optimized work function.

  18. Effect of Homo-buffer Layers on the Properties of Sputtering Deposited Ga2O3 Films

    NASA Astrophysics Data System (ADS)

    Huang, Jian; Li, Bing; Ma, Yuncheng; Tang, Ke; Huang, Haofei; Hu, Yan; Zou, Tianyu; Wang, Linjun

    2018-05-01

    β- Ga2O3 films were grown by radio-frequency magnetron sputtering method. The influence of Ga2O3 buffer layers and annealing treatment on the structural, optical, morphological and electrical properties of Ga2O3 films was studied. The results revealed an improvement of crystalline quality and transmittance of annealed β- Ga2O3 films prepared with homo-buffer layers. Ga2O3 film UV photodetectors were fabricated with a new B and Ga co-doped ZnO films (BGZO)/Au interdigitated electrode. A good ohmic contact was formed between the film and the electrode. For the detector based on Ga2O3 films with buffer layers, a higher value of photo response and faster response times was obtained.

  19. AlGaN/GaN High Electron Mobility Transistor Grown and Fabricated on ZrTi Metallic Alloy Buffer Layers

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2017-09-26

    AlGaN/GaN high electron mobility transistors (HEMTs) were demonstrated for structures grown on ZrTi metallic alloy buffer layers, which provided lattice matching of the in-plane lattice parameter (“a-parameter”) to hexagonal GaN. The quality of the GaN buffer layer and HEMT structure were confirmed with X-ray 2θ and rocking scans as well as cross-section transmission electron microscopy (TEM) images. The X-ray 2θ scans showed full widths at half maximum (FWHM) of 0.06°, 0.05° and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM of the lower section of the HEMT structure containing the GaN buffer layer andmore » the AlN/ZrTi/AlN stack on the Si substrate showed that it was important to grow AlN on the top of ZrTi prior to growing the GaN buffer layer. Finally, the estimated threading dislocation (TD) density in the GaN channel layer of the HEMT structure was in the 10 8 cm -2 range.« less

  20. Non-polar a-plane ZnO films grown on r-Al2O3 substrates using GaN buffer layers

    NASA Astrophysics Data System (ADS)

    Xu, C. X.; Chen, W.; Pan, X. H.; Chen, S. S.; Ye, Z. Z.; Huang, J. Y.

    2016-09-01

    In this work, GaN buffer layer has been used to grow non-polar a-plane ZnO films by laser-assisted and plasma-assisted molecular beam epitaxy. The thickness of GaN buffer layer ranges from ∼3 to 12 nm. The GaN buffer thickness effect on the properties of a-plane ZnO thin films is carefully investigated. The results show that the surface morphology, crystal quality and optical properties of a-plane ZnO films are strongly correlated with the thickness of GaN buffer layer. It was found that with 6 nm GaN buffer layer, a-plane ZnO films display the best crystal quality with X-ray diffraction rocking curve full-width at half-maximum of only 161 arcsec for the (101) reflection.

  1. Dependence of Magnetic Properties of Co/Pt Multilayers on Deposition Temperature of Pt Buffer Layers

    NASA Astrophysics Data System (ADS)

    Shiomi, Shigeru; Nishimura, Tomotaka; Kobayashi, Tadashi; Masuda, Morio

    1993-04-01

    A 15-nm-thick Pt buffer layer was deposited on a glass slide at temperature Ts(Ptbuf) ranging from 30 to 300°C by e-gun evaporation. Following the cooling in vacuum to ambient temperature, Co and Pt layers have been alternately deposited on it. Very large perpendicular anisotropy and coercivity have been obtained at Ts(Ptbuf) higher than 200°C. The (111) preferred orientation of the Co/Pt multilayer as well as the Pt buffer layer became more pronounced with elevating Ts(Ptbuf), to which the enhancement of perpendicular anisotropy with elevating Ts(Ptbuf) might be ascribable.

  2. Enhanced annealing stability and perpendicular magnetic anisotropy in perpendicular magnetic tunnel junctions using W layer

    NASA Astrophysics Data System (ADS)

    Chatterjee, Jyotirmoy; Sousa, Ricardo C.; Perrissin, Nicolas; Auffret, Stéphane; Ducruet, Clarisse; Dieny, Bernard

    2017-05-01

    The magnetic properties of the perpendicular storage electrode (buffer/MgO/FeCoB/Cap) were studied as a function of annealing temperature by replacing Ta with W and W/Ta cap layers with variable thicknesses. W in the cap boosts up the annealing stability and increases the effective perpendicular anisotropy by 30% compared to the Ta cap. Correspondingly, an increase in the FeCoB critical thickness characterizing the transition from perpendicular to in-plane anisotropy was observed. Thicker W layer in the W(t)/Ta 1 nm cap layer makes the storage electrode highly robust against annealing up to 570 °C. The stiffening of the overall stack resulting from the W insertion due to its very high melting temperature seems to be the key mechanism behind the extremely high thermal robustness. The Gilbert damping constant of FeCoB with the W/Ta cap was found to be lower when compared with the Ta cap and stable with annealing. The evolution of the magnetic properties of bottom pinned perpendicular magnetic tunnel junctions (p-MTJ) stack with the W2/Ta1 nm cap layer shows back-end-of-line compatibility with increasing tunnel magnetoresistance up to the annealing temperature of 425 °C. The pMTJ thermal budget is limited by the synthetic antiferromagnetic hard layer which is stable up to 425 °C annealing temperature while the storage layer is stable up to 455 °C.

  3. Persistent Charge-Density-Wave Order in Single-Layer TaSe2.

    PubMed

    Ryu, Hyejin; Chen, Yi; Kim, Heejung; Tsai, Hsin-Zon; Tang, Shujie; Jiang, Juan; Liou, Franklin; Kahn, Salman; Jia, Caihong; Omrani, Arash A; Shim, Ji Hoon; Hussain, Zahid; Shen, Zhi-Xun; Kim, Kyoo; Min, Byung Il; Hwang, Choongyu; Crommie, Michael F; Mo, Sung-Kwan

    2018-02-14

    We present the electronic characterization of single-layer 1H-TaSe 2 grown by molecular beam epitaxy using a combined angle-resolved photoemission spectroscopy, scanning tunneling microscopy/spectroscopy, and density functional theory calculations. We demonstrate that 3 × 3 charge-density-wave (CDW) order persists despite distinct changes in the low energy electronic structure highlighted by the reduction in the number of bands crossing the Fermi energy and the corresponding modification of Fermi surface topology. Enhanced spin-orbit coupling and lattice distortion in the single-layer play a crucial role in the formation of CDW order. Our findings provide a deeper understanding of the nature of CDW order in the two-dimensional limit.

  4. Tuning the Two-Dimensional Electron Liquid at Oxide Interfaces by Buffer-Layer-Engineered Redox Reactions.

    PubMed

    Chen, Yunzhong; Green, Robert J; Sutarto, Ronny; He, Feizhou; Linderoth, Søren; Sawatzky, George A; Pryds, Nini

    2017-11-08

    Polar discontinuities and redox reactions provide alternative paths to create two-dimensional electron liquids (2DELs) at oxide interfaces. Herein, we report high mobility 2DELs at interfaces involving SrTiO 3 (STO) achieved using polar La 7/8 Sr 1/8 MnO 3 (LSMO) buffer layers to manipulate both polarities and redox reactions from disordered overlayers grown at room temperature. Using resonant X-ray reflectometry experiments, we quantify redox reactions from oxide overlayers on STO as well as polarity induced electronic reconstruction at epitaxial LSMO/STO interfaces. The analysis reveals how these effects can be combined in a STO/LSMO/disordered film trilayer system to yield high mobility modulation doped 2DELs, where the buffer layer undergoes a partial transformation from perovskite to brownmillerite structure. This uncovered interplay between polar discontinuities and redox reactions via buffer layers provides a new approach for the design of functional oxide interfaces.

  5. Methods of producing free-standing semiconductors using sacrificial buffer layers and recyclable substrates

    DOEpatents

    Ptak, Aaron Joseph; Lin, Yong; Norman, Andrew; Alberi, Kirstin

    2015-05-26

    A method of producing semiconductor materials and devices that incorporate the semiconductor materials are provided. In particular, a method is provided of producing a semiconductor material, such as a III-V semiconductor, on a spinel substrate using a sacrificial buffer layer, and devices such as photovoltaic cells that incorporate the semiconductor materials. The sacrificial buffer material and semiconductor materials may be deposited using lattice-matching epitaxy or coincident site lattice-matching epitaxy, resulting in a close degree of lattice matching between the substrate material and deposited material for a wide variety of material compositions. The sacrificial buffer layer may be dissolved using an epitaxial liftoff technique in order to separate the semiconductor device from the spinel substrate, and the spinel substrate may be reused in the subsequent fabrication of other semiconductor devices. The low-defect density semiconductor materials produced using this method result in the enhanced performance of the semiconductor devices that incorporate the semiconductor materials.

  6. Retention Model of TaO/HfO x and TaO/AlO x RRAM with Self-Rectifying Switch Characteristics.

    PubMed

    Lin, Yu-De; Chen, Pang-Shiu; Lee, Heng-Yuan; Chen, Yu-Sheng; Rahaman, Sk Ziaur; Tsai, Kan-Hsueh; Hsu, Chien-Hua; Chen, Wei-Su; Wang, Pei-Hua; King, Ya-Chin; Lin, Chrong Jung

    2017-12-01

    A retention behavior model for self-rectifying TaO/HfO x - and TaO/AlO x -based resistive random-access memory (RRAM) is proposed. Trapping-type RRAM can have a high resistance state (HRS) and a low resistance state (LRS); the degradation in a LRS is usually more severe than that in a HRS, because the LRS during the SET process is limited by the internal resistor layer. However, if TaO/AlO x elements are stacked in layers, the LRS retention can be improved. The LRS retention time estimated by extrapolation method is more than 5 years at room temperature. Both TaO/HfO x - and TaO/AlO x -based RRAM structures have the same capping layer of TaO, and the activation energy levels of both types of structures are 0.38 eV. Moreover, the additional AlO x switching layer of a TaO/AlO x structure creates a higher O diffusion barrier that can substantially enhance retention, and the TaO/AlO x structure also shows a quite stable LRS under biased conditions.

  7. Synthesis, crystal structure, and photocatalytic activity of a new two-layer Ruddlesden-Popper phase, Li{sub 2}CaTa{sub 2}O{sub 7}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liang Zhenhua; Department of Chemistry, University of Science and Technology of China, Hefei, Anhui 230026; Tang Kaibin

    2008-04-15

    A new two-layer Ruddlesden-Popper phase Li{sub 2}CaTa{sub 2}O{sub 7} has been synthesized for the first time. The detailed structure determination of Li{sub 2}CaTa{sub 2}O{sub 7} performed by powder X-ray diffraction (XRD) and electron microscopy (ED) shows that it crystallizes in the space group Fmmm [a{approx}5.5153(1), b{approx}5.4646(1), c{approx}18.2375(3)A]. UV-visible diffuse reflection spectrum of the prepared Li{sub 2}CaTa{sub 2}O{sub 7} indicates that it had absorption in the UV region. The photocatalytic activity of the Li{sub 2}CaTa{sub 2}O{sub 7} powders was evaluated by degradation of RhB molecules in water under ultra visible light irradiation. The results showed that Li{sub 2}CaTa{sub 2}O{sub 7} hasmore » high photocatalytic activity at room temperature. Therefore, the preparation and properties studies of Li{sub 2}CaTa{sub 2}O{sub 7} with a two-layer Ruddlesden-Popper structure suggest potential future applications in photocatalysis. - Graphical abstract: Crystal structure of a two-layer Ruddlesden-Popper phase Li{sub 2}CaTa{sub 2}O{sub 7} A new two-layer Ruddlesden-Popper phase Li{sub 2}CaTa{sub 2}O{sub 7} has been synthesized for the first time. Li{sub 2}CaTa{sub 2}O{sub 7} crystallizes in the space group Fmmm determined by powder X-ray and electron diffraction. UV-visible diffuse reflection spectra and the photocatalytic degradation of RhB molecules in water under ultra visible light irradiation show that Li{sub 2}CaTa{sub 2}O{sub 7} is a potential material in photocatalysis.« less

  8. Sol-gel deposition of buffer layers on biaxially textured metal substances

    DOEpatents

    Shoup, Shara S.; Paranthamam, Mariappan; Beach, David B.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    A method is disclosed for forming a biaxially textured buffer layer on a biaxially oriented metal substrate by using a sol-gel coating technique followed by pyrolyzing/annealing in a reducing atmosphere. This method is advantageous for providing substrates for depositing electronically active materials thereon.

  9. ZnO buffer layer for metal films on silicon substrates

    DOEpatents

    Ihlefeld, Jon

    2014-09-16

    Dramatic improvements in metallization integrity and electroceramic thin film performance can be achieved by the use of the ZnO buffer layer to minimize interfacial energy between metallization and adhesion layers. In particular, the invention provides a substrate metallization method utilizing a ZnO adhesion layer that has a high work of adhesion, which in turn enables processing under thermal budgets typically reserved for more exotic ceramic, single-crystal, or metal foil substrates. Embodiments of the present invention can be used in a broad range of applications beyond ferroelectric capacitors, including microelectromechanical systems, micro-printed heaters and sensors, and electrochemical energy storage, where integrity of metallized silicon to high temperatures is necessary.

  10. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1-xN Buffer Layer.

    PubMed

    Lee, Chang-Ju; Won, Chul-Ho; Lee, Jung-Hee; Hahm, Sung-Ho; Park, Hongsik

    2017-07-21

    The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded Al x Ga -x N buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded Al x Ga 1-x N buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10 - ² A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  11. Structural and Optical Studies of ZnCdSe/ZnSe/ZnMgSSe Separate Confinement Heterostructures with Different Buffer Layers

    NASA Astrophysics Data System (ADS)

    Tu, Ru-Chin; Su, Yan-Kuin; Huang, Ying-Sheng; Chen, Giin-Sang; Chou, Shu-Tsun

    1998-09-01

    Detailed structural and optical studies of ZnCdSe/ZnSe/ZnMgSSe separate confinementheterostructures (SCH) grown on ZnSe, ZnSe/ZnSSe strained-layer superlattices (SLS),and GaAs buffer layers at the II VI/GaAs interface have been carried out by employingtransmission electron microscopy, variable temperature photoluminescence (PL), andcontactless electroreflectance (CER) measurements. A significant improvement onthe defect reduction and the optical quality has been observed by using either theZnSe/ZnSSe SLS or GaAs as the buffer layers when compared to that of the sample usingonly ZnSe as the buffer layer. However, the sample grown with the SLS buffer layersreveals a room temperature PL intensity higher than that of the sample grown witha GaAs buffer layer, which may still suffer from the great ionic differences betweenthe II V and III V atoms. Using 15 K CER spectra, we have also studied variousexcitonic transitions originating from strained Zn0.80Cd0.20Se/ZnSe single quantumwell in SCH with different buffer layers. An analysis of the CER spectra has ledto the identification of various excitonic transitions, mnH (L), between the mthconduction band state and the nth heavy (light)-hole band state. An excellentagreement between experiments and theoretical calculations based on the envelopefunction approximation model has been achieved.

  12. Peculiarities of structure formation of layered metal-oxide system Ti-Ta-(Ti,Ta)xOy during electro-spark alloying and thermally stimulated modification

    NASA Astrophysics Data System (ADS)

    Fomina, Marina A.; Koshuro, Vladimir A.; Fomin, Aleksandr A.; Rodionov, Igor V.; Skaptsov, Aleksandr A.; Zakharevich, Andrey M.; Aman, Alexander; Oseev, Aleksandr; Hirsch, Soeren; Majcherek, Soeren

    2016-04-01

    The study focuses on high-performance combined electro-spark alloying of titanium and titanium alloy (VT1-0, VT16) surface and porous matrix structure oxidation. The metal-oxide coatings morphology is the result of melt drop transfer, heat treatment, and oxidation. The study establishes the influence of technological regimes of alloying and oxidation on morphological heterogeneity of biocompatible layered metal-oxide system Ti-Ta-(Ti,Ta)xOy. It was found that during electro-spark alloying the concentration of tantalum on the titanium surface ranges from 0.1 to 3.2 at.%. Morphology of the deposited splats is represented by uniformly grown crystals of titanium and tantalum oxides, which increase from nano- to submicron size.

  13. Internal Photoemission at Interfaces of ALD TaSiOx Insulating Layers Deposited on Si, InP and In0.53Ga0.47As

    NASA Astrophysics Data System (ADS)

    Y Chou, H.; Afanas'ev, V. V.; Thoan, N. H.; Adelmann, C.; Lin, H. C.; Houssa, M.; Stesmans, A.

    2012-10-01

    Electrical analysis of interfaces of (100)Si, (100)InP, and (100)In0.53Ga0.47As with TaSiOx (Ta/Si≈1) films atomic-layer deposited using SiCl4, TaCl5, and H2O precursors suggests Ta silicate as a good insulating and surface passivating layer on all three semiconductors. However, when a positive voltage is applied to the top metal electrode in a metal/ TaSiOx /semiconductor configuration, considerable hysteresis of the capacitance-voltage curves, both at 300 and 77 K, is universally observed indicating electron injection and trapping in the insulator. To shed some light on the origin of this charge instability, we analyzed interface band alignment of the studied interfaces using the spectroscopies of internal photoemission and photoconductivity measurements. The latter reveals that independently of the semiconductor substrate material, TaSiOx layers exhibit a bandgap of only 4.5±0.1 eV, typical for a Ta2O5 network. The density of electron states associated with this narrow-gap network may account for the enhanced electron injection and trapping. Furthermore, while a sufficiently high energy barrier for electrons between Si and TaSiOx (3.1±0.1 eV) is found, much lower IPE thresholds are encountered at the (100)InP/TaSiOx and (100) In0.53Ga0.47As/TaSiOx interfaces, i.e., 2.4 and 2.0 eV, respectively. The lower barrier may be related by the formation of narrow-gap In-rich interlayers between AIIIBV semiconductors and TaSiOx.

  14. MBE grown III-V strain relaxed buffer layers and superlattices characterized by atomic force microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Howard, A.J.; Fritz, I.J.; Drummond, T.J.

    1993-11-01

    Using atomic force microscopy (AFM), the authors have investigated the effects of growth temperature and dopant incorporation on the surface morphology of MBE grown graded buffer layers and strained layer superlattices (SLSs) in the InGaAlAs/GaAs and InAsSb/InSb material systems. The AFM results show quantitatively that over the temperature range from 380 to 545 C, graded in{sub x}Al{sub 1{minus}x}As(x = 0.05 {minus} 0.32) buffer layers grown at high temperatures ({approximately}520 C), and graded In{sub x}Ga{sub 1{minus}x}As (x = 0.05 {minus} 0.33) buffer layers and In{sub 0.4}Ga{sub 0.6}As/In{sub 0.26}Al{sub 0.35}Ga{sub 0.39}As SLSs grown at low temperatures ({approximately}400 C) have the lowest RMSmore » roughness. Also, for SLSs InAs{sub 0.21}Sb{sub 0.79}/InSb, undoped layers grown at 470 C were smoother than undoped layers grown at 420 C and Be-doped layers grown at 470 C. These results illustrate the role of surface tension in the growth of strained layer materials near the melting temperature of the InAs{sub x}Sb{sub {minus}x}/InSb superlattice. Nomarski interference and transmission electron microscopies, IR photoluminescence, x-ray diffraction, and photocurrent spectroscopy were also used to evaluate the relative quality of the material but usually, the results were not conclusive.« less

  15. Growth and characterization of CdS buffer layers by CBD and MOCVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Morrone, A.A.; Huang, C.; Li, S.S.

    1999-03-01

    Thin film CdS has been widely used in thin-film photovoltaic devices. The most efficient Cu(In,&hthinsp;Ga)Se{sub 2} (CIGS) solar cells reported to date utilized a thin CdS buffer layer prepared by a reactive solution growth technique known as chemical bath deposition (CBD). Considerable effort has been directed to better understand the role and find a replacement for the CBD CdS process in CIGS-based solar cells. We reported a low temperature ({approximately}150&hthinsp;{degree}C) Metalorganic Chemical Vapor Deposition (MOCVD) CdS thin film buffer layer process for CIGS absorbers. Many prior studies have reported that CBD CdS contains a mixture of crystal structures. Recent investigationsmore » of CBD CdS thin films by ellipsometry suggested a multilayer structure. In this study we compare CdS thin films prepared by CBD and MOCVD and the effects of annealing. TED and XRD are used to characterize the crystal structure, the film microstructure is studied by HRTEM, and the optical properties are studied by Raman and spectrophotometry. All of these characterization techniques reveal superior crystalline film quality for CdS films grown by MOCVD compared to those grown by CBD. Dual Beam Optical Modulation (DBOM) studies showed that the MOCVD and CBD CdS buffer layer processes have nearly the same effect on CIGS absorbers when combined with a cadmium partial electrolyte aqueous dip. {copyright} {ital 1999 American Institute of Physics.}« less

  16. Bimetallic nanocomposite as hole transport co-buffer layer in organic solar cell

    NASA Astrophysics Data System (ADS)

    Mola, Genene Tessema; Arbab, Elhadi A. A.

    2017-12-01

    Silver-zinc bimetallic nanocomposite (Ag:Zn BiM-NPs) was used as an inter-facial buffer layer in the preparation of thin film organic solar cell (TFOSC). The current investigation focuses on the effect of bimetallic nanoparticles on the performance of TFOSC. A number experiments were conducted by employing Ag:Zn nanocomposite buffer layer of thickness 1 nm at various positions of the device structure. In all cases, we found significant improvement on the power conversion efficiency of the solar cells. It is also noted that the open circuit voltage of the devices are decreasing when Ag:Zn form direct contact with the ITO electrode and without the inclusion of PEDOT:PSS. However, all results show that the introduction of Ag:Zn nanocomposite layer close to PEDOT:PSS could be beneficial to improve the charge transport processes in the preparation of thin film organic solar cell. The Ag:Zn BiM-NPs and the device properties were presented and discussed in terms of optical, electrical and film morphologies of the devices.

  17. Effect of organic buffer layer in the electrical properties of amorphous-indium gallium zinc oxide thin film transistor.

    PubMed

    Wang, Jian-Xun; Hyung, Gun Woo; Li, Zhao-Hui; Son, Sung-Yong; Kwon, Sang Jik; Kim, Young Kwan; Cho, Eou Sik

    2012-07-01

    In this research, we reported on the fabrication of top-contact amorphous-indium gallium zinc oxide (a-IGZO) thin-film transistors (TFTs) with an organic buffer layer between inorganic gate dielectric and active layer in order to improve the electrical properties of devices. By inserting an organic buffer layer, it was possible to make an affirmation of the improvements in the electrical characteristics of a-IGZO TFTs such as subthreshold slope (SS), on/off current ratio (I(ON/OFF)), off-state current, and saturation field-effect mobility (muFE). The a-IGZO TFTs with the cross-linked polyvinyl alcohol (c-PVA) buffer layer exhibited the pronounced improvements of the muFE (17.4 cm2/Vs), SS (0.9 V/decade), and I(ON/OFF) (8.9 x 10(6)).

  18. Improved properties of barium strontium titanate thin films grown on copper foils by pulsed laser deposition using a self-buffered layer.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, S.; Ma, B.; Narayanan, M.

    2012-01-01

    Ba{sub 0.6}Sr{sub 0.4}TiO{sub 3} (BST) films were deposited by pulsed laser deposition on copper foils with low-temperature self-buffered layers. The deposition conditions included a low oxygen partial pressure and a temperature of 700 C to crystallize the films without the formation of secondary phases and substrate oxidation. The results from x-ray diffraction and scanning electron microscopy indicated that the microstructure of the BST films strongly depended on the growth temperature. The use of the self-buffered layer improved the dielectric properties of the deposited BST films. The leakage current density of the BST films on the copper foil was 4.4 xmore » 10{sup -9} A cm{sup -2} and 3.3 x 10{sup -6} A cm{sup -2} with and without the self-buffered layer, respectively. The ferroelectric hysteresis loop for the BST thin film with buffer layer was slim, in contrast to the distorted loop observed for the film without the buffer layer. The permittivity (7 0 0) and dielectric loss tangent (0.013) of the BST film on the copper foil with self-buffered layer at room temperature were comparable to those of the film on metal and single-crystal substrates.« less

  19. Structure and Electrical Conductivity of AgTaS 3

    NASA Astrophysics Data System (ADS)

    Kim, Changkeun; Yun, Hoseop; Lee, Youngju; Shin, Heekyoon; Liou, Kwangkyoung

    1997-09-01

    Single crystals of the compound AgTaS 3have been prepared through reactions of the elements with halide mixtures. The structure of AgTaS 3has been analyzed by single-crystal X-ray diffraction methods. AgTaS 3crystallizes in the space group D172h- Cmcmof the orthorhombic system with four formula units in a cell of dimensions a=3.378(2), b=14.070(5), c=7.756(3) Å. The structure of AgTaS 3consists of two-dimensional 2∞[TaS -3] layers separated by Ag +cations. The layer is composed of Ta-centered bicapped trigonal prisms stacked on top of each other by sharing triangular faces. These chains are linked to form the infinite two-dimensional 2∞[TaS -3] slabs. These layers are held together through van der Waals interactions, and Ag +ions reside in the distorted octahedral sites between the layers. The temperature dependence of the electrical conductivity along the needle axis of AgTaS 3shows the typical behavior of an extrinsic semiconductor.

  20. Solution-processed MoS(x) as an efficient anode buffer layer in organic solar cells.

    PubMed

    Li, Xiaodong; Zhang, Wenjun; Wu, Yulei; Min, Chao; Fang, Junfeng

    2013-09-25

    We reported a facile solution-processed method to fabricate a MoSx anode buffer layer through thermal decomposition of (NH4)2MoS4. Organic solar cells (OSCs) based on in situ growth MoSx as the anode buffer layer showed impressive improvements, and the power conversion efficiency was higher than that of conventional PEDOT:PSS-based device. The MoSx films obtained at different temperatures and the corresponding device performance were systematically studied. The results indicated that both MoS3 and MoS2 were beneficial to the device performance. MoS3 could result in higher Voc, while MoS2 could lead to higher Jsc. Our results proved that, apart from MoO3, molybdenum sulfides and Mo(4+) were also promising candidates for the anode buffer materials in OSCs.

  1. Growth and micro structural studies on Yittria Stabilized Zirconia (YSZ) and Strontium Titanate (STO) buffer layers

    NASA Technical Reports Server (NTRS)

    Srinivas, S.; Pinto, R.; Pai, S. P.; Dsousa, D. P.; Apte, P. R.; Kumar, D.; Purandare, S. C.; Bhatnagar, A. K.

    1995-01-01

    Microstructure of Yittria Stabilized Zirconia (YSZ) and Strontium Titanate (STO) of radio frequency magnetron sputtered buffer layers was studied at various sputtering conditions on Si (100), Sapphire and LaAlO3 (100) substrates. The effect of substrate temperatures up to 800 C and sputtering gas pressures in the range of 50 mTorr. of growth conditions was studied. The buffer layers of YSZ and STO showed a strong tendency for columnar growth was observed above 15 mTorr sputtering gas pressure and at high substrate temperatures. Post annealing of these films in oxygen atmosphere reduced the oxygen deficiency and strain generated during growth of the films. Strong c-axis oriented superconducting YBa2Cu3O7-x (YBCO) thin films were obtained on these buffer layers using pulsed laser ablation technique. YBCO films deposited on multilayers of YSZ and STO were shown to have better superconducting properties.

  2. Carbon doped GaN buffer layer using propane for high electron mobility transistor applications: Growth and device results

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, X.; Nilsson, D.; Danielsson, Ö.

    2015-12-28

    The creation of a semi insulating (SI) buffer layer in AlGaN/GaN High Electron Mobility Transistor (HEMT) devices is crucial for preventing a current path beneath the two-dimensional electron gas (2DEG). In this investigation, we evaluate the use of a gaseous carbon gas precursor, propane, for creating a SI GaN buffer layer in a HEMT structure. The carbon doped profile, using propane gas, is a two stepped profile with a high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) epitaxial layer closest to the substrate and a lower doped layer (3 × 10{sup 16 }cm{sup −3}) closest to the 2DEG channel. Secondary Ion Mass Spectrometry measurement showsmore » a uniform incorporation versus depth, and no memory effect from carbon doping can be seen. The high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) does not influence the surface morphology, and a roughness root-mean-square value of 0.43 nm is obtained from Atomic Force Microscopy. High resolution X-ray diffraction measurements show very sharp peaks and no structural degradation can be seen related to the heavy carbon doped layer. HEMTs are fabricated and show an extremely low drain induced barrier lowering value of 0.1 mV/V, demonstrating an excellent buffer isolation. The carbon doped GaN buffer layer using propane gas is compared to samples using carbon from the trimethylgallium molecule, showing equally low leakage currents, demonstrating the capability of growing highly resistive buffer layers using a gaseous carbon source.« less

  3. Effect of Tris-acetate buffer on endotoxin removal from human-like collagen used biomaterials.

    PubMed

    Zhang, Huizhi; Fan, Daidi; Deng, Jianjun; Zhu, Chenghui; Hui, Junfeng; Ma, Xiaoxuan

    2014-09-01

    Protein preparation, which has active ingredients designated for the use of biomaterials and therapeutical protein, is obtained by genetic engineering, but products of genetic engineering are often contaminated by endotoxins. Because endotoxin is a ubiquitous and potent proinflammatory agent, endotoxin removal or depletion from protein is essential for researching any biomaterials. In this study, we have used Tris-acetate (TA) buffer of neutral pH value to evaluate endotoxins absorbed on the Pierce high-capacity endotoxin removal resin. The effects of TA buffer on pH, ionic strength, incubation time as well as human-like collagen (HLC) concentration on eliminating endotoxins are investigated. In the present experiments, we design an optimal method for TA buffer to remove endotoxin from recombinant collagen and use a chromogenic tachypleus amebocyte lysate (TAL) test kit to measure the endotoxin level of HLC. The present results show that, the endotoxins of HLC is dropped to 8.3EU/ml at 25 mM TA buffer (pH7.8) with 150 mM NaCl when setting incubation time at 6h, and HLC recovery is about 96%. Under this experimental condition, it is proved to exhibit high efficiencies of both endotoxin removal and collagen recovery. The structure of treated HLC was explored by Transmission Electron Microscopy (TEM), demonstrating that the property and structure of HLC treated by TA buffer are maintained. Compared to the most widely used endotoxin removal method, Triton X-114 extraction, using TA buffer can obtain the non-toxic HLC without extra treatment for removing the toxic substances in Triton X-114. In addition, the present study aims at establishing a foundation for further work in laboratory animal science and providing a foundation for medical grade biomaterials. Copyright © 2014 Elsevier B.V. All rights reserved.

  4. High performance polymer solar cells with as-prepared zirconium acetylacetonate film as cathode buffer layer

    PubMed Central

    Tan, Zhan'ao; Li, Shusheng; Wang, Fuzhi; Qian, Deping; Lin, Jun; Hou, Jianhui; Li, Yongfang

    2014-01-01

    Low-work-function active metals are commonly used as cathode in polymer solar cells (PSCs), but sensitivity of the active metals towards moisture and oxygen results in poor stability of the devices. Therefore, solution-proceessable and stable cathode buffer layer is of great importance for the application of PSCs. Here we demonstrate high performance PSCs by employing as-prepared zirconium acetylacetonate (a-ZrAcac) film spin-cast from its ethanol solution as cathode buffer layer. The PSCs based on a low bandgap polymer PBDTBDD as donor and PC60BM as acceptor with a-ZrAcac/Al cathode demonstrated an average power conversion efficiency (PCE) of 8.75% which is significantly improved than that of the devices with traditional Ca/Al cathode. The improved photovoltaic performance is benefitted from the decreased series resistance and enhanced light harvest of the PSCs with the a-ZrAcac/Al cathode. The results indicate that a-ZrAcac is a promising high performance cathode buffer layer for fabricating large area flexible PSCs. PMID:24732976

  5. Differential effect of buffering agents on the crystallization of gemcitabine hydrochloride in frozen solutions.

    PubMed

    Patel, Mehulkumar; Munjal, Bhushan; Bansal, Arvind K

    2014-08-25

    The purpose of this study was to evaluate the differential effect of buffering agents on the crystallization of gemcitabine hydrochloride (GHCl) in frozen solutions. Four buffering agents, viz. citric acid (CA), malic acid (MA), succinic acid (SA) and tartaric acid (TA) were selected and their effect on GHCl crystallization was monitored using standard DSC and low temperature XRD. Onset of GHCl crystallization during heating run in DSC was measured to compare the differential effect of buffering agents. Glass transition temperature (Tg'), unfrozen water content in the freeze concentrate and crystallization propensity of the buffering agents was also determined for mechanistic understanding of the underlying effects. CA and MA inhibited while SA facilitated crystallization of GHCl even at 25 mM concentration. Increasing the concentration enhanced their effect. However, TA inhibited GHCl crystallization at concentrations <100mM and facilitated it at concentrations ≥100 mM. Lyophilization of GHCl with either SA or TA yielded elegant cakes, while CA and MA caused collapse. Tg' failed to explain the inhibitory effects of CA, MA and TA as all buffering agents lowered the Tg' of the system. Differential effect of buffering agents on GHCl crystallization could be explained by consideration of two opposing factors: (i) their own crystallization tendency and (ii) unfrozen water content in the freeze concentrate. In conclusion, it was established that API crystallization in frozen solution is affected by the type and concentration of the buffering agents. Copyright © 2014 Elsevier B.V. All rights reserved.

  6. Effect of annealing on magnetoresistance and microstructure of multilayered CoFe/Cu systems with different buffer layer

    NASA Astrophysics Data System (ADS)

    Bannikova, N. S.; Milyaev, M. A.; Naumova, L. I.; Proglyado, V. V.; Krinitsina, T. P.; Chernyshova, T. A.; Ustinov, V. V.

    2015-02-01

    The effects of annealing on the structure, magnetic hysteresis, and magnetoresistance of [Co90Fe10(15 Å)/Cu(23 Å)] n superlattices with Cr and Co90Fe10 buffer layers of different thicknesses have been studied. The optimum temperature and time of annealing that increase the magnetoresistance were shown to depend on the buffer layer thickness. The coefficients of effective interlayer diffusion due to the annealing have been determined.

  7. Atomic layer deposition of (K,Na)(Nb,Ta)O{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sønsteby, Henrik Hovde, E-mail: henrik.sonsteby@kjemi.iuio.no; Nilsen, Ola; Fjellvåg, Helmer

    2016-07-15

    Thin films of complex alkali oxides are frequently investigated due to the large range of electric effects that are found in this class of materials. Their piezo- and ferroelectric properties also place them as sustainable lead free alternatives in optoelectronic devices. Fully gas-based routes for deposition of such compounds are required for integration into microelectronic devices that need conformal thin films with high control of thickness- and composition. The authors here present a route for deposition of materials in the (K,Na)(Nb,Ta)O{sub 3}-system, including the four end members NaNbO{sub 3}, KNbO{sub 3}, NaTaO{sub 3}, and KTaO{sub 3}, using atomic layer depositionmore » with emphasis on control of stoichiometry in such mixed quaternary and quinary compunds.« less

  8. Tuning the effective parameters in (Ta/Cu/[Ni/Co]x/Ta) multilayers with perpendicular magnetic anisotropy

    NASA Astrophysics Data System (ADS)

    Ayareh, Zohreh; Moradi, Mehrdad; Mahmoodi, Saman

    2018-06-01

    In this paper, we report perpendicular magnetic anisotropy (PMA) in a (Ta/Cu/[Ni/Co]x/Ta) multilayers structure. These typical structures usually include a multilayer of ferromagnetic and transition metal thin films. Usually, magnetic anisotropy is characterized by magnetization loops determined by magnetometer or magneto-optical Kerr effect (MOKE). The interface between ferromagnetic and metallic layers plays an important role in magnetic anisotropy evolution from out-of-plane to in-plane in (Ta/Cu/[Ni/Co]/Ta) structure. Obtained results from MOKE and magnetometry of these samples show that they have different easy axes due to change in thickness of Cu as spacer layer and difference in number of repetition of [Ni/Co] stacks.

  9. The Effect of Buffer Types on the In0.82Ga0.18As Epitaxial Layer Grown on an InP (100) Substrate.

    PubMed

    Zhang, Min; Guo, Zuoxing; Zhao, Liang; Yang, Shen; Zhao, Lei

    2018-06-08

    In 0.82 Ga 0.18 As epitaxial layers were grown on InP (100) substrates at 530 °C by a low-pressure metalorganic chemical vapor deposition (LP-MOCVD) technique. The effects of different buffer structures, such as a single buffer layer, compositionally graded buffer layers, and superlattice buffer layers, on the crystalline quality and property were investigated. Double-crystal X-ray diffraction (DC-XRD) measurement, Raman scattering spectrum, and Hall measurements were used to evaluate the crystalline quality and electrical property. Scanning electron microscope (SEM), atomic force microscope (AFM), and transmission electron microscope (TEM) were used to characterize the surface morphology and microstructure, respectively. Compared with the In 0.82 Ga 0.18 As epitaxial layer directly grown on an InP substrate, the quality of the sample is not obviously improved by using a single In 0.82 Ga 0.18 As buffer layer. By introducing the graded In x Ga 1−x As buffer layers, it was found that the dislocation density in the epitaxial layer significantly decreased and the surface quality improved remarkably. In addition, the number of dislocations in the epitaxial layer greatly decreased under the combined action of multi-potential wells and potential barriers by the introduction of a In 0.82 Ga 0.18 As/In 0.82 Al 0.18 As superlattice buffer. However, the surface subsequently roughened, which may be explained by surface undulation.

  10. Buffer layer dependence of magnetoresistance effects in Co2Fe0.4Mn0.6Si/MgO/Co50Fe50 tunnel junctions

    NASA Astrophysics Data System (ADS)

    Sun, Mingling; Kubota, Takahide; Takahashi, Shigeki; Kawato, Yoshiaki; Sonobe, Yoshiaki; Takanashi, Koki

    2018-05-01

    Buffer layer dependence of tunnel magnetoresistance (TMR) effects was investigated in Co2Fe0.4Mn0.6Si (CFMS)/MgO/Co50Fe50 magnetic tunnel junctions (MTJs). Pd, Ru and Cr were selected for the buffer layer materials, and MTJs with three different CFMS thicknesses (30, 5, and 0.8 nm) were fabricated. A maximum TMR ratio of 136% was observed in the Ru buffer layer sample with a 30-nm-thick CFMS layer. TMR ratios drastically degraded for the CFMS thickness of 0.8 nm, and the values were 26% for Cr buffer layer and less than 1% for Pd and Ru buffer layers. From the annealing temperature dependence of the TMR ratios, amounts of interdiffusion and effects from the lattice mismatch were discussed.

  11. Cu(In,Ga)Se2 solar cells with In2S3 buffer layer deposited by thermal evaporation

    NASA Astrophysics Data System (ADS)

    Kim, SeongYeon; Rana, Tanka R.; Kim, JunHo; Yun, JaeHo

    2017-12-01

    We report on physical vapor deposition of indium sulfide (In2S3) buffer layers and its application to Cu(In,Ga)Se2 (CIGSe) thin film solar cell. The Indium sulfide buffer layers were evaporated onto CIGSe at various substrate temperatures from room temperature (RT) to 350 °C. The effect of deposition temperature of buffer layers on the solar cell device performance were investigated by analyzing temperature dependent current-voltage ( J- V- T), external quantum efficiency (EQE) and Raman spectroscopy. The fabricated device showed the highest power conversion efficiency of 6.56% at substrate temperature of 250 °C, which is due to the decreased interface recombination. However, the roll-over in J- V curves was observed for solar cell device having buffer deposited at substrate temperature larger than 250 °C. From the measurement results, the interface defect and roll-over related degradation were found to have limitation on the performance of solar cell device.

  12. Buffer Layer Doping Concentration Measurement Using VT-VSUB Characteristics of GaN HEMT with p-GaN Substrate Layer

    NASA Astrophysics Data System (ADS)

    Hu, Cheng-Yu; Nakatani, Katsutoshi; Kawai, Hiroji; Ao, Jin-Ping; Ohno, Yasuo

    To improve the high voltage performance of AlGaN/GaN heterojunction field effect transistors (HFETs), we have fabricated AlGaN/GaN HFETs with p-GaN epi-layer on sapphire substrate with an ohmic contact to the p-GaN (p-sub HFET). Substrate bias dependent threshold voltage variation (VT-VSUB) was used to directly determine the doping concentration profile in the buffer layer. This VT-VSUB method was developed from Si MOSFET. For HFETs, the insulator is formed by epitaxially grown and heterogeneous semiconductor layer while for Si MOSFETs the insulator is amorphous SiO2. Except that HFETs have higher channel mobility due to the epitaxial insulator/semiconductor interface, HFETs and Si MOSFETs are basically the same in the respect of device physics. Based on these considerations, the feasibility of this VT-VSUB method for AlGaN/GaN HFETs was discussed. In the end, the buffer layer doping concentration was measured to be 2 × 1017cm-3, p-type, which is well consistent with the Mg concentration obtained from secondary ion mass spectroscopy (SIMS) measurement.

  13. Optimization of the Energy Level Alignment between the Photoactive Layer and the Cathode Contact Utilizing Solution-Processed Hafnium Acetylacetonate as Buffer Layer for Efficient Polymer Solar Cells.

    PubMed

    Yu, Lu; Li, Qiuxiang; Shi, Zhenzhen; Liu, Hao; Wang, Yaping; Wang, Fuzhi; Zhang, Bing; Dai, Songyuan; Lin, Jun; Tan, Zhan'ao

    2016-01-13

    The insertion of an appropriate interfacial buffer layer between the photoactive layer and the contact electrodes makes a great impact on the performance of polymer solar cells (PSCs). Ideal interfacial buffer layers could minimize the interfacial traps and the interfacial barriers caused by the incompatibility between the photoactive layer and the electrodes. In this work, we utilized solution-processed hafnium(IV) acetylacetonate (Hf(acac)4) as an effective cathode buffer layer (CBL) in PSCs to optimize the energy level alignment between the photoactive layer and the cathode contact, with the short-circuit current density (Jsc), open-circuit voltage (Voc), and fill factor (FF) all simultaneously improved with Hf(acac)4 CBL, leading to enhanced power conversion efficiencies (PCEs). Ultraviolet photoemission spectroscopy (UPS) and scanning Kelvin probe microscopy (SKPM) were performed to confirm that the interfacial dipoles were formed with the same orientation direction as the built-in potential between the photoactive layer and Hf(acac)4 CBL, benefiting the exciton separation and electron transport/extraction. In addition, the optical characteristics and surface morphology of the Hf(acac)4 CBL were also investigated.

  14. Versatile buffer layer architectures based on Ge1-xSnx alloys

    NASA Astrophysics Data System (ADS)

    Roucka, R.; Tolle, J.; Cook, C.; Chizmeshya, A. V. G.; Kouvetakis, J.; D'Costa, V.; Menendez, J.; Chen, Zhihao D.; Zollner, S.

    2005-05-01

    We describe methodologies for integration of compound semiconductors with Si via buffer layers and templates based on the GeSn system. These layers exhibit atomically flat surface morphologies, low defect densities, tunable thermal expansion coefficients, and unique ductile properties, which enable them to readily absorb differential stresses produced by mismatched overlayers. They also provide a continuous selection of lattice parameters higher than that of Ge, which allows lattice matching with technologically useful III-V compounds. Using this approach we have demonstrated growth of GaAs, GeSiSn, and pure Ge layers at low temperatures on Si(100). These materials display extremely high-quality structural, morphological, and optical properties opening the possibility of versatile integration schemes directly on silicon.

  15. Effect of Mg doping in ZnO buffer layer on ZnO thin film devices for electronic applications

    NASA Astrophysics Data System (ADS)

    Giri, Pushpa; Chakrabarti, P.

    2016-05-01

    Zinc Oxide (ZnO) thin films have been grown on p-silicon (Si) substrate using magnesium doped ZnO (Mg: ZnO) buffer layer by radio-frequency (RF) sputtering method. In this paper, we have optimized the concentration of Mg (0-5 atomic percent (at. %)) ZnO buffer layer to examine its effect on ZnO thin film based devices for electronic and optoelectronic applications. The crystalline nature, morphology and topography of the surface of the thin film have been characterized. The optical as well as electrical properties of the active ZnO film can be tailored by varying the concentration of Mg in the buffer layer. The crystallite size in the active ZnO thin film was found to increase with the Mg concentration in the buffer layer in the range of 0-3 at. % and subsequently decrease with increasing Mg atom concentration in the ZnO. The same was verified by the surface morphology and topography studies carried out with scanning electron microscope (SEM) and atomic electron microscopy (AFM) respectively. The reflectance in the visible region was measured to be less than 80% and found to decrease with increase in Mg concentration from 0 to 3 at. % in the buffer region. The optical bandgap was initially found to increase from 3.02 eV to 3.74 eV by increasing the Mg content from 0 to 3 at. % but subsequently decreases and drops down to 3.43 eV for a concentration of 5 at. %. The study of an Au:Pd/ZnO Schottky diode reveals that for optimum doping of the buffer layer the device exhibits superior rectifying behavior. The barrier height, ideality factor, rectification ratio, reverse saturation current and series resistance of the Schottky diode were extracted from the measured current voltage (I-V) characteristics.

  16. Structural characteristics of a non-polar ZnS layer on a ZnO buffer layer formed on a sapphire substrate by mist chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Okita, Koshi; Inaba, Katsuhiko; Yatabe, Zenji; Nakamura, Yusui

    2018-06-01

    ZnS is attractive as a material for low-cost light-emitting diodes. In this study, a non-polar ZnS layer was epitaxially grown on a sapphire substrate by inserting a ZnO buffer layer between ZnS and sapphire. The ZnS and ZnO layers were grown by a mist chemical vapor deposition system with a simple setup operated under atmospheric pressure. The sample was characterized by high-resolution X-ray diffraction measurements including 2θ/ω scans, rocking curves, and reciprocal space mapping. The results showed that an m-plane wurtzite ZnS layer grew epitaxially on an m-plane wurtzite ZnO buffer layer formed on the m-plane sapphire substrate to provide a ZnS/ZnO/sapphire structure.

  17. Design of optimal buffer layers for CuInGaSe2 thin-film solar cells(Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Lordi, Vincenzo; Varley, Joel B.; He, Xiaoqing; Rockett, Angus A.; Bailey, Jeff; Zapalac, Geordie H.; Mackie, Neil; Poplavskyy, Dmitry; Bayman, Atiye

    2016-09-01

    Optimizing the buffer layer in manufactured thin-film PV is essential to maximize device efficiency. Here, we describe a combined synthesis, characterization, and theory effort to design optimal buffers based on the (Cd,Zn)(O,S) alloy system for CIGS devices. Optimization of buffer composition and absorber/buffer interface properties in light of several competing requirements for maximum device efficiency were performed, along with process variations to control the film and interface quality. The most relevant buffer properties controlling performance include band gap, conduction band offset with absorber, dopability, interface quality, and film crystallinity. Control of an all-PVD deposition process enabled variation of buffer composition, crystallinity, doping, and quality of the absorber/buffer interface. Analytical electron microscopy was used to characterize the film composition and morphology, while hybrid density functional theory was used to predict optimal compositions and growth parameters based on computed material properties. Process variations were developed to produce layers with controlled crystallinity, varying from amorphous to fully epitaxial, depending primarily on oxygen content. Elemental intermixing between buffer and absorber, particularly involving Cd and Cu, also is controlled and significantly affects device performance. Secondary phase formation at the interface is observed for some conditions and may be detrimental depending on the morphology. Theoretical calculations suggest optimal composition ranges for the buffer based on a suite of computed properties and drive process optimizations connected with observed film properties. Prepared by LLNL under Contract DE-AC52-07NA27344.

  18. Buffer layers on rolled nickel or copper as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Lee, Dominic F.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled substrates of nickel and/or copper and their alloys for high current conductors, and more particularly buffer layer architectures such as Y.sub.2 O.sub.3 /Ni, YSZ/Y.sub.2 O.sub.3 /Ni, Yb.sub.2 O.sub.3 /Ni, Yb.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Ni, Yb.sub.2 O.sub.3 /CeO.sub.2 /Ni, RE.sub.2 O.sub.3 /Ni (RE=Rare Earth), and Yb.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Ni, Y.sub.2 O.sub.3 /Cu, YSZ/Y.sub.2 O.sub.3 /Cu, Yb.sub.2 O.sub.3 /Cu, Yb.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Cu, Yb.sub.2 O.sub.3 /CeO.sub.2 /Cu, RE.sub.2 O.sub.3 /Cu, and Yb.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Cu. Deposition methods include physical vapor deposition techniques which include electron-beam evaporation, rf magnetron sputtering, pulsed laser deposition, thermal evaporation, and solution precursor approach, which includes chemical vapor deposition, combustion CVD, metal-organic decomposition, sol-gel processing, and plasma spray.

  19. Magnetic properties of Pr-Fe-B thick-film magnets deposited on Si substrates with glass buffer layer

    NASA Astrophysics Data System (ADS)

    Nakano, M.; Kurosaki, A.; Kondo, H.; Shimizu, D.; Yamaguchi, Y.; Yamashita, A.; Yanai, T.; Fukunaga, H.

    2018-05-01

    In order to improve the magnetic properties of PLD-made Pr-Fe-B thick-film magnets deposited on Si substrates, an adoption of a glass buffer layer was carried out. The glass layer could be fabricated under the deposition rate of approximately 70 μm/h on a Si substrate using a Nd-YAG pulse laser in the vacuum atmosphere. The use of the layer enabled us to reduce the Pr content without a mechanical destruction and enhance (BH)max value by approximately 20 kJ/m3 compared with the average value of non-buffer layered Pr-Fe-B films with almost the same thickness. It is also considered that the layer is also effective to apply a micro magnetization to the films deposited on Si ones.

  20. Growth mechanisms of GaSb heteroepitaxial films on Si with an AlSb buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vajargah, S. Hosseini; Botton, G. A.; Brockhouse Institute for Materials Research, McMaster University, Hamilton, Ontario L8S 4M1

    2013-09-21

    The initial growth stages of GaSb epilayers on Si substrates and the role of the AlSb buffer layer were studied by high-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM). Heteroepitaxy of GaSb and AlSb on Si both occur by Volmer-Weber (i.e., island mode) growth. However, the AlSb and GaSb islands have distinctly different characteristics as revealed through an atomic-resolution structural study using Z-contrast of HAADF-STEM imaging. While GaSb islands are sparse and three dimensional, AlSb islands are numerous and flattened. The introduction of 3D island-forming AlSb buffer layer facilitates the nucleation of GaSb islands. The AlSb islands-assisted nucleation of GaSbmore » islands results in the formation of drastically higher quality planar film at a significantly smaller thickness of films. The interface of the AlSb and GaSb epilayers with the Si substrate was further investigated with energy dispersive X-ray spectrometry to elucidate the key role of the AlSb buffer layer in the growth of GaSb epilayers on Si substrates.« less

  1. The effect of a Ta oxygen scavenger layer on HfO 2-based resistive switching behavior: Thermodynamic stability, electronic structure, and low-bias transport

    DOE PAGES

    Zhong, Xiaoliang; Rungger, Ivan; Zapol, Peter; ...

    2016-02-15

    Reversible resistive switching between high-resistance and low-resistance states in metal-oxide-metal heterostructures makes them very interesting for applications in random access memories. While recent experimental work has shown that inserting a metallic "oxygen scavenger layer'' between the positive electrode and oxide improves device performance, the fundamental understanding of how the scavenger layer modifies the heterostructure properties is lacking. We use density functional theory to calculate thermodynamic properties and conductance of TiN/HfO 2/TiN heterostructures with and without a Ta scavenger layer. First, we show that Ta insertion lowers the formation energy of low-resistance states. Second, while the Ta scavenger layer reduces themore » Schottky barrier height in the high-resistance state by modifying the interface charge at the oxide-electrode interface, the heterostructure maintains a high resistance ratio between high-and low-resistance states. Lastly, we show that the low-bias conductance of device on-states becomes much less sensitive to the spatial distribution of oxygen removed from the HfO 2 in the presence of the Ta layer. By providing a fundamental understanding of the observed improvements with scavenger layers, we open a path to engineer interfaces with oxygen scavenger layers to control and enhance device performance. In turn, this may enable the realization of a non-volatile low-power memory technology with concomitant reduction in energy consumption by consumer electronics and offering significant benefits to society.« less

  2. Study of the effects of GaN buffer layer quality on the dc characteristics of AlGaN/GaN high electron mobility transistors

    DOE PAGES

    Ahn, Shihyun; Zhu, Weidi; Dong, Chen; ...

    2015-04-21

    Here we studied the effect of buffer layer quality on dc characteristics of AlGaN/GaN high electron mobility (HEMTs). AlGaN/GaN HEMT structures with 2 and 5 μm GaN buffer layers on sapphire substrates from two different vendors with the same Al concentration of AlGaN were used. The defect densities of HEMT structures with 2 and 5 μm GaN buffer layer were 7 × 10 9 and 5 × 10 8 cm ₋2, respectively, as measured by transmission electron microscopy. There was little difference in drain saturation current or in transfer characteristics in HEMTs on these two types of buffer. However, theremore » was no dispersion observed on the nonpassivated HEMTs with 5 μm GaN buffer layer for gate-lag pulsed measurement at 100 kHz, which was in sharp contrast to the 71% drain current reduction for the HEMT with 2 μm GaN buffer layer.« less

  3. Ultrathin Polyaniline-based Buffer Layer for Highly Efficient Polymer Solar Cells with Wide Applicability

    PubMed Central

    Zhao, Wenchao; Ye, Long; Zhang, Shaoqing; Fan, Bin; Sun, Mingliang; Hou, Jianhui

    2014-01-01

    Interfacial buffer layers often attribute the improved device performance in organic optoelectronic device. Herein, a water-soluble hydrochloric acid doped polyanilines (HAPAN) were utilized as p-type electrode buffer layer in highly efficient polymer solar cells (PSC) based on PBDTTT-EFT and several representative polymers. The PBDTTT-EFT-based conventional PSC featuring ultrathin HAPAN (1.3 nm) delivered high PCE approximately 9%, which is one of the highest values among conventional PSC devices. Moreover, ultrathin HAPAN also exhibited wide applicability in a variety of efficient photovoltaic polymers including PBDTTT-C-T, PTB7, PBDTBDD, PBTTDPP-T, PDPP3T and P3HT. The excellent performances were originated from the high transparency, small film roughness and suitable work function. PMID:25300365

  4. The effect of different oxygen exchange layers on TaO x based RRAM devices

    NASA Astrophysics Data System (ADS)

    Alamgir, Zahiruddin; Holt, Joshua; Beckmann, Karsten; Cady, Nathaniel C.

    2018-01-01

    In this work, we investigated the effect of the oxygen exchange layer (OEL) on the resistive switching properties of TaO x based memory cells. It was found that the forming voltage, SET-RESET voltage, R off, R on and retention properties are strongly correlated with the oxygen scavenging ability of the OEL, and the resulting oxygen vacancy formation ability of this layer. Higher forming voltage was observed for OELs having lower electronegativity/lower Gibbs free energy for oxide formation, and devices fabricated with these OELs exhibited an increased memory window, when using similar SET-RESET voltage range.

  5. The role of hydrogenated amorphous silicon oxide buffer layer on improving the performance of hydrogenated amorphous silicon germanium single-junction solar cells

    NASA Astrophysics Data System (ADS)

    Sritharathikhun, Jaran; Inthisang, Sorapong; Krajangsang, Taweewat; Krudtad, Patipan; Jaroensathainchok, Suttinan; Hongsingtong, Aswin; Limmanee, Amornrat; Sriprapha, Kobsak

    2016-12-01

    Hydrogenated amorphous silicon oxide (a-Si1-xOx:H) film was used as a buffer layer at the p-layer (μc-Si1-xOx:H)/i-layer (a-Si1-xGex:H) interface for a narrow band gap hydrogenated amorphous silicon germanium (a-Si1-xGex:H) single-junction solar cell. The a-Si1-xOx:H film was deposited by plasma enhanced chemical vapor deposition (PECVD) at 40 MHz in a same processing chamber as depositing the p-type layer. An optimization of the thickness of the a-Si1-xOx:H buffer layer and the CO2/SiH4 ratio was performed in the fabrication of the a-Si1-xGex:H single junction solar cells. By using the wide band gap a-Si1-xOx:H buffer layer with optimum thickness and CO2/SiH4 ratio, the solar cells showed an improvement in the open-circuit voltage (Voc), fill factor (FF), and short circuit current density (Jsc), compared with the solar cells fabricated using the conventional a-Si:H buffer layer. The experimental results indicated the excellent potential of the wide-gap a-Si1-xOx:H buffer layers for narrow band gap a-Si1-xGex:H single junction solar cells.

  6. Effects of strain and buffer layer on interfacial magnetization in Sr 2 CrReO 6 films determined by polarized neutron reflectometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Yaohua; Lucy, J. M.; Glavic, A.

    2014-09-01

    We have determined the depth-resolved magnetization structures of a series of highly orderedSr2CrReO6 (SCRO) ferrimagnetic epitaxial films via combined studies of x-ray reflectometry, polarized neutron reflectometry and SQUID magnetometry. The SCRO films deposited directly on (LaAlO3)0:3(Sr2AlTaO6)0:7 or SrTiO3 substrates show reduced magnetization of similar width near the interfaces with the substrates, despite having different degrees of strain. When the SCRO film is deposited on a Sr2CrNbO6 (SCNO) double perovskite buffer layer, the width the interfacial region with reduced magnetization is reduced, agreeing with an improved Cr/Re ordering. However, the relative reduction of the magnetization averaged over the interfacial regions aremore » comparable among the three samples. Interestingly, we found that the magnetization suppression region is wider than the Cr/Re antisite disorder region at the interface between SCRO and SCNO.« less

  7. Buffer layers on metal surfaces having biaxial texture as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Lee, Dominic F.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled substrates of nickel and/or copper and their alloys for high current conductors, and more particularly buffer layer architectures such as Y.sub.2 O.sub.3 /Ni, YSZ/Y.sub.2 O.sub.3 /Ni, RE.sub.2 O.sub.3 /Ni, (RE=Rare Earth), RE.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Ni, RE.sub.2 O.sub.3 /CeO.sub.2 /Ni, and RE.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Ni, Y.sub.2 O.sub.3 /Cu, YSZ/Y.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /CeO.sub.2 /Cu, and RE.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Cu. Deposition methods include physical vapor deposition techniques which include electron-beam evaporation, rf magnetron sputtering, pulsed laser deposition, thermal evaporation, and solution precursor approaches, which include chemical vapor deposition, combustion CVD, metal-organic decomposition, sol-gel processing, and plasma spray.

  8. Efficiency enhancement of polymer solar cells by applying poly(vinylpyrrolidone) as a cathode buffer layer via spin coating or self-assembly.

    PubMed

    Wang, Haitao; Zhang, Wenfeng; Xu, Chenhui; Bi, Xianghong; Chen, Boxue; Yang, Shangfeng

    2013-01-01

    A non-conjugated polymer poly(vinylpyrrolidone) (PVP) was applied as a new cathode buffer layer in P3HT:PCBM bulk heterojunction polymer solar cells (BHJ-PSCs), by means of either spin coating or self-assembly, resulting in significant efficiency enhancement. For the case of incorporation of PVP by spin coating, power conversion efficiency (PCE) of the ITO/PEDOT:PSS/P3HT:PCBM/PVP/Al BHJ-PSC device (3.90%) is enhanced by 29% under the optimum PVP spin-coating speed of 3000 rpm, which leads to the optimum thickness of PVP layer of ~3 nm. Such an efficiency enhancement is found to be primarily due to the increase of the short-circuit current (J(sc)) (31% enhancement), suggesting that the charge collection increases upon the incorporation of a PVP cathode buffer layer, which originates from the conjunct effects of the formation of a dipole layer between P3HT:PCBM active layer and Al electrodes, the chemical reactions of PVP molecules with Al atoms, and the increase of the roughness of the top Al film. Incorporation of PVP layer by doping PVP directly into the P3HT:PCBM active layer leads to an enhancement of PCE by 13% under the optimum PVP doping ratio of 3%, and this is interpreted by the migration of PVP molecules to the surface of the active layer via self-assembly, resulting in the formation of the PVP cathode buffer layer. While the formation of the PVP cathode buffer layer is fulfilled by both fabrication methods (spin coating and self-assembly), the dependence of the enhancement of the device performance on the thickness of the PVP cathode buffer layer formed by self-assembly or spin coating is different, because of the different aggregation microstructures of the PVP interlayer.

  9. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  10. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2016-09-21

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  11. Static and dynamic properties of Co2FeAl thin films: Effect of MgO and Ta as capping layers

    NASA Astrophysics Data System (ADS)

    Husain, Sajid; Barwal, Vineet; Kumar, Ankit; Behera, Nilamani; Akansel, Serkan; Goyat, Ekta; Svedlindh, Peter; Chaudhary, Sujeet

    2017-05-01

    The influence of MgO and Ta capping layers on the static and dynamic magnetic properties of Co2FeAl (CFA) Heusler alloy thin films has been investigated. It is observed that the CFA film deposited with MgO capping layer is preeminent compared to the uncapped or Ta capped CFA film. In particular, the magnetic inhomogeneity contribution to the ferromagnetic resonance line broadening and damping constant are found to be minimal for the MgO capped CFA thin film i.e., 0.12±0.01 Oe and 0.0074±0.00014, respectively. The saturation magnetization was found to be 960±25emu/cc.

  12. 19.5%-Efficient CuIn1-xGaxSe2 Photovoltaic Cells Using A Cd-Zn-S Buffer Layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhattacharya. R. N.

    2008-01-01

    CuIn1-xGaxSe2 (CIGS) solar cell junctions prepared by chemical-bath-deposited (CBD) Zn1-xCdxS (CdZnS), ZnS, and CdS buffer layers are discussed. A 19.52%-efficient, CIGS-based, thin-film photovoltaic device has been fabricated using a single-layer CBD CdZnS buffer layer. The mechanism that creates extensive hydroxide and oxide impurities in CBD-ZnS and CBD-CdZnS thin films (compared to CBD-CdS thin film) is presented.

  13. Dynamic diffraction effects and coherent breathing oscillations in ultrafast electron diffraction in layered 1T-TaSeTe

    PubMed Central

    Wei, Linlin; Sun, Shuaishuai; Guo, Cong; Li, Zhongwen; Sun, Kai; Liu, Yu; Lu, Wenjian; Sun, Yuping; Tian, Huanfang; Yang, Huaixin; Li, Jianqi

    2017-01-01

    Anisotropic lattice movements due to the difference between intralayer and interlayer bonding are observed in the layered transition-metal dichalcogenide 1T-TaSeTe following femtosecond laser pulse excitation. Our ultrafast electron diffraction investigations using 4D-transmission electron microscopy (4D-TEM) clearly reveal that the intensity of Bragg reflection spots often changes remarkably due to the dynamic diffraction effects and anisotropic lattice movement. Importantly, the temporal diffracted intensity from a specific crystallographic plane depends on the deviation parameter s, which is commonly used in the theoretical study of diffraction intensity. Herein, we report on lattice thermalization and structural oscillations in layered 1T-TaSeTe, analyzed by dynamic diffraction theory. Ultrafast alterations of satellite spots arising from the charge density wave in the present system are also briefly discussed. PMID:28470025

  14. Simple O2 plasma-processed V2O5 as an anode buffer layer for high-performance polymer solar cells.

    PubMed

    Bao, Xichang; Zhu, Qianqian; Wang, Ting; Guo, Jing; Yang, Chunpeng; Yu, Donghong; Wang, Ning; Chen, Weichao; Yang, Renqiang

    2015-04-15

    A simple O2 plasma processing method for preparation of a vanadium oxide (V2O5) anode buffer layer on indium tin oxide (ITO)-coated glass for polymer solar cells (PSCs) is reported. The V2O5 layer with high transmittance and good electrical and interfacial properties was prepared by spin coating a vanadium(V) triisopropoxide oxide alcohol solution on ITO and then O2 plasma treatment for 10 min [V2O5 (O2 plasma)]. PSCs based on P3HT:PC61BM and PBDTTT-C:PC71BM using V2O5 (O2 plasma) as an anode buffer layer show high power conversion efficiencies (PCEs) of 4.47 and 7.54%, respectively, under the illumination of AM 1.5G (100 mW/cm(2)). Compared to that of the control device with PBDTTT-C:PC71BM as the active layer and PSS (PCE of 6.52%) and thermally annealed V2O5 (PCE of 6.27%) as the anode buffer layer, the PCE was improved by 15.6 and 20.2%, respectively, after the introduction of a V2O5 (O2 plasma) anode buffer layer. The improved PCE is ascribed to the greatly improved fill factor and enhanced short-circuit current density of the devices, which benefited from the change in the work function of V2O5, a surface with many dangling bonds for better interfacial contact, and the excellent charge transport property of the V2O5 (O2 plasma) layer. The results indicate that an O2 plasma-processed V2O5 film is an efficient and economical anode buffer layer for high-performance PSCs. It also provides an attractive choice for low-cost fabrication of organic electronics.

  15. Effect of Alloy 625 Buffer Layer on Hardfacing of Modified 9Cr-1Mo Steel Using Nickel Base Hardfacing Alloy

    NASA Astrophysics Data System (ADS)

    Chakraborty, Gopa; Das, C. R.; Albert, S. K.; Bhaduri, A. K.; Murugesan, S.; Dasgupta, Arup

    2016-04-01

    Dashpot piston, made up of modified 9Cr-1Mo steel, is a part of diverse safety rod used for safe shutdown of a nuclear reactor. This component was hardfaced using nickel base AWS ER NiCr-B alloy and extensive cracking was experienced during direct deposition of this alloy on dashpot piston. Cracking reduced considerably and the component was successfully hardfaced by application of Inconel 625 as buffer layer prior to hardface deposition. Hence, a separate study was undertaken to investigate the role of buffer layer in reducing the cracking and on the microstructure of the hardfaced deposit. Results indicate that in the direct deposition of hardfacing alloy on modified 9Cr-1Mo steel, both heat-affected zone (HAZ) formed and the deposit layer are hard making the thickness of the hard layer formed equal to combined thickness of both HAZ and deposit. This hard layer is unable to absorb thermal stresses resulting in the cracking of the deposit. By providing a buffer layer of Alloy 625 followed by a post-weld heat treatment, HAZ formed in the modified 9Cr-1Mo steel is effectively tempered, and HAZ formed during the subsequent deposition of the hardfacing alloy over the Alloy 625 buffer layer is almost completely confined to Alloy 625, which does not harden. This reduces the cracking susceptibility of the deposit. Further, unlike in the case of direct deposition on modified 9Cr-1Mo steel, dilution of the deposit by Ni-base buffer layer does not alter the hardness of the deposit and desired hardness on the deposit surface could be achieved even with lower thickness of the deposit. This gives an option for reducing the recommended thickness of the deposit, which can also reduce the risk of cracking.

  16. Role of Al2O3 thin layer on improving the resistive switching properties of Ta5Si3-based conductive bridge random accesses memory device

    NASA Astrophysics Data System (ADS)

    Kumar, Dayanand; Aluguri, Rakesh; Chand, Umesh; Tseng, Tseung-Yuen

    2018-04-01

    Ta5Si3-based conductive bridge random access memory (CBRAM) devices have been investigated to improve their resistive switching characteristics for their application in future nonvolatile memory technology. Changes in the switching characteristics by the addition of a thin Al2O3 layer of different thicknesses at the bottom electrode interface of a Ta5Si3-based CBRAM devices have been studied. The double-layer device with a 1 nm Al2O3 layer has shown improved resistive switching characteristics over the single layer one with a high on/off resistance ratio of 102, high endurance of more than 104 cycles, and good retention for more than 105 s at the temperature of 130 °C. The higher thermal conductivity of Al2O3 over Ta5Si3 has been attributed to the enhanced switching properties of the double-layer devices.

  17. Zinc Tantalum Oxynitride (ZnTaO2N) Photoanode Modified with Cobalt Phosphate Layers for the Photoelectrochemical Oxidation of Alkali Water

    PubMed Central

    T. Weller, Mark

    2018-01-01

    Photoanodes fabricated by the electrophoretic deposition of a thermally prepared zinc tantalum oxynitride (ZnTaO2N) catalyst onto indium tin oxide (ITO) substrates show photoactivation for the oxygen evolution reaction (OER) in alkaline solutions. The photoactivity of the OER is further boosted by the photodeposition of cobalt phosphate (CoPi) layers onto the surface of the ZnTaO2N photoanodes. Structural, morphological, and photoelectrochemical (PEC) properties of the modified ZnTaO2N photoanodes are studied using X-ray diffraction (XRD), scanning electron microscopy (SEM), ultraviolet visible (UV−Vis) diffuse reflectance spectroscopy, and electrochemical techniques. The presence of the CoPi layer significantly improved the PEC performance of water oxidation in an alkaline sulphate solution. The photocurrent-voltage behavior of the CoPi-modified ZnTaO2N anodes was improved, with the influence being more prominent at lower oxidation potentials. A stable photocurrent density of about 2.3 mA·cm−2 at 1.23 V vs. RHE was attained upon visible light illumination. Relative to the ZnTaO2N photoanodes, an almost three-fold photocurrent increase was achieved at the CoPi/ZnTaO2N photoelectrode. Perovskite-based oxynitrides are modified using an oxygen-evolution co-catalyst of CoPi, and provide a new dimension for enhancing the photoactivity of oxygen evolution in solar-assisted water-splitting reactions. PMID:29346306

  18. Formation and characterization of Ta2O5/TaOx films formed by O ion implantation

    NASA Astrophysics Data System (ADS)

    Ruffell, S.; Kurunczi, P.; England, J.; Erokhin, Y.; Hautala, J.; Elliman, R. G.

    2013-07-01

    Ta2O5/TaOx (oxide/suboxide) heterostructures are fabricated by high fluence O ion-implantation into deposited Ta films. The resultant films are characterized by depth profiling X-ray photoelectron spectroscopy (XPS), cross-sectional transmission electron microscopy (XTEM), four-point probe, and current-voltage and capacitance-voltage measurements. The measurements show that Ta2O5/TaOx oxide/suboxide heterostructures can be fabricated with the relative thicknesses of the layers controlled by implantation energy and fluence. Electrical measurements show that this approach has promise for high volume manufacturing of resistive switching memory devices based on oxide/suboxide heterostructures.

  19. Simultaneous enhancement of photovoltage and charge transfer in Cu{sub 2}O-based photocathode using buffer and protective layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Changli; Delaunay, Jean-Jacques, E-mail: jean@mech.t.u-tokyo.ac.jp; Hisatomi, Takashi

    2016-07-18

    Coating n-type buffer and protective layers on Cu{sub 2}O may be an effective means to improve the photoelectrochemical (PEC) water-splitting performance of Cu{sub 2}O-based photocathodes. In this letter, the functions of the buffer layer and protective layer on Cu{sub 2}O are examined. It is found that a Ga{sub 2}O{sub 3} buffer layer can form a buried junction with Cu{sub 2}O, which inhibits Cu{sub 2}O self-reduction as well as increases the photovoltage through a small conduction band offset between the two semiconductors. The introduction of a TiO{sub 2} thin protective layer not only improves the stability of the photocathode but alsomore » enhances the electron transfer from the photocathode surface into the electrolyte, thus resulting in an increase in photocurrent at positive potentials. These results show that the selection of overlayers with appropriate conduction band positions provides an effective strategy for obtaining a high photovoltage and high photocurrent in PEC systems.« less

  20. The effects of GaN nanocolumn arrays and thin SixNy buffer layers on the morphology of GaN layers grown by plasma-assisted molecular beam epitaxy on Si(111) substrates

    NASA Astrophysics Data System (ADS)

    Shubina, K. Yu; Pirogov, E. V.; Mizerov, A. M.; Nikitina, E. V.; Bouravleuv, A. D.

    2018-03-01

    The effects of GaN nanocolumn arrays and a thin SixNy layer, used as buffer layers, on the morphology of GaN epitaxial layers are investigated. Two types of samples with different buffer layers were synthesized by PA-MBE. The morphology of the samples was characterized by SEM. The crystalline quality of the samples was assessed by XRD. The possibility of synthesis of continuous crystalline GaN layers on Si(111) substrates without the addition of other materials such as aluminum nitride was demonstrated.

  1. Finding the lost open-circuit voltage in polymer solar cells by UV-ozone treatment of the nickel acetate anode buffer layer.

    PubMed

    Wang, Fuzhi; Sun, Gang; Li, Cong; Liu, Jiyan; Hu, Siqian; Zheng, Hua; Tan, Zhan'ao; Li, Yongfang

    2014-06-25

    Efficient polymer solar cells (PSCs) with enhanced open-circuit voltage (Voc) are fabricated by introducing solution-processed and UV-ozone (UVO)-treated nickel acetate (O-NiAc) as an anode buffer layer. According to X-ray photoelectron spectroscopy data, NiAc partially decomposed to NiOOH during the UVO treatment. NiOOH is a dipole species, which leads to an increase in the work function (as confirmed by ultraviolet photoemission spectroscopy), thus benefitting the formation of ohmic contact between the anode and photoactive layer and leading to increased Voc. In addition, the UVO treatment improves the wettability between the substrate and solvent of the active layer, which facilitates the formation of an upper photoactive layer with better morphology. Further, the O-NiAc layer can decrease the series resistance (Rs) and increase the parallel resistance (Rp) of the devices, inducing enhanced Voc in comparison with the as-prepared NiAc-buffered control devices without UVO treatment. For PSCs based on the P3HT:PCBM system, Voc increases from 0.50 to 0.60 V after the NiAc buffer layer undergoes UVO treatment. Similarly, in the P3HT:ICBA system, the Voc value of the device with a UVO-treated NiAc buffer layer increases from 0.78 to 0.88 V, showing an enhanced power conversion efficiency of 6.64%.

  2. Effect of CoSi2 buffer layer on structure and magnetic properties of Co films grown on Si (001) substrate

    NASA Astrophysics Data System (ADS)

    Hu, Bo; He, Wei; Ye, Jun; Tang, Jin; Syed Sheraz, Ahmad; Zhang, Xiang-Qun; Cheng, Zhao-Hua

    2015-01-01

    Buffer layer provides an opportunity to enhance the quality of ultrathin magnetic films. In this paper, Co films with different thickness of CoSi2 buffer layers were grown on Si (001) substrates. In order to investigate morphology, structure, and magnetic properties of films, scanning tunneling microscope (STM), low energy electron diffraction (LEED), high resolution transmission electron microscopy (HRTEM), and surface magneto-optical Kerr effect (SMOKE) were used. The results show that the crystal quality and magnetic anisotropies of the Co films are strongly affected by the thickness of CoSi2 buffer layers. Few CoSi2 monolayers can prevent the interdiffusion of Si substrate and Co film and enhance the Co film quality. Furthermore, the in-plane magnetic anisotropy of Co film with optimal buffer layer shows four-fold symmetry and exhibits the two-jumps of magnetization reversal process, which is the typical phenomenon in cubic (001) films. Project supported by the National Basic Research Program of China (Grant Nos. 2011CB921801 and 2012CB933102), the National Natural Science Foundation of China (Grant Nos. 11374350, 11034004, 11274361, and 11274033), and the Research Fund for the Doctoral Program of Higher Education of China (Grant No. 20131102130005).

  3. SnS2 films deposited from molecular ink as Cd-free alternative buffer layer for solar cells

    NASA Astrophysics Data System (ADS)

    Jariwala, Akshay; Chaudhuri, Tapas K.; Toshniwal, Aditi; Patel, Sanjay; Kheraj, Vipul; Ray, Abhijit

    2018-05-01

    This work investigates the potential of SnS2 as a Cd-free alternative buffer layer for CIGS solar cells. The suitability of SnS2 film as a buffer layer has been evaluated by numerical analysis using SCAPS software. A new simple method for preparation of SnS2 films by dip-coating from molecular ink is reported. The formation of SnS2 is confirmed by Raman spectroscopy. The films are smooth and shiny with roughness of 2-3 nm. The films are n-type with band gap of 2.6 eV and electrical conductivity of 10-3 S/cm.

  4. Exploring Cd-Zn-O-S alloys for improved buffer layers in thin-film photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Varley, J. B.; Lordi, V.; He, X.

    Here, to compete with existing and more mature solar cell technologies such as crystalline Si, thin-film photovoltaics require optimization of every aspect in the device heterostructure to reach maximum efficiencies and cost effectiveness. For absorbers like CdTe, Cu(In,Ga)Se 2 (CIGSe), and Cu 2ZnSn(S,Se) 4 (CZTSSe), improving the n-type buffer layer partner beyond conventional CdS is one avenue that can reduce photocurrent losses and improve overall performance. Here, we use first-principles calculations based on hybrid functionals to explore alloys spanning the Cd-, Zn-, O-, and S-containing phase space to identify compositions that may be superior to common buffers like pure CdSmore » or Zn(O,S). We address issues highly correlated with device performance such as lattice-matching for improved buffer-absorber epitaxy and interface quality, dopability, the band gap for reduced absorption losses in the buffer, and the conduction-band offsets shown to facilitate improved charge separation from photoexcited carriers. We supplement our analysis with device-level simulations as parameterized from our calculations and real devices to assess our conclusions of low-Zn and O content buffers showing improved performance with respect to CdS buffers.« less

  5. Exploring Cd-Zn-O-S alloys for improved buffer layers in thin-film photovoltaics

    DOE PAGES

    Varley, J. B.; Lordi, V.; He, X.; ...

    2017-07-17

    Here, to compete with existing and more mature solar cell technologies such as crystalline Si, thin-film photovoltaics require optimization of every aspect in the device heterostructure to reach maximum efficiencies and cost effectiveness. For absorbers like CdTe, Cu(In,Ga)Se 2 (CIGSe), and Cu 2ZnSn(S,Se) 4 (CZTSSe), improving the n-type buffer layer partner beyond conventional CdS is one avenue that can reduce photocurrent losses and improve overall performance. Here, we use first-principles calculations based on hybrid functionals to explore alloys spanning the Cd-, Zn-, O-, and S-containing phase space to identify compositions that may be superior to common buffers like pure CdSmore » or Zn(O,S). We address issues highly correlated with device performance such as lattice-matching for improved buffer-absorber epitaxy and interface quality, dopability, the band gap for reduced absorption losses in the buffer, and the conduction-band offsets shown to facilitate improved charge separation from photoexcited carriers. We supplement our analysis with device-level simulations as parameterized from our calculations and real devices to assess our conclusions of low-Zn and O content buffers showing improved performance with respect to CdS buffers.« less

  6. Coincident site lattice-matched growth of semiconductors on substrates using compliant buffer layers

    DOEpatents

    Norman, Andrew

    2016-08-23

    A method of producing semiconductor materials and devices that incorporate the semiconductor materials are provided. In particular, a method is provided of producing a semiconductor material, such as a III-V semiconductor, on a silicon substrate using a compliant buffer layer, and devices such as photovoltaic cells that incorporate the semiconductor materials. The compliant buffer material and semiconductor materials may be deposited using coincident site lattice-matching epitaxy, resulting in a close degree of lattice matching between the substrate material and deposited material for a wide variety of material compositions. The coincident site lattice matching epitaxial process, as well as the use of a ductile buffer material, reduce the internal stresses and associated crystal defects within the deposited semiconductor materials fabricated using the disclosed method. As a result, the semiconductor devices provided herein possess enhanced performance characteristics due to a relatively low density of crystal defects.

  7. Y1Ba2Cu3O(6+delta) growth on thin Y-enhanced SiO2 buffer layers on silicon

    NASA Technical Reports Server (NTRS)

    Robin, T.; Mesarwi, A.; Wu, N. J.; Fan, W. C.; Espoir, L.; Ignatiev, A.; Sega, R.

    1991-01-01

    SiO2 buffer layers as thin as 2 nm have been developed for use in the growth of Y1Ba2Cu3O(6+delta) thin films on silicon substrates. The SiO2 layers are formed through Y enhancement of silicon oxidation, and are highly stoichiometric. Y1Ba2Cu3O(6+delta) film growth on silicon with thin buffer layers has shown c orientation and Tc0 = 78 K.

  8. Modified secondary lithium metal batteries with the polyaniline-carbon nanotube composite buffer layer.

    PubMed

    Zhang, Ding; Yin, Yanli; Liu, Changhong; Fan, Shoushan

    2015-01-07

    A modified secondary lithium metal battery inserted with a polyaniline-carbon nanotube nanoporous composite buffer layer was fabricated. This unique and simple design of battery has the great potential to decrease the safety risk of the secondary Li metal battery in cycles of recharging processes and improve its cycle life in the future.

  9. Optimization of Urea-EnFET Based on Ta2O5 Layer with Post Annealing

    PubMed Central

    Lue, Cheng-En; Yu, Ting-Chun; Yang, Chia-Ming; Pijanowska, Dorota G.; Lai, Chao-Sung

    2011-01-01

    In this study, the urea-enzymatic field effect transistors (EnFETs) were investigated based on pH-ion sensitive field effect transistors (ISFETs) with tantalum pentoxide (Ta2O5) sensing membranes. In addition, a post N2 annealing was used to improve the sensing properties. At first, the pH sensitivity, hysteresis, drift, and light induced drift of the ISFETs were evaluated. After the covalent bonding process and urease immobilization, the urea sensitivity of the EnFETs were also investigated and compared with the conventional Si3N4 sensing layer. The ISFETs and EnFETs with annealed Ta2O5 sensing membranes showed the best responses, including the highest pH sensitivity (56.9 mV/pH, from pH 2 to pH 12) and also corresponded to the highest urea sensitivity (61 mV/pCurea, from 1 mM to 7.5 mM). Besides, the non-ideal factors of pH hysteresis, time drift, and light induced drift of the annealed samples were also lower than the controlled Ta2O5 and Si3N4 sensing membranes. PMID:22163862

  10. Optimization of urea-EnFET based on Ta2O5 layer with post annealing.

    PubMed

    Lue, Cheng-En; Yu, Ting-Chun; Yang, Chia-Ming; Pijanowska, Dorota G; Lai, Chao-Sung

    2011-01-01

    In this study, the urea-enzymatic field effect transistors (EnFETs) were investigated based on pH-ion sensitive field effect transistors (ISFETs) with tantalum pentoxide (Ta(2)O(5)) sensing membranes. In addition, a post N(2) annealing was used to improve the sensing properties. At first, the pH sensitivity, hysteresis, drift, and light induced drift of the ISFETs were evaluated. After the covalent bonding process and urease immobilization, the urea sensitivity of the EnFETs were also investigated and compared with the conventional Si(3)N(4) sensing layer. The ISFETs and EnFETs with annealed Ta(2)O(5) sensing membranes showed the best responses, including the highest pH sensitivity (56.9 mV/pH, from pH 2 to pH 12) and also corresponded to the highest urea sensitivity (61 mV/pC(urea), from 1 mM to 7.5 mM). Besides, the non-ideal factors of pH hysteresis, time drift, and light induced drift of the annealed samples were also lower than the controlled Ta(2)O(5) and Si(3)N(4) sensing membranes.

  11. Improved high temperature integration of Al{sub 2}O{sub 3} on MoS{sub 2} by using a metal oxide buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Son, Seokki; Choi, Moonseok; Kim, Dohyung

    2015-01-12

    We deposited a metal oxide buffer layer before atomic layer deposition (ALD) of Al{sub 2}O{sub 3} onto exfoliated molybdenum disulfide (MoS{sub 2}) in order to accomplish enhanced integration. We demonstrate that even at a high temperature, functionalization of MoS{sub 2} by means of a metal oxide buffer layer can effectively provide nucleation sites for ALD precursors, enabling much better surface coverage of Al{sub 2}O{sub 3}. It is shown that using a metal oxide buffer layer not only allows high temperature ALD process, resulting in highly improved quality of Al{sub 2}O{sub 3}/MoS{sub 2} interface, but also leaves MoS{sub 2} intact.

  12. FIBER AND INTEGRATED OPTICS: Investigation of a fiber-optic polarizer with a metal film and a dielectric buffer layer

    NASA Astrophysics Data System (ADS)

    Gelikonov, V. M.; Gusovskiĭ, D. D.; Konoplev, Yu N.; Leonov, V. I.; Mamaev, Yu A.; Turkin, A. A.

    1990-01-01

    A model of a plane-layer waveguide is used in a theoretical analysis of the attenuation coefficients of the TM0 and TE0 waves in a fiber-optic polarizer with a metal film and two dielectric buffer layers, one of which is the residual part of the fiber cladding. A report is given of the construction and experimental investigation of polarizers with a buffer layer of magnesium fluoride and an aluminum film operating at wavelengths of 0.63 and 0.81 μm and characterized by extinction coefficients of at least 53 and 46 dB, respectively, and by losses not exceeding 0.5 dB.

  13. High quality Ge epilayer on Si (1 0 0) with an ultrathin Si1-x Ge x /Si buffer layer by RPCVD

    NASA Astrophysics Data System (ADS)

    Chen, Da; Guo, Qinglei; Zhang, Nan; Xu, Anli; Wang, Bei; Li, Ya; Wang, Gang

    2017-07-01

    The authors report a method to grow high quality strain-relaxed Ge epilayer on a combination of low temperature Ge seed layer and Si1-x Ge x /Si superlattice buffer layer by reduced pressure chemical vapor deposition system without any subsequent annealing treatment. Prior to the growth of high quality Ge epilayer, an ultrathin Si1-x Ge x /Si superlattice buffer layer with the thickness of 50 nm and a 460 nm Ge seed layer were deposited successively at low temperature. Then an 840 nm Ge epilayer was grown at high deposition rate with the surface root-mean-square roughness of 0.707 nm and threading dislocation density of 2.5  ×  106 cm-2, respectively. Detailed investigations of the influence of ultrathin low-temperature Si1-x Ge x /Si superlattice buffer layer on the quality of Ge epilayer were performed, which indicates that the crystalline quality of Ge epilayer can be significantly improved by enhancing the Ge concentration of Si1-x Ge x /Si superlattice buffer layer.

  14. In Situ Ramp Anneal X-ray Diffraction Study of Atomic Layer Deposited Ultrathin TaN and Ta 1-x Al x N y Films for Cu Diffusion Barrier Applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Consiglio, S.; Dey, S.; Yu, K.

    2016-01-01

    Ultrathin TaN and Ta 1-xAl xN y films with x = 0.21 to 0.88 were deposited by atomic layer deposition (ALD) and evaluated for Cu diffusion barrier effectiveness compared to physical vapor deposition (PVD) grown TaN. Cu diffusion barrier effectiveness was investigated using in-situ ramp anneal synchrotron X-ray diffraction (XRD) on Cu/1.8 nm barrier/Si stacks. A Kissinger-like analysis was used to assess the kinetics of Cu 3Si formation and determine the effective activation energy (E a) for Cu silicidation. Compared to the stack with a PVD TaN barrier, the stacks with the ALD films exhibited a higher crystallization temperature (Tmore » c) for Cu silicidation. The Ea values of Cu 3Si formation for stacks with the ALD films were close to the reported value for grain boundary diffusion of Cu whereas the Ea of Cu 3Si formation for the stack with PVD TaN is closer to the reported value for lattice diffusion. For 3 nm films, grazing incidence in-plane XRD showed evidence of nanocrystallites in an amorphous matrix with broad peaks corresponding to high density cubic phase for the ALD grown films and lower density hexagonal phase for the PVD grown film further elucidating the difference in initial failure mechanisms due to differences in barrier crystallinity and associated phase.« less

  15. Redetermination of Na(3)TaF(8).

    PubMed

    Langer, Vratislav; Smrcok, Lubomír; Boca, Miroslav

    2010-09-01

    The crystal structure of trisodium octafluoridotantalate, Na(3)TaF(8), has been redetermined using diffractometer data collected at 153 K, resulting in more accurate bond distances and angles than obtained from a previous structure determination based on film data. The structure is built from layers running along [101], which are formed by distorted [TaF(8)] antiprisms and [NaF(6)] rectangular bipyramids sharing edges and corners. The individual layers are separated by eight-coordinated Na ions. Two atoms in the asymmetric unit are in special positions: the Ta atom is on a twofold axis in Wyckoff position 4e and one of the Na ions lies on an inversion centre in Wyckoff site 4d.

  16. Directed Vertical Diffusion of Photovoltaic Active Layer Components into Porous ZnO-Based Cathode Buffer Layers.

    PubMed

    Kang, Jia-Jhen; Yang, Tsung-Yu; Lan, Yi-Kang; Wu, Wei-Ru; Su, Chun-Jen; Weng, Shih-Chang; Yamada, Norifumi L; Su, An-Chung; Jeng, U-Ser

    2018-04-01

    Cathode buffer layers (CBLs) can effectively further the efficiency of polymer solar cells (PSCs), after optimization of the active layer. Hidden between the active layer and cathode of the inverted PSC device configuration is the critical yet often unattended vertical diffusion of the active layer components across CBL. Here, a novel methodology of contrast variation with neutron and anomalous X-ray reflectivity to map the multicomponent depth compositions of inverted PSCs, covering from the active layer surface down to the bottom of the ZnO-based CBL, is developed. Uniquely revealed for a high-performance model PSC are the often overlooked porosity distributions of the ZnO-based CBL and the differential diffusions of the polymer PTB7-Th and fullerene derivative PC 71 BM of the active layer into the CBL. Interface modification of the ZnO-based CBL with fullerene derivative PCBEOH for size-selective nanochannels can selectively improve the diffusion of PC 71 BM more than that of the polymer. The deeper penetration of PC 71 BM establishes a gradient distribution of fullerene derivatives over the ZnO/PCBE-OH CBL, resulting in markedly improved electron mobility and device efficiency of the inverted PSC. The result suggests a new CBL design concept of progressive matching of the conduction bands. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Electrical properties of n-type GaSb substrates and p-type GaSb buffer layers for InAs/InGaSb superlattice infrared detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mitchel, W. C., E-mail: William.Mitchel.1@us.af.mil; Haugan, H. J.; Mou, Shin

    2015-09-15

    Lightly doped n-type GaSb substrates with p-type GaSb buffer layers are the preferred templates for growth of InAs/InGaSb superlattices used in infrared detector applications because of relatively high infrared transmission and a close lattice match to the superlattices. We report here temperature dependent resistivity and Hall effect measurements of bare substrates and substrate-p-type buffer layer structures grown by molecular beam epitaxy. Multicarrier analysis of the resistivity and Hall coefficient data demonstrate that high temperature transport in the substrates is due to conduction in both the high mobility zone center Γ band and the low mobility off-center L band. High overallmore » mobility values indicate the absence of close compensation and that improved infrared and transport properties were achieved by a reduction in intrinsic acceptor concentration. Standard transport measurements of the undoped buffer layers show p-type conduction up to 300 K indicating electrical isolation of the buffer layer from the lightly n-type GaSb substrate. However, the highest temperature data indicate the early stages of the expected p to n type conversion which leads to apparent anomalously high carrier concentrations and lower than expected mobilities. Data at 77 K indicate very high quality buffer layers.« less

  18. Epitaxial NbN/AlN/NbN tunnel junctions on Si substrates with TiN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Rui; University of Chinese Academy of Sciences, Beijing 100049; Makise, Kazumasa

    We have developed epitaxial NbN/AlN/NbN tunnel junctions on Si (100) substrates with a TiN buffer layer. A 50-nm-thick (200)-oriented TiN thin film was introduced as the buffer layer for epitaxial growth of NbN/AlN/NbN trilayers on Si substrates. The fabricated NbN/AlN/NbN junctions demonstrated excellent tunneling properties with a high gap voltage of 5.5 mV, a large I{sub c}R{sub N} product of 3.8 mV, a sharp quasiparticle current rise with a ΔV{sub g} of 0.4 mV, and a small subgap leakage current. The junction quality factor R{sub sg}/R{sub N} was about 23 for the junction with a J{sub c} of 47 A/cm{supmore » 2} and was about 6 for the junction with a J{sub c} of 3.0 kA/cm{sup 2}. X-ray diffraction and transmission electron microscopy observations showed that the NbN/AlN/NbN trilayers were grown epitaxially on the (200)-orientated TiN buffer layer and had a highly crystalline structure with the (200) orientation.« less

  19. Strain effect in epitaxial VO2 thin films grown on sapphire substrates using SnO2 buffer layers

    NASA Astrophysics Data System (ADS)

    Kim, Heungsoo; Bingham, Nicholas S.; Charipar, Nicholas A.; Piqué, Alberto

    2017-10-01

    Epitaxial VO2/SnO2 thin film heterostructures were deposited on m-cut sapphire substrates via pulsed laser deposition. By adjusting SnO2 (150 nm) growth conditions, we are able to control the interfacial strain between the VO2 film and SnO2 buffer layer such that the semiconductor-to-metal transition temperature (TC) of VO2 films can be tuned without diminishing the magnitude of the transition. It is shown that in-plane tensile strain and out-of-plane compressive strain of the VO2 film leads to a decrease of Tc. Interestingly, VO2 films on SnO2 buffer layers exhibit a structural phase transition from tetragonal-like VO2 to tetragonal-VO2 during the semiconductor-to-metal transition. These results suggest that the strain generated by SnO2 buffer provides an effective way for tuning the TC of VO2 films.

  20. Long wavelength emitting GaInN quantum wells on metamorphic GaInN buffer layers with enlarged in-plane lattice parameter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Däubler, J., E-mail: juergen.daeubler@iaf.fraunhofer.de; Passow, T.; Aidam, R.

    Metamorphic (i.e., linear composition graded) GaInN buffer layers with an increased in-plane lattice parameter, grown by plasma-assisted molecular beam epitaxy, were used as templates for metal organic vapor phase epitaxy (MOVPE) grown GaInN/GaInN quantum wells (QWs), emitting in the green to red spectral region. A composition pulling effect was observed allowing considerable higher growth temperatures for the QWs for a given In composition. The internal quantum efficiency (IQE) of the QWs was determined by temperature and excitation power density dependent photoluminescence (PL) spectroscopy. An increase in IQE by a factor of two was found for green emitting QWs grown onmore » metamorphic GaInN buffer compared to reference samples grown on standard GaN buffer layers. The ratio of room temperature to low temperature intensity PL of the red emitting QWs were found to be comparable to the PL efficiency of green emitting QWs, both grown on metamorphic GaInN buffers. The excitation density and well width dependence of the IQE indicate a reduction of the quantum confined Stark effect upon growth on GaInN buffer layers with increased in-plane lattice parameter.« less

  1. Evaluation of methods for application of epitaxial layers of superconductor and buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    1997-06-01

    The recent achievements in a number of laboratories of critical currents in excess of 1.0x10{sup 6} amp/cm{sup 2} at 77K in YBCO deposited over suitably textured buffer/substrate composites have stimulated interest in the potential applications of coated conductors at high temperatures and high magnetic fields. As of today, two different approaches for obtaining the textured substrates have been identified. These are: Los Alamos National Laboratory`s (LANL) ion-beam assisted deposition called IBAD, to obtain a highly textured yttria-stabilized zirconia (YSZ) buffer on nickel alloy strips, and Oak Ridge National Laboratory`s (ORNL) rolling assisted, bi-axial texturized substrate option called RABiTS. Similarly, basedmore » on the published literature, the available options to form High Temperature Superconductor (HTS) films on metallic, semi-metallic or ceramic substrates can be divided into: physical methods, and non-physical or chemical methods. Under these two major groups, the schemes being proposed consist of: - Sputtering - Electron-Beam Evaporation - Flash Evaporation - Molecular Beam Epitaxy - Laser Ablation - Electrophoresis - Chemical Vapor Deposition (Including Metal-Organic Chemical Vapor Deposition) - Sol-Gel - Metal-Organic Decomposition - Electrodeposition, and - Aerosol/Spray Pyrolysis. In general, a spool- to-spool or reel-to-reel type of continuous manufacturing scheme developed out of any of the above techniques, would consist of: - Preparation of Substrate Material - Preparation and Application of the Buffer Layer(s) - Preparation and Application of the HTS Material and Required Post-Annealing, and - Preparation and Application of the External Protective Layer. These operations would be affected by various process parameters which can be classified into: Chemistry and Material Related Parameters; and Engineering and Environmental Based Parameters. Thus, one can see that for successful development of the coated conductors manufacturing process

  2. Investigation of noble metal substrates and buffer layers for BiSrCaCuO thin films

    NASA Astrophysics Data System (ADS)

    Matthiesen, M. M.; Rubin, L. M.; Williams, K. E.; Rudman, D. A.

    Noble metal buffer layers and substrates for Bi2Sr2CaCu2O8 (BSCCO) films were investigated using bulk ceramic processing and thin-film techniques. Highly oriented, superconducting BSCCO films were fabricated on polycrystalline Ag substrates and on Ag/MgO and Ag/YSZ structures. Such films could not be produced on Au or Pt substrates under any annealing conditions. In addition, superconducting BSCCO films could not be produced on Ag/Al2O3, Ag/SiO2/Si, or Ag/(Haynes 230 alloy) structures using high annealing temperatures (870 C). However, oriented although poorly connected, superconducting BSCCO films were fabricated on Ag/Al2O3 structures by using lower annealing temperatures (820 C). Once lower processing temperatures are optimized, Ag may be usable as a buffer layer for BSCCO films.

  3. Atomic layer deposited TaCy metal gates: Impact on microstructure, electrical properties, and work function on HfO2 high-k dielectrics

    NASA Astrophysics Data System (ADS)

    Triyoso, D. H.; Gregory, R.; Schaeffer, J. K.; Werho, D.; Li, D.; Marcus, S.; Wilk, G. D.

    2007-11-01

    TaCy has been reported to have the appropriate work function for negative metal-oxide semiconductor metal in high-k metal-oxide field-effect transistors. As device size continues to shrink, a conformal deposition for metal gate electrodes is needed. In this work, we report on the development and characterization of a novel TaCy process by atomic layer deposition (ALD). Detailed physical properties of TaCy films are studied using ellipsometry, a four-point probe, Rutherford backscattering spectrometry (RBS), x-ray photoelectron spectroscopy (XPS), and x-ray diffraction (XRD). RBS and XPS analysis indicate that TaCy films are near-stoichiometric, nitrogen free, and have low oxygen impurities. Powder XRD spectra showed that ALD films have a cubic microstructure. XPS carbon bonding studies revealed that little or no glassy carbon is present in the bulk of the film. Excellent electrical properties are obtained using ALD TaCy as a metal gate electrode. Well-behaved capacitance-voltage characteristics with ALD HfO2 gate dielectrics are demonstrated for TaCy thicknesses of 50, 100, and 250 Å. A low fixed charge (˜2-4×10-11 cm-2) is observed for all ALD HfO2/ALD TaCy devices. Increasing the thickness of ALD TaCy results in a decrease in work function (4.77 to 4.54 eV) and lower threshold voltages.

  4. Effect of Al gate on the electrical behaviour of Al-doped Ta2O5 stacks

    NASA Astrophysics Data System (ADS)

    Skeparovski, A.; Novkovski, N.; Atanassova, E.; Paskaleva, A.; Lazarov, V. K.

    2011-06-01

    The electrical behaviour of Al-doped Ta2O5 films on nitrided silicon and implemented in Al-gated MIS capacitors has been studied. The dopant was introduced into the Ta2O5 through its surface by deposing a thin Al layer on the top of Ta2O5 followed by an annealing process. The HRTEM images reveal that the initial double-layer structure of the stacks composed of doped Ta2O5 and interfacial SiON layer undergoes changes during the formation of the Al gate and transforms into a three-layer structure with an additional layer between the Al electrode and the doped Ta2O5. This layer, being a result of reaction between the Al gate and the Al-doped Ta2O5, affects the overall electrical properties of the stacks. Strong charge trapping/detrapping processes have been established in the vicinity of the doped Ta2O5/SiON interface resulting in a large C-V hysteresis effect. The charge trapping also influences the current conduction in the layers keeping the current density level rather low even at high electric fields (J < 10-6 A cm-2 at 7 MV cm-1). By employing a three-layer model of the stack, the permittivity of both, the Al-doped Ta2O5 and the additional layer, has been estimated and the corresponding conduction mechanisms identified.

  5. Improvement of transmission properties of visible pilot beam for polymer-coated silver hollow fibers with acrylic silicone resin as buffer layer for sturdy structure

    NASA Astrophysics Data System (ADS)

    Iwai, Katsumasa; Takaku, Hiroyuki; Miyagi, Mitsunobu; Shi, Yi-Wei; Zhu, Xiao-Song; Matsuura, Yuji

    2017-02-01

    Flexible hollow fibers with 530-μm-bore size were developed for infrared laser delivery. Sturdy hollow fibers were fabricated by liquid-phase coating techniques. A silica glass capillary is used as the substrate. Acrylic silicone resin is used as a buffer layer and the buffer layer is firstly coated on the inner surface of the capillary to protect the glass tube from chemical damages due to the following silver plating process. A silver layer was inner-plated by using the conventional silver mirror-plating technique. To improve adhesion of catalyst to the buffer layer, a surface conditioner has been introduced in the method of silver mirror-plating technique. We discuss improvement of transmission properties of sturdy polymer-coated silver hollow fibers for the Er:YAG laser and red pilot beam delivery.

  6. Chemical Bath Deposited Zinc Sulfide Buffer Layers for Copper Indium Gallium Sulfur-selenide Solar Cells and Device Analysis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kundu, Sambhu N.; Olsen, Larry C.

    2005-01-03

    Cd free CIGSS thin film solar cell structures with a MgF2/TCO/CGD-ZnS/CIGSS/Mo/SLG structure have been fabricated using chemical bath deposited (CBD)-ZnS buffer layers and high quality CIGSS absorber layers supplied from Shell Solar Industries. The use of CBD-ZnS, which is a higher band gap materials than CdS, improved the quantum efficiency of fabricated cells at lower wavelengths, leading to an increase in short circuit current. The best cell to date yielded an active area (0.43 cm2) efficiency of 13.3%. This paper also presents a discussion of the issues relating to the use of the CBD-ZnS buffer materials for improving device performance.

  7. A study of nitrogen behavior in the formation of Ta/TaN and Ti/TaN alloyed metal electrodes on SiO2 and HfO2 dielectrics

    NASA Astrophysics Data System (ADS)

    Gassilloud, R.; Maunoury, C.; Leroux, C.; Piallat, F.; Saidi, B.; Martin, F.; Maitrejean, S.

    2014-04-01

    We studied Ta, TaN, and sub-stoichiometric TaNx electrodes (obtained by nitrogen redistribution in Ta/TaN or Ti/TaN bilayers) deposited on thermal SiO2 and HfO2/IL (0.8 nm SiO2 IL, i.e., interlayer) stacks. Effective work-functions (WF) were extracted on MOS capacitor structures on SiO2 bevelled insulator of 4.2 eV for pure Ta, 4.6 eV for TaN, and 4.3 eV for sub-stoichiometric TaNx. This intermediate WF value is explained by TaN nitrogen redistribution with reactive Ta or Ti elements shifting the gate work-function toward the Si conduction band. The same electrodes deposited on an HfO2/IL dielectric showed different behavior: First, the Ta/HfO2/IL stack shows a +200 meV WF increase (towards the Si valence band) compared to the SiO2 dielectric stack. This increase is explained by the well-known HfO2/IL dipole formation. Second, in contrast to electrodes deposited on SiO2, sub-stoichiometric TaNx/HfO2 is found to have a lower WF (4.3 eV), than pure Ta on HfO2 (4.4 eV). This inversion in work-function behavior measured on SiO2 vs. HfO2 is explained by the nitrogen redistribution in Ta/TaN bilayer together with diffusion of nitrogen through the HfO2 layer, leading to Si-N formation which prevents dipole formation at the HfO2/IL interface.

  8. Effect of dopent on the structural and optical properties of ZnS thin film as a buffer layer in solar cell application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vashistha, Indu B., E-mail: indu-139@yahoo.com; Sharma, S. K.; Sharma, Mahesh C.

    2015-08-28

    In order to find the suitable alternative of toxic CdS buffer layer, deposition of pure ZnS and doped with Al by chemical bath deposition method have been reported. Further as grown pure and doped thin films have been annealed at 150°C. The structural and surface morphological properties have been characterized by X-Ray diffraction (XRD) and Atomic Force Microscope (AFM).The XRD analysis shows that annealed thin film has been polycrystalline in nature with sphalerite cubic crystal structure and AFM images indicate increment in grain size as well as growth of crystals after annealing. Optical measurement data give band gap of 3.5more » eV which is ideal band gap for buffer layer for solar cell suggesting that the obtained ZnS buffer layer is suitable in a low-cost solar cell.« less

  9. Nanometer-Scale Epitaxial Strain Release in Perovskite Heterostructures Using 'SrAlOx' Sliding Buffer Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bell, Christopher

    2011-08-11

    We demonstrate the strain release of LaAlO{sub 3} epitaxial film on SrTiO{sub 3} (001) by inserting ultra-thin 'SrAlO{sub x}' buffer layers. Although SrAlO{sub x} is not a perovskite, nor stable as a single phase in bulk, epitaxy stabilizes the perovskite structure up to a thickness of 2 unit cells (uc). At a critical thickness of 3 uc of SrAlO{sub x}, the interlayer acts as a sliding buffer layer, and abruptly relieves the lattice mismatch between the LaAlO{sub 3} filmand the SrTiO{sub 3} substrate, while maintaining crystallinity. This technique may provide a general approach for strain relaxation of perovskite film farmore » below the thermodynamic critical thickness. A central issue in heteroepitaxial filmgrowth is the inevitable difference in lattice constants between the filmand substrate. Due to this lattice mismatch, thin film are subjected to microstructural strain, which can have a significan effect on the filmproperties. This challenge is especially prominent in the rapidly developing fiel of oxide electronics, where much interest is focused on incorporating the emergent physical properties of oxides in devices. Although strain can be used to great effect to engineer unusual ground states, it is often deleterious for bulk first-orde phase transitions, which are suppressed by the strain and symmetry constraints of the substrate. While there are some reports discussing the control of the lattice mismatch in oxides using thick buffer layers, the materials choice, lattice-tunable range, and control of misfit dislocations are still limited. In this Letter, we report the fabrication of strain-relaxed LaAlO{sub 3} (LAO) thin film on SrTiO{sub 3} (STO) (001) using very thin 'SrAlO{sub x}' (SAO) buffer layers. Whereas for 1 or 2 pseudo-perovskite unit cells (uc) of SAO, the subsequent LAO filmis strained to the substrate, at a critical thickness of 3 uc the SAO interlayer abruptly relieves the lattice mismatch between the LAO and the STO, although maintaining

  10. Growth of ZnO(0001) on GaN(0001)/4H-SiC buffer layers by plasma-assisted hybrid molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Ive, Tommy

    2015-09-01

    Plasma-assisted molecular beam epitaxy was used to grow ZnO(0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 445 °C and an O2 flow rate of 2.5 standard cubic centimeters per minute, we obtained ZnO layers with statistically smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm as revealed by atomic force microscopy. The full-width-at-half-maximum for x-ray rocking curves obtained across the ZnO(0002) and ZnO(10 1 bar 5) reflections was 198 and 948 arcsec, respectively. These values indicated that the mosaicity of the ZnO layer was comparable to the corresponding values of the underlying GaN buffer layer. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82% and 73%, respectively, and that the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements revealed that the layers were inherently n-type and had an electron concentration of 1×1019 cm-3 and a Hall mobility of 51 cm2/V s.

  11. Characterization of ZrO2 buffer layers for sequentially evaporated Y-Ba-CuO on Si and Al2O3 substrates

    NASA Technical Reports Server (NTRS)

    Valco, George J.; Rohrer, Norman J.; Pouch, John J.; Warner, Joseph D.; Bhasin, Kul B.

    1988-01-01

    Thin film high temperature superconductors have the potential to change the microwave technology for space communications systems. For such applications it is desirable that the films be formed on substrates such as Al2O3 which have good microwave properties. The use of ZrO2 buffer layers between Y-Ba-Cu-O and the substrate has been investigated. These superconducting films have been formed by multilayer sequential electron beam evaporation of Cu, BaF2 and Y with subsequent annealing. The three layer sequence of Y/BaF2/Cu is repeated four times for a total of twelve layers. Such a multilayer film, approximately 1 micron thick, deposited directly on SrTiO3 and annealed at 900 C for 45 min produces a film with a superconducting onset of 93 K and critical temperature of 85 K. Auger electron spectroscopy in conjunction with argon ion sputtering was used to obtain the distribution of each element as a function of depth for an unannealed film, the annealed film on SrTiO3 and annealed films on ZrO2 buffer layers. The individual layers were apparent. After annealing, the bulk of the film on SrTiO3 is observed to be fairly uniform while films on the substrates with buffer layers are less uniform. The Y-Ba-Cu-O/ZrO2 interface is broad with a long Ba tail into the ZrO2, suggesting interaction between the film and the buffer layer. The underlying ZrO2/Si interface is sharper. The detailed Auger results are presented and compared with samples annealed at different temperatures and durations.

  12. Fabrication of a Tantalum-Based Josephson Junction for an X-Ray Detector

    NASA Astrophysics Data System (ADS)

    Morohashi, Shin'ichi; Gotoh, Kohtaroh; Yokoyama, Naoki

    2000-06-01

    We have fabricated a tantalum-based Josephson junction for an X-ray detector. The tantalum layer was selected for the junction electrode because of its long quasiparticle lifetime, large X-ray absorption efficiency and stability against thermal cycling. We have developed a buffer layer to fabricate the tantalum layer with a body-centered cubic structure. Based on careful consideration of their superconductivity, we have selected a niobium thin layer as the buffer layer for fabricating the tantalum base electrode, and a tungsten thin layer for the tantalum counter electrode. Fabricated Nb/AlOx-Al/Ta/Nb and Nb/Ta/W/AlOx-Al/Ta/Nb Josephson junctions exhibited current-voltage characteristics with a low subgap leakage current.

  13. Tandem organic light-emitting diodes with buffer-modified C60/pentacene as charge generation layer

    NASA Astrophysics Data System (ADS)

    Wang, Zhen; Zheng, Xin; Liu, Fei; Wang, Pei; Gan, Lin; Wang, Jing-jing

    2017-09-01

    Buffer-modified C60/pentacene as charge generation layer (CGL) is investigated to achieve effective performance of charge generation. Undoped green electroluminescent tandem organic light-emitting diodes (OLEDs) with multiple identical emissive units and using buffer-modified C60/pentacene organic semiconductor heterojunction (OHJ) as CGL are demonstrated to exhibit better current density and brightness, compared with conventional single-unit devices. The current density and brightness both can be significantly improved with increasing the thickness of Al. However, excessive thickness of Al seriously decreases the transmittance of films and damages the interface. As a result, the maximum current efficiency of 1.43 cd·A-1 at 30 mA·cm-2 can be achieved for tandem OLEDs with optimal thickness of Al. These results clearly demonstrate that Cs2CO3/Al is an effective buffer for C60/pentacene-based tandem OLEDs.

  14. Co-solvent enhanced zinc oxysulfide buffer layers in Kesterite copper zinc tin selenide solar cells.

    PubMed

    Steirer, K Xerxes; Garris, Rebekah L; Li, Jian V; Dzara, Michael J; Ndione, Paul F; Ramanathan, Kannan; Repins, Ingrid; Teeter, Glenn; Perkins, Craig L

    2015-06-21

    A co-solvent, dimethylsulfoxide (DMSO), is added to the aqueous chemical "bath" deposition (CBD) process used to grow ZnOS buffer layers for thin film Cu2ZnSnSe4 (CZTSe) solar cells. Device performance improves markedly as fill factors increase from 0.17 to 0.51 upon the co-solvent addition. X-ray photoelectron spectroscopy (XPS) analyses are presented for quasi-in situ CZTSe/CBD-ZnOS interfaces prepared under an inert atmosphere and yield valence band offsets equal to -1.0 eV for both ZnOS preparations. When combined with optical band gap data, conduction band offsets exceed 1 eV for the water and the water/DMSO solutions. XPS measurements show increased downward band bending in the CZTSe absorber layer when the ZnOS buffer layer is deposited from water only. Admittance spectroscopy data shows that the ZnOS deposited from water increases the built-in potential (Vbi) yet these solar cells perform poorly compared to those made with DMSO added. The band energy offsets imply an alternate form of transport through this junction. Possible mechanisms are discussed, which circumvent the otherwise large conduction band spike between CZTSe and ZnOS, and improve functionality with the low-band gap absorber, CZTSe (Eg = 0.96 eV).

  15. Performance and Metastability of CdTe Solar Cells with a Te Back-Contact Buffer Layer

    NASA Astrophysics Data System (ADS)

    Moore, Andrew

    Thin-film CdTe photovoltaics are quickly maturing into a viable clean-energy solution through demonstration of competitive costs and performance stability with existing energy sources. Over the last half decade, CdTe solar technology has achieved major gains in performance; however, there are still aspects that can be improved to progress toward their theoretical maximum efficiency. Perhaps equally valuable as high photovoltaic efficiency and a low levelized cost of energy, is device reliability. Understanding the root causes for changes in performance is essential for accomplishing long-term stability. One area for potential performance enhancement is the back contact of the CdTe device. This research incorporated a thin-film Te-buffer layer into the contact structure, between the CdTe and contact metal. The device performance and characteristics of many different back contact configurations were rigorously studied. CdTe solar cells fabricated with the Te-buffer contact showed short-circuit current densities and open-circuit voltages that were on par with the traditional back-contacts used at CSU. However, the Te-buffer contact typically produced 2% larger fill-factors on average, leading to greater conversation efficiency. Furthermore, using the Te buffer allowed for incorporation of 50% less Cu, which is used for p-type doping but is also known to decrease lifetime and stability. This resulted in an additional 3% fill-factor gain with no change in other parameters compared to the standard-Cu treated device. In order to better understand the physical mechanisms of the Te-buffer contact, electrical and material properties of the Te layer were extracted and used to construct a simple energy band diagram. The Te layer was found to be highly p-type (>1018 cm-3) and possess a positive valence-band offset of 0.35-0.40 eV with CdTe. An existing simulation model incorporating the Te-layer properties was implemented and validated by comparing simulated results of Cd

  16. ZnS/Zn(O,OH)S-based buffer layer deposition for solar cells

    DOEpatents

    Bhattacharya, Raghu N [Littleton, CO

    2009-11-03

    The invention provides CBD ZnS/Zn(O,OH)S and spray deposited ZnS/Zn(O,OH)S buffer layers prepared from a solution of zinc salt, thiourea and ammonium hydroxide dissolved in a non-aqueous/aqueous solvent mixture or in 100% non-aqueous solvent. Non-aqueous solvents useful in the invention include methanol, isopropanol and triethyl-amine. One-step deposition procedures are described for CIS, CIGS and other solar cell devices.

  17. Anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using double AlN buffer layers.

    PubMed

    Zhao, Guijuan; Wang, Lianshan; Yang, Shaoyan; Li, Huijie; Wei, Hongyuan; Han, Dongyue; Wang, Zhanguo

    2016-02-10

    We report the anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using a three-step growth method which consisted of a low temperature AlN buffer layer, followed by a high temperature AlN buffer layer and GaN growth. By introducing double AlN buffer layers, we substantially improve the crystal and optical qualities of semi-polar (11-22) GaN, and significantly reduce the density of stacking faults and dislocations. The high resolution x-ray diffraction measurement revealed that the in-plane anisotropic structural characteristics of GaN layer are azimuthal dependent. Transmission electron microscopy analysis showed that the majority of dislocations in the GaN epitaxial layer grown on m-sapphire are the mixed-type and the orientation of GaN layer was rotated 58.4° against the substrate. The room temperature photoluminescence (PL) spectra showed the PL intensity and wavelength have polarization dependence along parallel and perpendicular to the [1-100] axis (polarization degrees ~ 0.63). The realization of a high polarization semi-polar GaN would be useful to achieve III-nitride based lighting emission device for displays and backlighting.

  18. Buffer architecture for biaxially textured structures and method of fabricating same

    DOEpatents

    Norton, David P.; Park, Chan; Goyal, Amit

    2004-04-06

    The invention relates to an article with an improved buffer layer architecture comprising a substrate having a metal surface, and an epitaxial buffer layer on the surface of the substrate. The epitaxial buffer layer comprises at least one of the group consisting of ZrO.sub.2, HfO.sub.2, and compounds having at least one of Ca and a rare earth element stabilizing cubic phases of ZrO.sub.2 and/or HfO.sub.2. The article can also include a superconducting layer deposited on the epitaxial buffer layer. The article can also include an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer. A method for preparing an epitaxial article comprises providing a substrate with a metal surface, depositing on the metal surface an epitaxial buffer layer comprising at least one material selected from the group consisting of ZrO.sub.2, HfO.sub.2, and compounds having at least one of Ca and a rare earth element stabilizing cubic phases of at least one of ZrO.sub.2 and HfO.sub.2. The epitaxial layer depositing step occurs in a vacuum with a background pressure of no more than 1.times.10.sup.-5 Torr. The method can further comprise depositing a superconducting layer on the epitaxial layer, and depositing an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer.

  19. Plasma-assisted molecular beam epitaxy of ZnO on in-situ grown GaN/4H-SiC buffer layers

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Andersson, Thorvald; Ive, Tommy

    2015-04-01

    Plasma-assisted molecular beam epitaxy (MBE) was used to grow ZnO (0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 440°C-445°C and an O2 flow rate of 2.0-2.5 sccm, we obtained ZnO layers with smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm shown by AFM. The FWHM for X-ray rocking curves recorded across the ZnO(0002) and ZnO(10bar 15) reflections were 200 and 950 arcsec, respectively. These values showed that the mosaicity (tilt and twist) of the ZnO film was comparable to corresponding values of the underlying GaN buffer. It was found that a substrate temperature > 450°C and a high Zn-flux always resulted in a rough ZnO surface morphology. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82.3% and 73.0%, respectively and the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements showed that the layers were intrinsically n-type with an electron concentration of 1019 cm-3 and a Hall mobility of 50 cm2·V-1·s-1.

  20. Graphene as a Buffer Layer for Silicon Carbide-on-Insulator Structures

    PubMed Central

    Astuti, Budi; Tanikawa, Masahiro; Rahman, Shaharin Fadzli Abd; Yasui, Kanji; Hashim, Abdul Manaf

    2012-01-01

    We report an innovative technique for growing the silicon carbide-on-insulator (SiCOI) structure by utilizing polycrystalline single layer graphene (SLG) as a buffer layer. The epitaxial growth was carried out using a hot-mesh chemical vapor deposition (HM-CVD) technique. Cubic SiC (3C-SiC) thin film in (111) domain was realized at relatively low substrate temperature of 750 °C. 3C-SiC energy bandgap of 2.2 eV was confirmed. The Si-O absorption band observed in the grown film can be caused by the out-diffusion of the oxygen atom from SiO2 substrate or oxygen doping during the cleaning process. Further experimental works by optimizing the cleaning process, growth parameters of the present growth method, or by using other growth methods, as well, are expected to realize a high quality SiCOI structure, thereby opening up the way for a breakthrough in the development of advanced ULSIs with multifunctionalities.

  1. Structural, electronic and vibrational properties of few-layer 2H-and 1T-TaSe 2

    DOE PAGES

    Yan, Jia -An; Dela Cruz, Mack A.; Cook, Brandon G.; ...

    2015-11-16

    Two-dimensional metallic transition metal dichalcogenides (TMDs) are of interest for studying phenomena such as charge-density wave (CDW) and superconductivity. Few-layer tantalum diselenides (TaSe 2) are typical metallic TMDs exhibiting rich CDW phase transitions. However, a description of the structural, electronic and vibrational properties for different crystal phases and stacking configurations, essential for interpretation of experiments, is lacking. We present first principles calculations of structural phase energetics, band dispersion near the Fermi level, phonon properties and vibrational modes at the Brillouin zone center for different layer numbers, crystal phases and stacking geometries. Evolution of the Fermi surfaces as well as themore » phonon dispersions as a function of layer number reveals dramatic dimensionality effects in this CDW material. Lastly, our results indicate strong electronic interlayer coupling, detail energetically possible stacking geometries, and provide a basis for interpretation of Raman spectra.« less

  2. Annealing induced structural changes in amorphous Co{sub 23}Fe{sub 60}B{sub 17} film on Mo buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dwivedi, Jagrati, E-mail: jdwivedi.phy@gmail.com; Mishra, Ashutosh; Gupta, Ranjeeta

    2016-05-23

    Structural changes occurring in a thin amorphous Co{sub 23}Fe{sub 60}B{sub 17} film sandwiched between two Mo layers, as a function of thermal annealing has been studied. Thermal stability of the Co{sub 23}Fe{sub 60}B{sub 17} film is found to be significantly lower than the bulk ribbons. SIMS measurements show that during crystallization, boron which is expelled out of the crystallites, has a tendency to move towards the surface. No significant diffusion of boron in Mo buffer layer is observed. This result is in contrast with some earlier studies where it was proposed that the role of buffer layer of refractory metalmore » is to absorb boron which is expelled out of the bcc FeCo phase during crystallization.« less

  3. Structural Stability of Diffusion Barriers in Cu/Ru/MgO/Ta/Si

    PubMed Central

    Hsieh, Shu-Huei; Chen, Wen Jauh; Chien, Chu-Mo

    2015-01-01

    Various structures of Cu (50 nm)/Ru (2 nm)/MgO (0.5–3 nm)/Ta (2 nm)/Si were prepared by sputtering and electroplating techniques, in which the ultra-thin trilayer of Ru (2 nm)/MgO (0.5–3 nm)/Ta (2 nm) is used as the diffusion barrier against the interdiffusion between Cu film and Si substrate. The various structures of Cu/Ru/MgO/Ta/Si were characterized by four-point probes for their sheet resistances, by X-ray diffractometers for their crystal structures, by scanning electron microscopes for their surface morphologies, and by transmission electron microscopes for their cross-section and high resolution views. The results showed that the ultra-thin tri-layer of Ru (2 nm)/MgO (0.5–3 nm)/Ta (2 nm) is an effective diffusion barrier against the interdiffusion between Cu film and Si substrate. The MgO, and Ta layers as deposited are amorphous. The mechanism for the failure of the diffusion barrier is that the Ru layer first became discontinuous at a high temperature and the Ta layer sequentially become discontinuous at a higher temperature, the Cu atoms then diffuse through the MgO layer and to the substrate at the discontinuities, and the Cu3Si phases finally form. The maximum temperature at which the structures of Cu (50 nm)/Ru (2 nm)/MgO (0.5–3 nm)/Ta (2 nm)/Si are annealed and still have low sheet resistance is from 550 to 750 °C for the annealing time of 5 min and from 500 to 700 °C for the annealing time of 30 min. PMID:28347099

  4. Conductive buffer layers and overlayers for the thermal stability of coated conductors

    NASA Astrophysics Data System (ADS)

    Cantoni, C.; Aytug, T.; Verebelyi, D. T.; Paranthaman, M.; Specht, E. D.; Norton, D. P.; Christen, D. K.

    2001-03-01

    We analyze fundamental issues related to the thermal and electrical stability of a coated conductor during its operation. We address the role of conductive buffer layers in the stability of Ni-based coated conductors, and the effect of a metallic cap layer on the electrical properties of Ni alloy-based superconducting tapes. For the first case we report on the fabrication of a fully conductive RABiTS architecture formed of bilayers of conductive oxides SrRuO3 and LaNiO3 on textured Ni tapes. For the second case we discuss measurements of current-voltage relations on Ag/YBa2Cu3O7-d and Cu/Ag/ YBa2Cu3O7-d prototype multilayers on insulating substrates. Limitations on the overall tape structure and properties that are posed by the stability requirement are presented.

  5. Epitaxial growth of YBa2Cu3O7 - delta films on oxidized silicon with yttria- and zirconia-based buffer layers

    NASA Astrophysics Data System (ADS)

    Pechen, E. V.; Schoenberger, R.; Brunner, B.; Ritzinger, S.; Renk, K. F.; Sidorov, M. V.; Oktyabrsky, S. R.

    1993-09-01

    A study of epitaxial growth of YBa2Cu3O7-δ films on oxidized Si with yttria- and zirconia-based buffer layers is reported. Using substrates with either SiO2 free or naturally oxidized (100) surfaces of Si it was found that a thin SiO2 layer on top of the Si favors high-quality superconducting film formation. Compared to yttria-stabilized ZrO2 (YSZ) single layers, YSZY2O3 double and YSZ/Y2O3YSZ triple layers allows the deposition of thin YBa2Cu3O7-δ films with improved properties including reduced aging effects. In epitaxial YBa2Cu3O7-δ films grown on the double buffer layers a critical temperature Tc(R=0)=89.5 K and critical current densities of 3.5×106 A/cm2 at 77 K and 1×107 A/cm2 at 66 K were reached.

  6. Comparison of different photoresist buffer layers in SPR sensors based on D-shaped POF and gold film

    NASA Astrophysics Data System (ADS)

    Cennamo, Nunzio; Pesavento, Maria; De Maria, Letizia; Galatus, Ramona; Mattiello, Francesco; Zeni, Luigi

    2017-04-01

    A comparative analysis of two optical fiber sensing platforms is presented. The sensors are based on surface plasmon resonance (SPR) in a D-shaped plastic optical fiber (POF) with a photoresist buffer layer between the exposed POF core and the thin gold film. We show how the sensor's performances change when the photoresist layer changes. The photoresist layers proposed in this analysis are SU-8 3005 and S1813. The experimental results are congruent with the numerical studies and it is instrumental for chemical and bio-chemical applications. Usually, the photoresist layer is required in order to increase the performance of the SPR-POF sensor.

  7. LPE growth of crack-free PbSe layers on Si(100) using MBE-Grown PbSe/BaF2CaF2 buffer layers

    NASA Astrophysics Data System (ADS)

    Strecker, B. N.; McCann, P. J.; Fang, X. M.; Hauenstein, R. J.; O'Steen, M.; Johnson, M. B.

    1997-05-01

    Crack-free PbSe on (100)-oriented Si has been obtained by a combination of liquid phase epitaxy (LPE) and molecular beam epitaxy (MBE) techniques. MBE is employed first to grow a PbSe/BaF2/CaF2 buffer structure on the (100)-oriented Si. A 2.5 μm thick PbSe layer is then grown by LPE. The LPE-grown PbSe displays excellent surface morphology and is continuous over the entire 8×8 mm2 area of growth. This result is surprising because of the large mismatch in thermal expansion coefficients between PbSe and Si. Previous attempts to grow crack-free PbSe by MBE alone using similar buffer structures on (100)-oriented Si have been unsuccessful. It is speculated that the large concentration of Se vacancies in the LPE-grown PbSe layer may allow dislocation climb along higher order slip planes, providing strain relaxation.

  8. Superconducting composite with multilayer patterns and multiple buffer layers

    DOEpatents

    Wu, X.D.; Muenchausen, R.E.

    1993-10-12

    An article of manufacture is described including a substrate, a patterned interlayer of a material selected from the group consisting of magnesium oxide, barium-titanium oxide or barium-zirconium oxide, the patterned interlayer material overcoated with a secondary interlayer material of yttria-stabilized zirconia or magnesium-aluminum oxide, upon the surface of the substrate whereby an intermediate article with an exposed surface of both the overcoated patterned interlayer and the substrate is formed, a coating of a buffer layer selected from the group consisting of cerium oxide, yttrium oxide, curium oxide, dysprosium oxide, erbium oxide, europium oxide, iron oxide, gadolinium oxide, holmium oxide, indium oxide, lanthanum oxide, manganese oxide, lutetium oxide, neodymium oxide, praseodymium oxide, plutonium oxide, samarium oxide, terbium oxide, thallium oxide, thulium oxide, yttrium oxide and ytterbium oxide over the entire exposed surface of the intermediate article, and, a ceramic superconductor. 5 figures.

  9. Photovoltaic Properties in Interpenetrating Heterojunction Organic Solar Cells Utilizing MoO3 and ZnO Charge Transport Buffer Layers

    PubMed Central

    Hori, Tetsuro; Moritou, Hiroki; Fukuoka, Naoki; Sakamoto, Junki; Fujii, Akihiko; Ozaki, Masanori

    2010-01-01

    Organic thin-film solar cells with a conducting polymer (CP)/fullerene (C60) interpenetrating heterojunction structure, fabricated by spin-coating a CP onto a C60 deposit thin film, have been investigated and demonstrated to have high efficiency. The photovoltaic properties of solar cells with a structure of indium-tin-oxide/C60/poly(3-hexylthiophene) (PAT6)/Au have been improved by the insertion of molybdenum trioxide (VI) (MoO3) and zinc oxide charge transport buffer layers. The enhanced photovoltaic properties have been discussed, taking into consideration the ground-state charge transfer between PAT6 and MoO3 by measurement of the differential absorption spectra and the suppressed contact resistance at the interface between the organic and buffer layers. PMID:28883360

  10. Effect of InSb/In0.9Al0.1Sb superlattice buffer layer on the structural and electronic properties of InSb films

    NASA Astrophysics Data System (ADS)

    Zhao, Xiaomeng; Zhang, Yang; Guan, Min; Cui, Lijie; Wang, Baoqiang; Zhu, Zhanping; Zeng, Yiping

    2017-07-01

    The effect of InSb/In0.9Al0.1Sb buffer layers on InSb thin films grown on GaAs (0 0 1) substrate by molecular beam epitaxy (MBE) is investigated. The crystal quality and the surface morphology of InSb are characterized by XRD and AFM. The carrier transport property is researched through variable temperature hall test. The sharp interface between InSb/In0.9Al0.1Sb is demonstrated important for the high quality InSb thin film. We try different superlattice buffer layers by changing ratios, 2-0.5, thickness, 300-450 nm, and periods, 20-50. According to the function of the dislocation density to the absolute temperature below 150 K with different periods of SL buffers, we can find that the number of periods of superlattice is a major factor to decrease the density of threading dislocations. With the 50 periods SL buffer layer, the electron mobility of InSb at the room temperature and liquid nitrogen cooling temperature is ∼63,000 and ∼4600 cm2/V s, respectively. We deduce that the interface in the SL structure works as a filter layer to prevent the dislocation propagating to the upper InSb thin films.

  11. Effects of thickness and annealing condition on magnetic properties and thermal stabilities of Ta/Nd/NdFeB/Nd/Ta sandwiched films

    NASA Astrophysics Data System (ADS)

    Liu, Wen-Feng; Zhang, Min-Gang; Zhang, Ke-Wei; Zhang, Hai-Jie; Xu, Xiao-Hong; Chai, Yue-Sheng

    2016-11-01

    Ta/Nd/NdFeB/Nd/Ta sandwiched films are deposited by magnetron sputtering on Si (100) substrates, and subsequently annealed in vacuum at different temperatures for different time. It is found that both the thickness of NdFeB and Nd layer and the annealing condition can affect the magnetic properties of Ta/Nd/NdFeB/Nd/Ta films. Interestingly, the thickness and annealing temperature show the relevant behaviors that can affect the magnetic properties of the film. The high coercivity of 24.1 kOe (1 Oe = 79.5775 A/m) and remanence ratio (remanent magnetization/saturation magnetization) of 0.94 can be obtained in a Ta/Nd(250 nm)/NdFeB(600 nm)/Nd(250 nm)/Ta film annealed for 3 min at 1023 K. In addition, the thermal stability of the film is also linked to the thickness of NdFeB and Nd layer and the annealing temperature as well. The excellent thermal stability can be achieved in a Ta/Nd(250 nm)/NdFeB(600 nm)/Nd(250 nm)/Ta film annealed at 1023 K. Program supported by the National Natural Science Foundation of China (Grant No. 51305290), the Higher Education Technical Innovation Project of Shanxi Province, China (Grant No. 2013133), the Fund Program for the Scientific Activities of Selected Returned Overseas Professionals of Shanxi Province, China (Grant No. 2015003), and the Program for the Key Team of Scientific and Technological Innovation of Shanxi Province, China (Grant No. 2013131009).

  12. Resistive Switching of Ta2O5-Based Self-Rectifying Vertical-Type Resistive Switching Memory

    NASA Astrophysics Data System (ADS)

    Ryu, Sungyeon; Kim, Seong Keun; Choi, Byung Joon

    2018-01-01

    To efficiently increase the capacity of resistive switching random-access memory (RRAM) while maintaining the same area, a vertical structure similar to a vertical NAND flash structure is needed. In addition, the sneak-path current through the half-selected neighboring memory cell should be mitigated by integrating a selector device with each RRAM cell. In this study, an integrated vertical-type RRAM cell and selector device was fabricated and characterized. Ta2O5 as the switching layer and TaOxNy as the selector layer were used to preliminarily study the feasibility of such an integrated device. To make the side contact of the bottom electrode with active layers, a thick Al2O3 insulating layer was placed between the Pt bottom electrode and the Ta2O5/TaOxNy stacks. Resistive switching phenomena were observed under relatively low currents (below 10 μA) in this vertical-type RRAM device. The TaOxNy layer acted as a nonlinear resistor with moderate nonlinearity. Its low-resistance-state and high-resistance-state were well retained up to 1000 s.

  13. The effects of the porous buffer layer and doping with dysprosium on internal stresses in the GaInP:Dy/por-GaAs/GaAs(100) heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V.; Gordienko, N. N.; Glotov, A. V.

    2009-08-15

    In structures with a porous buffer layer, residual internal stresses caused by a mismatch between the crystal-lattice parameters of the epitaxial GaInP alloy and the GaAs substrate are redistributed to the porous layer that acts as a buffer and is conducive to disappearance of internal stresses. Doping of the epitaxial layer with dysprosium exerts a similar effect on the internal stresses in the film-substrate structure.

  14. Integration of (208) oriented epitaxial Hf-doped Bi4Ti3O12 with (0002) GaN using SrTiO3/TiO2 buffer layer

    NASA Astrophysics Data System (ADS)

    Luo, W. B.; Zhu, J.; Li, Y. R.; Wang, X. P.; Zhang, Y.

    2009-05-01

    Hf-doped Bi4Ti3O12 (BTH) ferroelectric films with excellent electrical properties were epitaxially integrated with GaN semiconductor using (111) SrTiO3 (STO)/rutile (200) TiO2 as buffer layer. The STO/TiO2 buffer layer was deposited by laser molecular beam epitaxy. The structural characteristics of the buffer layer were in situ and ex situ characterized by reflective high energy electron diffraction, x-ray diffraction (XRD), and high resolution transmission microscopy. The overlaying SrRuO3 (SRO) and BTH films were then deposited by pulsed laser deposition. XRD spectra, including θ-2θ and Φ scans, show that the (208) BTH films were epitaxially grown on GaN, and the BTH films inherit the in-plane twin-domain of STO buffer layer. Electrical measurements demonstrate that the non-c axis BTH films possess a large remnant polarization (2Pr=45 μC/cm2), excellent fatigue endurance (10.2% degradation after 1.1×1010 switching cycles), and a low leakage current density (1.94×10-7 A/cm2 at an electric field of 200 kV/cm). These results reveal that the (208) BTH films with favorable electrical performance could be epitaxially grown on GaN template using STO/TiO2 buffer layer.

  15. Calcium manganate: A promising candidate as buffer layer for hybrid halide perovskite photovoltaic-thermoelectric systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhao, Pengjun; Wang, Hongguang; Kong, Wenwen

    2014-11-21

    We have systematically studied the feasibility of CaMnO{sub 3} thin film, an n-type perovskite, to be utilized as the buffer layer for hybrid halide perovskite photovoltaic-thermoelectric device. Locations of the conduction band and the valence band, spontaneous polarization performance, and optical properties were investigated. Results indicate the energy band of CaMnO{sub 3} can match up well with that of CH{sub 3}NH{sub 3}PbI{sub 3} on separating electron-hole pairs. In addition, the consistent polarization angle helps enlarge the open circuit voltage of the composite system. Besides, CaMnO{sub 3} film shows large absorption coefficient and low extinction coefficient under visible irradiation, demonstrating highmore » carrier concentration, which is beneficial to the current density. More importantly, benign thermoelectric properties enable CaMnO{sub 3} film to assimilate phonon vibration from CH{sub 3}NH3PbI{sub 3}. All the above features lead to a bright future of CaMnO{sub 3} film, which can be a promising candidate as a buffer layer for hybrid halide perovskite photovoltaic-thermoelectric systems.« less

  16. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations.

    PubMed

    Lee, H-P; Perozek, J; Rosario, L D; Bayram, C

    2016-11-21

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {Al x Ga 1-x N}/AlN, (b) Thin-GaN/3 × {Al x Ga 1-x N}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (<50 μm) wafer. Scanning electron microscopy, energy-dispersive X-ray spectroscopy, high resolution-cross section transmission electron microscopy, optical microscopy, atomic-force microscopy, cathodoluminescence, Raman spectroscopy, X-ray diffraction (ω/2θ scan and symmetric/asymmetric ω scan (rocking curve scan), reciprocal space mapping) and Hall effect measurements are employed to study the structural, optical, and electrical properties of these AlGaN/GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm 2 /V∙s) and 2DEG carrier concentration (>1.0 × 10 13  cm -2 ) on Si(111) substrates.

  17. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations

    PubMed Central

    Lee, H.-P.; Perozek, J.; Rosario, L. D.; Bayram, C.

    2016-01-01

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {AlxGa1−xN}/AlN, (b) Thin-GaN/3 × {AlxGa1−xN}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (<50 μm) wafer. Scanning electron microscopy, energy-dispersive X-ray spectroscopy, high resolution-cross section transmission electron microscopy, optical microscopy, atomic-force microscopy, cathodoluminescence, Raman spectroscopy, X-ray diffraction (ω/2θ scan and symmetric/asymmetric ω scan (rocking curve scan), reciprocal space mapping) and Hall effect measurements are employed to study the structural, optical, and electrical properties of these AlGaN/GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm2/V∙s) and 2DEG carrier concentration (>1.0 × 1013 cm−2) on Si(111) substrates. PMID:27869222

  18. Asymmetric interaction of point defects and heterophase interfaces in ZrN/TaN multilayered nanofilms.

    PubMed

    Lao, Yuanxia; Hu, Shuanglin; Shi, Yunlong; Deng, Yu; Wang, Fei; Du, Hao; Zhang, Haibing; Wang, Yuan

    2017-01-05

    Materials with a high density of heterophase interfaces, which are capable of absorbing and annihilating radiation-induced point defects, can exhibit a superior radiation tolerance. In this paper, we investigated the interaction behaviors of point defects and heterophase interfaces by implanting helium atoms into the ZrN/TaN multilayered nanofilms. It was found that the point defect-interface interaction on the two sides of the ZrN/TaN interface was asymmetric, likely due to the difference in the vacancy formation energies of ZrN and TaN. The helium bubbles could migrate from the ZrN layers into the TaN layers through the heterophase interfaces, resulting in a better crystallinity of the ZrN layers and a complete amorphization of the TaN layers. The findings provided some clues to the fundamental behaviors of point defects near the heterophase interfaces, which make us re-examine the design rules of advanced radiation-tolerant materials.

  19. Asymmetric interaction of point defects and heterophase interfaces in ZrN/TaN multilayered nanofilms

    NASA Astrophysics Data System (ADS)

    Lao, Yuanxia; Hu, Shuanglin; Shi, Yunlong; Deng, Yu; Wang, Fei; Du, Hao; Zhang, Haibing; Wang, Yuan

    2017-01-01

    Materials with a high density of heterophase interfaces, which are capable of absorbing and annihilating radiation-induced point defects, can exhibit a superior radiation tolerance. In this paper, we investigated the interaction behaviors of point defects and heterophase interfaces by implanting helium atoms into the ZrN/TaN multilayered nanofilms. It was found that the point defect-interface interaction on the two sides of the ZrN/TaN interface was asymmetric, likely due to the difference in the vacancy formation energies of ZrN and TaN. The helium bubbles could migrate from the ZrN layers into the TaN layers through the heterophase interfaces, resulting in a better crystallinity of the ZrN layers and a complete amorphization of the TaN layers. The findings provided some clues to the fundamental behaviors of point defects near the heterophase interfaces, which make us re-examine the design rules of advanced radiation-tolerant materials.

  20. Asymmetric interaction of point defects and heterophase interfaces in ZrN/TaN multilayered nanofilms

    PubMed Central

    Lao, Yuanxia; Hu, Shuanglin; Shi, Yunlong; Deng, Yu; Wang, Fei; Du, Hao; Zhang, Haibing; Wang, Yuan

    2017-01-01

    Materials with a high density of heterophase interfaces, which are capable of absorbing and annihilating radiation-induced point defects, can exhibit a superior radiation tolerance. In this paper, we investigated the interaction behaviors of point defects and heterophase interfaces by implanting helium atoms into the ZrN/TaN multilayered nanofilms. It was found that the point defect-interface interaction on the two sides of the ZrN/TaN interface was asymmetric, likely due to the difference in the vacancy formation energies of ZrN and TaN. The helium bubbles could migrate from the ZrN layers into the TaN layers through the heterophase interfaces, resulting in a better crystallinity of the ZrN layers and a complete amorphization of the TaN layers. The findings provided some clues to the fundamental behaviors of point defects near the heterophase interfaces, which make us re-examine the design rules of advanced radiation-tolerant materials. PMID:28053307

  1. Power Conversion Efficiency and Device Stability Improvement of Inverted Perovskite Solar Cells by Using a ZnO:PFN Composite Cathode Buffer Layer.

    PubMed

    Jia, Xiaorui; Zhang, Lianping; Luo, Qun; Lu, Hui; Li, Xueyuan; Xie, Zhongzhi; Yang, Yongzhen; Li, Yan-Qing; Liu, Xuguang; Ma, Chang-Qi

    2016-07-20

    We have demonstrated in this article that both power conversion efficiency (PCE) and performance stability of inverted planar heterojunction perovskite solar cells can be improved by using a ZnO:PFN nanocomposite (PFN: poly[(9,9-bis(3'-(N,N-dimethylamion)propyl)-2,7-fluorene)-alt-2,7-(9,9-dioctyl)-fluorene]) as the cathode buffer layer (CBL). This nanocomposite could form a compact and defect-less CBL film on the perovskite/PC61BM surface (PC61BM: phenyl-C61-butyric acid methyl ester). In addition, the high conductivity of the nanocomposite layer makes it works well at a layer thickness of 150 nm. Both advantages of the composite layer are helpful in reducing interface charge recombination and improving device performance. The power conversion efficiency (PCE) of the best ZnO:PFN CBL based device was measured to be 12.76%, which is higher than that of device without CBL (9.00%), or device with ZnO (7.93%) or PFN (11.30%) as the cathode buffer layer. In addition, the long-term stability is improved by using ZnO:PFN composite cathode buffer layer when compare to that of the reference cells. Almost no degradation of open circuit voltage (VOC) and fill factor (FF) was found for the device having ZnO:PFN, suggesting that ZnO:PFN is able to stabilize the interface property and consequently improve the solar cell performance stability.

  2. Evolution of resistive switching mechanism through H2O2 sensing by using TaOx-based material in W/Al2O3/TaOx/TiN structure

    NASA Astrophysics Data System (ADS)

    Chakrabarti, Somsubhra; Panja, Rajeswar; Roy, Sourav; Roy, Anisha; Samanta, Subhranu; Dutta, Mrinmoy; Ginnaram, Sreekanth; Maikap, Siddheswar; Cheng, Hsin-Ming; Tsai, Ling-Na; Chang, Ya-Ling; Mahapatra, Rajat; Jana, Debanjan; Qiu, Jian-Tai; Yang, Jer-Ren

    2018-03-01

    Understanding of resistive switching mechanism through H2O2 sensing and improvement of switching characteristics by using TaOx-based material in W/Al2O3/TaOx/TiN structure have been reported for the first time. Existence of amorphous Al2O3/TaOx layer in the RRAM devices has been confirmed by transmission electron microscopy. By analyzing the oxidation states of Ta2+/Ta5+ for TaOx switching material and W0/W6+ for WOx layer at the W/TaOx interface through X-ray photoelectron spectroscopy and H2O2 sensing, the reduction-oxidation mechanism under Set/Reset occurs only in the TaOx layer for the W/Al2O3/TaOx/TiN structures. This leads to higher Schottky barrier height at the W/Al2O3 interface (0.54 eV vs. 0.46 eV), higher resistance ratio, and long program/erase endurance of >108 cycles with 100 ns pulse width at a low operation current of 30 μA. Stable retention of more than 104 s at 85 °C is also obtained. Using conduction mechanism and reduction-oxidation reaction, current-voltage characteristic has been simulated. Both TaOx and WOx membranes have high pH sensitivity values of 47.65 mV/pH and 49.25 mV/pH, respectively. Those membranes can also sense H2O2 with a low concentration of 1 nM in an electrolyte-insulator-semiconductor structure because of catalytic activity, while the Al2O3 membrane does not show sensing. The TaOx material in W/Al2O3/TaOx/TiN structure does not show only a path towards high dense, small size memory application with understanding of switching mechanism but also can be used for H2O2 sensors.

  3. Improvement in performance and reliability with CF4 plasma pretreatment on the buffer oxide layer for low-temperature polysilicon thin-film transistor

    NASA Astrophysics Data System (ADS)

    Fan, Ching-Lin; Lin, Yi-Yan; Yang, Chun-Chieh

    2012-03-01

    This study applies CF4 plasma pretreatment to a buffer oxide layer to improve the performance of low-temperature polysilicon thin-film transistors (LTPS TFTs). Results show that the fluorine atoms piled up at the interface between the bulk channel and buffer oxide layer and accumulated in the bulk channel. The reduction of the trap states density by fluorine passivation can improve the electrical characteristics of the LTPS TFTs. It is found that the threshold voltage reduced from 4.32 to 3.03 V and the field-effect mobility increased from 29.71 to 45.65 cm2 V-1 S-1. In addition, the on current degradation and threshold voltage shift after stressing were significantly improved about 31% and 70%, respectively. We believe that the proposed CF4 plasma pretreatment on the buffer oxide layer can passivate the trap states and avoid the plasma induced damage on the polysilicon channel surface, resulting in the improvement in performance and reliability for LTPS-TFT mass production application on AMOLED displays with critical reliability requirement.

  4. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs

    PubMed Central

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-01-01

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This “compliant” buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 105 cm−2. In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6” wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors. PMID:26329829

  5. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    PubMed

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  6. CHEMICAL SOLUTION DEPOSITION BASED OXIDE BUFFERS AND YBCO COATED CONDUCTORS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paranthaman, Mariappan Parans

    We have reviewed briefly the growth of buffer and high temperature superconducting oxide thin films using a chemical solution deposition (CSD) method. In the Rolling-Assisted Biaxially Textured Substrates (RABiTS) process, developed at Oak Ridge National Laboratory, utilizes the thermo mechanical processing to obtain the flexible, biaxially oriented copper, nickel or nickel-alloy substrates. Buffers and Rare Earth Barium Copper Oxide (REBCO) superconductors have been deposited epitaxially on the textured nickel alloy substrates. The starting substrate serves as a template for the REBCO layer, which has substantially fewer weak links. Buffer layers play a major role in fabricating the second generation REBCOmore » wire technology. The main purpose of the buffer layers is to provide a smooth, continuous and chemically inert surface for the growth of the REBCO film, while transferring the texture from the substrate to the superconductor layer. To achieve this, the buffer layers need to be epitaxial to the substrate, i.e. they have to nucleate and grow in the same bi-axial texture provided by the textured metal foil. The most commonly used RABiTS multi-layer architectures consist of a starting template of biaxially textured Ni-5 at.% W (Ni-W) substrate with a seed (first) layer of Yttrium Oxide (Y2O3), a barrier (second) layer of Yttria Stabilized Zirconia (YSZ), and a Cerium Oxide (CeO2) cap (third) layer. These three buffer layers are generally deposited using physical vapor deposition (PVD) techniques such as reactive sputtering. On top of the PVD template, REBCO film is then grown by a chemical solution deposition. This article reviews in detail about the list of oxide buffers and superconductor REBCO films grown epitaxially on single crystal and/or biaxially textured Ni-W substrates using a CSD method.« less

  7. Buffer-regulated biocorrosion of pure magnesium.

    PubMed

    Kirkland, Nicholas T; Waterman, Jay; Birbilis, Nick; Dias, George; Woodfield, Tim B F; Hartshorn, Richard M; Staiger, Mark P

    2012-02-01

    Magnesium (Mg) alloys are being actively investigated as potential load-bearing orthopaedic implant materials due to their biodegradability in vivo. With Mg biomaterials at an early stage in their development, the screening of alloy compositions for their biodegradation rate, and hence biocompatibility, is reliant on cost-effective in vitro methods. The use of a buffer to control pH during in vitro biodegradation is recognised as critically important as this seeks to mimic pH control as it occurs naturally in vivo. The two different types of in vitro buffer system available are based on either (i) zwitterionic organic compounds or (ii) carbonate buffers within a partial-CO(2) atmosphere. This study investigated the influence of the buffering system itself on the in vitro corrosion of Mg. It was found that the less realistic zwitterion-based buffer did not form the same corrosion layers as the carbonate buffer, and was potentially affecting the behaviour of the hydrated oxide layer that forms on Mg in all aqueous environments. Consequently it was recommended that Mg in vitro experiments use the more biorealistic carbonate buffering system when possible.

  8. An AlGaN/GaN high-electron-mobility transistor with an AlN sub-buffer layer

    NASA Astrophysics Data System (ADS)

    Shealy, J. R.; Kaper, V.; Tilak, V.; Prunty, T.; Smart, J. A.; Green, B.; Eastman, L. F.

    2002-04-01

    The AlGaN/GaN high-electron-mobility transistor requires a thermally conducting, semi-insulating substrate to achieve the best possible microwave performance. The semi-insulating SiC substrate is currently the best choice for this device technology; however, fringing fields which penetrate the GaN buffer layer at pinch-off introduce significant substrate conduction at modest drain bias if channel electrons are not well confined to the nitride structure. The addition of an insulating AlN sub-buffer on the semi-insulating SiC substrate suppresses this parasitic conduction, which results in dramatic improvements in the AlGaN/GaN transistor performance. A pronounced reduction in both the gate-lag and the gate-leakage current are observed for structures with the AlN sub-buffer layer. These structures operate up to 50 V drain bias under drive, corresponding to a peak voltage of 80 V, for a 0.30 µm gate length device. The devices have achieved high-efficiency operation at 10 GHz (>70% power-added efficiency in class AB mode at 15 V drain bias) and the highest output power density observed thus far (11.2 W mm-1). Large-periphery devices (1.5 mm gate width) deliver 10 W (continuous wave) of maximum saturated output power at 10 GHz. The growth, processing, and performance of these devices are briefly reviewed.

  9. New MBE buffer for micron- and quarter-micron-gateGaAs MESFETs

    NASA Technical Reports Server (NTRS)

    1988-01-01

    A new buffer layer has been developed that eliminates backgating in GaAs MESFETs and substantially reduces short-channel effects in GaAs MESFETs with 0.27-micron-long gates. The new buffer is grown by molecular beam epitaxy (MBE) at a substrate temperature of 200 C using Ga and As sub 4 beam fluxes. The buffer is crystalline, highly resistive, optically inactive, and can be overgrown with high quality GaAs. GaAs MESFETs with a gate length of 0.27 microns that incorporate the new buffer show improved dc and RF properties in comparison with a similar MESFET with a thin undoped GaAs buffer. To demonstrate the backgating performance improvement afforded by the new buffer, MESFETs were fabricated using a number of different buffer layers and structures. A schematic cross section of the MESFET structure used in this study is shown. The measured gate length, gate width, and source-drain spacing of this device are 2,98, and 5.5 microns, respectively. An ohmic contact, isolated from the MESFET by mesa etching, served as the sidegate. The MESFETs were fabricated in MBE n-GaAs layers grown on the new buffer and also in MBE n-GaAs layers grown on buffer layers of undoped GaAs, AlGaAs, and GaAs/AlGaAs superlattices. All the buffer layers were grown by MBE and are 2 microns thick. The active layer is doped to approximately 2 x 10 to the 17th/cu cm with silicon and is 0.3 microns thick.

  10. Impact of the deposition conditions of buffer and windows layers on lowering the metastability effects in Cu(In,Ga)Se2/Zn(S,O)-based solar cell

    NASA Astrophysics Data System (ADS)

    Naghavi, Negar; Hildebrandt, Thibaud; Bouttemy, Muriel; Etcheberry, Arnaud; Lincot, Daniel

    2016-02-01

    The highest and most reproducible (Cu(In,Ga)Se2 (CIGSe) based solar-cell efficiencies are obtained by use of a very thin n-type CdS layer deposited by chemical bath deposition (CBD). However because of both Cadmium's adverse environmental impact and the narrow bandgap of CdS (2.4-2.5 eV) one of the major objectives in the field of CIGSe technology remains the development and implementation in the production line of Cd-free buffer layers. The CBDZn( S,O) remains one the most studied buffer layer for replacing the CdS in Cu(In,Ga)Se2-based solar cells and has already demonstrated its potential to lead to high-efficiency solar cells up to 22.3%. However one of the key issue to implement a CBD-Zn(S,O) process in a CIGSe production line is the cells stability, which depends both on the deposition conditions of CBD-Zn(S,O) and on a good band alignment between CIGSe/Zn(S,O)/windows layers. The most common window layers applied in CIGSe solar cells consist of two layers : a thin (50-100 nm) and highly resistive i-ZnO layer deposited by magnetron sputtering and a transparent conducting 300-500 nm ZnO:Al layer. In the case of CBD-Zn(S,O) buffer layer, the nature and deposition conditions of both Zn(S,O) and the undoped window layer can strongly influence the performance and stability of cells. The present contribution will be specially focused on the effect of condition growth of CBD-Zn(S,O) buffer layers and the impact of the composition and deposition conditions of the undoped window layers such as ZnxMgyO or ZnxSnyO on the stability and performance of these solar cells.

  11. Compact hematite buffer layer as a promoter of nanorod photoanode performances

    NASA Astrophysics Data System (ADS)

    Milan, R.; Cattarin, S.; Comisso, N.; Baratto, C.; Kaunisto, K.; Tkachenko, N. V.; Concina, I.

    2016-10-01

    The effect of a thin α-Fe2O3 compact buffer layer (BL) on the photoelectrochemical performances of a bare α-Fe2O3 nanorods photoanode is investigated. The BL is prepared through a simple spray deposition onto a fluorine-doped tin oxide (FTO) conducting glass substrate before the growth of a α-Fe2O3 nanorods via a hydrothermal process. Insertion of the hematite BL between the FTO and the nanorods markedly enhances the generated photocurrent, by limiting undesired losses of photogenerated charges at the FTO||electrolyte interface. The proposed approach warrants a marked improvement of material performances, with no additional thermal treatment and no use/dispersion of rare or toxic species, in agreement with the principles of green chemistry.

  12. Direct charge carrier injection into Ga2O3 thin films using an In2O3 cathode buffer layer: their optical, electrical and surface state properties

    NASA Astrophysics Data System (ADS)

    Cui, W.; Zhao, X. L.; An, Y. H.; Guo, D. Y.; Qing, X. Y.; Wu, Z. P.; Li, P. G.; Li, L. H.; Cui, C.; Tang, W. H.

    2017-04-01

    Conductive Ga2O3 thin films with an In2O3 buffer layer have been prepared on c-plane sapphire substrates using a laser molecular beam epitaxy technique. The effects of the In2O3 buffer layer on the structure and optical, electrical and surface state properties of the Ga2O3 films have been studied. The change in conductivity of the thin films is attributed to different thicknesses of the In2O3 buffer layer, which determine the concentration of charge carriers injected into the upper Ga2O3 layer from the interface of the bilayer thin films. In addition, the increase in flat band voltage shift and capacitance values as the In2O3 buffer layer thickens are attributed to the increase in surface state density, which also contributes to the rapid shrinkage of the optical band gap of the Ga2O3. With transparency to visible light, high n-type conduction and the ability to tune the optical band gap and surface state density, we propose that Ga2O3/In2O3 bilayer thin film is an ideal n-type semiconductor for fabrication of transparent power devices, solar cell electrodes and gas sensors.

  13. A broadband permeability measurement of FeTaN lamination stack by the shorted microstrip line method

    NASA Astrophysics Data System (ADS)

    Chen, Xin; Ma, Yungui; Xu, Feng; Wang, Peng; Ong, C. K.

    2009-01-01

    In this paper, the microwave characteristics of a FeTaN lamination stack are studied with a shorted microstrip line method. The FeTaN lamination stack was fabricated by gluing 54 layers of FeTaN units with epoxy together. The FeTaN units were deposited on both sides of an 8 μm polyethylene terephthate (Mylar) film as the substrate by rf magnetron sputtering. On each side of the Mylar substrate, three 100-nm FeTaN layers are laminated with two 8 nm Al2O3 layers. The complex permeability of FeTaN lamination stack is calculated by the scattering parameters using the shorted load transmission line model based on the quasi-transverse-electromagnetic approximation. A full wave analysis combined with an optimization process is employed to determine the accurate effective permeability values. The optimized complex permeability data can be used for the microwave filter design.

  14. High dielectric constant and energy density induced by the tunable TiO2 interfacial buffer layer in PVDF nanocomposite contained with core-shell structured TiO2@BaTiO3 nanoparticles

    NASA Astrophysics Data System (ADS)

    Hu, Penghao; Jia, Zhuye; Shen, Zhonghui; Wang, Peng; Liu, Xiaoru

    2018-05-01

    To realize application in high-capacity capacitors and portable electric devices, large energy density is eagerly desired for polymer-based nanocomposite. The core-shell structured nanofillers with inorganic buffer layer are recently supposed to be promising in improving the dielectric property of polymer nanocomposite. In this work, core-shell structured TO@BT nanoparticles with crystalline TiO2 buffer layer coated on BaTiO3 nanoparticle were fabricated via solution method and heat treatment. The thickness of the TO buffer layer can be tailored by modulating the additive amount of the titanate coupling agent in preparation process, and the apparent dielectric properties of nanocomposite are much related to the thickness of the TO layer. The relatively thin TO layer prefer to generate high polarization to increase dielectric constant while the relatively thick TO layer would rather to homogenize field to maintain breakdown strength. Simulation of electric field distribution in the interfacial region reveals the improving effect of the TO buffer layer on the dielectric properties of nanocomposite which accords with the experimental results well. The optimized nanoparticle TO@BT-2 with a mean thickness of 3-5 nm buffer layer of TO is effective in increasing both the ε and Eb in the PVDF composite film. The maximal discharged energy density of 8.78 J/cm3 with high energy efficiency above 0.6 is obtained in TO@BT-2/PVDF nanocomposite with 2.5 vol% loading close to the breakdown strength of 380 kV/mm. The present study demonstrates the approach to optimize the structure of core-shell nanoparticles by modulating buffer layer and provides a new way to further enlarge energy density in polymer nanocomposite.

  15. Effect of different thickness crystalline SiC buffer layers on the ordering of MgB{sub 2} films probed by extended x-ray absorption fine structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Putri, W. B. K.; Tran, D. H.; Kang, B., E-mail: bwkang@chungbuk.ac.kr

    2014-03-07

    Extended X-ray absorption fine structure (EXAFS) spectroscopy is a powerful method to investigate the local structure of thin films. Here, we have studied EXAFS of MgB{sub 2} films grown on SiC buffer layers. Crystalline SiC buffer layers with different thickness of 70, 100, and 130 nm were deposited on the Al{sub 2}O{sub 3} (0001) substrates by using a pulsed laser deposition method, and then MgB{sub 2} films were grown on the SiC buffer layer by using a hybrid physical-chemical vapor deposition technique. Transition temperature of MgB{sub 2} film decreased with increasing thickness of SiC buffer layer. However, the T{sub c} droppingmore » went no farther than 100 nm-thick-SiC. This uncommon behavior of transition temperature is likely to be created from electron-phonon interaction in MgB{sub 2} films, which is believed to be related to the ordering of MgB{sub 2} atomic bonds, especially in the ordering of Mg–Mg bonds. Analysis from Mg K-edge EXAFS measurements showed interesting ordering behavior of MgB{sub 2} films. It is noticeable that the ordering of Mg–B bonds is found to decrease monotonically with the increase in SiC thickness of the MgB{sub 2} films, while the opposite happens with the ordering in Mg–Mg bonds. Based on these results, crystalline SiC buffer layers in MgB{sub 2} films seemingly have evident effects on the alteration of the local structure of the MgB{sub 2} film.« less

  16. Buffers more than buffering agent: introducing a new class of stabilizers for the protein BSA.

    PubMed

    Gupta, Bhupender S; Taha, Mohamed; Lee, Ming-Jer

    2015-01-14

    In this study, we have analyzed the influence of four biological buffers on the thermal stability of bovine serum albumin (BSA) using dynamic light scattering (DLS). The investigated buffers include 4-(2-hydroxyethyl)piperazine-1-ethanesulfonic acid (HEPES), 4-(2-hydroxyethyl)-1-piperazine-propanesulfonic acid (EPPS), 4-(2-hydroxyethyl)piperazine-1-ethanesulfonic acid sodium salt (HEPES-Na), and 4-morpholinepropanesulfonic acid sodium salt (MOPS-Na). These buffers behave as a potential stabilizer for the native structure of BSA against thermal denaturation. The stabilization tendency follows the order of MOPS-Na > HEPES-Na > HEPES ≫ EPPS. To obtain an insight into the role of hydration layers and peptide backbone in the stabilization of BSA by these buffers, we have also explored the phase transition of a thermoresponsive polymer, poly(N-isopropylacrylamide (PNIPAM)), a model compound for protein, in aqueous solutions of HEPES, EPPS, HEPES-Na, and MOPS-Na buffers at different concentrations. It was found that the lower critical solution temperatures (LCST) of PNIPAM in the aqueous buffer solutions substantially decrease with increase in buffer concentration. The mechanism of interactions between these buffers and protein BSA was probed by various techniques, including UV-visible, fluorescence, and FTIR. The results of this series of studies reveal that the interactions are mainly governed by the influence of the buffers on the hydration layers surrounding the protein. We have also explored the possible binding sites of BSA with these buffers using a molecular docking technique. Moreover, the activities of an industrially important enzyme α-chymotrypsin (α-CT) in 0.05 M, 0.5 M, and 1.0 M of HEPES, EPPS, HEPES-Na, and MOPS-Na buffer solutions were analyzed at pH = 8.0 and T = 25 °C. Interestingly, the activities of α-CT were found to be enhanced in the aqueous solutions of these investigated buffers. Based upon the Jones-Dole viscosity parameters, the

  17. Diffusion studies and critical current in superconducting Nb-Ti-Ta artificial pinning center wire

    NASA Astrophysics Data System (ADS)

    Bormio-Nunes, C.; Gomes, P. M. N.; Tirelli, M. A.; Ghivelder, L.

    2005-08-01

    The diffusion between Nb-20%Ta (wt %) and pure Ti is studied at temperatures of 973, 1023, and 1073K, for duration times among 25 and 121h in an artificial pinning center (APC) wire composed of a Ti core surrounded by a Nb-20%Ta layer. The produced diffusion layer is a ternary alloy with superconducting properties, such as critical field Bc2 and critical current density JC, which intrinsically depend on the layer composition. Measurements of layer morphology and composition were performed, and the results show a preferential diffusion of Nb and Ta into Ti. There is a slight diffusion of Ti into Nb through grain boundaries. The presence of Ta also slows down the diffusion of Nb in Ti if compared to the couple formed by pure Nb and Ti. Regarding the mechanical properties of the composite wire, the use of lower temperatures to form the ternary phase is desirable in order to avoid a larger portion of the diffusion layer rich in Ti that favorites α-Ti precipitations that are detrimental to the wire ductility. The best JC value was obtained for the sample heat treated at 973K. The improvement of the flux-line pinning was associated with a sharp change of the diffusion layer composition rather than pinning by normal layer interfaces, suggesting a new source of pinning in this kind of material. Nb-Ti-Ta ternary alloys have the potential to be used in superconducting magnets when fields above 12T are required.

  18. Spray-Pyrolyzed Three-Dimensional CuInS2 Solar Cells on Nanocrystalline-Titania Electrodes with Chemical-Bath-Deposited Inx(OH)ySz Buffer Layers

    NASA Astrophysics Data System (ADS)

    Nguyen, Duy-Cuong; Mikami, Yuki; Tsujimoto, Kazuki; Ryo, Toshihiro; Ito, Seigo

    2012-10-01

    Three-dimensional (3D) compound solar cells with the structure of have been fabricated by spray pyrolysis deposition of CuInS2 and chemical-bath deposition of Inx(OH)ySz for the light absorber and buffer layer, respectively. The effect of deposition and annealing conditions of Inx(OH)ySz on the photovoltaic properties of 3D CuInS2 solar cells was investigated. Inx(OH)ySz annealed in air ambient showed a better cell performance than those annealed in nitrogen ambient and without annealing. The improvement of the performance of cells with Inx(OH)ySz buffer layers annealed in air ambient is due to the increase in oxide concentration in the buffer layers [confirmed by X-ray photoelectron spectroscopy (XPS) measurement]. Among cells with Inx(OH)ySz buffer layers deposited for 1, 1.5, 1.75, and 2 h, that with Inx(OH)ySz deposited for 1.75 h showed the best cell performance. The best cell performance was observed for Inx(OH)ySz deposited for 1.75 h with annealing at 300 °C for 30 min in air ambient, and cell parameters were 22 mA cm-2 short-circuit photocurrent density, 0.41 V open-circuit voltage, 0.35 fill factor, and 3.2% conversion efficiency.

  19. Double Dirac point semimetal in 2D material: Ta2Se3

    NASA Astrophysics Data System (ADS)

    Ma, Yandong; Jing, Yu; Heine, Thomas

    2017-06-01

    Here, we report by first-principles calculations one new stable 2D Dirac material, Ta2Se3 monolayer. For this system, stable layered bulk phase exists, and exfoliation should be possible. Ta2Se3 monolayer is demonstrated to support two Dirac points close to the Fermi level, achieving the exotic 2D double Dirac semimetal. And like 2D single Dirac and 2D node-line semimetals, spin-orbit coupling could introduce an insulating state in this new class of 2D Dirac semimetals. Moreover, the Dirac feature in this system is layer-dependent and a metal-to-insulator transition is identified in Ta2Se3 when reducing the layer-thickness from bilayer to monolayer. These findings are of fundamental interests and of great importance for nanoscale device applications.

  20. The effect of growth sequence on magnetization damping in Ta/CoFeB/MgO structures

    NASA Astrophysics Data System (ADS)

    Liu, Bo; Huang, Dawei; Gao, Ming; Tu, Hongqing; Wang, Kejie; Ruan, Xuezhong; Du, Jun; Cai, Jian-Wang; He, Liang; Wu, Jing; Wang, Xinran; Xu, Yongbing

    2018-03-01

    Magnetization damping is a key parameter to control the critical current and the switching speed in magnetic random access memory, and here we report the effect of the growth sequence on the magnetic dynamics properties of perpendicularly magnetized Ta/CoFeB/MgO structures. Ultrathin CoFeB films have been grown between Ta and MgO but with different stack sequences, i.e. substrate/Ta/CoFeB/MgO/Ta and substrate/Ta/MgO/CoFeB/Ta. The magnetization dynamics induced by femtosecond laser was investigated by using all-optical pump-probe measurements. We found that the Gilbert damping constant was modulated by reversing stack structures, which offers the potential to tune the damping parameter by the growth sequence. The Gilbert damping constant was enhanced from 0.017 for substrate/Ta/CoFeB/MgO/Ta to 0.027 for substrate/Ta/MgO/CoFeB/Ta. We believe that this enhancement originates from the increase of intermixing at the CoFeB/Ta when the Ta atom layer was grown after the CoFeB layer.

  1. The role of Ag buffer layer in Fe islands growth on Ge (111) surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fu, Tsu-Yi, E-mail: phtifu@phy.ntnu.edu.tw; Wu, Jia-Yuan; Jhou, Ming-Kuan

    2015-05-07

    Sub-monolayer iron atoms were deposited at room temperature on Ge (111)-c(2 × 8) substrates with and without Ag buffer layers. The behavior of Fe islands growth was investigated by using scanning tunneling microscope (STM) after different annealing temperatures. STM images show that iron atoms will cause defects and holes on substrates at room temperature. As the annealing temperature rises, iron atoms pull out germanium to form various kinds of alloyed islands. However, the silver layer can protect the Ag/Ge(111)-(√3×√3) reconstruction from forming defects. The phase diagram shows that ring, dot, and triangular defects were only found on Ge (111)-c(2 × 8) substrates. The kindsmore » of islands found in Fe/Ge system are similar to Fe/Ag/Ge system. It indicates that Ge atoms were pulled out to form islands at high annealing temperatures whether there was a Ag layer or not. But a few differences in big pyramidal or strip islands show that the silver layer affects the development of islands by changing the surface symmetry and diffusion coefficient. The structure characters of various islands are also discussed.« less

  2. Structural and electrical properties of atomic layer deposited Al-doped ZrO2 films and of the interface with TaN electrode

    NASA Astrophysics Data System (ADS)

    Spiga, S.; Rao, R.; Lamagna, L.; Wiemer, C.; Congedo, G.; Lamperti, A.; Molle, A.; Fanciulli, M.; Palma, F.; Irrera, F.

    2012-07-01

    Al-doped ZrO2 (Al-ZrO2) films deposited by atomic layer deposition onto silicon substrates and the interface with the TaN metal gate are investigated. In particular, structural properties of as-grown and annealed films in the 6-26 nm thickness range, as well as leakage and capacitive behavior of metal-oxide-semiconductor stacks are characterized. As-deposited Al-ZrO2 films in the mentioned thickness range are amorphous and crystallize in the ZrO2 cubic phase after thermal treatment at 900 °C. Correspondingly, the dielectric constant (k) value increases from 20 ± 1 to 27 ± 2. The Al-ZrO2 layers exhibit uniform composition through the film thickness and are thermally stable on Si, whereas chemical reactions take place at the TaN/Al-ZrO2 interface. A transient capacitance technique is adopted for monitoring charge trapping and flat band instability at short and long time scales. The role of traps nearby the TaN/Al-ZrO2 interface is discussed and compared with other metal/high-k oxide films. Further, analytical modeling of the flat band voltage shift with a power-law dependence on time allows extracting features of bulk traps close to the silicon/oxide interface, which exhibit energy levels in the 1.4-1.9 eV range above the valence band of the Al-ZrO2.

  3. Polarization characteristics of semipolar (112̄2) InGaN/GaN quantum well structures grown on relaxed InGaN buffer layers and comparison with experiment.

    PubMed

    Park, Seoung-Hwan; Mishra, Dhaneshwar; Eugene Pak, Y; Kang, K; Park, Chang Yong; Yoo, Seung-Hyun; Cho, Yong-Hee; Shim, Mun-Bo; Kim, Sungjin

    2014-06-16

    Partial strain relaxation effects on polarization ratio of semipolar (112̄2) InxGa1−xN/GaN quantum well (QW) structures grown on relaxed InGaN buffers were investigated using the multiband effective-mass theory. The absolute value of the polarization ratio gradually decreases with increasing In composition in InGaN buffer layer when the strain relaxation ratio (ε0y′y′−εy′y′)/ε0y′y′ along y′-axis is assumed to be linearly proportional to the difference of lattice constants between the well and the buffer layer. Also, it changes its sign for the QW structure grown on InGaN buffer layer with a relatively larger In composition (x > 0.07). These results are in good agreement with the experiment. This can be explained by the fact that, with increasing In composition in the InGaN subsrate, the spontaneous emission rate for the y′-polarization gradually increases while that for x′-polarization decreases due to the decrease in a matrix element at the band-edge (k‖ = 0).

  4. Tailoring Curie temperature and magnetic anisotropy in ultrathin Pt/Co/Pt films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Parakkat, Vineeth Mohanan; Ganesh, K. R.; Anil Kumar, P. S., E-mail: anil@physics.iisc.ernet.in

    The dependence of perpendicular magnetization and Curie temperature (T{sub c}) of Pt/Co/Pt thin films on the thicknesses of Pt seed (Pt{sub s}) and presence of Ta buffer layer has been investigated in this work. Pt and Co thicknesses were varied between 2 to 8 nm and 0.35 to 1.31 nm (across the spin reorientation transition thickness) respectively and the T{sub c} was measured using SQUID magnetometer. We have observed a systematic dependence of T{sub c} on the thickness of Pt{sub s}. For 8 nm thickness of Pt{sub s} the Co layer of 0.35 nm showed ferromagnetism with perpendicular anisotropy atmore » room temperature. As the thickness of the Pt{sub s} was decreased to 2 nm, the T{sub c} went down below 250 K. XRD data indicated polycrystalline growth of Pt{sub s} on SiO{sub 2}. On the contrary Ta buffer layer promoted the growth of Pt(111). As a consequence Ta(5 nm)/Pt(3 nm)/Co(0.35 nm)/Pt(2 nm) had much higher T{sub c} (above 300 K) with perpendicular anisotropy when compared to the same stack without the Ta layer. Thus we could tune the ferromagnetic T{sub c} and anisotropy by varying the Pt{sub s} thickness and also by introducing Ta buffer layer. We attribute these observations to the micro-structural evolution of Pt{sub s} layer which hosts the Co layer.« less

  5. Development of buffer layer structure for epitaxial growth of (100)/(001)Pb(Zr,Ti)O3-based thin film on (111)Si wafer

    NASA Astrophysics Data System (ADS)

    Hayasaka, Takeshi; Yoshida, Shinya; Tanaka, Shuji

    2017-07-01

    This paper reports on the development of a novel buffer layer structure, (100)SrRuO3/(100)LaNiO3/(111)Pt/(111)CeO2, for the epitaxial growth of a (100)/(001)-oriented Pb(Zr,Ti)O3 (PZT)-based thin film on a (111)Si wafer. (111)Pt and (111)CeO2 were epitaxially grown on (111)Si straightforwardly. Then, the crystal orientation was forcibly changed from (111) to (100) at the LaNiO3 layer owing to its strong (100)-self-orientation property, which enabled the cube-on-cube epitaxial growth of the subsequent (100)SrRuO3 layer and preferentially (100)/(001)-oriented PZT-based thin film. The PZT-based epitaxial thin films were comprehensively characterized in terms of the crystallinity, in-plane epitaxial relationships, piezoelectricity, and so forth. This buffer layer structure for the epitaxial growth of PZT can be applied to piezoelectric micro-electro-mechanical systems (MEMS) vibrating ring gyroscopes.

  6. Electron density and currents of AlN/GaN high electron mobility transistors with thin GaN/AlN buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bairamis, A.; Zervos, Ch.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr

    2014-09-15

    AlN/GaN high electron mobility transistor (HEMT) structures with thin GaN/AlN buffer layer have been analyzed theoretically and experimentally, and the effects of the AlN barrier and GaN buffer layer thicknesses on two-dimensional electron gas (2DEG) density and transport properties have been evaluated. HEMT structures consisting of [300 nm GaN/ 200 nm AlN] buffer layer on sapphire were grown by plasma-assisted molecular beam epitaxy and exhibited a remarkable agreement with the theoretical calculations, suggesting a negligible influence of the crystalline defects that increase near the heteroepitaxial interface. The 2DEG density varied from 6.8 × 10{sup 12} to 2.1 × 10{sup 13} cm{sup −2} as themore » AlN barrier thickness increased from 2.2 to 4.5 nm, while a 4.5 nm AlN barrier would result to 3.1 × 10{sup 13} cm{sup −2} on a GaN buffer layer. The 3.0 nm AlN barrier structure exhibited the highest 2DEG mobility of 900 cm{sup 2}/Vs for a density of 1.3 × 10{sup 13} cm{sup −2}. The results were also confirmed by the performance of 1 μm gate-length transistors. The scaling of AlN barrier thickness from 1.5 nm to 4.5 nm could modify the drain-source saturation current, for zero gate-source voltage, from zero (normally off condition) to 0.63 A/mm. The maximum drain-source current was 1.1 A/mm for AlN barrier thickness of 3.0 nm and 3.7 nm, and the maximum extrinsic transconductance was 320 mS/mm for 3.0 nm AlN barrier.« less

  7. La0.7Sr0.3MnO3: A single, conductive-oxide buffer layer for the development of YBa2Cu3O7-δ coated conductors

    NASA Astrophysics Data System (ADS)

    Aytug, T.; Paranthaman, M.; Kang, B. W.; Sathyamurthy, S.; Goyal, A.; Christen, D. K.

    2001-10-01

    Coated conductor applications in power technologies require stabilization of the high-temperature superconducting (HTS) layers against thermal runaway. Conductive La0.7Sr0.3MnO3 (LSMO) has been epitaxially grown on biaxially textured Ni substrates as a single buffer layer. The subsequent epitaxial growth of YBa2Cu3O7-δ (YBCO) coatings by pulsed laser deposition yielded self-field critical current densities (Jc) of 0.5×106A/cm2 at 77 K, and provided good electrical connectivity over the entire structure (HTS+conductive-buffer+metal substrate). Property characterizations of YBCO/LSMO/Ni architecture revealed excellent crystallographic and morphological properties. These results have demonstrated that LSMO, used as a single, conductive buffer layer, may offer potential for use in fully stabilized YBCO coated conductors.

  8. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    NASA Astrophysics Data System (ADS)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  9. Organic Solar Cells Based on WO2.72 Nanowire Anode Buffer Layer with Enhanced Power Conversion Efficiency and Ambient Stability.

    PubMed

    You, Longzhen; Liu, Bin; Liu, Tao; Fan, Bingbing; Cai, Yunhao; Guo, Lin; Sun, Yanming

    2017-04-12

    Tungsten oxide as an alternative to conventional acidic PEDOT:PSS has attracted much attention in organic solar cells (OSCs). However, the vacuum-processed WO 3 layer and high-temperature sol-gel hydrolyzed WO X are incompatible with large-scale manufacturing of OSCs. Here, we report for the first time that a specific tungsten oxide WO 2.72 (W 18 O 49 ) nanowire can function well as the anode buffer layer. The nw-WO 2.72 film exhibits a high optical transparency. The power conversion efficiency (PCE) of OSCs based on three typical polymer active layers PTB7:PC 71 BM, PTB7-Th:PC 71 BM, and PDBT-T1:PC 71 BM with nw-WO 2.72 layer were improved significantly from 7.27 to 8.23%, from 8.44 to 9.30%, and from 8.45 to 9.09%, respectively compared to devices with PEDOT:PSS. Moreover, the photovoltaic performance of OSCs based on small molecule p-DTS(FBTTh 2 ) 2 :PC 71 BM active layer was also enhanced with the incorporation of nw-WO 2.72 . The enhanced performance is mainly attributed to the improved short-circuit current density (J sc ), which benefits from the oxygen vacancies and the surface apophyses for better charge extraction. Furthermore, OSCs based on nw-WO 2.72 show obviously improved ambient stability compared to devices with PEDOT:PSS layer. The results suggest that nw-WO 2.72 is a promising candidate for the anode buffer layer materials in organic solar cells.

  10. Capping Layer (CL) Induced Antidamping in CL/Py/β-W System (CL: Al, β-Ta, Cu, β-W).

    PubMed

    Behera, Nilamani; Guha, Puspendu; Pandya, Dinesh K; Chaudhary, Sujeet

    2017-09-13

    For achieving ultrafast switching speed and minimizing dissipation losses, the spin-based data storage device requires a control on effective damping (α eff ) of nanomagnetic bits. Incorporation of interfacial antidamping spin orbit torque (SOT) in spintronic devices therefore has high prospects for enhancing their performance efficiency. Clear evidence of such an interfacial antidamping is found in Al capped Py(15 nm)/β-W(t W )/Si (Py = Ni 81 Fe 19 and t W = thickness of β-W), which is in contrast to the increase of α eff (i.e., damping) usually associated with spin pumping as seen in Py(15 nm)/β-W(t W )/Si system. Because of spin pumping, the interfacial spin mixing conductance (g ↑↓ ) at Py/β-W interface and spin diffusion length (λ SD ) of β-W are found to be 1.63(±0.02) × 10 18 m -2 (1.44(±0.02) × 10 18 m -2 ) and 1.42(±0.19) nm (1.00(±0.10) nm) for Py(15 nm)/β-W(t W )/Si (β-W(t W )/Py(15 nm)/Si) bilayer systems. Other different nonmagnetic capping layers (CL), namely, β-W(2 nm), Cu(2 nm), and β-Ta(2,3,4 nm) were also grown over the same Py(15 nm)/β-W(t W ). However, antidamping is seen only in β-Ta(2,3 nm)/Py(15 nm)/β-W(t W )/Si. This decrease in α eff is attributed to the interfacial Rashba like SOT generated by nonequilibrium spin accumulation subsequent to the spin pumping. Contrary to this, when interlayer positions of Py(15 nm) and β-W(t W ) is interchanged irrespective of the fixed top nonmagnetic layer, an increase of α eff is observed, which is ascribed to spin pumping from Py to β-W layer.

  11. Effects of V2O3 buffer layers on sputtered VO2 smart windows: Improved thermochromic properties, tunable width of hysteresis loops and enhanced durability

    NASA Astrophysics Data System (ADS)

    Long, Shiwei; Cao, Xun; Sun, Guangyao; Li, Ning; Chang, Tianci; Shao, Zewei; Jin, Ping

    2018-05-01

    Vanadium dioxide (VO2) is one of the most well-known thermochromic materials, which exhibits a notable optical change from transparent to reflecting in the infrared region upon a metal-insulator phase transition. For practical applications, VO2 thin films should be in high crystalline quality to obtain a strong solar modulation ability (ΔTsol). Meanwhile, narrow hysteresis loops and robust ambient durability are also indispensable for sensitivity and long-lived utilization, respectively. In this work, a series of high-quality V2O3/VO2 bilayer structures were grown on quartz glass substrates by reactive magnetron sputtering. Basically, the bottom V2O3 acts as the buffer layer to improve the crystallinity of the top VO2, while the VO2 serves as the thermochromic layer to guarantee the solar modulation ability for energy-saving. We observed an obvious increase in ΔTsol of 76% (from 7.5% to 13.2%) for VO2 films after introducing V2O3 buffer layers. Simultaneously, a remarkable reduction by 79% (from 21.9 °C to 4.7 °C) in width of hysteresis loop was obtained when embedding 60 nm V2O3 buffer for 60 nm VO2. In addition, VO2 with non-stoichiometry of V2O3±x buffer demonstrates a broadening hysteresis loops width, which is derived from the lattice distortion caused by lattice imperfection. Finally, durability of VO2 has been significantly improved due to positive effects of V2O3 buffer layer. Our results lead to a comprehensive enhancement in crystallinity of VO2 and shed new light on the promotion of thermochromic property by homologous oxides for VO2.

  12. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    NASA Astrophysics Data System (ADS)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  13. Chemical bath deposited ZnS buffer layer for Cu(In,Ga)Se2 thin film solar cell

    NASA Astrophysics Data System (ADS)

    Hong, Jiyeon; Lim, Donghwan; Eo, Young-Joo; Choi, Changhwan

    2018-02-01

    The dependence of Zn precursors using zinc sulfate (ZnSO4), zinc acetate (Zn(CH3COO)2), and zinc chloride (ZnCl2) on the characteristics of the chemical bath deposited ZnS thin film used as a buffer layer of Cu(In,Ga)Se2 (CIGS) thin film solar cell was studied. It is found that the ZnS film deposition rate increases with higher stability constant during decomplexation reaction of zinc ligands, which affects the crack formation and the amount of sulfur and oxygen contents within the film. The band gap energies of all deposited films are in the range of 3.40-3.49 eV, which is lower than that of the bulk ZnS film due to oxygen contents within the films. Among the CIGS solar cells having ZnS buffer layers prepared by different Zn precursors, the best cell efficiency with 9.4% was attained using Zn(CH3COO)2 precursor due to increased Voc mainly. This result suggests that [Zn(NH3)4]2+ complex formation should be well controlled to attain the high quality ZnS thin films.

  14. Low emissivity Ag/Ta/glass multilayer thin films deposited by sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Sun Ho; Lee, Kee Sun; Green Home Energy Technology Center, Cheonan City

    Ta is deposited on a glass substrate as an interlayer for the two-dimensional growth of Ag thin films because Ta has good thermal stability and can induce a negative surface-energy change in Ag/glass. From the transmission electron microscopy results, we concluded that the Ag crystals in the bottom layer (seemingly on Ag/Ta) were flattened; this was rarely observed in the three-dimensional growth mode. Comparing Ag/Ta/glass with Ag/glass, we found that the Ta interlayer was effective in reducing both the resistance and the emissivity, accompanied by the relatively high transmittance in the visible region. In particular, Ag(9 nm)/Ta(1 nm)/glass film showedmore » 0.08 of the emissivity, including {approx}61% of the transmittance in the visible region (wavelength: 550 nm).« less

  15. Atomic layer deposited Ta2O5 gate insulation for enhancing breakdown voltage of AlN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Deen, D. A.; Storm, D. F.; Bass, R.; Meyer, D. J.; Katzer, D. S.; Binari, S. C.; Lacis, J. W.; Gougousi, T.

    2011-01-01

    AlN/GaN heterostructures with a 3.5 nm AlN cap have been grown by molecular beam epitaxy followed by a 6 nm thick atomic layer deposited Ta2O5 film. Transistors fabricated with 150 nm length gates showed drain current density of 1.37 A/mm, transconductance of 315 mS/mm, and sustained drain-source biases up to 96 V while in the off-state before destructive breakdown as a result of the Ta2O5 gate insulator. Terman's method has been modified for the multijunction capacitor and allowed the measurement of interface state density (˜1013 cm-2 eV-1). Small-signal frequency performance of 75 and 115 GHz was obtained for ft and fmax, respectively.

  16. Stability of nano-scaled Ta/Ti multilayers upon argon ion irradiation

    NASA Astrophysics Data System (ADS)

    Milosavljević, M.; Milinović, V.; Peruško, D.; Grce, A.; Stojanović, M.; Pjević, D.; Mitrić, M.; Kovač, J.; Homewood, K. P.

    2011-10-01

    The effects of argon ion irradiation on structural changes in Ta/Ti multilayers deposited on Si wafers were investigated. The starting structures consisted of sputter deposited 10 alternate Ta (˜23 nm) and Ti (˜17 nm) layers of a total thickness ˜200 nm. They were irradiated at room temperature with 200 keV Ar +, to the fluences from 5 × 10 15 to 2 × 10 16 ions/cm 2. The projected ion range was around mid-depth of the multilayered structure, and maximum displacements per atom ˜130. It was found that, despite of the relatively heavy ion irradiation, individual nanocrystalline Ta and Ti layers remain unmixed, keeping the same level of interface planarity. The changes observed in the mostly affected region are increase in lateral dimensions of crystal grains in individual layers, and incorporation of bubbles and defects that cause some stretching of the crystal lattice. Absence of interlayer mixing is assigned to Ta-Ti immiscibility (reaction enthalpy Δ H f = +2 kJ/mol). It is estimated that up to ˜5 at.% interface mixing induced directly by collision cascades could be compensated by dynamic demixing due to chemical driving forces in the temperature relaxation regime. The results can be interesting towards developing radiation tolerant materials based on multilayered structures.

  17. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    PubMed

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Growth of crack-free GaN films on Si(111) substrate by using Al-rich AlN buffer layer

    NASA Astrophysics Data System (ADS)

    Lu, Yuan; Cong, Guangwei; Liu, Xianglin; Lu, Da-Cheng; Zhu, Qinsheng; Wang, Xiaohui; Wu, Jiejun; Wang, Zhanguo

    2004-11-01

    GaN epilayers were grown on Si(111) substrate by metalorganic chemical vapor deposition. By using the Al-rich AlN buffer which contains Al beyond stoichiometry, crack-free GaN epilayers with 1 μm thickness were obtained. Through x-ray diffraction (XRD) and secondary ion mass spectroscopy analyses, it was found that a lot of Al atoms have diffused into the under part of the GaN epilayer from the Al-rich AlN buffer, which results in the formation of an AlxGa1-xN layer at least with 300 nm thickness in the 1 μm thick GaN epilayer. The Al fraction x was estimated by XRD to be about 2.5%. X-ray photoelectron spectroscopy depth analysis was also applied to investigate the stoichiometry in the Al-rich buffer before GaN growth. It is suggested that the underlayer AlxGa1-xN originated from Al diffusion probably provides a compressive stress to the upper part of the GaN epilayer, which counterbalances a part of tensile stress in the GaN epilayer during cooling down and consequently reduces the cracks of the film effectively. The method using the Al diffusion effect to form a thick AlGaN layer is really feasible to achieve the crack-free GaN films and obtain a high crystal quality simultaneously.

  19. BEOL compatible high tunnel magneto resistance perpendicular magnetic tunnel junctions using a sacrificial Mg layer as CoFeB free layer cap

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Swerts, J., E-mail: Johan.Swerts@imec.be; Mertens, S.; Lin, T.

    Perpendicularly magnetized MgO-based tunnel junctions are envisaged for future generation spin-torque transfer magnetoresistive random access memory devices. Achieving a high tunnel magneto resistance and preserving it together with the perpendicular magnetic anisotropy during BEOL CMOS processing are key challenges to overcome. The industry standard technique to deposit the CoFeB/MgO/CoFeB tunnel junctions is physical vapor deposition. In this letter, we report on the use of an ultrathin Mg layer as free layer cap to protect the CoFeB free layer from sputtering induced damage during the Ta electrode deposition. When Ta is deposited directly on CoFeB, a fraction of the surface ofmore » the CoFeB is sputtered even when Ta is deposited with very low deposition rates. When depositing a thin Mg layer prior to Ta deposition, the sputtering of CoFeB is prevented. The ultra-thin Mg layer is sputtered completely after Ta deposition. Therefore, the Mg acts as a sacrificial layer that protects the CoFeB from sputter-induced damage during the Ta deposition. The Ta-capped CoFeB free layer using the sacrificial Mg interlayer has significantly better electrical and magnetic properties than the equivalent stack without protective layer. We demonstrate a tunnel magneto resistance increase up to 30% in bottom pinned magnetic tunnel junctions and tunnel magneto resistance values of 160% at resistance area product of 5 Ω.μm{sup 2}. Moreover, the free layer maintains perpendicular magnetic anisotropy after 400 °C annealing.« less

  20. Pentacene-based low voltage organic field-effect transistors with anodized Ta2O5 gate dielectric

    NASA Astrophysics Data System (ADS)

    Jeong, Yeon Taek; Dodabalapur, Ananth

    2007-11-01

    Pentacene-based low voltage organic field-effect transistors were realized using an anodized Ta2O5 gate dielectric. The Ta2O5 gate dielectric layer with a surface roughness of 1.3Å was obtained by anodizing an e-beam evaporated Ta film. The device exhibited values of saturation mobility, threshold voltage, and Ion/Ioff ratio of 0.45cm2/Vs, 0.56V, and 7.5×101, respectively. The gate leakage current was reduced by more than 70% with a hexamethyldisilazane (HMDS) treatment on the Ta2O5 layer. The HMDS treatment also resulted in enhanced mobility values and a larger pentacene grain size.

  1. Preparation of TiO2/boron-doped diamond/Ta multilayer films and use as electrode materials for supercapacitors

    NASA Astrophysics Data System (ADS)

    Shi, Chao; Li, Hongji; Li, Cuiping; Li, Mingji; Qu, Changqing; Yang, Baohe

    2015-12-01

    We report nanostructured TiO2/boron-doped diamond (BDD)/Ta multilayer films and their electrochemical performances as supercapacitor electrodes. The BDD films were grown on Ta substrates using electron-assisted hot filament chemical vapor deposition. Ti metal layers were deposited on the BDD surfaces by radio frequency magnetron sputtering, and nanostructured TiO2/BDD/Ta thin films were prepared by electrochemical etching and thermal annealing. The successful formation of TiO2 and Ta layered nanostructures was demonstrated using scanning electron and transmission electron microscopies. The electrochemical responses of these electrodes were evaluated by examining their use as electrical double-layer capacitors, using cyclic voltammetry, and galvanostatic charge/discharge and impedance measurements. When the TiO2/BDD/Ta film was used as the working electrode with 0.1 M Na2SO4 as the electrolyte, the capacitor had a specific capacitance of 5.23 mF cm-2 at a scan rate of 5 mV s-1 for a B/C ratio of 0.1% w/w. Furthermore, the TiO2/BDD/Ta film had improved electrochemical stability, with a retention of 89.3% after 500 cycles. This electrochemical behavior is attributed to the quality of the BDD, the surface roughness and electrocatalytic activities of the TiO2 layer and Ta nanoporous structures, and the synergies between them. These results show that TiO2/BDD/Ta films are promising as capacitor electrodes for special applications.

  2. The Triticum aestivum non-specific lipid transfer protein (TaLtp) gene family: comparative promoter activity of six TaLtp genes in transgenic rice.

    PubMed

    Boutrot, Freddy; Meynard, Donaldo; Guiderdoni, Emmanuel; Joudrier, Philippe; Gautier, Marie-Françoise

    2007-03-01

    Plant non-specific lipid transfer proteins (nsLTPs) are encoded by a multigene family and support physiological functions, which remain unclear. We adapted an efficient ligation-mediated polymerase chain reaction (LM-PCR) procedure that enabled isolation of 22 novel Triticum aestivum nsLtp (TaLtp) genes encoding types 1 and 2 nsLTPs. A phylogenetic tree clustered the wheat nsLTPs into ten subfamilies comprising 1-7 members. We also studied the activity of four type 1 and two type 2 TaLtp gene promoters in transgenic rice using the 1-Glucuronidase reporter gene. The activities of the six promoters displayed both overlapping and distinct features in rice. In vegetative organs, these promoters were active in leaves and root vascular tissues while no beta-Glucuronidase (GUS) activity was detected in stems. In flowers, the GUS activity driven by the TaLtp7.2a, TaLtp9.1a, TaLtp9.2d, and TaLtp9.3e gene promoters was associated with vascular tissues in glumes and in the extremities of anther filaments whereas only the TaLtp9.4a gene promoter was active in anther epidermal cells. In developing grains, GUS activity and GUS immunolocalization data evidenced complex patterns of activity of the TaLtp7.1a, TaLtp9.2d, and TaLtp9.4a gene promoters in embryo scutellum and in the grain epicarp cell layer. In contrast, GUS activity driven by TaLtp7.2a, TaLtp9.1a, and TaLtp9.3e promoters was restricted to the vascular bundle of the embryo scutellum. This diversity of TaLtp gene promoter activity supports the hypothesis that the encoded TaLTPs possess distinct functions in planta.

  3. Transparent-conductive-oxide (TCO) buffer layer effect on the resistive switching process in metal/TiO2/TCO/metal assemblies

    NASA Astrophysics Data System (ADS)

    Filatova, E. O.; Baraban, A. P.; Konashuk, A. S.; Konyushenko, M. A.; Selivanov, A. A.; Sokolov, A. A.; Schaefers, F.; Drozd, V. E.

    2014-11-01

    The effect of a transparent conductive oxide (TCO) buffer layer on the insulator matrix and on the resistive switching process in the metal/TiO2/TCO/metal assembly was studied depending on the material of the TCO (ITO-(In2O3)0.9(SnO2)0.1 or SnO2 or ZnO). For the first time electro-physical studies and near edge x-ray absorption fine structure (NEXAFS) studies were carried out jointly and at the same point of the sample, providing direct experimental evidence that the switching process strongly influences the lowest unoccupied bands and the local atomic structure of the TiO2 layers. It was established that a TCO layer in a metal/TiO2/TCO/metal assembly is an additional source of oxygen vacancies for the TiO2 film. The RL (RH) states are achieved presumably with the formation (rupture) of the electrically conductive path of oxygen vacancies. Inserting an Al2O3 thin layer between the TiO2 and TCO layers to some extent restricts the processes of migration of the oxygen ions and vacancies, and does not allow the anti-clockwise bipolar resistive switching in a Au/TiO2/Al2O3/ITO/Au assembly. The greatest value of the ratio RH/RL is observed for the assembly with a SnO2 buffer layer that will provide the maximum set of intermediate states (recording analog data) and increase the density of information recording in this case.

  4. Quality-enhanced In{sub 0.3}Ga{sub 0.7}As film grown on GaAs substrate with an ultrathin amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Fangliang; Li, Guoqiang, E-mail: msgli@scut.edu.cn

    2014-01-27

    Using low-temperature molecular beam epitaxy, amorphous In{sub 0.6}Ga{sub 0.4}As layers have been grown on GaAs substrates to act as buffer layers for the subsequent epitaxial growth of In{sub 0.3}Ga{sub 0.7}As films. It is revealed that the crystallinity of as-grown In{sub 0.3}Ga{sub 0.7}As films is strongly affected by the thickness of the large-mismatched amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer. Given an optimized thickness of 2 nm, this amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer can efficiently release the misfit strain between the In{sub 0.3}Ga{sub 0.7}As epi-layer and the GaAs substrate, trap the threading and misfit dislocations from propagating to the following In{sub 0.3}Ga{submore » 0.7}As epi-layer, and reduce the surface fluctuation of the as-grown In{sub 0.3}Ga{sub 0.7}As, leading to a high-quality In{sub 0.3}Ga{sub 0.7}As film with competitive crystallinity to that grown on GaAs substrate using compositionally graded In{sub x}Ga{sub 1-x}As metamorphic buffer layers. Considering the complexity of the application of the conventional In{sub x}Ga{sub 1-x}As graded buffer layers, this work demonstrates a much simpler approach to achieve high-quality In{sub 0.3}Ga{sub 0.7}As film on GaAs substrate and, therefore, is of huge potential for the InGaAs-based high-efficiency photovoltaic industry.« less

  5. Effects of a GaSb buffer layer on an InGaAs overlayer grown on Ge(111) substrates: Strain, twin generation, and surface roughness

    NASA Astrophysics Data System (ADS)

    Kajikawa, Y.; Nishigaichi, M.; Tenma, S.; Kato, K.; Katsube, S.

    2018-04-01

    InGaAs layers were grown by molecular-beam epitaxy on nominal and vicinal Ge(111) substrates with inserting GaSb buffer layers. High-resolution X-ray diffraction using symmetric 333 and asymmetric 224 reflections was employed to analyze the crystallographic properties of the grown layers. By using the two reflections, we determined the lattice constants (the unit cell length a and the angle α between axes) of the grown layers with taking into account the rhombohedral distortion of the lattices of the grown layers. This allowed us the independent determination of the strain components (perpendicular and parallel components to the substrate surface, ε⊥ and ε//) and the composition x of the InxGa1-xAs layers by assuming the distortion coefficient D, which is defined as the ratio of ε⊥ against ε//. Furthermore, the twin ratios were determined for the GaSb and the InGaAs layers by comparing asymmetric 224 reflections from the twin domain with that from the normal domain of the layers. As a result, it has been shown that the twin ratio in the InGaAs layer can be decreased to be less than 0.1% by the use of the vicinal substrate together with annealing the GaSb buffer layer during the growth interruption before the InGaAs overgrowth.

  6. Enhanced Efficiency of Polymer Light-Emitting Diodes by Dispersing Dehydrated Nanotube Titanic Acid in the Hole-buffer Layer

    NASA Astrophysics Data System (ADS)

    Qian, L.; Xu, Z.; Teng, F.; Duan, X.-X.; Jin, Z.-S.; Du, Z.-L.; Li, F.-S.; Zheng, M.-J.; Wang, Y.-S.

    2007-06-01

    Efficiency of polymer light-emitting diodes (PLEDs) with poly(2-methoxy-5-(2-ethyl hexyloxy)- p-phenylene vinylene) (MEH-PPV) as an emitting layer was improved if a dehydrated nanotubed titanic acid (DNTA) doped hole-buffer layer polyethylene dioxythiophene (PEDOT) was used. Photoluminescence (PL) and Raman spectra indicated a stronger interaction between DNTA and sulfur atom in thiophene of PEDOT, which suppresses the chemical interaction between vinylene of MEH-PPV and thiophene of PEDOT. The interaction decreases the defect states in an interface region to result in enhancement in device efficiency, even though the hole transporting ability of PEDOT was decreased.

  7. Structure and mechanical properties of a two-layered material produced by the E-beam surfacing of Ta and Nb on the titanium base after multiple rolling

    NASA Astrophysics Data System (ADS)

    Bataev, V. A.; Golkovski, M. G.; Samoylenko, V. V.; Ruktuev, A. A.; Polyakov, I. A.; Kuksanov, N. K.

    2018-04-01

    The study has been conducted in line with the current approach to investigation of materials obtained by considerably deep surface alloying of the titanium substrate with Ta, Nb, and Zr. The thickness of the resulting alloyed layer was equal to 2 mm. The coating was formed through weld deposition of a powder with the use of a high-voltage electron beam in the air. It has been lately demonstrated that manufactured such a way alloyed layers possess corrosion resistance which is significantly higher than the resistance of titanium substrates. It has already been shown that such two-layered materials are weldable. The study objective is to investigate the feasibility of rolling for necking the sheets with the Ti-Ta-Nb anticorrosion coating with further fourfold decrease in their thickness. The research is also aimed at investigation of the material properties after rolling. Anticorrosion layers were formed both on CP-titanium and on VT14 (Ti-4Al-3Mo-1 V) durable titanium alloy. The results of chemical composition determination, structure examination, X-ray phase analysis and mechanical properties observations (including bending properties of the alloyed layers) are presented in the paper. The combination of welding, rolling, and bending enables the manufacture of corrosion-resistant vessels and process pipes which are made from the developed material and find technological application.

  8. Diffusion behavior of Cu/Ta heterogeneous interface under high temperature and high strain: An atomistic investigation

    NASA Astrophysics Data System (ADS)

    Li, Ganglong; Wu, Houya; Luo, Honglong; Chen, Zhuo; Tay, Andrew A. O.; Zhu, Wenhui

    2017-09-01

    Three-dimensional (3D) integration technology using Cu interconnections has emerged as a promising solution to improve the performance of silicon microelectronic devices. However, Cu diffuses into SiO2 and requires a barrier layer such as Ta to ensure acceptable reliability. In this paper, the effects of temperature and strain normal to the interface on the inter-diffusion of Cu and Ta at annealing conditions are investigated using a molecular dynamics (MD) technique with embedded atomic method (EAM) potentials. Under thermal annealing conditions without strain, it is found that a Cu-rich diffusion region approximately 2 nm thick is formed at 1000 K after 10 ns of annealing. Ta is capable of diffusing into the interior of Cu but Cu hardly diffuses into the inner lattice of Ta. At the Cu side near the interface an amorphous structure is formed due to the process of diffusion. The diffusion activation energy of Cu and Ta are found to be 0.9769 and 0.586 eV, respectively. However, when a strain is applied, a large number of crystal defects are generated in the sample. As the strain is increased, extrinsic stacking faults (ESFs) and lots of Shockley partial dislocations appear. The density of the dislocations and the diffusion channels increase, promoting the diffusion of Cu atoms into the inner lattice of Ta. The thickness of the diffusion layer increases to 4 times the value when only a temperature load of 700 K is applied. The MD simulations demonstrated that Ta is very effective as a barrier layer under thermal loading only, and its effectiveness is impaired by tensile strain at the Cu/Ta interface. The simulations also clarified the mechanism that caused the impairment. The methodology and approach described in this paper can be followed further to study the effectiveness of barrier layers under various annealing and strain conditions, and to determine the minimum thickness of barrier layers required for a particular application.

  9. Laser damage comparisons of broad-bandwidth, high-reflection optical coatings containing TiO 2, Nb 2O 5, or Ta 2O 5 high-index layers

    DOE PAGES

    Field, Ella Suzanne; Bellum, John Curtis; Kletecka, Damon E.

    2016-09-21

    Broad bandwidth coatings allow angle of incidence flexibility and accommodate spectral shifts due to aging and water absorption. Higher refractive index materials in optical coatings, such as TiO 2, Nb 2O 5, and Ta 2O 5, can be used to achieve broader bandwidths compared to coatings that contain HfO 2 high index layers. We have identified the deposition settings that lead to the highest index, lowest absorption layers of TiO 2, Nb 2O 5, and Ta 2O 5, via e-beam evaporation using ion-assisted deposition. We paired these high index materials with SiO 2 as the low index material to createmore » broad bandwidth high reflection coatings centered at 1054 nm for 45 deg angle of incidence and P polarization. Furthermore, high reflection bandwidths as large as 231 nm were realized. Laser damage tests of these coatings using the ISO 11254 and NIF-MEL protocols are presented, which revealed that the Ta 2O 5/SiO 2 coating exhibits the highest resistance to laser damage, at the expense of lower bandwidth compared to the TiO 2/SiO 2 and Nb 2O 5/SiO 2 coatings.« less

  10. Investigations of YBa2Cu3O y films sputtered onto a substrate of amorphous quartz with a platinum buffer layer

    NASA Astrophysics Data System (ADS)

    Blinova, Yu. V.; Snigirev, O. V.; Porokhov, N. V.; Evlashin, S. A.

    2017-10-01

    Results of investigations using X-ray diffraction and scanning electron microscopy of composite materials made from YBa2Cu3O y films sputtered (using various regimes) onto a substrate of amorphous quartz with a platinum buffer layer, have been given.

  11. Domain matched epitaxial growth of (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} thin films on (0001) Al{sub 2}O{sub 3} with ZnO buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Krishnaprasad, P. S., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Jayaraj, M. K., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Antony, Aldrin

    2015-03-28

    Epitaxial (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} (BST) thin films have been grown by pulsed laser deposition on (0001) Al{sub 2}O{sub 3} substrate with ZnO as buffer layer. The x-ray ω-2θ, Φ-scan and reciprocal space mapping indicate epitaxial nature of BST thin films. The domain matched epitaxial growth of BST thin films over ZnO buffer layer was confirmed using Fourier filtered high resolution transmission electron microscope images of the film-buffer interface. The incorporation of ZnO buffer layer effectively suppressed the lattice mismatch and promoted domain matched epitaxial growth of BST thin films. Coplanar inter digital capacitors fabricated on epitaxial (111) BSTmore » thin films show significantly improved tunable performance over polycrystalline thin films.« less

  12. Influence of temperature on oxidation mechanisms of fiber-textured AlTiTaN coatings.

    PubMed

    Khetan, Vishal; Valle, Nathalie; Duday, David; Michotte, Claude; Delplancke-Ogletree, Marie-Paule; Choquet, Patrick

    2014-03-26

    The oxidation kinetics of AlTiTaN hard coatings deposited at 265 °C by DC magnetron sputtering were investigated between 700 and 950 °C for various durations. By combining dynamic secondary ion mass spectrometry (D-SIMS), X-ray diffraction (XRD), and transmission electron microscopy (TEM) investigations of the different oxidized coatings, we were able to highlight the oxidation mechanisms involved. The TEM cross-section observations combined with XRD analysis show that a single amorphous oxide layer comprising Ti, Al, and Ta formed at 700 °C. Above 750 °C, the oxide scale transforms into a bilayer oxide comprising an Al-rich upper oxide layer and a Ti/Ta-rich oxide layer at the interface with the coated nitride layer. From the D-SIMS analysis, it could be proposed that the oxidation mechanism was governed primarily by inward diffusion of O for temperatures of ≤700 °C, while at ≥750 °C, it is controlled by outward diffusion of Al and inward diffusion of O. Via a combination of structural and chemical analysis, it is possible to propose that crystallization of rutile lattice favors the outward diffusion of Al within the AlTiTa mixed oxide layer with an increase in the temperature of oxidation. The difference in the mechanisms of oxidation at 700 and 900 °C also influences the oxidation kinetics with respect to oxidation time. Formation of a protective alumina layer decreases the rate of oxidation at 900 °C for long durations of oxidation compared to 700 °C. Along with the oxidation behavior, the enhanced thermal stability of AlTiTaN compared to that of the TiAlN coating is illustrated.

  13. Dependence of spin pumping and spin transfer torque upon Ni81Fe19 thickness in Ta/Ag /Ni 81Fe19/Ag/Co 2MnGe /Ag /Ta spin-valve structures

    NASA Astrophysics Data System (ADS)

    Durrant, C. J.; Shelford, L. R.; Valkass, R. A. J.; Hicken, R. J.; Figueroa, A. I.; Baker, A. A.; van der Laan, G.; Duffy, L. B.; Shafer, P.; Klewe, C.; Arenholz, E.; Cavill, S. A.; Childress, J. R.; Katine, J. A.

    2017-10-01

    Spin pumping has been studied within Ta / Ag / Ni81Fe19 (0-5 nm) / Ag (6 nm) / Co2MnGe (5 nm) / Ag / Ta large-area spin-valve structures, and the transverse spin current absorption of Ni81Fe19 sink layers of different thicknesses has been explored. In some circumstances, the spin current absorption can be inferred from the modification of the Co2MnGe source layer damping in vector network analyzer ferromagnetic resonance (VNA-FMR) experiments. However, the spin current absorption is more accurately determined from element-specific phase-resolved x-ray ferromagnetic resonance (XFMR) measurements that directly probe the spin transfer torque (STT) acting on the sink layer at the source layer resonance. Comparison with a macrospin model allows the real part of the effective spin mixing conductance to be extracted. We find that spin current absorption in the outer Ta layers has a significant impact, while sink layers with thicknesses of less than 0.6 nm are found to be discontinuous and superparamagnetic at room temperature, and lead to a noticeable increase of the source layer damping. For the thickest 5-nm sink layer, increased spin current absorption is found to coincide with a reduction of the zero frequency FMR linewidth that we attribute to improved interface quality. This study shows that the transverse spin current absorption does not follow a universal dependence upon sink layer thickness but instead the structural quality of the sink layer plays a crucial role.

  14. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    PubMed

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  15. Probing the dielectric response of the interfacial buffer layer in epitaxial graphene via optical spectroscopy

    NASA Astrophysics Data System (ADS)

    Hill, Heather M.; Rigosi, Albert F.; Chowdhury, Sugata; Yang, Yanfei; Nguyen, Nhan V.; Tavazza, Francesca; Elmquist, Randolph E.; Newell, David B.; Hight Walker, Angela R.

    2017-11-01

    Monolayer epitaxial graphene (EG) is a suitable candidate for a variety of electronic applications. One advantage of EG growth on the Si face of SiC is that it develops as a single crystal, as does the layer below, referred to as the interfacial buffer layer (IBL), whose properties include an electronic band gap. Although much research has been conducted to learn about the electrical properties of the IBL, not nearly as much work has been reported on the optical properties of the IBL. In this work, we combine measurements from Mueller matrix ellipsometry, differential reflectance contrast, atomic force microscopy, and Raman spectroscopy, as well as calculations from Kramers-Kronig analyses and density-functional theory, to determine the dielectric function of the IBL within the energy range of 1 eV to 8.5 eV.

  16. TaOx-based resistive switching memories: prospective and challenges

    PubMed Central

    2013-01-01

    Resistive switching memories (RRAMs) are attractive for replacement of conventional flash in the future. Although different switching materials have been reported; however, low-current operated devices (<100 μA) are necessary for productive RRAM applications. Therefore, TaOx is one of the prospective switching materials because of two stable phases of TaO2 and Ta2O5, which can also control the stable low- and high-resistance states. Long program/erase endurance and data retention at high temperature under low-current operation are also reported in published literature. So far, bilayered TaOx with inert electrodes (Pt and/or Ir) or single layer TaOx with semi-reactive electrodes (W and Ti/W or Ta/Pt) is proposed for real RRAM applications. It is found that the memory characteristics at current compliance (CC) of 80 μA is acceptable for real application; however, data are becoming worst at CC of 10 μA. Therefore, it is very challenging to reduce the operation current (few microampere) of the RRAM devices. This study investigates the switching mode, mechanism, and performance of low-current operated TaOx-based devices as compared to other RRAM devices. This topical review will not only help for application of TaOx-based nanoscale RRAM devices but also encourage researcher to overcome the challenges in the future production. PMID:24107610

  17. Dual role of TiO2 buffer layer in Pt catalyzed BiFeO3 photocathodes: Efficiency enhancement and surface protection

    NASA Astrophysics Data System (ADS)

    Shen, Huanyu; Zhou, Xiaoxue; Dong, Wen; Su, Xiaodong; Fang, Liang; Wu, Xi; Shen, Mingrong

    2017-09-01

    Polycrystalline ferroelectric BiFeO3 (BFO) films deposited on transparent indium tin oxide (ITO) electrodes have shown to be an interesting photocathode for photoelectrochemical (PEC) water splitting; however, its PEC performance and stability are far from perfection. Herein, we reported an amorphous TiO2 buffer layer, inserted between BFO and Pt catalyst, improves significantly both its PEC activity and stability. A photocathodic current density of -460 μA/cm2 at 0 V vs. reversible hydrogen electrode (RHE) and an onset potential of 1.25 V vs. RHE were obtained in ITO/BFO/TiO2/Pt photocathode under 100 mW/cm2 Xe-lamp illumination. TiO2 functions as a buffer layer to remove the upward barrier between BFO and Pt, and makes the photogenerated carriers separate efficiently. The photocathode also shows high stability in acid solution after a 10-h PEC continuous testing.

  18. Molecular beam epitaxy growth of SrO buffer layers on graphite and graphene for the integration of complex oxides

    DOE PAGES

    Ahmed, Adam S.; Wen, Hua; Ohta, Taisuke; ...

    2016-04-27

    Here, we report the successful growth of high-quality SrO films on highly-ordered pyrolytic graphite (HOPG) and single-layer graphene by molecular beam epitaxy. The SrO layers have (001) orientation as confirmed by X-ray diffraction (XRD) while atomic force microscopy measurements show continuous pinhole-free films having rms surface roughness of <1.5 Å. Moreover, transport measurements of exfoliated graphene, after SrO deposition, show a strong dependence between the Dirac point and Sr oxidation. As a result, the SrO is leveraged as a buffer layer for more complex oxide integration via the demonstration of (001) oriented SrTiO3 grown atop a SrO/HOPG stack.

  19. Molecular beam epitaxy growth of SrO buffer layers on graphite and graphene for the integration of complex oxides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmed, Adam S.; Wen, Hua; Ohta, Taisuke

    Here, we report the successful growth of high-quality SrO films on highly-ordered pyrolytic graphite (HOPG) and single-layer graphene by molecular beam epitaxy. The SrO layers have (001) orientation as confirmed by X-ray diffraction (XRD) while atomic force microscopy measurements show continuous pinhole-free films having rms surface roughness of <1.5 Å. Moreover, transport measurements of exfoliated graphene, after SrO deposition, show a strong dependence between the Dirac point and Sr oxidation. As a result, the SrO is leveraged as a buffer layer for more complex oxide integration via the demonstration of (001) oriented SrTiO3 grown atop a SrO/HOPG stack.

  20. Superconducting composite with multilayer patterns and multiple buffer layers

    DOEpatents

    Wu, Xin D.; Muenchausen, Ross E.

    1993-01-01

    An article of manufacture including a substrate, a patterned interlayer of a material selected from the group consisting of magnesium oxide, barium-titanium oxide or barium-zirconium oxide, the patterned interlayer material overcoated with a secondary interlayer material of yttria-stabilized zirconia or magnesium-aluminum oxide, upon the surface of the substrate whereby an intermediate article with an exposed surface of both the overcoated patterned interlayer and the substrate is formed, a coating of a buffer layer selected from the group consisting of cerium oxide, yttrium oxide, curium oxide, dysprosium oxide, erbium oxide, europium oxide, iron oxide, gadolinium oxide, holmium oxide, indium oxide, lanthanum oxide, manganese oxide, lutetium oxide, neodymium oxide, praseodymium oxide, plutonium oxide, samarium oxide, terbium oxide, thallium oxide, thulium oxide, yttrium oxide and ytterbium oxide over the entire exposed surface of the intermediate article, and, a ceramic superco n FIELD OF THE INVENTION The present invention relates to the field of superconducting articles having two distinct regions of superconductive material with differing in-plane orientations whereby the conductivity across the boundary between the two regions can be tailored. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  1. Interface structure in Cu/Ta2O5/Pt resistance switch: a first-principles study.

    PubMed

    Xiao, Bo; Watanabe, Satoshi

    2015-01-14

    The interface structures of a Cu/Ta2O5/Pt resistance switch under various oxidation conditions have been examined from first-principles. The O-rich Cu/Ta2O5 interface is found to be stable within a wide range of O chemical potentials. In this interface structure, a considerable number of interface Cu atoms tend to migrate to the amorphous Ta2O5 (a-Ta2O5) layer, which causes the formation of the Cu2O layer. The interface Cu atoms become more ionized with an increase in the interface O concentration and/or temperature. These ionized Cu(+) ions could function as one of the main sources for the formation of conduction filaments in the Cu/a-Ta2O5/Pt resistance switch. In contrast, the ionization of the interface Cu atoms is not observed in the Cu/crystal-Ta2O5 interface primarily due to the much lower Cu ionic conductivity in crystal-Ta2O5 than that in amorphous state. In addition, the Pt electrode could not be ionized, irrespective of the interface O concentration and temperature. The formation of interface O vacancies in Pt/Ta2O5 is always energetically more stable than that in Cu/Ta2O5, which may be partly responsible for the cone shape of conduction filament formed in the Cu/a-Ta2O5/Pt resistance switch, where the base of the cone lies on the Pt/Ta2O5 interface.

  2. Fabrication and electrochemical properties of insoluble fullerene-diamine adduct thin-films as buffer layer by alternate immersion process

    NASA Astrophysics Data System (ADS)

    Saito, Jo; Akiyama, Tsuyoshi; Suzuki, Atsushi; Oku, Takeo

    2017-01-01

    Insoluble fullerene-diamine adduct thin-films consisting of C60 and 1,2-diaminoethane were easily fabricated on an electrode by an alternate immersion process. Formation of the C60-diamine adduct films were confirmed using transmission absorption spectroscopy and atomic force microscopy. An inverted-type organic solar cells were fabricated by using the C60-diamine adduct film as the electron transport layer. The resultant photoelectric conversation performance of the solar cells suggested that photocurrent is generated via the photoexcitation of polythiophene. The result suggests that the present insoluble fullerene-diamine adduct films worked as buffer layer for organic thin-film solar cells.

  3. Dependence of spin pumping and spin transfer torque upon Ni 81 Fe 19 thickness in Ta / Ag / Ni 81 Fe 19 / Ag / Co 2 MnGe / Ag / Ta spin-valve structures

    DOE PAGES

    Durrant, C. J.; Shelford, L. R.; Valkass, R. A. J.; ...

    2017-10-18

    Spin pumping has been studied within Ta / Ag / Ni 81Fe 19 (0–5 nm) / Ag (6 nm) / Co 2MnGe (5 nm) / Ag / Ta large-area spin-valve structures, and the transverse spin current absorption of Ni 81Fe 19 sink layers of different thicknesses has been explored. In some circumstances, the spin current absorption can be inferred from the modification of the Co 2MnGe source layer damping in vector network analyzer ferromagnetic resonance (VNA-FMR) experiments. However, the spin current absorption is more accurately determined from element-specific phase-resolved x-ray ferromagnetic resonance (XFMR) measurements that directly probe the spin transfermore » torque (STT) acting on the sink layer at the source layer resonance. Comparison with a macrospin model allows the real part of the effective spin mixing conductance to be extracted. We find that spin current absorption in the outer Ta layers has a significant impact, while sink layers with thicknesses of less than 0.6 nm are found to be discontinuous and superparamagnetic at room temperature, and lead to a noticeable increase of the source layer damping. For the thickest 5-nm sink layer, increased spin current absorption is found to coincide with a reduction of the zero frequency FMR linewidth that we attribute to improved interface quality. Furthermore, this study shows that the transverse spin current absorption does not follow a universal dependence upon sink layer thickness but instead the structural quality of the sink layer plays a crucial role.« less

  4. Dependence of spin pumping and spin transfer torque upon Ni 81 Fe 19 thickness in Ta / Ag / Ni 81 Fe 19 / Ag / Co 2 MnGe / Ag / Ta spin-valve structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Durrant, C. J.; Shelford, L. R.; Valkass, R. A. J.

    Spin pumping has been studied within Ta / Ag / Ni 81Fe 19 (0–5 nm) / Ag (6 nm) / Co 2MnGe (5 nm) / Ag / Ta large-area spin-valve structures, and the transverse spin current absorption of Ni 81Fe 19 sink layers of different thicknesses has been explored. In some circumstances, the spin current absorption can be inferred from the modification of the Co 2MnGe source layer damping in vector network analyzer ferromagnetic resonance (VNA-FMR) experiments. However, the spin current absorption is more accurately determined from element-specific phase-resolved x-ray ferromagnetic resonance (XFMR) measurements that directly probe the spin transfermore » torque (STT) acting on the sink layer at the source layer resonance. Comparison with a macrospin model allows the real part of the effective spin mixing conductance to be extracted. We find that spin current absorption in the outer Ta layers has a significant impact, while sink layers with thicknesses of less than 0.6 nm are found to be discontinuous and superparamagnetic at room temperature, and lead to a noticeable increase of the source layer damping. For the thickest 5-nm sink layer, increased spin current absorption is found to coincide with a reduction of the zero frequency FMR linewidth that we attribute to improved interface quality. Furthermore, this study shows that the transverse spin current absorption does not follow a universal dependence upon sink layer thickness but instead the structural quality of the sink layer plays a crucial role.« less

  5. Optimization by simulation of the nature of the buffer, the gap profile of the absorber and the thickness of the various layers in CZTSSe solar cells

    NASA Astrophysics Data System (ADS)

    Chadel, Meriem; Chadel, Asma; Moustafa Bouzaki, Mohammed; Aillerie, Michel; Benyoucef, Boumediene; Charles, Jean-Pierre

    2017-11-01

    Performances of ZnO/ZnS/CZTSSe polycrystalline thin film solar cells (Copper Zinc Tin Sulphur Selenium-solar cell) were simulated for different thicknesses of the absorber and ZnS buffer layers. Simulations were performed with SCAPS (Solar Cell Capacitance Simulator) software, starting with actual parameters available from industrial data for commercial cells processing. The influences of the thickness of the various layers in the structure of the solar cell and the gap profile of the CZTSSe absorber layer on the performance of the solar cell were studied in detail. Through considerations of recent works, we discuss possible routes to enhance the performance of CZTSSe solar cells towards a higher efficiency level. Thus, we found that for one specific thickness of the absorber layer, the efficiency of the CZTSSe solar cell can be increased when a ZnS layer replaces the usual CdS buffer layer. On the other hand, the efficiency of the solar cell can be also improved when the absorber layer presents a grad-gap. In this case, the maximum efficiency for the CZTSSe cell was found equal to 13.73%.

  6. Emission wavelength red-shift by using ;semi-bulk; InGaN buffer layer in InGaN/InGaN multiple-quantum-well

    NASA Astrophysics Data System (ADS)

    Alam, Saiful; Sundaram, Suresh; Li, Xin; El Gmili, Youssef; Elouneg-Jamroz, Miryam; Robin, Ivan Christophe; Patriarche, Gilles; Salvestrini, Jean-Paul; Voss, Paul L.; Ougazzaden, Abdallah

    2017-12-01

    We report an elongation of emission wavelength by inserting a ∼70 nm thick high quality semi-bulk (SB) InyGa1-yN buffer layer underneath the InxGa1-xN/InyGa1-yN (x > y) multi-quantum-well (MQW).While the MQW structure without the InGaN SB buffer is fully strained on the n-GaN template, the MQW structure with the buffer has ∼15% relaxation. This small relaxation along with slight compositional pulling induced well thickness increase of MQW is believed to be the reason for the red-shift of emission wavelength. In addition, the SB InGaN buffer acts as an electron reservoir and also helps to reduce the Quantum Confined Stark Effect (QCSE) and thus increase the emission intensity. In this way, by avoiding fully relaxed buffer induced material degradation, a longer emission wavelength can be achieved by just using InGaN SB buffer while keeping all other growth conditions the same as the reference structure. Thus, a reasonably thick fully strained or very little relaxed InGaN buffer, which is realized by ;semi-bulk; approach to maintain good InGaN material quality, can be beneficial for realizing LEDs, grown on top of this buffer, emitting in the blue to cyan to green regime without using excess indium (In).

  7. Phase relationships in the BaO-Ga2O3-Ta2O5 system and the structure of Ba6Ga21TaO40.

    PubMed

    Cao, Jiang; Yu, Xiaodi; Kuang, Xiaojun; Su, Qiang

    2012-07-16

    Phase relationships in the BaO-Ga(2)O(3)-Ta(2)O(5) ternary system at 1200 °C were determined. The A(6)B(10)O(30) tetragonal tungsten bronze (TTB) related solution in the BaO-Ta(2)O(5) subsystem dissolved up to ~11 mol % Ga(2)O(3), forming a ternary trapezoid-shaped TTB-related solid solution region defined by the BaTa(2)O(6), Ba(1.1)Ta(5)O(13.6), Ba(1.58)Ga(0.92)Ta(4.08)O(13.16), and Ba(6)GaTa(9)O(30) compositions in the BaO-Ga(2)O(3)-Ta(2)O(5) system. Two ternary phases Ba(6)Ga(21)TaO(40) and eight-layer twinned hexagonal perovskite solid solution Ba(8)Ga(4-x)Ta(4+0.6x)O(24) were confirmed in the BaO-Ga(2)O(3)-Ta(2)O(5) system. Ba(6)Ga(21)TaO(40) crystallized in a monoclinic cell of a = 15.9130(2) Å, b = 11.7309(1) Å, c = 5.13593(6) Å, β = 107.7893(9)°, and Z = 1 in space group C2/m. The structure of Ba(6)Ga(21)TaO(40) was solved by the charge flipping method, and it represents a three-dimensional (3D) mixed GaO(4) tetrahedral and GaO(6)/TaO(6) octahedral framework, forming mixed 1D 5/6-fold tunnels that accommodate the Ba cations along the c axis. The electrical property of Ba(6)Ga(21)TaO(40) was characterized by using ac impedance spectroscopy.

  8. Modification of electron states in CdTe absorber due to a buffer layer in CdTe/CdS solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fedorenko, Y. G., E-mail: y.fedorenko@liverpool.ac.uk; Major, J. D.; Pressman, A.

    2015-10-28

    By application of the ac admittance spectroscopy method, the defect state energy distributions were determined in CdTe incorporated in thin film solar cell structures concluded on ZnO, ZnSe, and ZnS buffer layers. Together with the Mott-Schottky analysis, the results revealed a strong modification of the defect density of states and the concentration of the uncompensated acceptors as influenced by the choice of the buffer layer. In the solar cells formed on ZnSe and ZnS, the Fermi level and the energy position of the dominant deep trap levels were observed to shift closer to the midgap of CdTe, suggesting the mid-gapmore » states may act as recombination centers and impact the open-circuit voltage and the fill factor of the solar cells. For the deeper states, the broadening parameter was observed to increase, indicating fluctuations of the charge on a microscopic scale. Such changes can be attributed to the grain-boundary strain and the modification of the charge trapped at the grain-boundary interface states in polycrystalline CdTe.« less

  9. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer.

    PubMed

    Muhammed, M M; Roldan, M A; Yamashita, Y; Sahonta, S-L; Ajia, I A; Iizuka, K; Kuramata, A; Humphreys, C J; Roqan, I S

    2016-07-14

    We demonstrate the high structural and optical properties of InxGa1-xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 10(7) cm(-2)) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1-xN epilayers can be achieved with high optical quality of InxGa1-xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design.

  10. Native oxide transport and removal during the atomic layer deposition of Ta{sub 2}O{sub 5} on InAs(100) surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Henegar, Alex J.; Gougousi, Theodosia, E-mail: gougousi@umbc.edu

    Atomic layer deposition (ALD) was used to deposit Ta{sub 2}O{sub 5} on etched and native oxide-covered InAs(100) using pentakis dimethyl amino tantalum and H{sub 2}O at 200–300 °C. The transport and removal of the native oxides during the ALD process was investigated using x-ray photoelectron spectroscopy (XPS). Depositions above 200 °C on etched surfaces protected the interface from reoxidation. On native oxide-covered surfaces, depositions resulted in enhanced native oxide removal at higher temperatures. The arsenic oxides were completely removed above 250 °C after 3 nm of film growth, but some of the As{sub 2}O{sub 3} remained in the film at lower temperatures. Angle-resolved andmore » sputter depth profiling XPS confirmed indium and arsenic oxide migration into the Ta{sub 2}O{sub 5} film at deposition temperatures as low as 200 °C. Continuous removal of both arsenic and indium oxides was confirmed even after the deposition of several monolayers of a coalesced Ta{sub 2}O{sub 5} film, and it was demonstrated that native oxide transport is a prevalent component of the interface “clean-up” mechanism.« less

  11. Cathode buffer composed of fullerene-ethylenediamine adduct for an organic solar cell

    NASA Astrophysics Data System (ADS)

    Kimoto, Yoshinori; Akiyama, Tsuyoshi; Fujita, Katsuhiko

    2017-02-01

    We developed a fullerene-ethylenediamine adduct (C60P-DC) for a cathode buffer material in organic bulk heterojunction solar cells, which enhance the open-circuit voltage (V oc). The evaporative spray deposition using ultra dilute solution (ESDUS) technique was employed to deposit the buffer layer onto the organic active layer to avoid damage during the deposition. By the insertion of a C60P-DC buffer layer, V oc and power conversion efficiency (PCE) were increased from 0.41 to 0.57 V and from 1.65 to 2.10%, respectively. The electron-only device with the C60P-DC buffer showed a much lower current level than that without the buffer, indicating that the V oc increase is caused not by vacuum level shift but by hole blocking. The curve fitting of current density-voltage (J-V) characteristics to the equivalent circuit with a single diode indicated that the decrease in reversed saturation current by hole blocking increased caused the V oc.

  12. Revealing the Bonding Environment of Zn in ALD Zn(O,S) Buffer Layers through X-ray Absorption Spectroscopy

    PubMed Central

    2017-01-01

    Zn(O,S) buffer layer electronic configuration is determined by its composition and thickness, tunable through atomic layer deposition. The Zn K and L-edges in the X-ray absorption near edge structure verify ionicity and covalency changes with S content. A high intensity shoulder in the Zn K-edge indicates strong Zn 4s hybridized states and a preferred c-axis orientation. 2–3 nm thick films with low S content show a subdued shoulder showing less contribution from Zn 4s hybridization. A lower energy shift with film thickness suggests a decreasing bandgap. Further, ZnSO4 forms at substrate interfaces, which may be detrimental for device performance. PMID:29083141

  13. Label-free detection of biomolecules with Ta2O5-based field effect devices

    NASA Astrophysics Data System (ADS)

    Branquinho, Rita Maria Mourao Salazar

    Field-effect-based devices (FEDs) are becoming a basic structural element in a new generation of micro biosensors. Their numerous advantages such as small size, labelfree response and versatility, together with the possibility of on-chip integration of biosensor arrays with a future prospect of low-cost mass production, make their development highly desirable. The present thesis focuses on the study and optimization of tantalum pentoxide (Ta2O5) deposited by rf magnetron sputtering at room temperature, and their application as sensitive layer in biosensors based on field effect devices (BioFEDs). As such, the influence of several deposition parameters and post-processing annealing temperature and surface plasma treatment on the film¡¦s properties was investigated. Electrolyte-insulator-semiconductor (EIS) field-effect-based sensors comprising the optimized Ta2O5 sensitive layer were applied to the development of BioFEDs. Enzyme functionalized sensors (EnFEDs) were produced for penicillin detection. These sensors were also applied to the label free detection of DNA and the monitoring of its amplification via polymerase chain reaction (PCR), real time PCR (RT-PCR) and loop mediated isothermal amplification (LAMP). Ion sensitive field effect transistors (ISFETs) based on semiconductor oxides comprising the optimized Ta2O5 sensitive layer were also fabricated. EIS sensors comprising Ta2O5 films produced with optimized conditions demonstrated near Nernstian pH sensitivity, 58+/-0.3 mV/pH. These sensors were successfully applied to the label-free detection of penicillin and DNA. Penicillinase functionalized sensors showed a 29+/-7 mV/mM sensitivity towards penicillin detection up to 4 mM penicillin concentration. DNA detection was achieved with 30 mV/mugM sensitivity and DNA amplification monitoring with these sensors showed comparable results to those obtained with standard fluorescence based methods. Semiconductor oxides-based ISFETs with Ta2O5 sensitive layer were

  14. Magnetic Anisotropy and Chemical Order of Artificially Synthesized L10-Ordered FeNi Films on Au-Cu-Ni Buffer Layers

    NASA Astrophysics Data System (ADS)

    Kojima, Takayuki; Mizuguchi, Masaki; Koganezawa, Tomoyuki; Osaka, Keiichi; Kotsugi, Masato; Takanashi, Koki

    2012-01-01

    L10-FeNi films were grown by alternate monatomic layer deposition on Au-Cu-Ni buffer layers at several substrate temperatures (Ts), and the relation between the uniaxial magnetic anisotropy energy (Ku) and the long-range chemical order parameter (S) was investigated. A large Ku of (7.0 ±0.2) ×106 erg/cm3 and S of 0.48 ±0.05 were obtained. The value of Ku was larger than those reported previously for artificially synthesized FeNi films. It was first found that both Ku and S increased with Ts, and Ku was roughly proportional to S.

  15. Propagation of misfit dislocations from buffer/Si interface into Si

    DOEpatents

    Liliental-Weber, Zuzanna [El Sobrante, CA; Maltez, Rogerio Luis [Porto Alegre, BR; Morkoc, Hadis [Richmond, VA; Xie, Jinqiao [Raleigh, VA

    2011-08-30

    Misfit dislocations are redirected from the buffer/Si interface and propagated to the Si substrate due to the formation of bubbles in the substrate. The buffer layer growth process is generally a thermal process that also accomplishes annealing of the Si substrate so that bubbles of the implanted ion species are formed in the Si at an appropriate distance from the buffer/Si interface so that the bubbles will not migrate to the Si surface during annealing, but are close enough to the interface so that a strain field around the bubbles will be sensed by dislocations at the buffer/Si interface and dislocations are attracted by the strain field caused by the bubbles and move into the Si substrate instead of into the buffer epi-layer. Fabrication of improved integrated devices based on GaN and Si, such as continuous wave (CW) lasers and light emitting diodes, at reduced cost is thereby enabled.

  16. Fabrication and characterization of {110}-oriented Pb(Zr,Ti)O3 thin films on Pt/SiO2/Si substrates using PdO//Pd buffer layer

    NASA Astrophysics Data System (ADS)

    Oshima, Naoya; Uchiyama, Kiyoshi; Ehara, Yoshitaka; Oikawa, Takahiro; Ichinose, Daichi; Tanaka, Hiroki; Sato, Tomoya; Uchida, Hiroshi; Funakubo, Hiroshi

    2017-10-01

    A strongly {110}-oriented perovskite-type thin film of tetragonal Pb(Zr0.4Ti0.6)O3 (PZT) was successfully obtained on a (100)Si substrate using a {101}PdO//{111}Pd thin film as a buffer layer. The {101}PdO//{111}Pd thin film buffer layer was obtained by oxidizing {111}Pd after depositing {111}Pd on a {111}Pt/TiO x /SiO2/{100}Si substrate. Using this buffer layer, a {110} c -oriented SrRuO3 (SRO) thin film was deposited by sputtering as a bottom electrode of PZT thin films. Subsequently, the {110}-oriented PZT thin film can be deposited on a (110) c SRO thin film by metal-organic chemical deposition (MOCVD) and its properties can be compared with those of PZT thin films with other orientations of {100} and {111}. Among the {100}, {110}, {111}-oriented PZT films, the {100}-oriented one showed the largest remnant polarization, which is in good agreement with those of the PZTs epitaxially grown in the 〈100〉, 〈110〉, and 〈111〉 directions. The other properties, i.e., piezoelectricity and dielectric constants, also showed similar anisotropic tendencies, which is in good agreement with the data reported in the epitaxially grown PZTs.

  17. Effects of a Ta interlayer on the phase transition of TiSi2 on Si(111)

    NASA Astrophysics Data System (ADS)

    Jeon, Hyeongtag; Jung, Bokhee; Kim, Young Do; Yang, Woochul; Nemanich, R. J.

    2000-09-01

    This study examines the effects of a thin Ta interlayer on the formation of TiSi2 on Si(111) substrate. The Ta interlayer was introduced by depositing Ta and Ti films sequentially on an atomically clean Si(111) substrate in an ultrahigh vacuum (UHV) system. Samples of 100 Å Ti with 5 and 10 Å Ta interlayers were compared to similar structures without an interlayer. After deposition, the substrates were annealed for 10 min, in situ, at temperatures between 500 and 750 °C in 50 °C increments. The TiSi2 formation with and without the Ta interlayer was analyzed with an X-ray diffractometer, Auger electron spectroscopy (AES), Scanning electron microscopy (SEM), transmission electron microscopy (TEM), and a four-point probe. The AES analysis data showed a 1:2 ratio of Ti:Si in the Ti-silicide layer and indicated that the Ta layer remained at the interface between TiSi2 and the Si(111) substrate. The C 49-C 54 TiSi2 phase transition temperature was lowered by ˜200 °C. The C 49-C 54 TiSi2 phase transition temperature was 550 °C for the samples with a Ta interlayer and was 750 °C for the samples with no Ta interlayer. The sheet resistance of the Ta interlayered Ti silicide showed lower values of resistivity at low temperatures which indicated the change in phase transition temperature. The C 54 TiSi2 displayed different crystal orientation when the Ta interlayer was employed. The SEM and TEM micrographs showed that the TiSi2 with a Ta interlayer significantly suppressed the tendency to islanding and surface agglomeration.

  18. Influence of Ta content in high purity niobium on cavity performance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    P. Kneisel; G. Ciovati; G. R. Myneni

    In a previous paper [1] we have reported about initial tests of single cell 1500 MHz cavities made from high purity niobium with three different Ta contents of 160 ppm , {approx}600 ppm and {approx}1400 ppm. These cavities had been treated by buffered chemical polishing several times and 100 {micro}m, 200 {micro}m and 300 {micro}m of material had been removed from the surfaces. This contribution reports about subsequent tests following post purification heat treatments with Ti and ''in situ'' baking. As a result, all cavities exhibited increased quench fields due to the improved thermal conductivity after the heat treatment. Aftermore » the ''in situ'' baking at 120 C for {approx} 40 hrs the always present Q-drop at high fields disappeared and further improvements in accelerating gradient could be realized. Gradients as high as E{sub acc} = 35 MV/m were achieved and there were no clear indications that the cavity performance was influenced by the Ta content in the material. A multi-cell cavity from the high Ta content material has been fabricated and initial results are reported.« less

  19. Great enhancement of pyroelectric properties for Ba{sub 0.65}Sr{sub 0.35}TiO{sub 3} films on Pt-Si substrates by inserting a self-buffered layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, C. G.; Li, Y. R.; Zhu, J.

    2009-02-15

    (100)-Ba{sub 0.65}Sr{sub 0.35}TiO{sub 3} (BST) films were deposited on Pt/Ti/SiO{sub 2}/Si substrates using a low-temperature self-buffered layer. X-ray diffraction and atomic force microscope investigations show that the microstructure of BST films strongly depends on surface morphology of annealed self-buffered layer. The mechanism of nucleus formation and the growth initiation of BST films on self-buffered layers were proposed. It was found that the pyroelectric properties of BST films can be greatly enhanced. The pyroelectric coefficient and material merit figure of (100)-BST films are 1.16x10{sup 4} {mu}C m{sup -2} K{sup -1} and 2.18x10{sup -4} Pa{sup -1/2}, respectively. The detectivity of 9.4x10{sup 7}more » cm Hz{sup 1/2} W{sup -1} was obtained in the (100)-BST film capacitors thermally isolated by 500 nm SiO{sub 2} films.« less

  20. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer

    PubMed Central

    Muhammed, M. M.; Roldan, M. A.; Yamashita, Y.; Sahonta, S.-L.; Ajia, I. A.; Iizuka, K.; Kuramata, A.; Humphreys, C. J.; Roqan, I. S.

    2016-01-01

    We demonstrate the high structural and optical properties of InxGa1−xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 107 cm−2) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1−xN epilayers can be achieved with high optical quality of InxGa1−xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design. PMID:27412372

  1. NiFeCo/Cu superlattices with high magnetoresistive sensitivity and weak hysteresis

    NASA Astrophysics Data System (ADS)

    Bannikova, N. S.; Milyaev, M. A.; Naumova, L. I.; Krinitsina, T. P.; Patrakov, E. I.; Proglyado, V. V.; Chernyshova, T. A.; Ustinov, V. V.

    2016-10-01

    The microstructure and the magetoresistive characteristics of [NiFeCo/Cu]8 superlattices prepared by magnetron sputtering with various thickness of the buffer NiFeCr layer and exhibiting a giant magnetoresistive effect have been studied. It has been found that these nanostructures are formed with a strong or weak hysteresis depending on the structure (bcc or fcc) formed in the NiFeCr buffer layer. The method of the substantial decrease in the hysteresis loop width of the magnetoresistance by using the composite Ta/NiFeCr buffer layer has been suggested.

  2. Texture-enhanced Al-Cu electrodes on ultrathin Ti buffer layers for high-power durable 2.6 GHz SAW filters

    NASA Astrophysics Data System (ADS)

    Fu, Sulei; Wang, Weibiao; Xiao, Li; Lu, Zengtian; Li, Qi; Song, Cheng; Zeng, Fei; Pan, Feng

    2018-04-01

    Achieving high resistance to acoustomigration and electromigration in the electrodes used in high-power and high-frequency surface acoustic wave (SAW) filters is important to mobile communications development. In this study, the effects of the Ti buffer layers on the textures and acoustomigration and electromigration resistances of the Al-Cu electrodes were studied comprehensively. The results demonstrate that both power durability and electromigration lifetime are positively correlated with the Al-Cu electrode texture quality. Ultrathin (˜2 nm) Ti can lead to the strongest Al-Cu (111) textured electrodes, with a full width at half maximum of the rocking curve of 2.09°. This represents a remarkable enhancement of the power durability of high-frequency 2.6 GHz SAW filters from 29 dBm to 35 dBm. It also produces lifetime almost 7 times longer than those of electrodes without Ti buffer layers in electromigration tests. X-ray diffraction and transmission electron microscopy analyses revealed that these improved acoustomigration and electromigration resistances can be attributed primarily to the reductions in overall and large-angle grain boundaries in the highly Al-Cu (111) textured electrodes. Furthermore, the growth mechanism of highly Al-Cu texture films is discussed in terms of surface-interface energy balance.

  3. Single orthorhombic b axis orientation and antiferromagnetic ordering type in multiferroic CaMnO3 thin film with La0.67Ca0.33MnO3 buffer layer

    NASA Astrophysics Data System (ADS)

    Wang, F.; Dong, B. J.; Zhang, Y. Q.; Liu, W.; Zhang, H. R.; Bai, Y.; Li, S. K.; Yang, T.; Sun, J. R.; Wang, Z. J.; Zhang, Z. D.

    2017-09-01

    The detailed crystal structure and antiferromagnetic properties of a 42 nm thick CaMnO3 film grown on a LaAlO3 substrate with a 9 nm La0.67Ca0.33MnO3 buffer layer have been investigated. Compared with a CaMnO3 film directly grown on a LaAlO3 substrate, only one kind of orthorhombic b axis orientation along the [100] axis of the substrate is observed in the CaMnO3 film with a La0.67Ca0.33MnO3 buffer layer. To determine the antiferromagnetic ordering type of our CaMnO3 film with a buffer layer, the first-principles calculations were carried out with the results, indicating that the CaMnO3 film, even under a tensile strain of 1.9%, is still a compensated G-type antiferromagnetic order, the same as the bulk. Moreover, the exchange bias effect is observed at the interface of the CaMnO3/La0.67Ca0.33MnO3 film, further confirming the antiferromagnetic ordering of the CaMnO3 film with a buffer layer. In addition, it is concluded that the exchange bias effect originates from the spin glass state at the La0.67Ca0.33MnO3/CaMnO3 interface, which arises from a competition between the double-exchange ferromagnetic La0.67Ca0.33MnO3 and super-exchange antiferromagnetic CaMnO3 below the spin glass freezing temperature.

  4. Ternary metal-rich sulfide with a layered structure

    DOEpatents

    Franzen, Hugo F.; Yao, Xiaoqiang

    1993-08-17

    A ternary Nb-Ta-S compound is provided having the atomic formula, Nb.sub.1.72 Ta.sub.3.28 S.sub.2, and exhibiting a layered structure in the sequence S-M3-M2-M1-M2-M3-S wherein S represents sulfur layers and M1, M2, and M3 represent Nb/Ta mixed metal layers. This sequence generates seven sheets stacked along the [001] direction of an approximate body centered cubic crystal structure with relatively weak sulfur-to-sulfur van der Waals type interactions between adjacent sulfur sheets and metal-to-metal bonding within and between adjacent mixed metal sheets.

  5. Functional solid additive modified PEDOT:PSS as an anode buffer layer for enhanced photovoltaic performance and stability in polymer solar cells

    PubMed Central

    Xu, Binrui; Gopalan, Sai-Anand; Gopalan, Anantha-Iyengar; Muthuchamy, Nallal; Lee, Kwang-Pill; Lee, Jae-Sung; Jiang, Yu; Lee, Sang-Won; Kim, Sae-Wan; Kim, Ju-Seong; Jeong, Hyun-Min; Kwon, Jin-Beon; Bae, Jin-Hyuk; Kang, Shin-Won

    2017-01-01

    Poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) is most commonly used as an anode buffer layer in bulk-heterojunction (BHJ) polymer solar cells (PSCs). However, its hygroscopic and acidic nature contributes to the insufficient electrical conductivity, air stability and restricted photovoltaic (PV) performance for the fabricated PSCs. In this study, a new multifunctional additive, 2,3-dihydroxypyridine (DOH), has been used in the PEDOT: PSS buffer layer to obtain modified properties for PEDOT: PSS@DOH and achieve high PV performances. The electrical conductivity of PEDOT:PSS@DOH films was markedly improved compared with that of PEDOT:PSS. The PEDOT:PSS@DOH film exhibited excellent optical characteristics, appropriate work function alignment, and good surface properties in BHJ-PSCs. When a poly(3-hexylthiohpene):[6,6]-phenyl C61-butyric acid methyl ester blend system was applied as the photoactive layer, the power conversion efficiency of the resulting PSCs with PEDOT:PSS@DOH(1.0%) reached 3.49%, outperforming pristine PEDOT:PSS, exhibiting a power conversion enhancement of 20%. The device fabricated using PEDOT:PSS@DOH (1.0 wt%) also exhibited improved thermal and air stability. Our results also confirm that DOH, a basic pyridine derivative, facilitates adequate hydrogen bonding interactions with the sulfonic acid groups of PSS, induces the conformational transformation of PEDOT chains and contributes to the phase separation between PEDOT and PSS chains. PMID:28338088

  6. Functional solid additive modified PEDOT:PSS as an anode buffer layer for enhanced photovoltaic performance and stability in polymer solar cells

    NASA Astrophysics Data System (ADS)

    Xu, Binrui; Gopalan, Sai-Anand; Gopalan, Anantha-Iyengar; Muthuchamy, Nallal; Lee, Kwang-Pill; Lee, Jae-Sung; Jiang, Yu; Lee, Sang-Won; Kim, Sae-Wan; Kim, Ju-Seong; Jeong, Hyun-Min; Kwon, Jin-Beon; Bae, Jin-Hyuk; Kang, Shin-Won

    2017-03-01

    Poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) is most commonly used as an anode buffer layer in bulk-heterojunction (BHJ) polymer solar cells (PSCs). However, its hygroscopic and acidic nature contributes to the insufficient electrical conductivity, air stability and restricted photovoltaic (PV) performance for the fabricated PSCs. In this study, a new multifunctional additive, 2,3-dihydroxypyridine (DOH), has been used in the PEDOT: PSS buffer layer to obtain modified properties for PEDOT: PSS@DOH and achieve high PV performances. The electrical conductivity of PEDOT:PSS@DOH films was markedly improved compared with that of PEDOT:PSS. The PEDOT:PSS@DOH film exhibited excellent optical characteristics, appropriate work function alignment, and good surface properties in BHJ-PSCs. When a poly(3-hexylthiohpene):[6,6]-phenyl C61-butyric acid methyl ester blend system was applied as the photoactive layer, the power conversion efficiency of the resulting PSCs with PEDOT:PSS@DOH(1.0%) reached 3.49%, outperforming pristine PEDOT:PSS, exhibiting a power conversion enhancement of 20%. The device fabricated using PEDOT:PSS@DOH (1.0 wt%) also exhibited improved thermal and air stability. Our results also confirm that DOH, a basic pyridine derivative, facilitates adequate hydrogen bonding interactions with the sulfonic acid groups of PSS, induces the conformational transformation of PEDOT chains and contributes to the phase separation between PEDOT and PSS chains.

  7. Solid-solution Zn(O,S) thin films: Potential alternative buffer layer for Cu2ZnSnS4 solar cells

    NASA Astrophysics Data System (ADS)

    Jani, Margi; Raval, Dhyey; Chavda, Arvind; Mukhopadhyay, Indrajit; Ray, Abhijit

    2018-05-01

    This report investigates the alternative buffer material as Zn(O,S) for chalcogenide Cu2ZnSnS4 (CZTS) solar cell application. Using the band gap tailoring (band bowing) properties of Zn(O,S) system, performance of CZTS solar cell is explore in the present study. Reducing the band offsets with the hetero-junction partners plays a deterministic role in the performance of the device using Zn(O,S) as buffer layer. The experimental performance of the device with the CZTS/Zn(O,S) film developed by Spray pyrolysis method and analyze using J-V characterization in dark and illuminated configuration. Device with the best achievable performance shows Voc of 150 mV and Jsc of 0.47 mA/cm2 has been presented with the possibility of application in the energy harvesting.

  8. Off-wall boundary conditions for turbulent flows obtained from buffer-layer minimal flow units

    NASA Astrophysics Data System (ADS)

    Garcia-Mayoral, Ricardo; Pierce, Brian; Wallace, James

    2012-11-01

    There is strong evidence that the transport processes in the buffer region of wall-bounded turbulence are common across various flow configurations, even in the embryonic turbulence in transition (Park et al., Phys. Fl. 24). We use this premise to develop off-wall boundary conditions for turbulent simulations. Boundary conditions are constructed from DNS databases using periodic minimal flow units and reduced order modeling. The DNS data was taken from a channel at Reτ = 400 and a zero-pressure gradient transitional boundary layer (Sayadi et al., submitted to J . FluidMech .) . Both types of boundary conditions were first tested on a DNS of the core of the channel flow with the aim of extending their application to LES and to spatially evolving flows. 2012 CTR Summer Program.

  9. Demonstrating antiphase domain boundary-free GaAs buffer layer on zero off-cut Si (0 0 1) substrate for interfacial misfit dislocation GaSb film by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ha, Minh Thien Huu; Hoang Huynh, Sa; Binh Do, Huy; Nguyen, Tuan Anh; Luc, Quang Ho; Chang, Edward Yi

    2017-08-01

    High quality 40 nm GaSb thin film was grown on the zero off-cut Si (0 0 1)-oriented substrate using metalorganic chemical vapor deposition with the temperature-graded GaAs buffer layer. The growth time of the GaAs nucleation layer, which was deposited at a low temperature of 490 °C, is systematically investigated in this paper. Cross-sections of the high resolution transmission electron microscopy images indicate that the GaAs compound formed 3D-islands first before to quasi-2D islands, and finally formed uniform GaAs layer. The optimum thickness of the 490 °C-GaAs layer was found to be 10 nm to suppress the formation of antiphase domain boundaries (APDs). The thin GaAs nucleation layer had a root-mean-square surface roughness of 0.483 nm. This allows the continued high temperature GaAs buffer layer to be achieved with low threading dislocation density of around 7.1  ×  106 cm-2 and almost invisible APDs. Finally, a fully relaxed GaSb film was grown on the top of the GaAs/Si heterostructure using interfacial misfit dislocation growth mode. These results indicate that the GaSb epitaxial layer can be grown on Si substrate with GaAs buffer layer for future p-channel metal-oxide-semiconductor field effect transistors (MOSFETs) applications.

  10. TaEDS1 genes positively regulate resistance to powdery mildew in wheat.

    PubMed

    Chen, Guiping; Wei, Bo; Li, Guoliang; Gong, Caiyan; Fan, Renchun; Zhang, Xiangqi

    2018-04-01

    Three EDS1 genes were cloned from common wheat and were demonstrated to positively regulate resistance to powdery mildew in wheat. The EDS1 proteins play important roles in plant basal resistance and TIR-NB-LRR protein-triggered resistance in dicots. Until now, there have been very few studies on EDS1 in monocots, and none in wheat. Here, we report on three common wheat orthologous genes of EDS1 family (TaEDS1-5A, 5B and 5D) and their function in powdery mildew resistance. Comparisons of these genes with their orthologs in diploid ancestors revealed that EDS1 is a conserved gene family in Triticeae. The cDNA sequence similarity among the three TaEDS1 genes was greater than 96.5%, and they shared sequence similarities of more than 99.6% with the respective orthologs from diploid ancestors. The phylogenetic analysis revealed that the EDS1 family originated prior to the differentiation of monocots and dicots, and EDS1 members have since undergone clear structural differentiation. The transcriptional levels of TaEDS1 genes in the leaves were obviously higher than those of the other organs, and they were induced by Blumeria graminis f. sp. tritici (Bgt) infection and salicylic acid (SA) treatment. The BSMV-VIGS experiments indicated that knock-down the transcriptional levels of the TaEDS1 genes in a powdery mildew-resistant variety of common wheat compromised resistance. Contrarily, transient overexpression of TaEDS1 genes in a susceptible common wheat variety significantly reduced the haustorium index and attenuated the growth of Bgt. Furthermore, the expression of TaEDS1 genes in the Arabidopsis mutant eds1-1 complemented its susceptible phenotype to powdery mildew. The above evidences strongly suggest that TaEDS1 acts as a positive regulator and confers resistance against powdery mildew in common wheat.

  11. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  12. Buffering the buffer

    Treesearch

    Leslie M. Reid; Sue Hilton

    1998-01-01

    Riparian buffer strips are a widely accepted tool for helping to sustain aquatic ecosystems and to protect downstream resources and values in forested areas, but controversy persists over how wide a buffer strip is necessary. The physical integrity of stream channels is expected to be sustained if the characteristics and rates of tree fall along buffered reaches are...

  13. Device performance and lifetime of polymer:fullerene solar cells with UV-ozone-irradiated hole-collecting buffer layers.

    PubMed

    Lee, Seungsoo; Nam, Sungho; Lee, Hyena; Kim, Hwajeong; Kim, Youngkyoo

    2011-11-18

    We report the influence of UV-ozone irradiation of the hole-collecting buffer layers on the performance and lifetime of polymer:fullerene solar cells. UV-ozone irradiation was targeted at the surface of the poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) (PEDOT:PSS) layers by varying the irradiation time up to 600 s. The change of the surface characteristics in the PEDOT:PSS after UV-ozone irradiation was measured by employing optical absorption spectroscopy, photoelectron yield spectroscopy, and contact angle measurements, while Raman and X-ray photoelectron spectroscopy techniques were introduced for more microscopic analysis. Results showed that the UV-ozone irradiation changed the chemical structure/composition of the surface of the PEDOT:PSS layers leading to the gradual increase of ionization potential with irradiation time in the presence of up-and-down variations in the contact angle (polarity). This surface property change was attributed to the formation of oxidative components, as evidenced by XPS and Auger electron images, which affected the sheet resistance of the PEDOT:PSS layers. Interestingly, device performance was slightly improved by short irradiation (up to 10 s), whereas it was gradually decreased by further irradiation. The short-duration illumination test showed that the lifetime of solar cells with the UV-ozone irradiated PEDOT:PSS layer was improved due to the protective role of the oxidative components formed upon UV-ozone irradiation against the attack of sulfonic acid groups in the PEDOT:PSS layer to the active layer. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. TaC-coated graphite prepared via a wet ceramic process: Application to CVD susceptors for epitaxial growth of wide-bandgap semiconductors

    NASA Astrophysics Data System (ADS)

    Nakamura, Daisuke; Kimura, Taishi; Narita, Tetsuo; Suzumura, Akitoshi; Kimoto, Tsunenobu; Nakashima, Kenji

    2017-11-01

    A novel sintered tantalum carbide coating (SinTaC) prepared via a wet ceramic process is proposed as an approach to reducing the production cost and improving the crystal quality of bulk-grown crystals and epitaxially grown films of wide-bandgap semiconductors. Here, we verify the applicability of the SinTaC components as susceptors for chemical vapor deposition (CVD)-SiC and metal-organic chemical vapor deposition (MOCVD)-GaN epitaxial growth in terms of impurity incorporation from the SinTaC layers and also clarify the surface-roughness controllability of SinTaC layers and its advantage in CVD applications. The residual impurity elements in the SinTaC layers were confirmed to not severely incorporate into the CVD-SiC and MOCVD-GaN epilayers grown using the SinTaC susceptors. The quality of the epilayers was also confirmed to be equivalent to that of epilayers grown using conventional susceptors. Furthermore, the surface roughness of the SinTaC components was controllable over a wide range of average roughness (0.4 ≤ Ra ≤ 5 μm) and maximum height roughness (3 ≤ Rz ≤ 36 μm) through simple additional surface treatment procedures, and the surface-roughened SinTaC susceptor fabricated using these procedures was predicted to effectively reduce thermal stress on epi-wafers. These results confirm that SinTaC susceptors are applicable to epitaxial growth processes and are advantageous over conventional susceptor materials for reducing the epi-cost and improving the quality of epi-wafers.

  15. A comparative study of the annealing behavior of Cu(In,Ga)(S,Se){sub 2} based solar cells with an indium sulfide buffer layer, partly submitted to wet chemical treatments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hönes, C., E-mail: christian.hoenes@de.bosch.com; Laboratory for Photovoltaics, University of Luxembourg, 41 rue du Brill, L-4422 Belvaux; Hackenberg, J.

    2015-03-07

    Indium sulfide thin films deposited via thermal evaporation from compound source material have been successfully utilized as a cadmium free buffer layer for Cu(In,Ga)Se{sub 2} based solar cells. However, high efficiencies are only reached after an additional annealing step. In this work, the annealing behavior of Cu(In,Ga)(S,Se){sub 2} based indium sulfide buffered solar cells is compared to the annealing behavior of similar cells, which were submitted to wet chemical treatments partly containing cadmium ions. Upon annealing a significant improvement of the initial solar cell characteristics is observed for the untreated cell and is related to the increase of activation energymore » for the carrier recombination process and a decrease of the ideality factor within the one diode model. It is shown here that this improvement can also be achieved by wet treatments of the absorber prior to buffer layer deposition. Upon annealing these treated cells still gain in collection length but lose open circuit voltage, which is explained here within a model including a highly p-doped absorber surface layer and supported by simulations showing that a decrease in doping density of such a surface layer would lead to the observed effects.« less

  16. TaRAR1 and TaSGT1 associate with TaHsp90 to function in bread wheat (Triticum aestivum L.) seedling growth and stripe rust resistance.

    PubMed

    Wang, Guan-Feng; Fan, Renchun; Wang, Xianping; Wang, Daowen; Zhang, Xiangqi

    2015-04-01

    RAR1 and SGT1 are important co-chaperones of Hsp90. We previously showed that TaHsp90.1 is required for wheat seedling growth, and that TaHsp90.2 and TaHsp90.3 are essential for resistance (R) gene mediated resistance to stripe rust fungus. Here, we report the characterization of TaRAR1 and TaSGT1 genes in bread wheat. TaRAR1 and TaSGT1 each had three homoeologs, which were located on wheat groups 2 and 3 chromosomes, respectively. Strong inhibition of seedling growth was observed after silencing TaSGT1 but not TaRAR1. In contrast, decreasing the expression of TaRAR1 or TaSGT1 could all compromise R gene mediated resistance to stripe rust fungus infection. Protein-protein interactions were found among TaRAR1, TaSGT1 and TaHsp90. The N-terminus of TaHsp90, the CHORD-I and CHORD-II domains of TaRAR1 and the CS domain of TaSGT1 may be instrumental for the interactions among the three proteins. Based on this work and our previous study on TaHsp90, we speculate that the TaSGT1-TaHsp90.1 interaction is important for maintaining bread wheat seedling growth. The TaRAR1-TaSGT1-TaHsp90.2 and TaRAR1-TaSGT1-TaHsp90.3 interactions are involved in controlling the resistance to stripe rust disease. The new information obtained here should aid further functional investigations of TaRAR1-TaSGT1-TaHsp90 complexes in regulating bread wheat growth and disease resistance.

  17. Design of high breakdown voltage GaN vertical HFETs with p-GaN buried buffer layers for power switching applications

    NASA Astrophysics Data System (ADS)

    Du, Jiangfeng; Liu, Dong; Zhao, Ziqi; Bai, Zhiyuan; Li, Liang; Mo, Jianghui; Yu, Qi

    2015-07-01

    To achieve a high breakdown voltage, a GaN vertical heterostructure field effect transistor with p-GaN buried layers (PBL-VHFET) is proposed in this paper. The breakdown voltage of this GaN-based PBL-VHFET could be improved significantly by the optimizing thickness of p-GaN buried layers and doping concentration in PBL. When the GaN buffer layer thickness is 15 μm, the thickness, length and p-doping concentration of PBL are 0.3 μm, 2.7 μm, and 3 × 1017 cm-3, respectively. Simulation results show that the breakdown voltage and on-resistance of the device with two p-GaN buried layers are 3022 V and 3.13 mΩ cm2, respectively. The average breakdown electric field would reach as high as 201.5 V/μm. Compared with the typical GaN vertical heterostructure FETs without PBL, both of breakdown voltage and average breakdown electric field of device are increased more than 50%.

  18. Color tunable electroluminescence and resistance switching from a ZnO-nanorod-TaOx-p-GaN heterojunction.

    PubMed

    Zhao, J L; Teo, K L; Zheng, K; Sun, X W

    2016-03-18

    Well-aligned ZnO nanorods have been prepared on p-GaN-sapphire using a vapor phase transport (VPT) technique. A thin sputtered layer of TaOx is employed as the intermediate layer and an n-ZnO-TaOx-p-GaN heterojunction device has been achieved. The current transport of the heterojunction exhibited a typical resistance switching behavior, which originated from the filament forming and breaking in the TaOx layer. Color controllable electroluminescence (EL) was observed from the biased heterojunction at room temperature. Bluish-white wide band emission is achieved from the forward biased device in both the high resistance and low resistance states, while red emission can only be observed for the reverse biased device in the low resistance state. The correlation between the EL and resistance switching has been analyzed in-depth based on the interface band diagram of the heterojunction.

  19. Compatibility of buffered uranium carbides with tungsten.

    NASA Technical Reports Server (NTRS)

    Phillips, W. M.

    1971-01-01

    Results of compatibility tests between tungsten and hyperstoichiometric uranium carbide alloys run at 1800 C for 1000 and 2500 hours. These tests compared tungsten-buffered uranium carbide with tungsten-buffered uranium-zirconium carbide. The zirconium carbide addition appeared to widen the homogeneity range of the uranium carbide, making additional carbon available for reaction. Reaction layers could be formed by either of two diffusion paths, one producing UWC2, while the second resulted in the formation of W2C. UWC2 acts as a diffusion barrier for carbon and slows the growth of the reaction layer with time, while carbon diffusion is relatively rapid in W2C, allowing equilibrium to be reached in less than 2500 hours at a temperature of 1800 C.

  20. Nitrogen-Polar (000 1 ¯ ) GaN Grown on c-Plane Sapphire with a High-Temperature AlN Buffer.

    PubMed

    Song, Jie; Han, Jung

    2017-03-02

    We demonstrate growing nitrogen-polar (N-polar) GaN epilayer on c-plane sapphire using a thin AlN buffer layer by metalorganic chemical vapor deposition. We have studied the influence of the AlN buffer layer on the polarity, crystalline quality, and surface morphology of the GaN epilayer and found that the growth temperature of the AlN buffer layer played a critical role in the growth of the GaN epilayer. The low growth temperature of the AlN buffer results in gallium-polar GaN. Even a nitridation process has been conducted. High growth temperature for an AlN buffer layer is required to achieve pure N-polarity, high crystalline quality, and smooth surface morphology for a GaN epilayer.

  1. Preparation of Ferroelectric Thin Films of Bismuth Layer Structured Compounds

    NASA Astrophysics Data System (ADS)

    Watanabe, Hitoshi; Mihara, Takashi; Yoshimori, Hiroyuki; Araujo, Carlos

    1995-09-01

    Ferroelectric thin films of bismuth layer structured compounds, SrBi2Ta2O9, SrBi2Nb2O9, SrBi4Ti4O15 and their solid solutions, were formed onto a sputtered platinum layer on a silicon substrate using spin-on technique and metal-organic decomposition (MOD) method. X-ray diffraction (XRD) analysis and some electrical measurements were performed on the prepared thin films. XRD results of SrBi2(Ta1- x, Nb x)2O9 films (0≤x≤1) showed that niobium ions substitute for tantalum ions in an arbitrary ratio without any change of the layer structure and lattice constants. Furthermore, XRD results of SrBi2 xTa2O9 films (0≤x≤1.5) indicated that the formation of the bismuth layer structure does not always require an accurate bismuth content. The layer structure was formed above 50% of the stoichiometric bismuth content in the general formula. SrBi2(Ta1- x, Nb x)2O9 films with various Ta/Nb ratios have large enough remanent polarization for nonvolatile memory application and have shown high fatigue resistance against 1011 cycles of full switching of the remanent polarization. Mixture films of the three compounds were also investigated.

  2. Functional Analysis and Marker Development of TaCRT-D Gene in Common Wheat (Triticum aestivum L.).

    PubMed

    Wang, Jiping; Li, Runzhi; Mao, Xinguo; Jing, Ruilian

    2017-01-01

    Calreticulin (CRT), an endoplasmic reticulum (ER)-localized Ca 2+ -binding/buffering protein, is highly conserved and extensively expressed in animal and plant cells. To understand the function of CRTs in wheat ( Triticum aestivum L.), particularly their roles in stress tolerance, we cloned the full-length genomic sequence of the TaCRT-D isoform from D genome of common hexaploid wheat, and characterized its function by transgenic Arabidopsis system. TaCRT-D exhibited different expression patterns in wheat seedling under different abiotic stresses. Transgenic Arabidopsis plants overexpressing ORF of TaCRT-D displayed more tolerance to drought, cold, salt, mannitol, and other abiotic stresses at both seed germination and seedling stages, compared with the wild-type controls. Furthermore, DNA polymorphism analysis and gene mapping were employed to develop the functional markers of this gene for marker-assistant selection in wheat breeding program. One SNP, S440 (T→C) was detected at the TaCRT-D locus by genotyping a wheat recombinant inbred line (RIL) population (114 lines) developed from Opata 85 × W7984. The TaCRT-D was then fine mapped between markers Xgwm645 and Xgwm664 on chromosome 3DL, corresponding to genetic distances of 3.5 and 4.4 cM, respectively, using the RIL population and Chinese Spring nulli-tetrasomic lines. Finally, the genome-specific and allele-specific markers were developed for the TaCRT-D gene. These findings indicate that TaCRT-D function importantly in plant stress responses, providing a gene target for genetic engineering to increase plant stress tolerance and the functional markers of TaCRT-D for marker-assistant selection in wheat breeding.

  3. Functional Analysis and Marker Development of TaCRT-D Gene in Common Wheat (Triticum aestivum L.)

    PubMed Central

    Wang, Jiping; Li, Runzhi; Mao, Xinguo; Jing, Ruilian

    2017-01-01

    Calreticulin (CRT), an endoplasmic reticulum (ER)-localized Ca2+-binding/buffering protein, is highly conserved and extensively expressed in animal and plant cells. To understand the function of CRTs in wheat (Triticum aestivum L.), particularly their roles in stress tolerance, we cloned the full-length genomic sequence of the TaCRT-D isoform from D genome of common hexaploid wheat, and characterized its function by transgenic Arabidopsis system. TaCRT-D exhibited different expression patterns in wheat seedling under different abiotic stresses. Transgenic Arabidopsis plants overexpressing ORF of TaCRT-D displayed more tolerance to drought, cold, salt, mannitol, and other abiotic stresses at both seed germination and seedling stages, compared with the wild-type controls. Furthermore, DNA polymorphism analysis and gene mapping were employed to develop the functional markers of this gene for marker-assistant selection in wheat breeding program. One SNP, S440 (T→C) was detected at the TaCRT-D locus by genotyping a wheat recombinant inbred line (RIL) population (114 lines) developed from Opata 85 × W7984. The TaCRT-D was then fine mapped between markers Xgwm645 and Xgwm664 on chromosome 3DL, corresponding to genetic distances of 3.5 and 4.4 cM, respectively, using the RIL population and Chinese Spring nulli-tetrasomic lines. Finally, the genome-specific and allele-specific markers were developed for the TaCRT-D gene. These findings indicate that TaCRT-D function importantly in plant stress responses, providing a gene target for genetic engineering to increase plant stress tolerance and the functional markers of TaCRT-D for marker-assistant selection in wheat breeding. PMID:28955354

  4. Antidamping spin-orbit torques in epitaxial-Py(100)/β-Ta

    NASA Astrophysics Data System (ADS)

    Tiwari, Dhananjay; Behera, Nilamani; Kumar, Akash; Dürrenfeld, Philipp; Chaudhary, Sujeet; Pandya, D. K.; Åkerman, Johan; Muduli, P. K.

    2017-12-01

    We perform spin torque ferromagnetic resonance measurements on the Si(100)/TiN(100)/epi-Py(100)/β-Ta system. We demonstrate current induced modulation of the Gilbert damping constant, which is about 30% for a current density of 6.25 × 109 A/m2. We show that the observed modulation of the Gilbert damping constant cannot be explained by spin transfer torques arising from the spin Hall effect of the β-Ta layer. An additional mechanism such as antidamping spin-orbit torque resulting from the interface or the crystalline structure of Py thin films needs to be considered.

  5. Tetradymite layer assisted heteroepitaxial growth and applications

    DOEpatents

    Stoica, Vladimir A.; Endicott, Lynn; Clarke, Roy; Uher, Ctirad

    2017-08-01

    A multilayer stack including a substrate, an active layer, and a tetradymite buffer layer positioned between the substrate and the active layer is disclosed. A method for fabricating a multilayer stack including a substrate, a tetradymite buffer layer and an active layer is also disclosed. Use of such stacks may be in photovoltaics, solar cells, light emitting diodes, and night vision arrays, among other applications.

  6. Modified band alignment effect in ZnO/Cu2O heterojunction solar cells via Cs2O buffer insertion

    NASA Astrophysics Data System (ADS)

    Eom, Kiryung; Lee, Dongyoon; Kim, Seunghwan; Seo, Hyungtak

    2018-02-01

    The effects of a complex buffer layer of cesium oxide (Cs2O) on the photocurrent response in oxide heterojunction solar cells (HSCs) were investigated. A p-n junction oxide HSC was fabricated using p-type copper (I) oxide (Cu2O) and n-type zinc oxide (ZnO); the buffer layer was inserted between the Cu2O and fluorine-doped tin oxide (FTO). Ultraviolet-visible (UV-vis) and x-ray and ultraviolet photoelectron spectroscopy analyses were performed to characterize the electronic band structures of cells, both with and without this buffer layer. In conjunction with the measured band electronic structures, the significantly improved visible-range photocurrent spectra of the buffer-inserted HSC were analyzed in-depth. As a result, the 1 sun power conversion efficiency was increased by about three times by the insertion of buffer layer. The physicochemical origin of the photocurrent enhancement was mainly ascribed to the increased photocarrier density in the buffer layer and modified valence band offset to promote the effective hole transfer at the interface to FTO on the band-alignment model.

  7. Forming-free and self-rectifying resistive switching of the simple Pt/TaOx/n-Si structure for access device-free high-density memory application

    NASA Astrophysics Data System (ADS)

    Gao, Shuang; Zeng, Fei; Li, Fan; Wang, Minjuan; Mao, Haijun; Wang, Guangyue; Song, Cheng; Pan, Feng

    2015-03-01

    The search for self-rectifying resistive memories has aroused great attention due to their potential in high-density memory applications without additional access devices. Here we report the forming-free and self-rectifying bipolar resistive switching behavior of a simple Pt/TaOx/n-Si tri-layer structure. The forming-free phenomenon is attributed to the generation of a large amount of oxygen vacancies, in a TaOx region that is in close proximity to the TaOx/n-Si interface, via out-diffusion of oxygen ions from TaOx to n-Si. A maximum rectification ratio of ~6 × 102 is obtained when the Pt/TaOx/n-Si devices stay in a low resistance state, which originates from the existence of a Schottky barrier between the formed oxygen vacancy filament and the n-Si electrode. More importantly, numerical simulation reveals that the self-rectifying behavior itself can guarantee a maximum crossbar size of 212 × 212 (~44 kbit) on the premise of 10% read margin. Moreover, satisfactory switching uniformity and retention performance are observed based on this simple tri-layer structure. All of these results demonstrate the great potential of this simple Pt/TaOx/n-Si tri-layer structure for access device-free high-density memory applications.The search for self-rectifying resistive memories has aroused great attention due to their potential in high-density memory applications without additional access devices. Here we report the forming-free and self-rectifying bipolar resistive switching behavior of a simple Pt/TaOx/n-Si tri-layer structure. The forming-free phenomenon is attributed to the generation of a large amount of oxygen vacancies, in a TaOx region that is in close proximity to the TaOx/n-Si interface, via out-diffusion of oxygen ions from TaOx to n-Si. A maximum rectification ratio of ~6 × 102 is obtained when the Pt/TaOx/n-Si devices stay in a low resistance state, which originates from the existence of a Schottky barrier between the formed oxygen vacancy filament and the n

  8. Towards III-V solar cells on Si: Improvement in the crystalline quality of Ge-on-Si virtual substrates through low porosity porous silicon buffer layer and annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Calabrese, Gabriele; Baricordi, Stefano; Bernardoni, Paolo

    2014-09-26

    A comparison between the crystalline quality of Ge grown on bulk Si and on a low porosity porous Si (pSi) buffer layer using low energy plasma enhanced chemical vapor deposition is reported. Omega/2Theta coupled scans around the Ge and Si (004) diffraction peaks show a reduction of the Ge full-width at half maximum (FWHM) of 22.4% in presence of the pSi buffer layer, indicating it is effective in improving the epilayer crystalline quality. At the same time atomic force microscopy analysis shows an increase in root means square roughness for Ge grown on pSi from 38.5 nm to 48.0 nm,more » as a consequence of the larger surface roughness of pSi compared to bulk Si. The effect of 20 minutes vacuum annealing at 580°C is also investigated. The annealing leads to a FWHM reduction of 23% for Ge grown on Si and of 36.5% for Ge on pSi, resulting in a FWHM of 101 arcsec in the latter case. At the same time, the RMS roughness is reduced of 8.8% and of 46.5% for Ge grown on bulk Si and on pSi, respectively. The biggest improvement in the crystalline quality of Ge grown on pSi with respect to Ge grown on bulk Si observed after annealing is a consequence of the simultaneous reorganization of the Ge epilayer and the buffer layer driven by energy minimization. A low porosity buffer layer can thus be used for the growth of low defect density Ge on Si virtual substrates for the successive integration of III-V multijunction solar cells on Si. The suggested approach is simple and fast –thus allowing for high throughput-, moreover is cost effective and fully compatible with subsequent wafer processing. Finally it does not introduce new chemicals in the solar cell fabrication process and can be scaled to large area silicon wafers.« less

  9. Vertically Oriented Growth of GaN Nanorods on Si Using Graphene as an Atomically Thin Buffer Layer.

    PubMed

    Heilmann, Martin; Munshi, A Mazid; Sarau, George; Göbelt, Manuela; Tessarek, Christian; Fauske, Vidar T; van Helvoort, Antonius T J; Yang, Jianfeng; Latzel, Michael; Hoffmann, Björn; Conibeer, Gavin; Weman, Helge; Christiansen, Silke

    2016-06-08

    The monolithic integration of wurtzite GaN on Si via metal-organic vapor phase epitaxy is strongly hampered by lattice and thermal mismatch as well as meltback etching. This study presents single-layer graphene as an atomically thin buffer layer for c-axis-oriented growth of vertically aligned GaN nanorods mediated by nanometer-sized AlGaN nucleation islands. Nanostructures of similar morphology are demonstrated on graphene-covered Si(111) as well as Si(100). High crystal and optical quality of the nanorods are evidenced through scanning transmission electron microscopy, micro-Raman, and cathodoluminescence measurements supported by finite-difference time-domain simulations. Current-voltage characteristics revealed high vertical conduction of the as-grown GaN nanorods through the Si substrates. These findings are substantial to advance the integration of GaN-based devices on any substrates of choice that sustains the GaN growth temperatures, thereby permitting novel designs of GaN-based heterojunction device concepts.

  10. Evolutionary Optimization of a Charge Transfer Ionic Potential Model for Ta/Ta-Oxide Heterointerfaces

    DOE PAGES

    Sasikumar, Kiran; Narayanan, Badri; Cherukara, Mathew; ...

    2017-03-19

    Heterostructures of tantalum and its oxide are of tremendous technological interest for a myriad of technological applications, including electronics, thermal management, catalysis and biochemistry. In particular, local oxygen stoichiometry variation in TaO x memristors comprising of thermodynamically stable metallic (Ta) and insulating oxide (Ta 2O 5) have been shown to result in fast switching on the subnanosecond timescale over a billion cycles. This rapid switching opens up the potential for advanced functional platforms such as stateful logic operations and neuromorphic computation. Despite its broad importance, an atomistic scale understanding of oxygen stoichiometry variation across Ta/TaO x heterointerfaces, such as duringmore » early stages of oxidation and oxide growth, is not well understood. This is mainly due to the lack of a unified interatomic potential model for tantalum oxides that can accurately describe metallic (Ta), ionic (TaO x) as well as mixed (Ta/TaO x interfaces) bonding environments simultaneously. To address this challenge, we introduce a Charge Transfer Ionic Potential (CTIP) model for Ta/Ta-oxide system by training against lattice parameters, cohesive energies, equations of state (EOS), elastic properties, and surface energies of the various experimentally observed Ta 2O 5 polymorphs (hexagonal, orthorhombic and monoclinic) obtained from density functional theory (DFT) calculations. The best CTIP parameters are determined by employing a global optimization scheme driven by genetic algorithms followed by local Simplex optimization. Our newly developed CTIP potential accurately predicts structure, thermodynamics, energetic ordering of polymorphs, as well as elastic and surface properties of both Ta and Ta 2O 5, in excellent agreement with DFT calculations and experiments. We employ our newly parameterized CTIP potential to investigate the early stages of oxidation and atomic scale mechanisms associated with oxide growth on Ta surface

  11. Buffer capacity of biologics--from buffer salts to buffering by antibodies.

    PubMed

    Karow, Anne R; Bahrenburg, Sven; Garidel, Patrick

    2013-01-01

    Controlling pH is essential for a variety of biopharmaceutical process steps. The chemical stability of biologics such as monoclonal antibodies is pH-dependent and slightly acidic conditions are favorable for stability in a number of cases. Since control of pH is widely provided by added buffer salts, the current study summarizes the buffer characteristics of acetate, citrate, histidine, succinate, and phosphate buffers. Experimentally derived values largely coincide with values calculated from a model that had been proposed in 1922 by van Slyke. As high concentrated protein formulations become more and more prevalent for biologics, the self-buffering potential of proteins becomes of relevance. The current study provides information on buffer characteristics for pH ranges down to 4.0 and up to 8.0 and shows that a monoclonal antibody at 50 mg/mL exhibits similar buffer capacity as 6 mM citrate or 14 mM histidine (pH 5.0-6.0). Buffer capacity of antibody solutions scales linearly with protein concentration up to more than 200 mg/mL. At a protein concentration of 220 mg/mL, the buffer capacity resembles the buffer capacity of 30 mM citrate or 50 mM histidine (pH 5.0-6.0). The buffer capacity of monoclonal antibodies is practically identical at the process relevant temperatures 5, 25, and 40°C. Changes in ionic strength of ΔI=0.15, in contrast, can alter the buffer capacity up to 35%. In conclusion, due to efficient self-buffering by antibodies in the pH range of favored chemical stability, conventional buffer excipients could be dispensable for pH stabilization of high concentrated protein solutions. Copyright © 2013 American Institute of Chemical Engineers.

  12. Synthesis and characterization of nanotubes from misfit compounds (LnS)1+yTaS2 (Ln= Pr, Sm, Gd, Yb).

    PubMed

    Tenne, Reshef; Serra, Marco; Stolovas, Dalit; Houben, Lothar; Popovitz-Biro, Ronit; Pinkas, Iddo; Kampmann, Felix; Maultzsch, Janina; Joselevich, Ernesto

    2018-06-06

    The synthesis and characterization of nanotubes from the misfit layered compounds (MLC) (LnS)1+yTaS2 (shortly denoted as LnS-TaS2) (Ln= Pr, Sm, Gd and Yb), not reported before, are described (the bulk compound YbS-LaS2 was not documented before). Transmission electron microscopy (TEM) and selected area electron diffraction (SAED) show that the interlayer spacing along the c-axis decrease with increasing atomic number of the lanthanide atom, suggesting tighter interaction between the LnS layer and the TaS2 for the late lanthanides. The Raman spectra of the tubules were studied and compared to the bulk MLC compounds. Like bulk MLC, the Raman spectra can be divided into the low frequency modes (110-150 cm-1) of the LnS lattice and the high frequency (250-400 cm-1) of the TaS2 lattice. The Raman spectra indicate that the vibrational lattice modes of the strained layers in the tubes are stiffer than those in the bulk compounds. Furthermore, the modes of the late lanthanides are higher in energy compared with the earlier lanthanides, suggesting larger charge transfer between the LnS and the TaS2 layers for the late lanthanides. Polarized Raman measurements showed the expected binodal intensity profile (antenna effect). The intensity ratio of the Raman signal showed that the E2g mode of TaS2 is more sensitive to the light polarization effect than its A1g mode. These nanotubes are expected to reveal interesting low temperature quasi-1D transport behavior. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Method of depositing epitaxial layers on a substrate

    DOEpatents

    Goyal, Amit

    2003-12-30

    An epitaxial article and method for forming the same includes a substrate having a textured surface, and an electrochemically deposited substantially single orientation epitaxial layer disposed on and in contact with the textured surface. The epitaxial article can include an electromagnetically active layer and an epitaxial buffer layer. The electromagnetically active layer and epitaxial buffer layer can also be deposited electrochemically.

  14. Tandem Core–Shell Si–Ta 3N 5 Photoanodes for Photoelectrochemical Water Splitting

    DOE PAGES

    Narkeviciute, Ieva; Chakthranont, Pongkarn; Mackus, Adriaan J. M.; ...

    2016-11-22

    Here, nanostructured core–shell Si–Ta 3N 5 photoanodes were designed and synthesized to overcome charge transport limitations of Ta 3N 5 for photoelectrochemical water splitting. The core–shell devices were fabricated by atomic layer deposition of amorphous Ta 2O 5 onto nanostructured Si and subsequent nitridation to crystalline Ta 3N 5. Nanostructuring with a thin shell of Ta 3N 5 results in a 10-fold improvement in photocurrent compared to a planar device of the same thickness. In examining thickness dependence of the Ta 3N 5 shell from 10 to 70 nm, superior photocurrent and absorbed-photon-to-current efficiencies are obtained from the thinner Tamore » 3N 5 shells, indicating minority carrier diffusion lengths on the order of tens of nanometers. The fabrication of a heterostructure based on a semiconducting, n-type Si core produced a tandem photoanode with a photocurrent onset shifted to lower potentials by 200 mV. CoTiO x and NiO x water oxidation cocatalysts were deposited onto the Si–Ta 3N 5 to yield active photoanodes that with NiO x retained 50–60% of their maximum photocurrent after 24 h chronoamperometry experiments and are thus among the most stable Ta 3N 5 photoanodes reported to date.« less

  15. Direct evidence on Ta-Metal Phases Igniting Resistive Switching in TaOx Thin Film

    PubMed Central

    Kyu Yang, Min; Ju, Hyunsu; Hwan Kim, Gun; Lee, Jeon-Kook; Ryu, Han-Cheol

    2015-01-01

    A Ta/TaOx/Pt stacked capacitor-like device for resistive switching was fabricated and examined. The tested device demonstrated stable resistive switching characteristics including uniform distribution of resistive switching operational parameters, highly promising endurance, and retention properties. To reveal the resistive switching mechanism of the device, micro structure analysis using high-resolution transmission electron microscope (HR-TEM) was performed. From the observation results, two different phases of Ta-metal clusters of cubic α-Ta and tetragonal β-Ta were founded in the amorphous TaOx mother-matrix after the device was switched from high resistance state (HRS) to low resistance state (LRS) by externally applied voltage bias. The observed Ta metal clusters unveiled the origin of the electric conduction paths in the TaOx thin film at the LRS. PMID:26365532

  16. Direct evidence on Ta-Metal Phases Igniting Resistive Switching in TaOx Thin Film

    NASA Astrophysics Data System (ADS)

    Kyu Yang, Min; Ju, Hyunsu; Hwan Kim, Gun; Lee, Jeon-Kook; Ryu, Han-Cheol

    2015-09-01

    A Ta/TaOx/Pt stacked capacitor-like device for resistive switching was fabricated and examined. The tested device demonstrated stable resistive switching characteristics including uniform distribution of resistive switching operational parameters, highly promising endurance, and retention properties. To reveal the resistive switching mechanism of the device, micro structure analysis using high-resolution transmission electron microscope (HR-TEM) was performed. From the observation results, two different phases of Ta-metal clusters of cubic α-Ta and tetragonal β-Ta were founded in the amorphous TaOx mother-matrix after the device was switched from high resistance state (HRS) to low resistance state (LRS) by externally applied voltage bias. The observed Ta metal clusters unveiled the origin of the electric conduction paths in the TaOx thin film at the LRS.

  17. Three-stage nucleation and growth of Ge self-assembled quantum dots grown on partially relaxed SiGe buffer layers

    NASA Astrophysics Data System (ADS)

    Kim, H. J.; Zhao, Z. M.; Xie, Y. H.

    2003-11-01

    Three-stage nucleation and growth of Ge self-assembled quantum dots (SAQDs) on a relaxed SiGe buffer layer has been studied. Plastic relaxation of the SiGe buffer layer is associated with a network of buried 60° dislocations leading to an undulating strain field. As a result, the surface possesses three different types of sites for the nucleation and growth of Ge SAQDs: over the intersection of two perpendicular buried dislocations, over a single dislocation line, and in the region beyond one diffusion length away from any dislocation. Ge SAQDs are observed to nucleate exclusively over the dislocation intersections first, followed by over single dislocation lines, and finally in the region far away from dislocations. By increasing the Ge coverage at a slow rate, the prenucleation stage at the various sites is observed. It appears that the varying strain field has a significant effect on both the diffusion of Ge adatoms before SAQD nucleation, as well as the shape evolution of the SAQDs after they form. Moreover, two distinctly different self-assembly mechanisms are observed at different sites. There exist denuded zones free of Ge SAQDs adjacent to dislocation lines. The width of the denuded zone can be used to make direct determination of the Ge adatom diffusion lengths. The partially relaxed substrate provides a useful experimental vehicle for the in-depth understanding of the formation mechanism of SAQDs grown epitaxially in the Stranski-Krastanov growth mode.

  18. Ca/Alq3 hybrid cathode buffer layer for the optimization of organic solar cells based on a planar heterojunction

    NASA Astrophysics Data System (ADS)

    El Jouad, Z.; Barkat, L.; Stephant, N.; Cattin, L.; Hamzaoui, N.; Khelil, A.; Ghamnia, M.; Addou, M.; Morsli, M.; Béchu, S.; Cabanetos, C.; Richard-Plouet, M.; Blanchard, P.; Bernède, J. C.

    2016-11-01

    Use of efficient anode cathode buffer layer (CBL) is crucial to improve the efficiency of organic photovoltaic cells. Here we show that using a double CBL, Ca/Alq3, allows improving significantly cell performances. The insertion of Ca layer facilitates electron harvesting and blocks hole collection, leading to improved charge selectivity and reduced leakage current, whereas Alq3 blocks excitons. After optimisation of this Ca/Alq3 CBL using CuPc as electron donor, it is shown that it is also efficient when SubPc is substituted to CuPc in the cells. In that case we show that the morphology of the SubPc layer, and therefore the efficiency of the cells, strongly depends on the deposition rate of the SubPc film. It is necessary to deposit slowly (0.02 nm/s) the SubPc films because at higher deposition rate (0.06 nm/s) the films are porous, which induces leakage currents and deterioration of the cell performances. The SubPc layers whose formations are kinetically driven at low deposition rates are more uniform, whereas those deposited faster exhibit high densities of pinholes.

  19. Conductive layer for biaxially oriented semiconductor film growth

    DOEpatents

    Findikoglu, Alp T.; Matias, Vladimir

    2007-10-30

    A conductive layer for biaxially oriented semiconductor film growth and a thin film semiconductor structure such as, for example, a photodetector, a photovoltaic cell, or a light emitting diode (LED) that includes a crystallographically oriented semiconducting film disposed on the conductive layer. The thin film semiconductor structure includes: a substrate; a first electrode deposited on the substrate; and a semiconducting layer epitaxially deposited on the first electrode. The first electrode includes a template layer deposited on the substrate and a buffer layer epitaxially deposited on the template layer. The template layer includes a first metal nitride that is electrically conductive and has a rock salt crystal structure, and the buffer layer includes a second metal nitride that is electrically conductive. The semiconducting layer is epitaxially deposited on the buffer layer. A method of making such a thin film semiconductor structure is also described.

  20. Modeling of erosion and deposition patterns on C-W and W-Ta twin limiters exposed to the TEXTOR edge plasmas

    NASA Astrophysics Data System (ADS)

    Ohya, K.; Tanabe, T.; Rubel, M.; Wada, M.; Ohgo, T.; Hirai, T.; Philipps, V.; Kirschner, A.; Pospieszczyk, A.; Huber, A.; Sergienko, G.; Brezinsek, S.; Noda, N.

    2004-08-01

    The erosion and deposition patterns on tungsten and tantalum test limiters exposed to the TEXTOR deuterium plasma containing a small amount of C impurity are simulated with the modified EDDY code. At the very top of the W and Ta limiters, there occurs neither erosion nor deposition, but the erosion proceeds slowly along the surface. When approaching the edge, the surface is covered by a thick C layer, which shows a very sharp boundary similar to the observation in surface measurements. In the erosion zone, the re-deposited carbon forms a W (Ta)-C mixed layer with small C concentration. Assumptions for chemical erosion yields of ˜0.01 for W and <0.005 for Ta fit the calculated widths of the deposition zone to the experimentally determined values. Possible reasons for the difference between W and Ta are discussed.

  1. An over 18%-efficiency completely buffer-free Cu(In,Ga)Se2 solar cell

    NASA Astrophysics Data System (ADS)

    Ishizuka, Shogo; Nishinaga, Jiro; Koida, Takashi; Shibata, Hajime

    2018-07-01

    In this letter, an independently certified photovoltaic efficiency of 18.4% demonstrated from a completely buffer-layer-free Cu(In,Ga)Se2 (CIGS) solar cell is reported. A Si-doped CIGS thin film was used as the photoabsorber layer and a conductive B-doped ZnO (BZO) front electrode layer was directly deposited on the CIGS layer. Metastable acceptor activation by heat-light soaking treatment was performed to maximize the efficiency. The results presented here are expected to serve as a benchmark for simplified-structure CIGS devices as well as a reference for discussions on the role of buffer layers used in conventional CIGS solar cells.

  2. Dynamics and mitigation of six pesticides in a "Wet" forest buffer zone.

    PubMed

    Passeport, Elodie; Richard, Benjamin; Chaumont, Cédric; Margoum, Christelle; Liger, Lucie; Gril, Jean-Joël; Tournebize, Julien

    2014-04-01

    Pesticide pollution is one of the main current threats on water quality. This paper presents the potential and functioning principles of a "Wet" forest buffer zone for reducing concentrations and loads of glyphosate, isoproturon, metazachlor, azoxystrobin, epoxiconazole, and cyproconazole. A tracer injection experiment was conducted in the field in a forest buffer zone at Bray (France). A fine time-scale sampling enabled to illustrate that interactions between pesticides and forest buffer substrates (soil and organic-rich litter layer), had a retarding effect on molecule transfer. Low concentrations were observed for all pesticides at the forest buffer outlet thus demonstrating the efficiency of "Wet" forest buffer zone for pesticide dissipation. Pesticide masses injected in the forest buffer inlet directly determined concentration peaks observed at the outlet. Rapid and partially reversible adsorption was likely the major process affecting pesticide transfer for short retention times (a few hours to a few days). Remobilization of metazachlor, isoproturon, desmethylisoproturon, and AMPA was observed when non-contaminated water flows passed through the forest buffer. Our data suggest that pesticide sorption properties alone could not explain the complex reaction mechanisms that affected pesticide transfer in the forest buffer. Nevertheless, the thick layer of organic matter litter on the top of the forest soil was a key parameter, which enhanced partially reversible sorption of pesticide, thus retarded their transfer, decreased concentration peaks, and likely increased degradation of the pesticides. Consequently, to limit pesticide pollution transported by surface water, the use of already existing forest areas as buffer zones should be equally considered as the most commonly implemented grass buffer strips.

  3. Synthesis, processing and properties of TaC-TaB2-C Ceramics

    DTIC Science & Technology

    2010-01-01

    powder used. • A very important conclusion from the present study is that the grain size of nominally pure TaC ceramics is a strong function of carbon...ceramics at temperatures as low as 1500 ◦C. The grain size of nominally pure TaC ceramics was a strong function of carbon stoichiometry. Enhanced grain...evaluate the properties of ceramics in the TaC–TaB2–C system. Published by Elsevier Ltd. Keywords: A . Sintering; B. Microstructure; D. Carbides; D. Carbon

  4. Solution processed transition metal oxide anode buffer layers for efficiency and stability enhancement of polymer solar cells

    NASA Astrophysics Data System (ADS)

    Ameen, M. Yoosuf; Shamjid, P.; Abhijith, T.; Reddy, V. S.

    2018-01-01

    Polymer solar cells were fabricated with solution-processed transition metal oxides, MoO3 and V2O5 as anode buffer layers (ABLs). The optimized device with V2O5 ABL exhibited considerably higher power conversion efficiency (PCE) compared to the devices based on MoO3 and poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) ABLs. The space charge limited current measurements and impedance spectroscopy results of hole-only devices revealed that V2O5 provided a very low charge transfer resistance and high hole mobility, facilitating efficient hole transfer from the active layer to the ITO anode. More importantly, incorporation of V2O5 as ABL resulted in substantial improvement in device stability compared to MoO3 and PEDOT:PSS based devices. Unencapsulated PEDOT:PSS-based devices stored at a relative humidity of 45% have shown complete failure within 96 h. Whereas, MoO3 and V2O5 based devices stored in similar conditions retained 22% and 80% of their initial PCEs after 96 h. Significantly higher stability of the V2O5-based device is ascribed to the reduction in degradation of the anode/active layer interface, as evident from the electrical measurements.

  5. Polytypism, polymorphism, and superconductivity in TaSe 2 –xTe x

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luo, Huixia; Xie, Weiwei; Tao, Jing

    2015-03-03

    Polymorphism in materials often leads to significantly different physical properties - the rutile and anatase polymorphs of TiO₂ are a prime example. Polytypism is a special type of polymorphism, occurring in layered materials when the geometry of a repeating structural layer is maintained but the layer stacking sequence of the overall crystal structure can be varied; SiC is an example of a material with many polytypes. Although polymorphs can have radically different physical properties, it is much rarer for polytypism to impact physical properties in a dramatic fashion. Here we study the effects of polytypism and polymorphism on the superconductivitymore » of TaSe₂, one of the archetypal members of the large family of layered dichalcogenides. We show that it is possible to access 2 stable polytypes and 2 stable polymorphs in the TaSe 2-xTe x solid solution, and find that the 3R polytype shows a superconducting transition temperature that is between 6 and 17 times higher than that of the much more commonly found 2H polytype. Thus, the reason for this dramatic change is not apparent, but we propose that it arises either from a remarkable dependence of T c on subtle differences in the characteristics of the single layers present, or from a surprising effect of the layer stacking sequence on electronic properties that instead are expected to be dominated by the properties of a single layer in materials of this kind.« less

  6. Modification of Magnetic Nanocontact Structure by a Bias-Voltage-Induced Stress and Its Influence on Magnetoresistance Effect in TaOx Nano-Oxide Layer Spin Valve

    NASA Astrophysics Data System (ADS)

    Miyake, Kousaku; Saki, Yosinobu; Suzuki, Ayako; Kawasaki, Shohei; Doi, Masaaki; Sahashi, Masashi

    2012-06-01

    A magnetic nanocontact spin valve (NCSV) was fabricated by inserting a TaOx nano-oxide layer (NOL) as the spacer layer. Current-perpendicular-to-film-plane (CPP) measurements revealed that the SV had a positive magnetoresistance (MR) ratio. When a high bias voltage was applied to the SV, the fine structure of the NOL changed i.e., the resistance and MR ratio of the device changed irreversibly. The change in device characteristics is attributed to a proportional change in the number of nonmagnetoresistive and magnetoresistive conductive channels in the SV upon high bias voltage application. The decrease in MR ratio accompanied the disappearance of the magnetic nanocontact, suggesting that the positive MR effect was partially due to the presence of magnetic nanocontacts.

  7. 75 FR 43556 - TA-W-73,381, MT Rail Link, Inc., Missoula, MT; TA-W-73,381A, Billings, MT; TA-W-73,381B, Laurel...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-07-26

    ... DEPARTMENT OF LABOR Employment and Training Administration TA-W-73,381, MT Rail Link, Inc., Missoula, MT; TA-W-73,381A, Billings, MT; TA-W-73,381B, Laurel, MT; TA-W-73,381C, Livingston, MT; TA-W-73... Helena, Montana. The amended notice applicable to TA-W-73,381 is hereby issued as follows: All workers of...

  8. Strained layer Fabry-Perot device

    DOEpatents

    Brennan, Thomas M.; Fritz, Ian J.; Hammons, Burrell E.

    1994-01-01

    An asymmetric Fabry-Perot reflectance modulator (AFPM) consists of an active region between top and bottom mirrors, the bottom mirror being affixed to a substrate by a buffer layer. The active region comprises a strained-layer region having a bandgap and thickness chosen for resonance at the Fabry-Perot frequency. The mirrors are lattice matched to the active region, and the buffer layer is lattice matched to the mirror at the interface. The device operates at wavelengths of commercially available semiconductor lasers.

  9. Improved hole-injection and power efficiency of organic light-emitting diodes using an ultrathin cerium fluoride buffer layer

    NASA Astrophysics Data System (ADS)

    Lu, Hsin-Wei; Kao, Po-Ching; Chu, Sheng-Yuan

    2016-09-01

    In this study, the efficiency of organic light-emitting diodes (OLEDs) was enhanced by depositing a CeF3 film as an ultra-thin buffer layer between the ITO and NPB hole transport layer, with the structure configuration ITO/CeF3 (1 nm)/NPB (40 nm)/Alq3 (60 nm)/LiF (1 nm)/Al (150 nm). The enhancement mechanism was systematically investigated via several approaches. The work function increased from 4.8 eV (standard ITO electrode) to 5.2 eV (1-nm-thick UV-ozone treated CeF3 film deposited on the ITO electrode). The turn-on voltage decreased from 4.2 V to 4.0 V at 1 mA/cm2, the luminance increased from 7588 cd/m2 to 10820 cd/m2, and the current efficiency increased from 3.2 cd/A to 3.5 cd/A when the 1-nm-thick UV-ozone treated CeF3 film was inserted into the OLEDs.

  10. Redox buffered hydrofluoric acid etchant for the reduction of galvanic attack during release etching of MEMS devices having noble material films

    DOEpatents

    Hankins, Matthew G [Albuquerque, NM

    2009-10-06

    Etchant solutions comprising a redox buffer can be used during the release etch step to reduce damage to the structural layers of a MEMS device that has noble material films. A preferred redox buffer comprises a soluble thiophosphoric acid, ester, or salt that maintains the electrochemical potential of the etchant solution at a level that prevents oxidation of the structural material. Therefore, the redox buffer preferentially oxidizes in place of the structural material. The sacrificial redox buffer thereby protects the exposed structural layers while permitting the dissolution of sacrificial oxide layers during the release etch.

  11. SEMICONDUCTOR TECHNOLOGY: TaN wet etch for application in dual-metal-gate integration technology

    NASA Astrophysics Data System (ADS)

    Yongliang, Li; Qiuxia, Xu

    2009-12-01

    Wet-etch etchants and the TaN film method for dual-metal-gate integration are investigated. Both HF/HN O3/H2O and NH4OH/H2O2 solutions can etch TaN effectively, but poor selectivity to the gate dielectric for the HF/HNO3/H2O solution due to HF being included in HF/HNO3/H2O, and the fact that TaN is difficult to etch in the NH4OH/H2O2 solution at the first stage due to the thin TaOxNy layer on the TaN surface, mean that they are difficult to individually apply to dual-metal-gate integration. A two-step wet etching strategy using the HF/HNO3/H2O solution first and the NH4OH/H2O2 solution later can fully remove thin TaN film with a photo-resist mask and has high selectivity to the HfSiON dielectric film underneath. High-k dielectric film surfaces are smooth after wet etching of the TaN metal gate and MOSCAPs show well-behaved C-V and Jg-Vg characteristics, which all prove that the wet etching of TaN has little impact on electrical performance and can be applied to dual-metal-gate integration technology for removing the first TaN metal gate in the PMOS region.

  12. Oxidation of ZrB2 SiC TaSi2 Materials at Ultra High Temperatures

    NASA Technical Reports Server (NTRS)

    Opila, E.; Smith, J.; Levine, S.; Lorincz, J.; Reigel, M.

    2008-01-01

    ZrB2 - 20v% SiC - 20v% TaSi2 was oxidized in stagnant air for ten minute cycles for times up to 100 minutes at 1627 C and 1927 C. The sample oxidized at 1627 C showed oxidation resistance better than that of the standard ZrB2 - 20v% SiC. The sample oxidized at 1927 C, however, showed evidence of liquid phase formation and complex oxidation products. The sample exposed at 1927 C was analyzed in detail by scanning electron microprobe and wavelength dispersive spectroscopy to understand the complex oxidation and melting reactions occurring during exposure. The as hot-pressed material shows the formation of a Zr(Ta)B2 phase in addition to the three phases in the nominal composition already noted. After oxidation, the TaSi2 in the matrix was completely reacted to form Ta(Zr)C. The layered oxidation products included SiO2, ZrO2, Ta2O5, and a complex oxide containing both Zr and Ta. Likely reactions are proposed based on thermodynamic phase stability and phase morphology.

  13. Cd-free buffer layer materials on Cu2ZnSn(SxSe1-x)4: Band alignments with ZnO, ZnS, and In2S3

    NASA Astrophysics Data System (ADS)

    Barkhouse, D. Aaron R.; Haight, Richard; Sakai, Noriyuki; Hiroi, Homare; Sugimoto, Hiroki; Mitzi, David B.

    2012-05-01

    The heterojunctions formed between Cu2ZnSn(SxSe1-x)4 (CZTSSe) and three Cd-free n-type buffers, ZnS, ZnO, and In2S3, were studied using femtosecond ultraviolet photoemission and photovoltage spectroscopy. The electronic properties including the Fermi level location at the interface, band bending in the CZTSSe substrate, and valence and conduction band offsets were determined and correlated with device properties. We also describe a method for determining the band bending in the buffer layer and demonstrate this for the In2S3/CZTSSe system. The chemical bath deposited In2S3 buffer is found to have near optimal conduction band offset (0.15 eV), enabling the demonstration of Cd-free In2S3/CZTSSe solar cells with 7.6% power conversion efficiency.

  14. Solvent effects of a dimethyldicyanoquinonediimine buffer layer as N-type material on the performance of organic photovoltaic cells.

    PubMed

    Yang, Eui Yeol; Oh, Se Young

    2014-08-01

    In the present work, we have fabricated organic photovoltaic cells consisting of ITO/PEDOT:PSS/P3HT:PCBM/DMDCNQI/Al using a dip-coating method with various solvent systems. We have investigated solvent effects (such as solubility, viscosity and vapor pressure) in deposition of a thin DMDCNQI buffer layer on the performance of organic photovoltaic cells. The solvent system which had low viscosity and good solubility properties, made a dense and uniform DMDCNQI ultra thin film, resulting in a high performance device. In particular, a prepared organic photovoltaic cell was fabricated using a cosolvent system (methanol:methylenechloride = 3:1) and showed a maximum power conversion efficiency of 4.53%.

  15. Ta2O5/ Al2O3/ SiO2 - antireflective coating for non-planar optical surfaces by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Pfeiffer, K.; Schulz, U.; Tünnermann, A.; Szeghalmi, A.

    2017-02-01

    Antireflective coatings are essential to improve transmittance of optical elements. Most research and development of AR coatings has been reported on a wide variety of plane optical surfaces; however, antireflection is also necessary on nonplanar optical surfaces. Physical vapor deposition (PVD), a common method for optical coatings, often results in thickness gradients on strongly curved surfaces, leading to a failure of the desired optical function. In this work, optical thin films of tantalum pentoxide, aluminum oxide and silicon dioxide were prepared by atomic layer deposition (ALD), which is based on self-limiting surface reactions. The results demonstrate that ALD optical layers can be deposited on both vertical and horizontal substrate surfaces with uniform thicknesses and the same optical properties. A Ta2O5/Al2O3/ SiO2 multilayer AR coating (400-700 nm) was successfully applied to a curved aspheric glass lens with a diameter of 50 mm and a center thickness of 25 mm.

  16. Space electric field concentrated effect for Zr:SiO2 RRAM devices using porous SiO2 buffer layer

    PubMed Central

    2013-01-01

    To improve the operation current lowing of the Zr:SiO2 RRAM devices, a space electric field concentrated effect established by the porous SiO2 buffer layer was investigated and found in this study. The resistive switching properties of the low-resistance state (LRS) and high-resistance state (HRS) in resistive random access memory (RRAM) devices for the single-layer Zr:SiO2 and bilayer Zr:SiO2/porous SiO2 thin films were analyzed and discussed. In addition, the original space charge limited current (SCLC) conduction mechanism in LRS and HRS of the RRAM devices using bilayer Zr:SiO2/porous SiO2 thin films was found. Finally, a space electric field concentrated effect in the bilayer Zr:SiO2/porous SiO2 RRAM devices was also explained and verified by the COMSOL Multiphysics simulation model. PMID:24330524

  17. Flux-mediated syntheses, structural characterization and low-temperature polymorphism of the p-type semiconductor Cu2Ta4O11

    NASA Astrophysics Data System (ADS)

    King, Nacole; Sullivan, Ian; Watkins-Curry, Pilanda; Chan, Julia Y.; Maggard, Paul A.

    2016-04-01

    A new low-temperature polymorph of the copper(I)-tantalate, α-Cu2Ta4O11, has been synthesized in a molten CuCl-flux reaction at 665 °C for 1 h and characterized by powder X-ray diffraction Rietveld refinements (space group Cc (#9), a=10.734(1) Å, b = 6.2506(3) Å, c=12.887(1) Å, β = 106.070(4)°). The α-Cu2Ta4O11 phase is a lower-symmetry monoclinic polymorph of the rhombohedral Cu2Ta4O11 structure (i.e., β-Cu2Ta4O11 space group R 3 ̅ c (#167), a = 6.2190(2) Å, c=37.107(1) Å), and related crystallographically by ahex=amono/√3, bhex=bmono, and chex=3cmonosinβmono. Its structure is similar to the rhombohedral β-Cu2Ta4O11 and is composed of single layers of highly-distorted and edge-shared TaO7 and TaO6 polyhedra alternating with layers of nearly linearly-coordinated Cu(I) cations and isolated TaO6 octahedra. Temperature dependent powder X-ray diffraction data show the α-Cu2Ta4O11 phase is relatively stable under vacuum at 223 K and 298 K, but reversibly transforms to β-Cu2Ta4O11 by at least 523 K and higher temperatures. The symmetry-lowering distortions from β-Cu2Ta4O11 to α-Cu2Ta4O11 arise from the out-of-center displacements of the Ta 5d0 cations in the TaO7 pentagonal bipyramids. The UV-vis diffuse reflectance spectrum of the monoclinic α-Cu2Ta4O11 shows an indirect bandgap transition of ∼2.6 eV, with the higher-energy direct transitions starting at ∼2.7 eV. Photoelectrochemical measurements on polycrystalline films of α-Cu2Ta4O11 show strong cathodic photocurrents of ∼1.5 mA/cm2 under AM 1.5 G solar irradiation.

  18. Group-III nitride based high electron mobility transistor (HEMT) with barrier/spacer layer

    DOEpatents

    Chavarkar, Prashant; Smorchkova, Ioulia P.; Keller, Stacia; Mishra, Umesh; Walukiewicz, Wladyslaw; Wu, Yifeng

    2005-02-01

    A Group III nitride based high electron mobility transistors (HEMT) is disclosed that provides improved high frequency performance. One embodiment of the HEMT comprises a GaN buffer layer, with an Al.sub.y Ga.sub.1-y N (y=1 or y 1) layer on the GaN buffer layer. An Al.sub.x Ga.sub.1-x N (0.ltoreq.x.ltoreq.0.5) barrier layer on to the Al.sub.y Ga.sub.1-y N layer, opposite the GaN buffer layer, Al.sub.y Ga.sub.1-y N layer having a higher Al concentration than that of the Al.sub.x Ga.sub.1-x N barrier layer. A preferred Al.sub.y Ga.sub.1-y N layer has y=1 or y.about.1 and a preferred Al.sub.x Ga.sub.1-x N barrier layer has 0.ltoreq.x.ltoreq.0.5. A 2DEG forms at the interface between the GaN buffer layer and the Al.sub.y Ga.sub.1-y N layer. Respective source, drain and gate contacts are formed on the Al.sub.x Ga.sub.1-x N barrier layer. The HEMT can also comprising a substrate adjacent to the buffer layer, opposite the Al.sub.y Ga.sub.1-y N layer and a nucleation layer between the Al.sub.x Ga.sub.1-x N buffer layer and the substrate.

  19. Buffer transport mechanisms in intentionally carbon doped GaN heterojunction field effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Uren, Michael J.; Cäsar, Markus; Kuball, Martin

    2014-06-30

    Temperature dependent pulsed and ramped substrate bias measurements are used to develop a detailed understanding of the vertical carrier transport in the buffer layers in a carbon doped GaN power heterojunction field effect transistor. Carbon doped GaN and multiple layers of AlGaN alloy are used in these devices to deliver an insulating and strain relieved buffer with high breakdown voltage capability. However, understanding of the detailed physical mechanism for its operation is still lacking. At the lowest electric fields (<10 MV/m), charge redistribution within the C doped layer is shown to occur by hole conduction in the valence band withmore » activation energy 0.86 eV. At higher fields, leakage between the two-dimensional electron gas and the buffer dominates occurring by a Poole-Frenkel mechanism with activation energy ∼0.65 eV, presumably along threading dislocations. At higher fields still, the strain relief buffer starts to conduct by a field dependent process. Balancing the onset of these leakage mechanisms is essential to allow the build-up of positive rather than negative space charge, and thus minimize bulk-related current-collapse in these devices.« less

  20. High-pressure phases of Weyl semimetals NbP, NbAs, TaP, and TaAs

    NASA Astrophysics Data System (ADS)

    Guo, ZhaoPeng; Lu, PengChao; Chen, Tong; Wu, JueFei; Sun, Jian; Xing, DingYu

    2018-03-01

    In this study, we used the crystal structure search method and first-principles calculations to systematically explore the highpressure phase diagrams of the TaAs family (NbP, NbAs, TaP, and TaAs). Our calculation results show that NbAs and TaAs have similar phase diagrams, the same structural phase transition sequence I41 md→ P6¯ m2→ P21/ c→ Pm3¯ m, and slightly different transition pressures. The phase transition sequence of NbP and TaP differs somewhat from that of NbAs and TaAs, in which new structures emerge, such as the Cmcm structure in NbP and the Pmmn structure in TaP. Interestingly, we found that in the electronic structure of the high-pressure phase P6¯ m2-NbAs, there are coexistingWeyl points and triple degenerate points, similar to those found in high-pressure P6¯ m2-TaAs.

  1. 3D Ta/TaO x /TiO2/Ti synaptic array and linearity tuning of weight update for hardware neural network applications

    NASA Astrophysics Data System (ADS)

    Wang, I.-Ting; Chang, Chih-Cheng; Chiu, Li-Wen; Chou, Teyuh; Hou, Tuo-Hung

    2016-09-01

    The implementation of highly anticipated hardware neural networks (HNNs) hinges largely on the successful development of a low-power, high-density, and reliable analog electronic synaptic array. In this study, we demonstrate a two-layer Ta/TaO x /TiO2/Ti cross-point synaptic array that emulates the high-density three-dimensional network architecture of human brains. Excellent uniformity and reproducibility among intralayer and interlayer cells were realized. Moreover, at least 50 analog synaptic weight states could be precisely controlled with minimal drifting during a cycling endurance test of 5000 training pulses at an operating voltage of 3 V. We also propose a new state-independent bipolar-pulse-training scheme to improve the linearity of weight updates. The improved linearity considerably enhances the fault tolerance of HNNs, thus improving the training accuracy.

  2. 78 FR 28627 - TA-W-80,340; TA-W-80,340A; TA-W-80,340B

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-05-15

    ...] Bush Industries, Inc., Mason Drive Facility, Including On-Site Leased Workers From Morris Security...., Mason Drive Facility, Jamestown, New York (TA-W-80,340) and Bush Industries, Inc., Allen Street Facility... applicable to TA-W-80,340 is hereby issued as follows: All workers of Bush Industries, Inc., Mason Drive...

  3. Properties of unrelaxed InAs{sub 1-X}Sb{sub X} alloys grown on compositionally graded buffers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Belenky, G.; Donetsky, D.; Kipshidze, G.

    Unrelaxed InAs{sub 1-x}Sb{sub x} layers with lattice constants up to 2.1% larger than that of GaSb substrates were grown by molecular beam epitaxy on GaInSb and AlGaInSb compositionally graded buffer layers. The topmost section of the buffers was unrelaxed but strained. The in-plane lattice constant of the top buffer layer was grown to be equal to the lattice constant of unrelaxed and unstrained InAs{sub 1-x}Sb{sub x} with given X. The InAs{sub 0.56}Sb{sub 0.44} layers demonstrate photoluminescence peak at 9.4 {mu}m at 150 K. The minority carrier lifetime measured at 77 K for InAs{sub 0.8}Sb{sub 0.2} was {tau} = 250 ns.

  4. The influence of nano-oxide layer on magnetostriction of sensing layer in bottom spin valves

    NASA Astrophysics Data System (ADS)

    Qiu, J. J.; Han, G. C.; Li, K. B.; Liu, Z. Y.; Zong, B. Y.; Wu, Y. H.

    2006-05-01

    The magnetostriction coefficient (λs) of ultrathin sputtered polycrystalline as-deposited and annealed Ta/Ni81Fe19(t)/Ta films was studied as a function of the thickness. λs and magnetoresistance (MR) of bottom-type spin valves (SVs) with nano-oxide layer (NOL) added in the pinned layer were investigated by using NiFe, Co90Fe10, and CoFe/NiFe/CoFe layers as free layer (FL), respectively. λs of SV with NOL increased slightly except that of CoFe FL. NOLs were added at different positions to study the effects of NOL on λs of CoFe FL. All λs of CoFe FL change from negative to positive and its absolute value also increases significantly with CoFeOx related NOL added below. Our λs and surface roughness results indicated that the structure of the film not the roughness dominates λs of ultrathin FL in SVs.

  5. High-Efficiency Polycrystalline CdS/CdTe Solar Cells on Buffered Commercial TCO-Coated Glass

    NASA Astrophysics Data System (ADS)

    Colegrove, E.; Banai, R.; Blissett, C.; Buurma, C.; Ellsworth, J.; Morley, M.; Barnes, S.; Gilmore, C.; Bergeson, J. D.; Dhere, R.; Scott, M.; Gessert, T.; Sivananthan, Siva

    2012-10-01

    Multiple polycrystalline CdS/CdTe solar cells with efficiencies greater than 15% were produced on buffered, commercially available Pilkington TEC Glass at EPIR Technologies, Inc. (EPIR, Bolingbrook, IL) and verified by the National Renewable Energy Laboratory (NREL). n-CdS and p-CdTe were grown by chemical bath deposition (CBD) and close space sublimation, respectively. Samples with sputter-deposited CdS were also investigated. Initial results indicate that this is a viable dry-process alternative to CBD for production-scale processing. Published results for polycrystalline CdS/CdTe solar cells with high efficiencies are typically based on cells using research-grade transparent conducting oxides (TCOs) requiring high-temperature processing inconducive to low-cost manufacturing. EPIR's results for cells on commercial glass were obtained by implementing a high-resistivity SnO2 buffer layer and by optimizing the CdS window layer thickness. The high-resistivity buffer layer prevents the formation of CdTe-TCO junctions, thereby maintaining a high open-circuit voltage and fill factor, whereas using a thin CdS layer reduces absorption losses and improves the short-circuit current density. EPIR's best device demonstrated an NREL-verified efficiency of 15.3%. The mean efficiency of hundreds of cells produced with a buffer layer between December 2010 and June 2011 is 14.4%. Quantum efficiency results are presented to demonstrate EPIR's progress toward NREL's best-published results.

  6. Molecular beam epitaxy growth of PbSe on Si (211) using a ZnTe buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, X. J.; Chang, Y.; Hou, Y. B.

    2011-09-15

    The authors report the results of successful growth of single crystalline PbSe on Si (211) substrates with ZnTe as a buffer layer by molecular beam epitaxy. Single crystalline PbSe with (511) orientation was achieved on ZnTe/Si (211), as evidenced by RHEED patterns indicative of 2 dimensional (2D) growth, x ray diffraction rocking curves with a full width at half maximum as low as 153 arc sec and mobility as large as 1.1x10{sup 4}cm{sup 2}V{sup -1}s{sup -1} at 77 K. Cross hatch patterns were found on the PbSe(511) surface in Nomarski filtered microscope images suggesting the presence of a surface thermalmore » strain relaxation mechanism, which was confirmed by Fourier transformed high resolution transmission electron microscope images.« less

  7. Removing polysaccharides-and saccharides-related coloring impurities in alkyl polyglycosides by bleaching with the H2O2/TAED/NaHCO3 system.

    PubMed

    Yanmei, Liu; Jinliang, Tao; Jiao, Sun; Wenyi, Chen

    2014-11-04

    The effect of H2O2/TAED/NaHCO3 system, namely NaHCO3 as alkaline agent with the (tetra acetyl ethylene diamine (TAED)) TAED-activated peroxide system, bleaching of alkyl polyglycosides solution was studied by spectrophotometry. The results showed that the optimal bleaching conditions about H2O2/TAED/NaHCO3 system bleaching of alkyl polyglycosides solution were as follows: molar ratio of TAED to H2O2 was 0.06, addition of H2O2 was 8.6%, addition of NaHCO3 was 3.2%, bleaching temperature of 50-65 °C, addition of MgO was 0.13%, and bleaching time was 8h. If too much amount of NaHCO3 was added to the system and maintained alkaline pH, the bleaching effect would be greatly reduced. Fixing molar ratio of TAED to H2O2 and increasing the amount of H2O2 were beneficial to improve the whiteness of alkyl polyglycosides, but adding too much amount of H2O2 would reduce the transparency. In the TAED-activated peroxide system, NaHCO3 as alkaline agent and buffer agent, could overcome the disadvantage of producing black precipitates when NaOH as alkaline agent. Copyright © 2014 Elsevier Ltd. All rights reserved.

  8. Thickness effect of Gd2Zr2O7 buffer layer on performance of YBa2Cu3O7-δ coated conductors

    NASA Astrophysics Data System (ADS)

    Qiu, Wenbin; Fan, Feng; Lu, Yuming; Liu, Zhiyong; Bai, Chuanyi; Guo, Yanqun; Cai, Chuanbing

    2014-12-01

    Bilayer buffer architecture of Gd2Zr2O7 (GZO)/Y2O3 was prepared on the biaxially textured tape of Ni-5 at% W (NiW) by reactive sputtering deposition technique. The buffer layer of GZO films were deposited with different thicknesses on Y2O3 seeding layer with a given thickness of 20 nm. According to the results of φ-scan, the in-plane FWHMs of GZO films decreased and then reversed with increasing thickness of GZO, which corresponded with the in-plane FWHMs and superconducting properties of YBa2Cu3O7-δ (YBCO) films. Reflection High-Energy Electron Diffraction (RHEED) was carried out to examine the surface texture of GZO films and the deteriorated surface alignment was found for thicker films. The thickness effect of GZO on performance of YBCO is the coupling result of surface texture and blocking effect caused by thickness. With the balance of these two factors, the YBCO/GZO(120 nm)/Y2O3/NiW architecture exhibit relatively high performance with the transition temperature Tc of 92 K, a transition width ΔTc below 1 K, and a critical current density Jc of 0.65 MA/cm2.

  9. Drought-Up-Regulated TaNAC69-1 is a Transcriptional Repressor of TaSHY2 and TaIAA7, and Enhances Root Length and Biomass in Wheat.

    PubMed

    Chen, Dandan; Richardson, Terese; Chai, Shoucheng; Lynne McIntyre, C; Rae, Anne L; Xue, Gang-Ping

    2016-10-01

    A well-known physiological adaptation process of plants encountering drying soil is to achieve water balance by reducing shoot growth and maintaining or promoting root elongation, but little is known about the molecular basis of this process. This study investigated the role of a drought-up-regulated Triticum aestivum NAC69-1 (TaNAC69-1) in the modulation of root growth in wheat. TaNAC69-1 was predominantly expressed in wheat roots at the early vegetative stage. Overexpression of TaNAC69-1 in wheat roots using OsRSP3 (essentially root-specific) and OsPIP2;3 (root-predominant) promoters resulted in enhanced primary seminal root length and a marked increase in maturity root biomass. Competitive growth analysis under water-limited conditions showed that OsRSP3 promoter-driven TaNAC69-1 transgenic lines produced 32% and 35% more above-ground biomass and grains than wild-type plants, respectively. TaNAC69-1 overexpression in the roots down-regulated the expression of TaSHY2 and TaIAA7, which are from the auxin/IAA (Aux/IAA) transcriptional repressor gene family and are the homologs of negative root growth regulators SHY2/IAA3 and IAA7 in Arabidopsis. The expression of TaSHY2 and TaIAA7 in roots was down-regulated by drought stress and up-regulated by cytokinin treatment, which inhibited root growth. DNA binding and transient expression analyses revealed that TaNAC69-1 bound to the promoters of TaSHY2 and TaIAA7, acted as a transcriptional repressor and repressed the expression of reporter genes driven by the TaSHY2 or TaIAA7 promoter. These data suggest that TaNAC69-1 is a transcriptional repressor of TaSHY2 and TaIAA7 homologous to Arabidopsis negative root growth regulators and is likely to be involved in promoting root elongation in drying soil. © The Author 2016. Published by Oxford University Press on behalf of Japanese Society of Plant Physiologists. All rights reserved. For permissions, please email: journals.permissions@oup.com.

  10. Behavior of Photocarriers in the Light-Induced Metastable State in the p-n Heterojunction of a Cu(In,Ga)Se2 Solar Cell with CBD-ZnS Buffer Layer.

    PubMed

    Lee, Woo-Jung; Yu, Hye-Jung; Wi, Jae-Hyung; Cho, Dae-Hyung; Han, Won Seok; Yoo, Jisu; Yi, Yeonjin; Song, Jung-Hoon; Chung, Yong-Duck

    2016-08-31

    We fabricated Cu(In,Ga)Se2 (CIGS) solar cells with a chemical bath deposition (CBD)-ZnS buffer layer grown with varying ammonia concentrations in aqueous solution. The solar cell performance was degraded with increasing ammonia concentration, due to actively dissolved Zn atoms during CBD-ZnS precipitation. These formed interfacial defect states, such as hydroxide species in the CBD-ZnS film, and interstitial and antisite Zn defects at the p-n heterojunction. After light/UV soaking, the CIGS solar cell performance drastically improved, with a rise in fill factor. With the Zn-based buffer layer, the light soaking treatment containing blue photons induced a metastable state and enhanced the CIGS solar cell performance. To interpret this effect, we suggest a band structure model of the p-n heterojunction to explain the flow of photocarriers under white light at the initial state, and then after light/UV soaking. The determining factor is a p+ defect layer, containing an amount of deep acceptor traps, located near the CIGS surface. The p+ defect layer easily captures photoexcited electrons, and then when it becomes quasi-neutral, attracts photoexcited holes. This alters the barrier height and controls the photocurrent at the p-n junction, and fill factor values, determining the solar cell performance.

  11. Pressure-induced ferroelectric to paraelectric transition in LiTaO 3 and (Li,Mg)TaO 3

    DOE PAGES

    Yamanaka, Takamitsu; Nakamoto, Yuki; Takei, Fumihiko; ...

    2016-02-16

    X-ray powder diffraction and Raman scattering of LiTaO 3 (LT) and (Li,Mg)TaO 3 (LMT) have been measured under pressure up to 46 GPa. Above 30 GPa, the ferroelectric rhombohedral phase (R3c, Z – 6) of LiTaO 3 transforms to a paraelectric orthorhombic phase (Pnma with Z – 4) with a large hysteresis. Rietveld profile fitting analysis shows that the Li-O bond is compressed and approaches that of Ta-O with pressure. The cation distribution analysis of the orthorhombic perovskite structure shows that Li and Ta are located in the octahedral 8-fold coordination sites. Difference Fourier |F obs(hkl)| - |F cal(hkl)| mapsmore » of LiTaO 3 and (Li,Mg)TaO 3 indicate polarization in the c axis direction and a more distinct electron density distribution around the Ta position for (Li,Mg)TaO 3 compared to LiTaO 3. The observed effective charges indicate that for (Li,Mg)TaO 3 without vacancies Ta 5+ becomes less ionized as a function of Mg substitution. Considering both site occupancy and effective charge analysis, Ta 5+ is reduced to Ta 4.13+. Mg 2+ and O 2- change to Mg 1.643+ and O 1.732 -, respectively. The space- and time-averaged structures of the dynamical vibration of atoms can be elucidated from the electron density analysis by difference Fourier and temperature factors T(hkl) in the structure refinement. The refinement of the temperature factor is consistent with the cation distribution assuming full stoichiometry. The residual electron density induced from the excess electron in (Li,Mg)TaO 3 indicates more electrons around the Ta site, as confirmed by the effective charge analysis. Raman spectra of LiTaO 3 and (Li,Mg)TaO 3 show notable changes over the measured pressure range. Raman peaks centered at 250 cm –1 and 350 cm –1 at ambient pressure merge above 8 GPa, which we associate with the diminishing of difference in distances between Li-O and Ta-O bonds with pressure in both materials. Finally, Raman spectra show significant changes at 28 GPa and 33 GPa for

  12. Assessment of polyelectrolyte coating stability under dynamic buffer conditions in CE.

    PubMed

    Swords, Kyleen E; Bartline, Peter B; Roguski, Katherine M; Bashaw, Sarah A; Frederick, Kimberley A

    2011-09-01

    Dynamic buffer conditions are present in many electrophoretically driven separations. Polyelectrolyte multilayer coatings have been employed in CE because of their chemical and physical stability as well as their ease of application. The goal of this study is to measure the effect of dynamic changes in buffer pH on flow using a real-time method for measuring EOF. Polyelectrolyte multilayers (PEMs) were composed of pairs of strong or completely ionized polyelectrolytes including poly(diallyldimethylammonium) chloride and poly(styrene sulfonate) and weak or ionizable polyelectrolytes including poly(allylamine) and poly(methacrylic acid). Polyelectrolyte multilayers of varying thicknesses (3, 4, 7, 8, 15, or 16 layers) were also studied. While the magnitude of the EOF was monitored every 2 s, the buffer pH was exchanged from a relatively basic pH (7.1) to increasingly acidic pHs (6.6, 6.1, 5.5, and 5.1). Strong polyelectrolytes responded minimally to changes in buffer pH (<1%), whereas substantial (>10%) and sometimes irreversible changes were measured with weak polyelectrolytes. Thicker coatings resulted in a similar magnitude of response but were more likely to degrade in response to buffer pH changes. The most stable coatings were formed from thinner layers of strong polyelectrolytes. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Measurement of radon concentration in super-Kamiokande's buffer gas

    NASA Astrophysics Data System (ADS)

    Nakano, Y.; Sekiya, H.; Tasaka, S.; Takeuchi, Y.; Wendell, R. A.; Matsubara, M.; Nakahata, M.

    2017-09-01

    To precisely measure radon concentrations in purified air supplied to the Super-Kamiokande detector as a buffer gas, we have developed a highly sensitive radon detector with an intrinsic background as low as 0 . 33 ± 0 . 07 mBq /m3. In this article, we discuss the construction and calibration of this detector as well as results of its application to the measurement and monitoring of the buffer gas layer above Super-Kamiokande. In March 2013, the chilled activated charcoal system used to remove radon in the input buffer gas was upgraded. After this improvement, a dramatic reduction in the radon concentration of the supply gas down to 0 . 08 ± 0 . 07 mBq /m3. Additionally, the Rn concentration of the in-situ buffer gas has been measured 28 . 8 ± 1 . 7 mBq /m3 using the new radon detector. Based on these measurements we have determined that the dominant source of Rn in the buffer gas arises from contamination from the Super-Kamiokande tank itself.

  14. Microhabitats in the tropics buffer temperature in a globally coherent manner

    PubMed Central

    Scheffers, Brett R.; Evans, Theodore A.; Williams, Stephen E.; Edwards, David P.

    2014-01-01

    Vegetated habitats contain a variety of fine-scale features that can ameliorate temperate extremes. These buffered microhabitats may be used by species to evade extreme weather and novel climates in the future. Yet, the magnitude and extent of this buffering on a global scale remains unknown. Across all tropical continents and using 36 published studies, we assessed temperature buffering from within microhabitats across various habitat strata and structures (e.g. soil, logs, epiphytes and tree holes) and compared them to non-buffered macro-scale ambient temperatures (the thermal control). Microhabitats buffered temperature by 3.9°C and reduced maximum temperatures by 3.5°C. Buffering was most pronounced in tropical lowlands where temperatures were most variable. With the expected increase in extreme weather events, microhabitats should provide species with a local layer of protection that is not captured by traditional climate assessments, which are typically derived from macro-scale temperatures (e.g. satellites). Our data illustrate the need for a next generation of predictive models that account for species' ability to move within microhabitats to exploit favourable buffered microclimates. PMID:25540160

  15. Processing and characterization of zeta-Ta4C 3-x: A high toughness tantalum carbide

    NASA Astrophysics Data System (ADS)

    Sygnatowicz, Michael M.

    Tantalum carbides are commonly processed by hot-pressing, canned hot-isostatic-pressing, or spark-plasma sintering because of their high melting temperatures and low diffusivities. This study reports processing of dense ζ-Ta4C 3-x by reaction sintering of a Ta and TaC powder mixture (C/Ta atomic ratio = 0.66). ζ-Ta4C3-x is of interest due to its rhombohedral (trigonal) crystal structure that may be characterized as a polytype with both face-centered-cubic (fcc) and hexagonal-close-packed (hcp) Ta stacking sequences interrupted by stacking faults and missing carbon layers. This structure leads to easy cleaving on the basal planes and high fracture toughness. A key step in processing is the hydrogenation of the Ta powder to produce beta-TaH x, a hard and brittle phase that enables efficient comminution during milling and production of small, equiaxed Ta particles that can be packed to high green density with the TaC powder. Studies of phase evolution by quantitative X-ray diffraction during sintering revealed several intermediate reactions: (a) decomposition of beta-TaHx to Ta, (b) diffusion of C from gamma-TaC to Ta leading to the formation of α-Ta2Cy' with the kinetics described by the Johnson-Mehl-Avrami-Kolmogorov (JMAK) equation with an exponent, n = 0.5, and an activation energy of 221 kJ/mole, (c) equilibration of α-Ta2Cy' and gamma-TaC 0.78 phases, and (d) formation of ζ-Ta4C2.56 from the equilibrated α-Ta2C and gamma-TaC0.78 phases with the kinetics characterized by a higher JMAK exponent ( n ≈ 3) and higher activation energy (1089 kJ/mole). The microstructure showed evidence of nucleation and growth of the ζ-Ta4C 2.56 phase in both the α-Ta2C and gamma-TaC0.78 parent phases with distinct difference in the morphology due to the different number of variants of the habit plane. A hot-pressed and hot-isostatic-pressed (HIPed) material (C/Ta atomic ratio = 0.66), having formed 95 w% ζ-phase, attained a fracture toughness of 15.6 +/- 0.5 MPa√m and a

  16. Understanding Coulomb Scattering Mechanism in Monolayer MoS2 Channel in the Presence of h-BN Buffer Layer.

    PubMed

    Joo, Min-Kyu; Moon, Byoung Hee; Ji, Hyunjin; Han, Gang Hee; Kim, Hyun; Lee, Gwanmu; Lim, Seong Chu; Suh, Dongseok; Lee, Young Hee

    2017-02-08

    As the thickness becomes thinner, the importance of Coulomb scattering in two-dimensional layered materials increases because of the close proximity between channel and interfacial layer and the reduced screening effects. The Coulomb scattering in the channel is usually obscured mainly by the Schottky barrier at the contact in the noise measurements. Here, we report low-temperature (T) noise measurements to understand the Coulomb scattering mechanism in the MoS 2 channel in the presence of h-BN buffer layer on the silicon dioxide (SiO 2 ) insulating layer. One essential measure in the noise analysis is the Coulomb scattering parameter (α SC ) which is different for channel materials and electron excess doping concentrations. This was extracted exclusively from a 4-probe method by eliminating the Schottky contact effect. We found that the presence of h-BN on SiO 2 provides the suppression of α SC twice, the reduction of interfacial traps density by 100 times, and the lowered Schottky barrier noise by 50 times compared to those on SiO 2 at T = 25 K. These improvements enable us to successfully identify the main noise source in the channel, which is the trapping-detrapping process at gate dielectrics rather than the charged impurities localized at the channel, as confirmed by fitting the noise features to the carrier number and correlated mobility fluctuation model. Further, the reduction in contact noise at low temperature in our system is attributed to inhomogeneous distributed Schottky barrier height distribution in the metal-MoS 2 contact region.

  17. Electrodeposition of Al-Ta alloys in NaCl-KCl-AlCl3 molten salt containing TaCl5

    NASA Astrophysics Data System (ADS)

    Sato, Kazuki; Matsushima, Hisayoshi; Ueda, Mikito

    2016-12-01

    To form Al-Ta alloys for high temperature oxidation resistance components, molten salt electrolysis was carried out in an AlCl3-NaCl-KCl melt containing TaCl5 at 423 K. The voltammogram showed two cathodic waves at 0.45 V and 0.7 V vs. Al/Al(III), which may correspond to reduction from Ta(V) to Ta(III) and from Ta(III) to tantalum metal, respectively. Electrodeposits of Al and Ta were obtained in the range from -0.05 to 0.3 V and the highest concentration of Ta in the electrodeposit was 72 at% at 0.3 V. With increasing Ta content in the alloy, the morphology of the electrodeposits became powdery and the particle size smaller.

  18. EUSO-TA prototype telescope

    NASA Astrophysics Data System (ADS)

    Bisconti, Francesca; JEM-EUSO Collaboration

    2016-07-01

    EUSO-TA is one of the prototypes developed for the JEM-EUSO project, a space-based large field-of-view telescope to observe the fluorescence light emitted by cosmic ray air showers in the atmosphere. EUSO-TA is a ground-based prototype located at the Telescope Array (TA) site in Utah, USA, where an Electron Light Source and a Central Laser Facility are installed. The purpose of the EUSO-TA project is to calibrate the prototype with the TA fluorescence detector in presence of well-known light sources and cosmic ray air showers. In 2015, the detector started the first measurements and tests using the mentioned light sources have been performed successfully. A first cosmic ray candidate has been observed, as well as stars of different magnitude and color index. Since Silicon Photo-Multipliers (SiPMs) are very promising for fluorescence telescopes of next generation, they are under consideration for the realization of a new prototype of EUSO Photo Detector Module (PDM). The response of this sensor type is under investigation through simulations and laboratory experimentation.

  19. Characteristics of the Energetic Igniters Through Integrating B/Ti Nano-Multilayers on TaN Film Bridge

    NASA Astrophysics Data System (ADS)

    Yan, YiChao; Shi, Wei; Jiang, HongChuan; Cai, XianYao; Deng, XinWu; Xiong, Jie; Zhang, WanLi

    2015-05-01

    The energetic igniters through integrating B/Ti nano-multilayers on tantalum nitride (TaN) ignition bridge are designed and fabricated. The X-ray diffraction (XRD) and temperature coefficient of resistance (TCR) results show that nitrogen content has a great influence on the crystalline structure and TCR. TaN films under nitrogen ratio of 0.99 % exhibit a near-zero TCR value of approximately 10 ppm/°C. The scanning electron microscopy demonstrates that the layered structure of the B/Ti multilayer films is clearly visible with sharp and smooth interfaces. The electrical explosion characteristics employing a capacitor discharge firing set at the optimized charging voltage of 45 V reveal an excellent explosion performance by (B/Ti) n /TaN integration film bridge with small ignition delay time, high explosion temperature, much more bright flash of light, and much large quantities of the ejected product particles than TaN film bridge.

  20. First principles calculations of point defect diffusion in CdS buffer layers: Implications for Cu(In,Ga)(Se,S){sub 2} and Cu{sub 2}ZnSn(Se,S){sub 4}-based thin-film photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Varley, J. B.; Lordi, V.; He, X.

    2016-01-14

    We investigate point defects in CdS buffer layers that may arise from intermixing with Cu(In,Ga)Se{sub 2} (CIGSe) or Cu{sub 2}ZnSn(S,Se){sub 4} (CZTSSe) absorber layers in thin-film photovoltaics (PV). Using hybrid functional calculations, we characterize the migration barriers of Cu, In, Ga, Se, Sn, Zn, Na, and K impurities and assess the activation energies necessary for their diffusion into the bulk of the buffer. We find that Cu, In, and Ga are the most mobile defects in CIGS-derived impurities, with diffusion expected to proceed into the buffer via interstitial-hopping and cadmium vacancy-assisted mechanisms at temperatures ∼400 °C. Cu is predicted to stronglymore » favor migration paths within the basal plane of the wurtzite CdS lattice, which may facilitate defect clustering and ultimately the formation of Cu-rich interfacial phases as observed by energy dispersive x-ray spectroscopic elemental maps in real PV devices. Se, Zn, and Sn defects are found to exhibit much larger activation energies and are not expected to diffuse within the CdS bulk at temperatures compatible with typical PV processing temperatures. Lastly, we find that Na interstitials are expected to exhibit slightly lower activation energies than K interstitials despite having a larger migration barrier. Still, we find both alkali species are expected to diffuse via an interstitially mediated mechanism at slightly higher temperatures than enable In, Ga, and Cu diffusion in the bulk. Our results indicate that processing temperatures in excess of ∼400 °C will lead to more interfacial intermixing with CdS buffer layers in CIGSe devices, and less so for CZTSSe absorbers where only Cu is expected to significantly diffuse into the buffer.« less

  1. Structure and Microhardness of Cu-Ta Joints Produced by Explosive Welding

    PubMed Central

    Maliutina, Iu. N.; Mali, V. I.; Bataev, I. A.; Bataev, A. A.; Esikov, M. A.; Smirnov, A. I.; Skorokhod, K. A.

    2013-01-01

    The structure and microhardness of Cu-Ta joints produced by explosive welding were studied. It was found that, during explosive welding, an intermediate layer 20⋯40 μm thick with a finely dispersed heterophase structure, formed between the welded copper and tantalum plates. The structure of the layer was studied by scanning and transmission electron microscopy. Microvolumes with tantalum particles distributed in a copper matrix and microvolumes of copper particles in a tantalum matrix were detected. The tantalum particles in copper have a size of 5⋯500 nm, with a predominance of 5⋯50 nm particles. A mechanism for the formation of the finely dispersed heterophase structure in explosive welding is proposed. The microhardness of interlayers with the heterophase structure reaches 280 HV, which far exceeds the microhardness of copper (~130 HV) and tantalum (~160 HV). Many twins of deformation origin were found in the structure of the copper plate. The effect of heating temperature in the range from 100 to 900°C on the microhardness of copper, tantalum, and the Cu-Ta welded joint was studied. Upon heating to 900°C, the microhardness of the intermediate layer decreases from 280 to 150 HV. The reduction in the strength properties of the weld material is mainly due to structural transformations in copper. PMID:24453818

  2. Impact of oxygen stoichiometry on electroforming and multiple switching modes in TiN/TaOx/Pt based ReRAM

    NASA Astrophysics Data System (ADS)

    Sharath, S. U.; Joseph, M. J.; Vogel, S.; Hildebrandt, E.; Komissinskiy, P.; Kurian, J.; Schroeder, T.; Alff, L.

    2016-10-01

    We have investigated the material and electrical properties of tantalum oxide thin films (TaOx) with engineered oxygen contents grown by RF-plasma assisted molecular beam epitaxy. The optical bandgap and the density of the TaOx films change consistently with oxygen contents in the range of 3.63 to 4.66 eV and 12.4 to 9.0 g/cm3, respectively. When exposed to atmosphere, an oxidized Ta2O5-y surface layer forms with a maximal thickness of 1.2 nm depending on the initial oxygen deficiency of the film. X-ray photoelectron spectroscopy studies show that multiple sub-stoichiometric compositions occur in oxygen deficient TaOx thin films, where all valence states of Ta including metallic Ta are possible. Devices of the form Pt/Ta2O5-y/TaOx/TiN exhibit highly tunable forming voltages of 10.5 V to 1.5 V with decreasing oxygen contents in TaOx. While a stable bipolar resistive switching (BRS) occurs in all devices irrespective of oxygen content, unipolar switching was found to coexist with BRS only at higher oxygen contents, which transforms to a threshold switching behaviour in the devices grown under highest oxidation.

  3. Thin-film encapsulation of organic electronic devices based on vacuum evaporated lithium fluoride as protective buffer layer

    NASA Astrophysics Data System (ADS)

    Peng, Yingquan; Ding, Sihan; Wen, Zhanwei; Xu, Sunan; Lv, Wenli; Xu, Ziqiang; Yang, Yuhuan; Wang, Ying; Wei, Yi; Tang, Ying

    2017-03-01

    Encapsulation is indispensable for organic thin-film electronic devices to ensure reliable operation and long-term stability. For thin-film encapsulating organic electronic devices, insulating polymers and inorganic metal oxides thin films are widely used. However, spin-coating of insulating polymers directly on organic electronic devices may destroy or introduce unwanted impurities in the underlying organic active layers. And also, sputtering of inorganic metal oxides may damage the underlying organic semiconductors. Here, we demonstrated that by utilizing vacuum evaporated lithium fluoride (LiF) as protective buffer layer, spin-coated insulating polymer polyvinyl alcohol (PVA), and sputtered inorganic material Er2O3, can be successfully applied for thin film encapsulation of copper phthalocyanine (CuPc)-based organic diodes. By encapsulating with LiF/PVA/LiF trilayer and LiF/Er2O3 bilayer films, the device lifetime improvements of 10 and 15 times can be achieved. These methods should be applicable for thin-film encapsulation of all kinds of organic electronic devices. Moisture-induced hole trapping, and Al top electrode oxidation are suggest to be the origins of current decay for the LiF/PVA/LiF trilayer and LiF/Er2O3 bilayer films encapsulated devices, respectively.

  4. Improving the performance of perovskite solar cells with glycerol-doped PEDOT:PSS buffer layer

    NASA Astrophysics Data System (ADS)

    Jian-Feng, Li; Chuang, Zhao; Heng, Zhang; Jun-Feng, Tong; Peng, Zhang; Chun-Yan, Yang; Yang-Jun, Xia; Duo-Wang, Fan

    2016-02-01

    In this paper, we investigate the effects of glycerol doping on transmittance, conductivity and surface morphology of poly(3,4-ethylene dioxythiophene):poly(styrene sulfonate)) (PEDOT:PSS) and its influence on the performance of perovskite solar cells. . The conductivity of PEDOT:PSS is improved obviously by doping glycerol. The maximum of the conductivity is 0.89 S/cm when the doping concentration reaches 6 wt%, which increases about 127 times compared with undoped. The perovskite solar cells are fabricated with a configuration of indium tin oxide (ITO)/PEDOT:PSS/CH3NH3PbI3/PC61BM/Al, where PEDOT:PSS and PC61BM are used as hole and electron transport layers, respectively. The results show an improvement of hole charge transport as well as an increase of short-circuit current density and a reduction of series resistance, owing to the higher conductivity of the doped PEDOT:PSS. Consequently, it improves the whole performance of perovskite solar cell. The power conversion efficiency (PCE) of the device is improved from 8.57% to 11.03% under AM 1.5 G (100 mW/cm2 illumination) after the buffer layer has been modified. Project supported by the National Natural Science Foundation of China (Grant Nos. 61264002, 61166002, 91333206, and 51463011), the Natural Science Foundation of Gansu Province, China (Grant No. 1308RJZA159), the New Century Excellent Talents in University of Ministry of Education of China (Grant No. NCET-13-0840), the Research Project of Graduate Teacher of Gansu Province, China (Grant No. 2014A-0042), and the Postdoctoral Science Foundation from Lanzhou Jiaotong University, China.

  5. High rate buffer layer for IBAD MgO coated conductors

    DOEpatents

    Foltyn, Stephen R [Los Alamos, NM; Jia, Quanxi [Los Alamos, NM; Arendt, Paul N [Los Alamos, NM

    2007-08-21

    Articles are provided including a base substrate having a layer of an oriented material thereon, and, a layer of hafnium oxide upon the layer of an oriented material. The layer of hafnium oxide can further include a secondary oxide such as cerium oxide, yttrium oxide, lanthanum oxide, scandium oxide, calcium oxide and magnesium oxide. Such articles can further include thin films of high temperature superconductive oxides such as YBCO upon the layer of hafnium oxide or layer of hafnium oxide and secondary oxide.

  6. Theoretical and experimental study of highly textured GaAs on silicon using a graphene buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alaskar, Yazeed; Arafin, Shamsul; Lin, Qiyin

    2015-09-01

    A novel heteroepitaxial growth technique, quasi-van der Waals epitaxy, promises the ability to deposit three-dimensional GaAs materials on silicon using two-dimensional graphene as a buffer layer by overcoming the lattice and thermal expansion mismatch. In this study, density functional theory (DFT) simulations were performed to understand the interactions at the GaAs/graphene hetero-interface as well as the growth orientations of GaAs on graphene. To develop a better understanding of the molecular beam epitaxy-grown GaAs films on graphene, samples were characterized by x-ray diffraction (..theta..-2..theta.. scan, ..omega..-scan, grazing incidence XRD and pole figure measurement) and transmission electron microscopy. The realizations of smoothmore » GaAs films with a strong (111) oriented fiber-texture on graphene/silicon using this deposition technique are a milestone towards an eventual demonstration of the epitaxial growth of GaAs on silicon, which is necessary for integrated photonics application.« less

  7. A β-Ta system for current induced magnetic switching in the absence of external magnetic field

    NASA Astrophysics Data System (ADS)

    Chen, Wenzhe; Qian, Lijuan; Xiao, Gang

    2018-05-01

    Magnetic switching via Giant Spin Hall Effect (GSHE) has received great interest for its role in developing future spintronics logic or memory devices. In this work, a new material system (i.e. a transition metal sandwiched between two ferromagnetic layers) with interlayer exchange coupling is introduced to realize the deterministic field-free perpendicular magnetic switching. This system uses β-Ta, as the GSHE agent to generate a spin current and as the interlayer exchange coupling medium to generate an internal field. The critical switching current density at zero field is on the order of 106 A/cm2 due to the large spin Hall angle of β-Ta. The internal field, along with switching efficiency, depends strongly on the orthogonal magnetization states of two ferromagnetic coupling layers in this system.

  8. Chemically Deposited CdS Buffer/Kesterite Cu2ZnSnS4 Solar Cells: Relationship between CdS Thickness and Device Performance.

    PubMed

    Hong, Chang Woo; Shin, Seung Wook; Suryawanshi, Mahesh P; Gang, Myeng Gil; Heo, Jaeyeong; Kim, Jin Hyeok

    2017-10-25

    Earth-abundant, copper-zinc-tin-sulfide (CZTS), kesterite, is an attractive absorber material for thin-film solar cells (TFSCs). However, the open-circuit voltage deficit (V oc -deficit) resulting from a high recombination rate at the buffer/absorber interface is one of the major challenges that must be overcome to improve the performance of kesterite-based TFSCs. In this paper, we demonstrate the relationship between device parameters and performances for chemically deposited CdS buffer/CZTS-based heterojunction TFSCs as a function of buffer layer thickness, which could change the CdS/CZTS interface conditions such as conduction band or valence band offsets, to gain deeper insight and understanding about the V oc -deficit behavior from a high recombination rate at the CdS buffer/kesterite interface. Experimental results show that device parameters and performances are strongly dependent on the CdS buffer thickness. We postulate two meaningful consequences: (i) Device parameters were improved up to a CdS buffer thickness of 70 nm, whereas they deteriorated at a thicker CdS buffer layer. The V oc -deficit in the solar cells improved up to a CdS buffer thickness of 92 nm and then deteriorated at a thicker CdS buffer layer. (ii) The minimum values of the device parameters were obtained at 70 nm CdS thickness in the CZTS TFSCs. Finally, the highest conversion efficiency of 8.77% (V oc : 494 mV, J sc : 34.54 mA/cm 2 , and FF: 51%) is obtained by applying a 70 nm thick CdS buffer to the Cu 2 ZnSn(S,Se) 4 absorber layer.

  9. Reduction of shunt current in buffer-free IrMn based spin-valve structures

    NASA Astrophysics Data System (ADS)

    Kocaman, B.; Akdoğan, N.

    2018-06-01

    The presence of thick buffer layers in magnetic sensor devices decreases sensor sensitivity due to shunt currents. With this motivation, we produced IrMn-based spin-valve multilayers without using buffer layer. We also studied the effects of post-annealing and IrMn thickness on exchange bias field (HEB) and blocking temperature (TB) of the system. Magnetization measurements indicate that both HEB and TB values are significantly enhanced with post-annealing of IrMn layer. In addition, we report that IrMn thickness of the system strongly influences the magnetization and transport characteristics of the spin-valve structures. We found that the minimum thickness of IrMn layer is 6 nm in order to achieve the lowest shunt current and high blocking temperature (>300 K). We also investigated the training of exchange bias to check the long-term durability of IrMn-based spin-valve structures for device applications.

  10. Effect of hydrogen on the device performance and stability characteristics of amorphous InGaZnO thin-film transistors with a SiO2/SiNx/SiO2 buffer

    NASA Astrophysics Data System (ADS)

    Han, Ki-Lim; Ok, Kyung-Chul; Cho, Hyeon-Su; Oh, Saeroonter; Park, Jin-Seong

    2017-08-01

    We investigate the influence of the multi-layered buffer consisting of SiO2/SiNx/SiO2 on amorphous InGaZnO (a-IGZO) thin-film transistors (TFTs). The multi-layered buffer inhibits permeation of water from flexible plastic substrates and prevents degradation of overlying organic layers. The a-IGZO TFTs with a multi-layered buffer suffer less positive bias temperature stress instability compared to the device with a single SiO2 buffer layer after annealing at 250 °C. Hydrogen from the SiNx layer diffuses into the active layer and reduces electron trapping at loosely bound oxygen defects near the SiO2/a-IGZO interface. Quantitative analysis shows that a hydrogen density of 1.85 × 1021 cm-3 is beneficial to reliability. However, the multi-layered buffer device annealed at 350 °C resulted in conductive characteristics due to the excess carrier concentration from the higher hydrogen density of 2.12 × 1021 cm-3.

  11. Interface engineering in epitaxial growth of layered oxides via a conducting layer insertion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yun, Yu; Meng, Dechao; Wang, Jianlin

    2015-07-06

    There is a long-standing challenge in the fabrication of layered oxide epitaxial films due to their thermodynamic phase-instability and the large stacking layer number. Recently, the demand for high-quality thin films is strongly pushed by their promising room-temperature multiferroic properties. Here, we find that by inserting a conducting and lattice matched LaNiO{sub 3} buffer layer, high quality m = 5 Bi{sub 6}FeCoTi{sub 3}O{sub 18} epitaxial films can be fabricated using the laser molecular beam epitaxy, in which the atomic-scale sharp interface between the film and the metallic buffer layer explains the enhanced quality. The magnetic and ferroelectric properties of the high qualitymore » Bi{sub 6}FeCoTi{sub 3}O{sub 18} films are studied. This study demonstrates that insertion of the conducting layer is a powerful method in achieving high quality layered oxide thin films, which opens the door to further understand the underline physics and to develop new devices.« less

  12. Microhabitats in the tropics buffer temperature in a globally coherent manner.

    PubMed

    Scheffers, Brett R; Evans, Theodore A; Williams, Stephen E; Edwards, David P

    2014-12-01

    Vegetated habitats contain a variety of fine-scale features that can ameliorate temperate extremes. These buffered microhabitats may be used by species to evade extreme weather and novel climates in the future. Yet, the magnitude and extent of this buffering on a global scale remains unknown. Across all tropical continents and using 36 published studies, we assessed temperature buffering from within microhabitats across various habitat strata and structures (e.g. soil, logs, epiphytes and tree holes) and compared them to non-buffered macro-scale ambient temperatures (the thermal control). Microhabitats buffered temperature by 3.9 °C and reduced maximum temperatures by 3.5 °C. Buffering was most pronounced in tropical lowlands where temperatures were most variable. With the expected increase in extreme weather events, microhabitats should provide species with a local layer of protection that is not captured by traditional climate assessments, which are typically derived from macro-scale temperatures (e.g. satellites). Our data illustrate the need for a next generation of predictive models that account for species' ability to move within microhabitats to exploit favourable buffered microclimates. © 2014 The Author(s) Published by the Royal Society. All rights reserved.

  13. Nanostructured TaON/Ta3N5 as a highly efficient type-II heterojunction photoanode for photoelectrochemical water splitting.

    PubMed

    Pei, Lang; Wang, Hongxu; Wang, Xiaohui; Xu, Zhe; Yan, Shicheng; Zou, Zhigang

    2018-06-20

    Enhancing the charge separation by a semiconductor heterojunction is greatly promising and challenging for photoelectrochemical (PEC) water splitting. Here, we report for the first time the design and fabrication of a TaON/Ta3N5 heterojunction photoanode, in which the electrode Ta3N5 is the primary light absorber and TaON acts as an electron conductor. By combining the merits of the substantial light harvesting of Ta3N5 with the excellent charge transport capability of TaON, the TaON/Ta3N5 heterojunction photoanode, without any co-catalysts, shows a 350 mV negative shift of photocurrent onset potential to 0.65 V versus the reversible hydrogen electrode (RHE) compared to that of the Ta3N5 photoanode. The design and fabrication scheme can be readily extended to other (oxy)nitride semiconductors for heterojunction construction.

  14. Characteristics of the Energetic Igniters Through Integrating B/Ti Nano-Multilayers on TaN Film Bridge.

    PubMed

    Yan, YiChao; Shi, Wei; Jiang, HongChuan; Cai, XianYao; Deng, XinWu; Xiong, Jie; Zhang, WanLi

    2015-12-01

    The energetic igniters through integrating B/Ti nano-multilayers on tantalum nitride (TaN) ignition bridge are designed and fabricated. The X-ray diffraction (XRD) and temperature coefficient of resistance (TCR) results show that nitrogen content has a great influence on the crystalline structure and TCR. TaN films under nitrogen ratio of 0.99 % exhibit a near-zero TCR value of approximately 10 ppm/°C. The scanning electron microscopy demonstrates that the layered structure of the B/Ti multilayer films is clearly visible with sharp and smooth interfaces. The electrical explosion characteristics employing a capacitor discharge firing set at the optimized charging voltage of 45 V reveal an excellent explosion performance by (B/Ti) n /TaN integration film bridge with small ignition delay time, high explosion temperature, much more bright flash of light, and much large quantities of the ejected product particles than TaN film bridge.

  15. TRIO: Burst Buffer Based I/O Orchestration

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Teng; Oral, H Sarp; Pritchard, Michael

    The growing computing power on leadership HPC systems is often accompanied by ever-escalating failure rates. Checkpointing is a common defensive mechanism used by scientific applications for failure recovery. However, directly writing the large and bursty checkpointing dataset to parallel filesystem can incur significant I/O contention on storage servers. Such contention in turn degrades the raw bandwidth utilization of storage servers and prolongs the average job I/O time of concurrent applications. Recently burst buffer has been proposed as an intermediate layer to absorb the bursty I/O traffic from compute nodes to storage backend. But an I/O orchestration mechanism is still desiredmore » to efficiently move checkpointing data from bursty buffers to storage backend. In this paper, we propose a burst buffer based I/O orchestration framework, named TRIO, to intercept and reshape the bursty writes for better sequential write traffic to storage severs. Meanwhile, TRIO coordinates the flushing orders among concurrent burst buffers to alleviate the contention on storage server bandwidth. Our experimental results reveal that TRIO can deliver 30.5% higher bandwidth and reduce the average job I/O time by 37% on average for data-intensive applications in various checkpointing scenarios.« less

  16. Helium and deuterium irradiation effects in W-Ta composites produced by pulse plasma compaction

    NASA Astrophysics Data System (ADS)

    Dias, M.; Catarino, N.; Nunes, D.; Fortunato, E.; Nogueira, I.; Rosinki, M.; Correia, J. B.; Carvalho, P. A.; Alves, E.

    2017-08-01

    Tungsten-tantalum composites have been envisaged for first-wall components of nuclear fusion reactors; however, changes in their microstructure are expected from severe irradiation with helium and hydrogenic plasma species. In this study, composites were produced from ball milled W powder mixed with 10 at.% Ta fibers through consolidation by pulse plasma compaction. Implantation was carried out at room temperature with He+ (30 keV) or D+ (15 keV) or sequentially with He+ and D+ using ion beams with fluences of 5 × 1021 at/m2. Microstructural changes and deuterium retention in the implanted composites were investigated by scanning electron microscopy, coupled with focused ion beam and energy dispersive X-ray spectroscopy, transmission electron microscopy, X-ray diffraction, Rutherford backscattering spectrometry and nuclear reaction analysis. The composite materials consisted of Ta fibers dispersed in a nanostructured W matrix, with Ta2O5 layers at the interfacial regions. The Ta and Ta2O5 surfaces exhibited blisters after He+ implantation and subsequent D+ implantation worsened the blistering behavior of Ta2O5. Swelling was also pronounced in Ta2O5 where large blisters exhibited an internal nanometer-sized fuzz structure. Transmission electron microscopy revealed an extensive presence of dislocations in the metallic phases after the sequential implantation, while a relatively low density of defects was detected in Ta2O5. This behavior may be partially justified by a shielding effect from the blisters and fuzz structure developed progressively during implantation. The tungsten peaks in the X-ray diffractograms were markedly shifted after He+ implantation, and even more so after the sequential implantation, which is in agreement with the increased D retention inferred from nuclear reaction analysis.

  17. Design considerations for λ ˜ 3.0- to 3.5-μm-emitting quantum cascade lasers on metamorphic buffer layers

    NASA Astrophysics Data System (ADS)

    Rajeev, Ayushi; Sigler, Chris; Earles, Tom; Flores, Yuri V.; Mawst, Luke J.; Botez, Dan

    2018-01-01

    Quantum cascade lasers (QCLs) that employ metamorphic buffer layers as substrates of variable lattice constant have been designed for emission in the 3.0- to 3.5-μm wavelength range. Theoretical analysis of the active-region (AR) energy band structure, while using an 8-band k•p model, reveals that one can achieve both effective carrier-leakage suppression as well as fast carrier extraction in QCL structures of relatively low strain. Significantly lower indium-content quantum wells (QWs) can be employed for the AR compared to QWs employed for conventional short-wavelength QCL structures grown on InP, which, in turn, is expected to eliminate carrier leakage to indirect-gap valleys (X, L). An analysis of thermo-optical characteristics for the complete device design indicates that high-Al-content AlInAs cladding layers are more effective for both optical confinement and thermal dissipation than InGaP cladding layers. An electroluminescence-spectrum full-width half-maximum linewidth of 54.6 meV is estimated from interface roughness scattering and, by considering both inelastic and elastic scattering, the threshold-current density for 3.39-μm-emitting, 3-mm-long back-facet-coated QCLs is projected to be 1.40 kA/cm2.

  18. Comparison of reproduce signal and noise of conventional and keepered CoCrTa/Cr thin film media

    NASA Astrophysics Data System (ADS)

    Sin, Kyusik; Ding, Juren; Glijer, Pawel; Sivertsen, John M.; Judy, Jack H.; Zhu, Jian-Gang

    1994-05-01

    We studied keepered high coercivity CoCrTa/Cr thin film media with a Cr isolation layer between the CoCrTa storage and an overcoating of an isotropic NiFe soft magnetic layer. The influence of the thickness of the NiFe and Cr layers, and the effects of head bias current on the signal output and noise, were studied using a thin film head. The reproduced signal increased by 7.3 dB, but the signal-to-noise ratio decreased by 4 dB at a linear density of 2100 fr/mm (53.3 kfr/in.) with a 1000 Å thick NiFe keeper layer. The medium noise increased with increasing NiFe thickness and the signal output decreased with decreasing Cr thickness. A low output signal obtained with very thin Cr may be due to magnetic interactions between the keeper layer and magnetic media layer. It is observed that signal distortion and timing asymmetry of the output signals depend on the thickness of the keeper layer and the head bias current. The signal distortion increased and the timing asymmetry decreased as the head bias current was increased. These results may be associated with different permeability of the keeper under the poles of the thin film head due to the superposition of head bias and bit fields.

  19. Fluorescent vancomycin and terephthalate comodified europium-doped layered double hydroxides nanoparticles: synthesis and application for bacteria labelling

    NASA Astrophysics Data System (ADS)

    Sun, Jianchao; Fan, Hai; Wang, Nan; Ai, Shiyun

    2014-09-01

    Vancomycin (Van)- and terephthalate (TA)-comodified europium-doped layered double hydroxides (Van-TA-Eu-LDHs) nanoparticles were successfully prepared by a two-step method, in which, TA acted as a sensitizer to enhance the fluorescent property and Van was modified on the surface of LDH to act as an affinity reagent to bacteria. The obtained products were characterized by X-ray diffraction, transmission electron microscope and fluorescent spectroscopy. The results demonstrated that the prepared Van- and TA-comodified europium-doped layered double hydroxides (Van-TA-Eu-LDHs) nanoparticles with diameter of 50 nm in size showed highly efficient fluorescent property. Furthermore, due to the high affinity of Van to bacteria, the prepared Van-TA-Eu-LDHs nanoparticles showed efficient bacteria labelling by fluorescent property. The prepared nanoparticles may have wide applications in the biological fields, such as biomolecular labelling and cell imaging.

  20. Low elastic modulus Ti-Ta alloys for load-bearing permanent implants: enhancing the biodegradation resistance by electrochemical surface engineering.

    PubMed

    Kesteven, Jazmin; Kannan, M Bobby; Walter, Rhys; Khakbaz, Hadis; Choe, Han-Choel

    2015-01-01

    In this study, the in vitro degradation behaviour of titanium-tantalum (Ti-Ta) alloys (10-30 wt.% Ta) was investigated and compared with conventional implant materials, i.e., commercially pure titanium (Cp-Ti) and titanium-aluminium-vanadium (Ti6Al4V) alloy. Among the three Ti-Ta alloys studied, the Ti20Ta (6.3×10(-4) mm/y) exhibited the lowest degradation rate, followed by Ti30Ta (1.2×10(-3) mm/y) and Ti10Ta (1.4×10(-3) mm/y). All the Ti-Ta alloys exhibited lower degradation rate than that of Cp-Ti (1.8×10(-3) mm/y), which suggests that Ta addition to Ti is beneficial. As compared to Ti6Al4V alloy (8.1×10(-4) mm/y), the degradation rate of Ti20Ta alloy was lower by ~22%. However, the Ti30Ta alloy, which has closer elastic modulus to that of natural bone, showed ~48% higher degradation rate than that of Ti6Al4V alloy. Hence, to improve the degradation performance of Ti30Ta alloy, an intermediate thin porous layer was formed electrochemically on the alloy followed by calcium phosphate (CaP) electrodeposition. The coated Ti30Ta alloy (3.8×10(-3) mm/y) showed ~53% lower degradation rate than that of Ti6Al4V alloy. Thus, the study suggests that CaP coated Ti30Ta alloy can be a viable material for load-bearing permanent implants. Copyright © 2014 Elsevier B.V. All rights reserved.

  1. Strain Effects in Epitaxial VO2 Thin Films on Columnar Buffer-Layer TiO2/Al2O3 Virtual Substrates.

    PubMed

    Breckenfeld, Eric; Kim, Heungsoo; Burgess, Katherine; Charipar, Nicholas; Cheng, Shu-Fan; Stroud, Rhonda; Piqué, Alberto

    2017-01-18

    Epitaxial VO 2 /TiO 2 thin film heterostructures were grown on (100) (m-cut) Al 2 O 3 substrates via pulsed laser deposition. We have demonstrated the ability to reduce the semiconductor-metal transition (SMT) temperature of VO 2 to ∼44 °C while retaining a 4 order of magnitude SMT using the TiO 2 buffer layer. A combination of electrical transport and X-ray diffraction reciprocal space mapping studies help examine the specific strain states of VO 2 /TiO 2 /Al 2 O 3 heterostructures as a function of TiO 2 film growth temperatures. Atomic force microscopy and transmission electron microscopy analyses show that the columnar microstructure present in TiO 2 buffer films is responsible for the partially strained VO 2 film behavior and subsequently favorable transport characteristics with a lower SMT temperature. Such findings are of crucial importance for both the technological implementation of the VO 2 system, where reduction of its SMT temperature is widely sought, as well as the broader complex oxide community, where greater understanding of the evolution of microstructure, strain, and functional properties is a high priority.

  2. Xanthine oxidase functionalized Ta2O5 nanostructures as a novel scaffold for highly sensitive SPR based fiber optic xanthine sensor.

    PubMed

    Kant, Ravi; Tabassum, Rana; Gupta, Banshi D

    2018-01-15

    Fabrication and characterization of a surface plasmon resonance based fiber optic xanthine sensor using entrapment of xanthine oxidase (XO) enzyme in several nanostructures of tantalum (v) oxide (Ta 2 O 5 ) have been reported. Chemical route was adopted for synthesizing Ta 2 O 5 nanoparticles, nanorods, nanotubes and nanowires while Ta 2 O 5 nanofibers were prepared by electrospinning technique. The synthesized Ta 2 O 5 nanostructures were characterized by photoluminescence, scanning electron microscopy, UV-Visible spectra and X-ray diffraction pattern. The probes were fabricated by coating an unclad core of the fiber with silver layer followed by the deposition of XO entrapped Ta 2 O 5 nanostructures. The crux of sensing mechanism relies on the modification of dielectric function of sensing layer upon exposure to xanthine solution of diverse concentrations, reflected in terms of shift in resonance wavelength. The sensing probe coated with XO entrapped Ta 2 O 5 nanofibers has been turned out to possess maximum sensitivity amongst the synthesized nanostructures. The probe was optimized in terms of pH of the sample and the concentration of XO entrapped in Ta 2 O 5 nanofibers. The optimized sensing probe possesses a remarkably good sensitivity of 26.2nm/µM in addition to linear range from 0 to 3µM with an invincible LOD value of 0.0127µM together with a response time of 1min. Furthermore, probe selectivity with real sample analysis ensure the usage of the sensor for practical scenario. The results reported open a novel perspective towards a sensitive, rapid, reliable and selective detection of xanthine. Copyright © 2017 Elsevier B.V. All rights reserved.

  3. Hierarchical rendering of trees from precomputed multi-layer z-buffers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Max, N.

    1996-02-01

    Chen and Williams show how precomputed z-buffer images from different fixed viewing positions can be reprojected to produce an image for a new viewpoint. Here images are precomputed for twigs and branches at various levels in the hierarchical structure of a tree, and adaptively combined, depending on the position of the new viewpoint. The precomputed images contain multiple z levels to avoid missing pixels in the reconstruction, subpixel masks for anti-aliasing, and colors and normals for shading after reprojection.

  4. Intermixing at the absorber-buffer layer interface in thin-film solar cells: The electronic effects of point defects in Cu(In,Ga)(Se,S) 2 and Cu 2ZnSn(Se,S) 4 devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Varley, J. B.; Lordi, V.

    We investigate point defects in the buffer layers CdS and ZnS that may arise from intermixing with Cu(In,Ga)(S,Se) 2 (CIGS) or Cu 2ZnSn(S,Se) 4 (CZTS) absorber layers in thin-film photovoltaics. Using hybrid functional calculations, we characterize the electrical and optical behavior of Cu, In, Ga, Se, Sn, Zn, Na, and K impurities in the buffer. We find that In and Ga substituted on the cation site act as shallow donors in CdS and tend to enhance the prevailing n-type conductivity at the interface facilitated by Cd incorporation in CIGS, whereas they are deep donors in ZnS and will be lessmore » effective dopants. Substitutional In and Ga can favorably form complexes with cation vacancies (A-centers) which may contribute to the “red kink” effect observed in some CIGS-based devices. For CZTS absorbers, we find that Zn and Sn defects substituting on the buffer cation site are electrically inactive in n-type buffers and will not supplement the donor doping at the interface as in CIGS/CdS or ZnS devices. Sn may also preferentially incorporate on the S site as a deep acceptor in n-type ZnS, which suggests possible concerns with absorber-related interfacial compensation in CZTS devices with ZnS-derived buffers. Cu, Na, and K impurities are found to all have the same qualitative behavior, most favorably acting as compensating acceptors when substituting on the cation site. Lastly, our results suggest one beneficial role of K and Na incorporation in CIGS or CZTS devices is the partial passivation of vacancy-related centers in CdS and ZnS buffers, rendering them less effective interfacial hole traps and recombination centers.« less

  5. Intermixing at the absorber-buffer layer interface in thin-film solar cells: The electronic effects of point defects in Cu(In,Ga)(Se,S) 2 and Cu 2ZnSn(Se,S) 4 devices

    DOE PAGES

    Varley, J. B.; Lordi, V.

    2014-08-08

    We investigate point defects in the buffer layers CdS and ZnS that may arise from intermixing with Cu(In,Ga)(S,Se) 2 (CIGS) or Cu 2ZnSn(S,Se) 4 (CZTS) absorber layers in thin-film photovoltaics. Using hybrid functional calculations, we characterize the electrical and optical behavior of Cu, In, Ga, Se, Sn, Zn, Na, and K impurities in the buffer. We find that In and Ga substituted on the cation site act as shallow donors in CdS and tend to enhance the prevailing n-type conductivity at the interface facilitated by Cd incorporation in CIGS, whereas they are deep donors in ZnS and will be lessmore » effective dopants. Substitutional In and Ga can favorably form complexes with cation vacancies (A-centers) which may contribute to the “red kink” effect observed in some CIGS-based devices. For CZTS absorbers, we find that Zn and Sn defects substituting on the buffer cation site are electrically inactive in n-type buffers and will not supplement the donor doping at the interface as in CIGS/CdS or ZnS devices. Sn may also preferentially incorporate on the S site as a deep acceptor in n-type ZnS, which suggests possible concerns with absorber-related interfacial compensation in CZTS devices with ZnS-derived buffers. Cu, Na, and K impurities are found to all have the same qualitative behavior, most favorably acting as compensating acceptors when substituting on the cation site. Lastly, our results suggest one beneficial role of K and Na incorporation in CIGS or CZTS devices is the partial passivation of vacancy-related centers in CdS and ZnS buffers, rendering them less effective interfacial hole traps and recombination centers.« less

  6. Modification of opto-electronic properties of ZnO by incorporating metallic tin for buffer layer in thin film solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deepu, D. R.; Jubimol, J.; Kartha, C. Sudha

    2015-06-24

    In this report, the effect of incorporation of metallic tin (Sn) on opto-electronic properties of ZnO thin films is presented. ZnO thin films were deposited through ‘automated chemical spray pyrolysis’ (CSP) technique; later different quantities of ‘Sn’ were evaporated on it and subsequently annealed. Vacuum annealing showed a positive effect on crystallinity of films. Creation of sub band gap levels due to ‘Sn’ diffusion was evident from the absorption and PL spectra. The tin incorporated films showed good photo response in visible region. Tin incorporated ZnO thin films seem to satisfy the desirable criteria for buffer layer in thin filmmore » solar cells.« less

  7. Article with buffer layer and method of making the same

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McCamy, James W.; Ma, Zhixun; Kabagambe, Benjamin

    A method of forming a coating layer on a glass substrate in a glass manufacturing process includes: providing a first coating precursor material for a selected coating layer composition to at least one multislot coater to form a first coating region of the selected coating layer; and providing a second coating precursor material for the selected coating layer composition to the multislot coater to form a second coating region of the selected coating layer over the first region. The first coating precursor material is different than the second precursor coating material.

  8. Convergent Geometry Foam Buffered Direct Drive Experiments*

    NASA Astrophysics Data System (ADS)

    Watt, R. G.; Wilson, D. C.; Hollis, R. V.; Gobby, P. L.; Chrien, R. E.; Mason, R. J.; Kopp, R. A.; Willi, O.; Iwase, A.; Barringer, L. H.; Gaillard, R.; Kalantar, D. H.; Lerche, R. A.; MacGowan, B.; Nelson, M.; Phillips, T.; Knauer, J. P.; McKenty, P. W.

    1996-11-01

    A serious concern for directly driven ICF implosions is the asymmetry imparted to the capsule by laser drive non-uniformities, particularly the ``early time imprint'' remaining despite the use of random phase plates and smoothing with spectral dispersion. The use of a foam buffer has been proposed as a means to reduce this imprint. Two types of convergent geometry tests of the technique to correct static nonuniformities have been studied to date; cylindrical implosions at the Trident and Vulcan lasers, and spherical implosions at the NOVA laser, all using 527 nm laser drive. Cylindrical implosions used end on x-ray backlighter imaging of inner surface disruption due an intentional hole in the drive footprint, using 50 mg/cc acyrlate foam with a thin Au preheat layer. Spherical implosions used 50 mg/cc polystyrene foam plus Au to study yield and imploded core symmetry of capsules with and without a foam buffer, in comparison to ``clean 1D'' calculations. For thick enough layers, all cases showed improvement. Details of the experiments and theoretical unpinnings will be shown. *Work performed under US DOE Contract No. W-7405-Eng-36.

  9. Structural evaluation of InAsP/InGaAsP strained-layer superlattices with dislocations as grown by metal-organic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Nakashima, Kiichi; Sugiura, Hideo

    1997-08-01

    The relaxation process in InAsP/InGaAsP strained-layer superlattices (SLSs) with interfacial misfit dislocations has been investigated systematically by transmission electron microscopy (TEM) and x-ray analyses. The TEM analysis reveals that dislocations locate a little inside the buffer layer near the interface between the buffer and first well layer in the SLS. The x-ray analysis of (400) azimuthal angle dependence indicates the buffer layer has a large macroscopic tilt. Using a curve fitting analysis of various (hkl) x-ray profiles and reciprocal lattice mapping measurements, residual strain was determined quantitatively, i.e., Δa∥ and Δa⊥, in the SLS and buffer layer. These results reveal that the dislocations mainly cause lattice distortion of the buffer layer rather than relaxation of the SLS layer. The most remarkable result is that the change of a∥ is not equal to that of a⊥ in the buffer layer. This phenomenon strongly suggests that microplastic domains are generated in the buffer layer.

  10. Reduced interface recombination in Cu{sub 2}ZnSnS{sub 4} solar cells with atomic layer deposition Zn{sub 1−x}Sn{sub x}O{sub y} buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Platzer-Björkman, C.; Frisk, C.; Larsen, J. K.

    2015-12-14

    Cu{sub 2}ZnSnS{sub 4} (CZTS) solar cells typically include a CdS buffer layer in between the CZTS and ZnO front contact. For sulfide CZTS, with a bandgap around 1.5 eV, the band alignment between CZTS and CdS is not ideal (“cliff-like”), which enhances interface recombination. In this work, we show how a Zn{sub 1−x}Sn{sub x}O{sub y} (ZTO) buffer layer can replace CdS, resulting in improved open circuit voltages (V{sub oc}) for CZTS devices. The ZTO is deposited by atomic layer deposition (ALD), with a process previously developed for Cu(In,Ga)Se{sub 2} solar cells. By varying the ALD process temperature, the position of themore » conduction band minimum of the ZTO is varied in relation to that of CZTS. A ZTO process at 95 °C is found to give higher V{sub oc} and efficiency as compared with the CdS reference devices. For a ZTO process at 120 °C, where the conduction band alignment is expected to be the same as for CdS, the V{sub oc} and efficiency is similar to the CdS reference. Further increase in conduction band minimum by lowering the deposition temperature to 80 °C shows blocking of forward current and reduced fill factor, consistent with barrier formation at the junction. Temperature-dependent current voltage analysis gives an activation energy for recombination of 1.36 eV for the best ZTO device compared with 0.98 eV for CdS. We argue that the V{sub oc} of the best ZTO devices is limited by bulk recombination, in agreement with a room temperature photoluminescence peak at around 1.3 eV for both devices, while the CdS device is limited by interface recombination.« less

  11. Nitridation- and Buffer-Layer-Free Growth of [1100]-Oriented GaN Domains on m-Plane Sapphire Substrates by Using Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Seo, Yeonwoo; Lee, Sanghwa; Jue, Miyeon; Yoon, Hansub; Kim, Chinkyo

    2012-12-01

    Over a wide range of growth conditions, GaN domains were grown on bare m-plane sapphire substrates by using hydride vapor phase epitaxy (HVPE), and the relation between these growth conditions and three possible preferred crystallographic orientations ([1100], [1103], [1122]) of GaN domains was investigated. In contrast with the previous reports by other groups, our results revealed that preferentially [1100]-oriented GaN domains were grown without low-temperature nitridation or a buffer layer, and that the growth condition of preferentially [1100]-oriented GaN was insensitive to V/III ratio.

  12. Microstructure and high temperature oxidation resistance of Ti-Ni gradient coating on TA2 titanium alloy fabricated by laser cladding

    NASA Astrophysics Data System (ADS)

    Liu, Fencheng; Mao, Yuqing; Lin, Xin; Zhou, Baosheng; Qian, Tao

    2016-09-01

    To improve the high temperature oxidation resistance of TA2 titanium alloy, a gradient Ni-Ti coating was laser cladded on the surface of the TA2 titanium alloy substrate, and the microstructure and oxidation behavior of the laser cladded coating were investigated experimentally. The gradient coating with a thickness of about 420-490 μm contains two different layers, e.g. a bright layer with coarse equiaxed grain and a dark layer with fine and columnar dendrites, and a transition layer with a thickness of about 10 μm exists between the substrate and the cladded coating. NiTi, NiTi2 and Ni3Ti intermetallic compounds are the main constructive phases of the laser cladded coating. The appearance of these phases enhances the microhardness, and the dense structure of the coating improves its oxidation resistance. The solidification procedure of the gradient coating is analyzed and different kinds of solidification processes occur due to the heat dissipation during the laser cladding process.

  13. Nb and Ta layer doping effects on the interfacial energetics and electronic properties of LaAlO3/SrTiO3 heterostructure: first-principles analysis.

    PubMed

    Nazir, Safdar; Behtash, Maziar; Cheng, Jianli; Luo, Jian; Yang, Kesong

    2016-01-28

    The two-dimensional electron gas (2DEG) formed at the n-type (LaO)(+1)/(TiO2)(0) interface in the polar/nonpolar LaAlO3/SrTiO3 (LAO/STO) heterostructure (HS) has emerged as a prominent research area because of its great potential for nanoelectronic applications. Due to its practical implementation in devices, desired physical properties such as high charge carrier density and mobility are vital. In this respect, 4d and 5d transition metal doping near the interfacial region is expected to tailor electronic properties of the LAO/STO HS system effectively. Herein, we studied Nb and Ta-doping effects on the energetics, electronic structure, interfacial charge carrier density, magnetic moment, and the charge confinements of the 2DEG at the n-type (LaO)(+1)/(TiO2)(0) interface of LAO/STO HS using first-principles density functional theory calculations. We found that the substitutional doping of Nb(Ta) at Ti [Nb(Ta)@Ti] and Al [Nb(Ta)@Al] sites is energetically more favorable than that at La [Nb(Ta)@La] and Sr [Nb(Ta)@Sr] sites, and under appropriate thermodynamic conditions, the changes in the interfacial energy of HS systems upon Nb(Ta)@Ti and Nb(Ta)@Al doping are negative, implying that the formation of these structures is energetically favored. Our calculations also showed that Nb(Ta)@Ti and Nb(Ta)@Al doping significantly improve the interfacial charge carrier density with respect to that of the undoped system, which is because the Nb(Ta) dopant introduces excess free electrons into the system, and these free electrons reside mainly on the Nb(Ta) ions and interfacial Ti ions. Hence, along with the Ti 3d orbitals, the Nb 4d and Ta 5d orbitals also contribute to the interfacial metallic states; accordingly, the magnetic moments on the interfacial Ti ions increase significantly. As expected, the Nb@Al and Ta@Al doped LAO/STO HS systems show higher interfacial charge carrier density than the undoped and other doped systems. In contrast, Nb@Ti and Ta@Ti doped systems may

  14. Buffer-free therapeutic antibody preparations provide a viable alternative to conventionally buffered solutions: from protein buffer capacity prediction to bioprocess applications.

    PubMed

    Bahrenburg, Sven; Karow, Anne R; Garidel, Patrick

    2015-04-01

    Protein therapeutics, including monoclonal antibodies (mAbs), have significant buffering capacity, particularly at concentrations>50 mg/mL. This report addresses pH-related issues critical to adoption of self-buffered monoclonal antibody formulations. We evaluated solution conditions with protein concentrations ranging from 50 to 250 mg/mL. Samples were both buffer-free and conventionally buffered with citrate. Samples were non-isotonic or adjusted for isotonicity with NaCl or trehalose. Studies included accelerated temperature stability tests, shaking stability studies, and pH changes in infusion media as protein concentrate is added. We present averaged buffering slopes of capacity that can be applied to any mAb and present a general method for calculating buffering capacity of buffer-free, highly concentrated antibody liquid formulations. In temperature stability tests, neither buffer-free nor conventionally buffered solution conditions showed significant pH changes. Conventionally buffered solutions showed significantly higher opalescence than buffer-free ones. In general, buffer-free solution conditions showed less aggregation than conventionally buffered solutions. Shaking stability tests showed no differences between buffer-free and conventionally buffered solutions. "In-use" preparation experiments showed that pH in infusion bag medium can rapidly approximate that of self-buffered protein concentrate as concentrate is added. In summary, the buffer capacity of proteins can be predicted and buffer-free therapeutic antibody preparations provide a viable alternative to conventionally buffered solutions. Copyright © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Feasibility study of Fe3O4/TaO x nanoparticles as a radiosensitizer for proton therapy

    NASA Astrophysics Data System (ADS)

    Ahn, Sang Hee; Lee, Nohyun; Choi, Changhoon; Shin, Sung Won; Han, Youngyih; Park, Hee Chul

    2018-06-01

    We investigated the feasibility of using multifunctional Fe3O4/TaO x (core/shell) nanoparticles, developed for use in contrast agents for computed tomography (CT) and magnetic resonance imaging (MRI), as dose-enhancing radiosensitizers. First, to verify the detectability of Fe3O4/TaO x nanoparticles in imaging, in vivo tests were conducted. Approximately 600 mg kg‑1 of 19 nm-diameter Fe3O4/TaO x nanoparticles dispersed in phosphate-buffered saline was injected into the tail vein of six Balb/c mice used as tumour (4T1 mammary carcinoma cell) models. Three mice underwent MRI (BioSpec 70/20 USR, Bruker, Billerica, MA, USA) and micro-CT (Inveon, Siemens Preclinical, Knoxville, TN, USA) before and after the injection. The difference between the pre- and post-injection images was quantified by finding the correlation coefficient. The aorta, blood vessel, and liver were clearly seen in the MRI and micro-CT images 60 min after intravenous injection of Fe3O4/TaO x nanoparticles, but the tumour region was not visible in the CT images until after 24 h. There were large differences between the pre- and post-injection images. Second, the therapeutic enhancement dose of nanomaterials was computed via Monte Carlo simulation. Monoenergetic 70- and 150 MeV proton beams irradiated x-ray contrast agent (iodine, BaSO4), MRI contrast agent (gadolinium, Fe3O4), Au, Fe3O4/TaO x (core/shell) nanoparticles and water located at the centre of a 4  ×  4  ×  4 µm3 water phantom, upon which the dose enhancement ratio (DER) (dose with/without nanoparticles) was computed. When 70 MeV protons irradiated the Au, gadolinium, Fe3O4/TaO x , Fe3O4, iodine, and BaSO4 nanoparticles, the DERs at 1 nm were 15.76, 7.68, 7.82, 6.17, 4.85, and 5.51, respectively. Fe3O4/TaO x nanoparticles have the potential to be used as a multifunctional agent that enhances tumour detection and increases the dose. Dose enhancement with Fe3O4/TaO x was half that with Au. However, Fe3O4/TaO x is

  16. Assessment of relative Ti, Ta, and Nb (TiTaN) enrichments in global ocean island basalts

    NASA Astrophysics Data System (ADS)

    Peters, B.; Day, J. M.

    2013-12-01

    The relative sensitivity of trace element concentrations to processes governing solid-melt and solid-fluid interactions has made them particularly useful for tracing the effects of partial melting, fractional crystallization, metasomatism and similar processes on the composition of a parental melt to a rock or mineral. Radiogenic and stable isotope compositions, in contrast, can provide information on the long-term history and provenance of magmas. Despite the distinct information derived from relative and absolute abundances of trace elements compared with isotopes, numerous studies of ocean island basalts (OIB) have attempted to use trace elements as diagnostic geochemical tracers to understand parental magma compositions. In particular, attempts have been made to correlate 'TiTaN' (Ti, Ta and Nb) anomalies to the He-Os isotopic compositions of OIB based on contributions from recycled eclogite, a theoretical high-TiTaN reservoir, and peridotite, a theoretical high-3He/4He reservoir (Jackson, et al., 2008 G-cubed). These authors have proposed that TiTaN anomalies can be used as independent indicators for recycled oceanic crust and lithospheric mantle in OIB sources, a distinction previously reserved for isotopic data. However, TiTaN anomalies appear uncorrelated to OIB mantle source composition for three reasons. First, a new geochemical compilation of global OIB shows a wide range of Ti (Ti/Ti* = 0.28 - 2.35), Ta (Ta/Ta* = 0.11 - 93.42) and Nb (Nb/Nb* = 0.13 - 17.79) anomalies that do not correlated with each other or noble gas systematics, indicating that: (i) TiTaN anomalies alone do not correspond to the primitive source traced by high-3He/4He or the solar neon component and (ii) Ti, Ta and Nb anomalies may each reflect distinct processes or origins, rather than tracing a single source or process together. Second, positive Ti anomalies can be generated by low-degree (1-10%), non-modal batch partial melting of garnet lherzolite at temperatures and pressures

  17. Tribological properties of self-lubricating Ta-Cu films

    NASA Astrophysics Data System (ADS)

    Qin, Wen; Fu, Licai; Zhu, Jiajun; Yang, Wulin; Li, Deyi; Zhou, Lingping

    2018-03-01

    In this paper, Ta and TaCu films were deposited by using magnetron sputtering, and the tribological properties of the films against Si3N4 balls were investigated under the loads of 2 N and 5 N. The average grain sizes of both films are below 25 nm. Ta and TaCu films have approximate hardness. While the wear rate of TaCu film is much smaller than that of Ta film. Post-wear testing XRD, Raman and XPS revealed the formation of tantalum oxide on the worn surface of both Ta and TaCu films. Tantalum oxidation is effectively lubricating to reduce friction coefficient. So the friction coefficient of both Ta and TaCu film is about 0.45 under different applied loads. Meanwhile, the addition of Cu could increase the toughness of the film, and avoid the generation of wear debris, resulting in a significant increase in wear resistance.

  18. Growth and characterization of an InSb infrared photoconductor on Si via an AlSb/GaSb buffer

    NASA Astrophysics Data System (ADS)

    Jia, Bo Wen; Tan, Kian Hua; Loke, Wan Khai; Wicaksono, Satrio; Yoon, Soon Fatt

    2018-05-01

    A 99.6% relaxed InSb layer is grown on a 6° offcut (1 0 0) Si substrate via an AlSb/GaSb buffer using molecular beam epitaxy (MBE). A 200 nm GaSb buffer is first grown on Si and the lattice mismatch between them is accommodated by an interfacial misfit (IMF) array consisting of uniformly distributed 90° misfit dislocations. Si delta doping is introduced during the growth of GaSb to reduce the density of threading dislocation. Subsequently, a 50 nm AlSb buffer is grown followed by a 0.8 μm InSb layer. The InSb layer exhibits a 300 K electron mobility of 22,300 cm2/Vs. An InSb photoconductor on Si is demonstrated with a photoconductive gain from 77 K to 200 K under a 700 °C maintained blackbody.

  19. Improved Optical Transmittance and Crystal Characteristics of ZnS:TbOF Thin Film on Bi4Ti3O12/Indium Tin Oxide/Glass Substrate by Using a SiO2 Buffer Layer

    NASA Astrophysics Data System (ADS)

    Chia, Wei‑Kuo; Yokoyama, Meiso; Yang, Cheng‑Fu; Chiang, Wang‑Ta; Chen, Ying‑Chung

    2006-07-01

    Bi4Ti3O12 thin films are deposited on indium tin oxide (ITO)/glass substrates using RF magnetron sputtering technology and are annealed at 675 °C in a rapid thermal annealing furnace in an oxygen atmosphere. The resulting films have high optical transmittances and good crystalline characteristics. ZnS:TbOF films are then deposited on the Bi4Ti3O12 films, causing the originally highly transparent specimens to blacken and to resemble a glass surface coated with carbon powder. The optical transmittance of the specimen is less than 15% under the visible wavelength range, and neither a crystalline phase nor a distinct ZnS grain structure is evident in X-ray diffractometer (XRD) and scanning electronic microscope (SEM). Secondary ion mass spectrometer (SIMS) analysis reveals the occurrence of interdiffusion between the ZnS and Bi4Ti3O12 layers. This suggests that one or more unknown chemical reactions take place among the elements Bi, S, and O at the interface during the deposition of ZnS:TbOF film on a Bi4Ti3O12/ITO/glass substrate. These reactions cause the visible transmittance of the specimens to deteriorate dramatically. To prevent interdiffusion, a silicon dioxide (SiO2) buffer layer 100 nm thick was grown on the Bi4Ti3O12/ITO/glass substrate using plasma-enhanced chemical vapor deposition (PECVD), then the ZnS:TbOF film was grown on the SiO2 buffer layer. The transmittance of the resulting specimen is enhanced approximately 8-fold in the visible region. XRD patterns reveal the ZnS(111)-oriented phase is dominant. Furthermore, dense, crack-free ZnS:TbOF grains are observed by SEM. The results imply that the SiO2 buffer layer sandwiched between the ZnS:TbOF and Bi4Ti3O2 layers effectively separates the two layers. Therefore, interdiffusion and chemical reactions are prevented at the interface of the two layers, and the crystalline characteristics of the ZnS:TbOF layer and the optical transmittance of the specimen are improved as a result. Finally, the dielectric

  20. Step buffer layer of Al0.25Ga0.75N/Al0.08Ga0.92N on P-InAlN gate normally-off high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Shrestha, Niraj M.; Li, Yiming; Chang, E. Y.

    2016-07-01

    Normally-off AlGaN/GaN high electron mobility transistors (HEMTs) are indispensable devices for power electronics as they can greatly simplify circuit designs in a cost-effective way. In this work, the electrical characteristics of p-type InAlN gate normally-off AlGaN/GaN HEMTs with a step buffer layer of Al0.25Ga0.75N/Al0.1Ga0.9N is studied numerically. Our device simulation shows that a p-InAlN gate with a step buffer layer allows the transistor to possess normally-off behavior with high drain current and high breakdown voltage simultaneously. The gate modulation by the p-InAlN gate and the induced holes appearing beneath the gate at the GaN/Al0.25Ga0.75N interface is because a hole appearing in the p-InAlN layer can effectively vary the threshold voltage positively. The estimated threshold voltage of the normally-off HEMTs explored is 2.5 V at a drain bias of 25 V, which is 220% higher than the conventional p-AlGaN normally-off AlGaN/GaN gate injection transistor (GIT). Concurrently, the maximum current density of the explored HEMT at a drain bias of 10 V slightly decreases by about 7% (from 240 to 223 mA mm-1). At a drain bias of 15 V, the current density reached 263 mA mm-1. The explored structure is promising owing to tunable positive threshold voltage and the maintenance of similar current density; notably, its breakdown voltage significantly increases by 36% (from 800 V, GIT, to 1086 V). The engineering findings of this study indicate that novel p-InAlN for both the gate and the step buffer layer can feature a high threshold voltage, large current density and high operating voltage for advanced AlGaN/GaN HEMT devices.

  1. Application of cluster-plus-glue-atom model to barrierless Cu–Ni–Ti and Cu–Ni–Ta films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Xiaona, E-mail: lixiaona@dlut.edu.cn; Ding, Jianxin; Wang, Miao

    To improve the thermal stability of copper and avoid its diffusion into surrounding dielectrics or interfacial reactions with them, the authors applied the cluster-plus-glue-atom model to investigate barrierless Cu–Ni–M (M = Ti or Ta) seed layers. The dissolution of the third element (Ti or Ta) in the Cu lattice with the aid of Ni significantly improved the thermal stability of the Cu seed layer. The appropriate M/Ni (M = Ti or Ta) ratio was selected to obtain a low resistivity: the resistivity was as low as 2.5 μΩ cm for the (Ti{sub 1.5/13.5}Ni{sub 12/13.5}){sub 0.3}Cu{sub 99.7} film and 2.8 μΩ cm for the (Ta{sub 1.1/13.1}Ni{sub 12/13.1}){submore » 0.4}Cu{sub 99.6} film after annealing at 500 °C for 1 h. After annealing at 500 °C for 40 h, the two films remained stable without forming a Cu{sub 3}Si compound. The authors confirmed that the range of applications of the cluster-plus-glue-atom model could be extended. Therefore, a third element M with negative enthalpies of mixing with both Cu and Ni could be selected, under the premise that the mixing enthalpy of M–Ni is more negative than that of M–Cu.« less

  2. Giant magnetoresistance enhancement in spin valves with nano-oxide layers

    NASA Astrophysics Data System (ADS)

    Lai, Chih-Huang; Chen, C. J.; Chin, T. S.

    2001-06-01

    The magnetoresistance (MR) ratio is enhanced by 35% by inserting the nano-oxide layer (NOL) at the Ta/Co interface in the FeMn-based top spin valves (Ta/NOL/Co/Cu/Co/FeMn/Ta). The enhancement is attributed to specular reflection, resulting in a large resistance change and small sheet resistance. However, the formation of NOL at the interface of Ta/Co suppresses the (111) texture, resulting in small exchange fields. Top spin valves with NOLs show good thermal stability up to 200 °C annealing. The MR ratio is further increased after annealing at temperatures below 200 °C. Enhancement of the MR ratio by 61% can be achieved by annealing at 150 °C. For bottom spin valves (Ta/NiFe/FeMn/Co/Cu/NiFe/Ta), NOLs formed at FeMn/Co and NiFe/Ta interfaces increase MR ratios, but NOLs at Co/Cu or Cu/NiFe deteriorate the differential spin scattering and significantly reduce MR ratios.

  3. Tuning the Phase and Microstructural Properties of TiO2 Films Through Pulsed Laser Deposition and Exploring Their Role as Buffer Layers for Conductive Films

    NASA Astrophysics Data System (ADS)

    Agarwal, S.; Haseman, M. S.; Leedy, K. D.; Winarski, D. J.; Saadatkia, P.; Doyle, E.; Zhang, L.; Dang, T.; Vasilyev, V. S.; Selim, F. A.

    2018-04-01

    Titanium oxide (TiO2) is a semiconducting oxide of increasing interest due to its chemical and thermal stability and broad applicability. In this study, thin films of TiO2 were deposited by pulsed laser deposition on sapphire and silicon substrates under various growth conditions, and characterized by x-ray diffraction (XRD), atomic force microscopy (AFM), optical absorption spectroscopy and Hall-effect measurements. XRD patterns revealed that a sapphire substrate is more suitable for the formation of the rutile phase in TiO2, while a silicon substrate yields a pure anatase phase, even at high-temperature growth. AFM images showed that the rutile TiO2 films grown at 805°C on a sapphire substrate have a smoother surface than anatase films grown at 620°C. Optical absorption spectra confirmed the band gap energy of 3.08 eV for the rutile phase and 3.29 eV for the anatase phase. All the deposited films exhibited the usual high resistivity of TiO2; however, when employed as a buffer layer, anatase TiO2 deposited on sapphire significantly improves the conductivity of indium gallium zinc oxide thin films. The study illustrates how to control the formation of TiO2 phases and reveals another interesting application for TiO2 as a buffer layer for transparent conducting oxides.

  4. Substrate Structures For Growth Of Highly Oriented And/Or Epitaxial Layers Thereon

    DOEpatents

    Arendt, Paul N.; Foltyn, Stephen R.; Groves, James R.; Jia, Quanxi

    2005-07-26

    A composite substrate structure including a substrate, a layer of a crystalline metal oxide or crystalline metal oxynitride material upon the substrate, a layer of an oriented cubic oxide material having a rock-salt-like structure upon the crystalline metal oxide or crystalline metal oxynitride material layer is provided together with additional layers such as one or more layers of a buffer material upon the oriented cubic oxide material layer. Jc's of 2.3×106 A/cm2 have been demonstrated with projected Ic's of 320 Amperes across a sample 1 cm wide for a superconducting article including a flexible polycrystalline metallic substrate, an inert oxide material layer upon the surface of the flexible polycrystalline metallic substrate, a layer of a crystalline metal oxide or crystalline metal oxynitride material upon the layer of the inert oxide material, a layer of an oriented cubic oxide material having a rock-salt-like structure upon the crystalline metal oxide or crystalline metal oxynitride material layer, a layer of a buffer material upon the oriented cubic oxide material layer, and, a top-layer of a high temperature superconducting material upon the layer of a buffer material.

  5. Use of bicarbonate buffer systems for dissolution characterization of enteric-coated proton pump inhibitor tablets.

    PubMed

    Shibata, Hiroko; Yoshida, Hiroyuki; Izutsu, Ken-Ichi; Goda, Yukihiro

    2016-04-01

    The aim of this study was to assess the effects of buffer systems (bicarbonate or phosphate at different concentrations) on the in vitro dissolution profiles of commercially available enteric-coated tablets. In vitro dissolution tests were conducted using an USP apparatus II on 12 enteric-coated omeprazole and rabeprazole tablets, including innovator and generic formulations in phosphate buffers, bicarbonate buffers and a media modified Hanks (mHanks) buffer. Both omeprazole and rabeprazole tablets showed similar dissolution profiles among products in the compendial phosphate buffer system. However, there were large differences between products in dissolution lag time in mHanks buffer and bicarbonate buffers. All formulations showed longer dissolution lag times at lower concentrations of bicarbonate or phosphate buffers. The dissolution rank order of each formulation differed between mHanks buffer and bicarbonate buffers. A rabeprazole formulation coated with a methacrylic acid copolymer showed the shortest lag time in the high concentration bicarbonate buffer, suggesting varied responses depending on the coating layer and buffer components. Use of multiple dissolution media during in vitro testing, including high concentration bicarbonate buffer, would contribute to the efficient design of enteric-coated drug formulations. © 2016 Royal Pharmaceutical Society, Journal of Pharmacy and Pharmacology.

  6. Spatial variations of the local density of states modified by CDWs in 1 T- TaS2- xSex

    NASA Astrophysics Data System (ADS)

    Hasegawa, T.; Yamaguchi, W.; Kim, J.-J.; Wei, W.; Nantoh, M.; Ikuta, H.; Kitazawa, K.; Manivannan, A.; Fujishima, A.; Uchinokura, K.

    1994-07-01

    Spatial variations of the local density of states (LDOS) near the Fermi level have been observed on the layered dichalcogenides 1 T- TaS2- xSex ( x = 0, 0.2, 2) for the first time. The tunneling spectra on the cleaved surfaces were measured by atomic-site tunneling (AST) spectroscopy technique at room temperature. In 1T-TaS 2, the LDOS was substantially different among the three inequivalent Ta atomic sites induced by the CDW formation. However, the surface electronic structure became homogeneous, as the Se content was increased. By substituting Se for S, the minimum position of the LDOS was systematically shifted to a higher energy side above the Fermi level.

  7. 12 CFR 324.11 - Capital conservation buffer and countercyclical capital buffer amount.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 12 Banks and Banking 5 2014-01-01 2014-01-01 false Capital conservation buffer and countercyclical capital buffer amount. 324.11 Section 324.11 Banks and Banking FEDERAL DEPOSIT INSURANCE CORPORATION... Requirements and Buffers § 324.11 Capital conservation buffer and countercyclical capital buffer amount. (a...

  8. Ferroelectric and piezoelectric responses of (110) and (001)-oriented epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} thin films on all-oxide layers buffered silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vu, Hien Thu; Nguyen, Minh Duc, E-mail: minh.nguyen@itims.edu.vn; Inorganic Materials Science

    2015-12-15

    Graphical abstract: The cross sections show a very dense structure in the (001)-oriented films (c,d), while an open columnar growth structure is observed in the case of the (110)-oriented films (a,b). The (110)-oriented PZT films show a significantly larger longitudinal piezoelectric coefficient (d33{sub ,f}), but smaller transverse piezoelectric coefficient (d31{sub ,f}) than the (001) oriented films. - Highlights: • We fabricate all-oxide, epitaxial piezoelectric PZT thin films on Si. • The orientation of the films can be controlled by changing the buffer layer stack. • The coherence of the in-plane orientation of the grains and grain boundaries affects the ferroelectricmore » properties. • Good cycling stability of the ferroelectric properties of (001)-oriented PZT thin films. The (110)-oriented PZT thin films show a larger d33{sub ,f} but smaller d31{sub ,f} than the (001)-oriented films. - Abstract: Epitaxial ferroelectric Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} (PZT) thin films were fabricated on silicon substrates using pulsed laser deposition. Depending on the buffer layers and perovskite oxide electrodes, epitaxial films with different orientations were grown. (110)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) films were obtained on YSZ-buffered Si substrates, while (001)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) were fabricated with an extra CeO{sub 2} buffer layer (CeO{sub 2}/YSZ/Si). There is no effect of the electrode material on the properties of the films. The initial remnant polarizations in the (001)-oriented films are higher than those of (110)-oriented films, but it increases to the value of the (001) films upon cycling. The longitudinal piezoelectric d33{sub ,f} coefficients of the (110) films are larger than those of the (001) films, whereas the transverse piezoelectric d31{sub ,f} coefficients in the (110)-films are less than those in the (001)-oriented films. The difference is ascribed to the lower density (connectivity

  9. Interfacial bonding stabilizes rhodium and rhodium oxide nanoparticles on layered Nb oxide and Ta oxide supports.

    PubMed

    Strayer, Megan E; Binz, Jason M; Tanase, Mihaela; Shahri, Seyed Mehdi Kamali; Sharma, Renu; Rioux, Robert M; Mallouk, Thomas E

    2014-04-16

    Metal nanoparticles are commonly supported on metal oxides, but their utility as catalysts is limited by coarsening at high temperatures. Rhodium oxide and rhodium metal nanoparticles on niobate and tantalate supports are anomalously stable. To understand this, the nanoparticle-support interaction was studied by isothermal titration calorimetry (ITC), environmental transmission electron microscopy (ETEM), and synchrotron X-ray absorption and scattering techniques. Nanosheets derived from the layered oxides KCa2Nb3O10, K4Nb6O17, and RbTaO3 were compared as supports to nanosheets of Na-TSM, a synthetic fluoromica (Na0.66Mg2.68(Si3.98Al0.02)O10.02F1.96), and α-Zr(HPO4)2·H2O. High surface area SiO2 and γ-Al2O3 supports were also used for comparison in the ITC experiments. A Born-Haber cycle analysis of ITC data revealed an exothermic interaction between Rh(OH)3 nanoparticles and the layered niobate and tantalate supports, with ΔH values in the range -32 kJ·mol(-1) Rh to -37 kJ·mol(-1) Rh. In contrast, the interaction enthalpy was positive with SiO2 and γ-Al2O3 supports. The strong interfacial bonding in the former case led to "reverse" ripening of micrometer-size Rh(OH)3, which dispersed as 0.5 to 2 nm particles on the niobate and tantalate supports. In contrast, particles grown on Na-TSM and α-Zr(HPO4)2·H2O nanosheets were larger and had a broad size distribution. ETEM, X-ray absorption spectroscopy, and pair distribution function analyses were used to study the growth of supported nanoparticles under oxidizing and reducing conditions, as well as the transformation from Rh(OH)3 to Rh nanoparticles. Interfacial covalent bonding, possibly strengthened by d-electron acid/base interactions, appear to stabilize Rh(OH)3, Rh2O3, and Rh nanoparticles on niobate and tantalate supports.

  10. 12 CFR 217.11 - Capital conservation buffer and countercyclical capital buffer amount.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 12 Banks and Banking 2 2014-01-01 2014-01-01 false Capital conservation buffer and countercyclical capital buffer amount. 217.11 Section 217.11 Banks and Banking FEDERAL RESERVE SYSTEM BOARD OF GOVERNORS... Requirements and Buffers § 217.11 Capital conservation buffer and countercyclical capital buffer amount. (a...

  11. Structure and magnetic properties of Co2FeSi film deposited on Si/SiO2 substrate with Cr buffer layer

    NASA Astrophysics Data System (ADS)

    Chatterjee, Payel; Basumatary, Himalay; Raja, M. Manivel

    2018-05-01

    Co2FeSi thin films of 25 nm thickness with 50 nm thick Cr buffer layer was deposited on thermally oxidized Si substrates. Structural and magnetic properties of the films were studied as a function of annealing temperature and substrate temperatures. While the coercivity increases with increase in annealing temperature, it is found to decrease with increase in substrate temperature. A minimum coercivity of 18 Oe has been obtained for the film deposited at 550°C substrate temperature. This was attributed to the formation of L12 phase as observed from the GIXRD studies. The films with a good combination of soft magnetic properties and L21 crystal structure are suitable for spintronic applications.

  12. Photovoltaic Performance and Interface Behaviors of Cu(In,Ga)Se2 Solar Cells with a Sputtered-Zn(O,S) Buffer Layer by High-Temperature Annealing.

    PubMed

    Wi, Jae-Hyung; Kim, Tae Gun; Kim, Jeong Won; Lee, Woo-Jung; Cho, Dae-Hyung; Han, Won Seok; Chung, Yong-Duck

    2015-08-12

    We selected a sputtered-Zn(O,S) film as a buffer material and fabricated a Cu(In,Ga)Se2 (CIGS) solar cell for use in monolithic tandem solar cells. A thermally stable buffer layer was required because it should withstand heat treatment during processing of top cell. Postannealing treatment was performed on a CIGS solar cell in vacuum at temperatures from 300-500 °C to examine its thermal stability. Serious device degradation particularly in VOC was observed, which was due to the diffusion of thermally activated constituent elements. The elements In and Ga tend to out-diffuse to the top surface of the CIGS, while Zn diffuses into the interface of Zn(O,S)/CIGS. Such rearrangement of atomic fractions modifies the local energy band gap and band alignment at the interface. The notch-shape induced at the interface after postannealing could function as an electrical trap during electron transport, which would result in the reduction of solar cell efficiency.

  13. Vortex phase diagram of the layered superconductor Cu0.03TaS2 for H \\parallel c

    NASA Astrophysics Data System (ADS)

    Zhu, X. D.; Lu, J. C.; Sun, Y. P.; Pi, L.; Qu, Z.; Ling, L. S.; Yang, Z. R.; Zhang, Y. H.

    2010-12-01

    The magnetization and anisotropic electrical transport properties have been measured in high quality Cu0.03TaS2 single crystals. A pronounced peak effect has been observed, indicating that high quality and homogeneity are vital to the peak effect. A kink has been observed in the magnetic field, H, dependence of the in-plane resistivity ρab for H\\parallel c , which corresponds to a transition from activated to diffusive behavior of the vortex liquid phase. In the diffusive regime of the vortex liquid phase, the in-plane resistivity ρab is proportional to H0.3, which does not follow the Bardeen-Stephen law for free flux flow. Finally, a simplified vortex phase diagram of Cu0.03TaS2 for H \\parallel c is given.

  14. Growth temperature optimization of GaAs-based In0.83Ga0.17As on InxAl1-xAs buffers

    NASA Astrophysics Data System (ADS)

    Chen, X. Y.; Gu, Y.; Zhang, Y. G.; Ma, Y. J.; Du, B.; Zhang, J.; Ji, W. Y.; Shi, Y. H.; Zhu, Y.

    2018-04-01

    Improved quality of gas source molecular beam epitaxy grown In0.83Ga0.17As layer on GaAs substrate was achieved by adopting a two-step InxAl1-xAs metamorphic buffer at different temperatures. With a high-temperature In0.83Al0.17As template following a low-temperature composition continuously graded InxAl1-xAs (x = 0.05-0.86) buffer, better structural, optical and electrical properties of succeeding In0.83Ga0.17As were confirmed by atomic force microscopy, photoluminescence and Hall-effect measurements. Cross-sectional transmission electron microscopy revealed significant effect of the two-step temperature grown InAlAs buffer layers on the inhibition of threading dislocations due to the deposition of high density nuclei on GaAs substrate at the low growth temperature. The limited reduction for the dark current of GaAs-based In0.83Ga0.17As photodetectors on the two-step temperature grown InxAl1-xAs buffer layers was ascribed to the contribution of impurities caused by the low growth temperature of InAlAs buffers.

  15. Uncovering a new quasi-2D CuO2 plane between the YBa2Cu3O7 and CeO2 buffer layer of coated conductors

    NASA Astrophysics Data System (ADS)

    Li, Zhi-Xin; Cao, Jin-Jin; Gou, Xiao-Fan; Wang, Tian-Ge; Xue, Feng

    2018-01-01

    We report a discovery of the quasi-two-dimensional (quasi-2D) CuO2 plane between the superconductor YBa2Cu3O7 (YBCO) and CeO2 buffer layer (mostly used in the fabrication) of coated conductors through the atomistic computer simulations with the molecular dynamics (MD) and first-principle calculations. For an YBCO coated conductor with multilayer structures, the buffer layers deposited onto a substrate are mainly considered to transfer a strong biaxial texture from the substrate to the YBCO layer. To deeply understand the tuning mechanism of the texture transfer, exploring the complete atomic-level picture of the structure between the YBa2Cu3O7/CeO2 interfaces is firstly required. However, the related observation data have not been available due to some big challenges of experimental techniques. With the MD simulations, having tested the accuracy of the potential functions for the YBa2Cu3O7/CeO2 interface, we constructed a total of 54 possible atom stacking models of the interface and identified its most appropriate and stable structure according to the criterion of the interface adhesion energy and the coherent characterization. To further verify the stability of the identified structure, we performed the first-principle calculations to obtain the adhesion energy and developed the general knowledge of the interface structure. Finally, a coherent interface formed with a new built quasi-2D CuO2 plane that is structurally similar to the CuO2 plane inside bulk YBCO was determined.

  16. Electronic structure and optical properties of Ta-doped and (Ta, N)-codoped SrTiO3 from hybrid functional calculations

    NASA Astrophysics Data System (ADS)

    Liu, Yanyu; Zhou, Wei; Wu, Ping

    2017-02-01

    A systematic study has been carried out to research the effect of Ta monodoping and (Ta, N)-codoping on the electronic structure and optical properties of SrTiO3. The results indicate that the incorporation of N into the SrTiO3 lattice is in favor of the substitution of Ta at a Ti site, which is the most favorable structure with respect to both the energetic stability and high photocatalytic activity. Furthermore, the carrier recombination centers induced by Ta monodoping are passivated in the (Ta, N)-codoped SrTiO3 system with Ta at a Ti site. Simultaneous incorporation of N and Ta results in a band gap decreasing about 0.7 eV due to the appearance of the new states hybridized by N-p states with the O-p states above the valence band. The band alignment verifies that the (Ta, N)-codoped SrTiO3 simultaneously meets the criteria of band-edge energetic positions and band gap for the overall water splitting under visible light.

  17. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  18. Efficiency enhancement in DIBSQ:PC71BM organic photovoltaic cells by using Liq-doped Bphen as a cathode buffer layer

    NASA Astrophysics Data System (ADS)

    Chen, Guo; Si, Changfeng; Zhang, Pengpeng; Guo, Kunping; Pan, Saihu; Zhu, Wenqing; Wei, Bin

    2017-09-01

    We have improved the photovoltaic performance of 2,4-bis[4-(N,Ndiisobutylamino)- 2,6-dihydroxyphenyl] squaraine:[6,6]-phenyl C71-butyric acid methyl ester (DIBSQ:PC71BM) organic photovoltaic (OPV) cells via incorporating Liq-doped Bphen (Bphen-Liq) as a cathode buffer layer (CBL). Based on the Bphen-Liq CBL, a DIBSQ:PC71BM OPV cell possessed an optimal power conversion efficiency of 4.90%, which was 13% and 60% higher than those of the devices with neat Bphen as CBL and without CBL, respectively. The enhancement of the device performance could be attributed to the enhanced electron mobility and improved electrode/active layer contact and thus the improved photocurrent extraction by incorporating the Bphen-Liq CBL. Light-intensity dependent device performance analysis indicates that the incorporating of the Bphen-Liq CBL can remarkably improve the charge transport of the DIBSQ:PC71BM OPV cell and thus decrease the recombination losses of the device, resulting in enhanced device performance. Our finding indicates that the doped Bphen-Liq CBL has great potential for high-performance solution-processed small-molecule OPVs.

  19. Quaternary Chalcogenide-Based Misfit Nanotubes LnS(Se)-TaS(Se)2 (Ln = La, Ce, Nd, and Ho): Synthesis and Atomic Structural Studies.

    PubMed

    Lajaunie, Luc; Radovsky, Gal; Tenne, Reshef; Arenal, Raul

    2018-01-16

    We have synthesized quaternary chalcogenide-based misfit nanotubes LnS(Se)-TaS 2 (Se) (Ln = La, Ce, Nd, and Ho). None of the compounds described here were reported in the literature as a bulk compound. The characterization of these nanotubes, at the atomic level, has been developed via different transmission electron microscopy techniques, including high-resolution scanning transmission electron microscopy, electron diffraction, and electron energy-loss spectroscopy. In particular, quantification at sub-nanometer scale was achieved by acquiring high-quality electron energy-loss spectra at high energy (∼between 1000 and 2500 eV). Remarkably, the sulfur was found to reside primarily in the distorted rocksalt LnS lattice, while the Se is associated with the hexagonal TaSe 2 site. Consequently, these quaternary misfit layered compounds in the form of nanostructures possess a double superstructure of La/Ta and S/Se with the same periodicity. In addition, the interlayer spacing between the layers and the interatomic distances within the layer vary systematically in the nanotubes, showing clear reduction when going from the lightest (La atom) to the heaviest (Ho) atom. Amorphous layers, of different nature, were observed at the surface of the nanotubes. For La-based NTs, the thin external amorphous layer (inferior to 10 nm) can be ascribed to a Se deficiency. Contrarily, for Ho-based NTs, the thick amorphous layer (between 10 and 20 nm) is clearly ascribed to oxidation. All of these findings helped us to understand the atomic structure of these new compounds and nanotubes thereof.

  20. Facilitation through Buffer Saturation: Constraints on Endogenous Buffering Properties

    PubMed Central

    Matveev, Victor; Zucker, Robert S.; Sherman, Arthur

    2004-01-01

    Synaptic facilitation (SF) is a ubiquitous form of short-term plasticity, regulating synaptic dynamics on fast timescales. Although SF is known to depend on the presynaptic accumulation of Ca2+, its precise mechanism is still under debate. Recently it has been shown that at certain central synapses SF results at least in part from the progressive saturation of an endogenous Ca2+ buffer (Blatow et al., 2003), as proposed by Klingauf and Neher (1997). Using computer simulations, we study the magnitude of SF that can be achieved by a buffer saturation mechanism (BSM), and explore its dependence on the endogenous buffering properties. We find that a high SF magnitude can be obtained either by a global saturation of a highly mobile buffer in the entire presynaptic terminal, or a local saturation of a completely immobilized buffer. A characteristic feature of BSM in both cases is that SF magnitude depends nonmonotonically on the buffer concentration. In agreement with results of Blatow et al. (2003), we find that SF grows with increasing distance from the Ca2+ channel cluster, and increases with increasing external Ca2+, [Ca2+]ext, for small levels of [Ca2+]ext. We compare our modeling results with the experimental properties of SF at the crayfish neuromuscular junction, and find that the saturation of an endogenous mobile buffer can explain the observed SF magnitude and its supralinear accumulation time course. However, we show that the BSM predicts slowing of the SF decay rate in the presence of exogenous Ca2+ buffers, contrary to experimental observations at the crayfish neuromuscular junction. Further modeling and data are required to resolve this aspect of the BSM. PMID:15111389

  1. Hydrogen gas sensors using a thin Ta2O5 dielectric film

    NASA Astrophysics Data System (ADS)

    Kim, Seongjeen

    2014-12-01

    A capacitive-type hydrogen gas sensor with a MIS (metal-insulator-semiconductor) structure was investigated for high-temperature applications. In this work, a tantalum oxide (Ta2O5) layer of tens of nanometers in thickness formed by oxidizing tantalum film in rapid thermal processing (RTP) was exploited with the purpose of sensitivity improvement. Silicon carbide (SiC), which is good even at high temperatures over 500 °C, was used as the substrate. We fabricated sensors composed of Pd/Ta2O5/SiC, and the dependences of the capacitance response properties and the I-V characteristics on the hydrogen concentration were analyzed from the temperature range of room temperature to 500 °C. As a result, our hydrogen sensor showed promising performance with respect to the sensitivity and the adaptability at high temperature.

  2. O-GlcNAc-mediated interaction between VER2 and TaGRP2 elicits TaVRN1 mRNA accumulation during vernalization in winter wheat

    PubMed Central

    Xiao, Jun; Xu, Shujuan; Li, Chunhua; Xu, Yunyuan; Xing, Lijing; Niu, Yuda; Huan, Qing; Tang, Yimiao; Zhao, Changping; Wagner, Doris; Gao, Caixia; Chong, Kang

    2014-01-01

    Vernalization, sensing of prolonged cold, is important for seasonal flowering in eudicots and monocots. While vernalization silences a repressor (FLC, MADS-box transcription factor) in eudicots, it induces an activator (TaVRN1, an AP1 clade MADS-box transcription factor) in monocots. The mechanism for TaVRN1 induction during vernalization is not well understood. Here we reveal a novel mechanism for controlling TaVRN1 mRNA accumulation in response to prolonged cold sensing in wheat. The carbohydrate-binding protein VER2, a jacalin lectin, promotes TaVRN1 upregulation by physically interacting with the RNA-binding protein TaGRP2. TaGRP2 binds to TaVRN1 pre-mRNA and inhibits TaVRN1 mRNA accumulation. The physical interaction between VER2 and TaGRP2 is controlled by TaGRP2 O-GlcNAc modification, which gradually increases during vernalization. The interaction between VER2 and O-GlcNAc-TaGRP2 reduces TaGRP2 protein accumulation in the nucleus and/or promotes TaGRP2 dissociation from TaVRN1, leading to TaVRN1 mRNA accumulation. Our data reveal a new mechanism for sensing prolonged cold in temperate cereals. PMID:25091017

  3. Superconductivity and bandwidth-controlled Mott metal-insulator transition in 1T-TaS2-xSex

    NASA Astrophysics Data System (ADS)

    Ang, R.; Miyata, Y.; Ieki, E.; Nakayama, K.; Sato, T.; Liu, Y.; Lu, W. J.; Sun, Y. P.; Takahashi, T.

    2013-09-01

    We have performed high-resolution angle-resolved photoemission spectroscopy (ARPES) of layered chalcogenide 1T-TaS2-xSex to elucidate the electronic states especially relevant to the occurrence of superconductivity. We found a direct evidence for a Ta-5d-derived electron pocket associated with the superconductivity, which is fragile against a Mott-gap opening observed in the insulating ground state for S-rich samples. In particular, a strong electron-electron interaction-induced Mott gap driven by a Ta 5d orbital also exists in the metallic ground state for Se-rich samples, while finite ARPES intensity near the Fermi level likely originating from a Se 4p orbital survives, indicative of the orbital-selective nature of the Mott transition. Present results suggest that effective electron correlation and p-d hybridization play a crucial role to tune the superconductivity and Mott metal-insulator transition.

  4. Intermixing at the absorber-buffer layer interface in thin-film solar cells: The electronic effects of point defects in Cu(In,Ga)(Se,S){sub 2} and Cu{sub 2}ZnSn(Se,S){sub 4} devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Varley, J. B.; Lordi, V.

    We investigate point defects in the buffer layers CdS and ZnS that may arise from intermixing with Cu(In,Ga)(S,Se){sub 2} (CIGS) or Cu{sub 2}ZnSn(S,Se){sub 4} (CZTS) absorber layers in thin-film photovoltaics. Using hybrid functional calculations, we characterize the electrical and optical behavior of Cu, In, Ga, Se, Sn, Zn, Na, and K impurities in the buffer. We find that In and Ga substituted on the cation site act as shallow donors in CdS and tend to enhance the prevailing n-type conductivity at the interface facilitated by Cd incorporation in CIGS, whereas they are deep donors in ZnS and will be lessmore » effective dopants. Substitutional In and Ga can favorably form complexes with cation vacancies (A-centers) which may contribute to the “red kink” effect observed in some CIGS-based devices. For CZTS absorbers, we find that Zn and Sn defects substituting on the buffer cation site are electrically inactive in n-type buffers and will not supplement the donor doping at the interface as in CIGS/CdS or ZnS devices. Sn may also preferentially incorporate on the S site as a deep acceptor in n-type ZnS, which suggests possible concerns with absorber-related interfacial compensation in CZTS devices with ZnS-derived buffers. Cu, Na, and K impurities are found to all have the same qualitative behavior, most favorably acting as compensating acceptors when substituting on the cation site. Our results suggest one beneficial role of K and Na incorporation in CIGS or CZTS devices is the partial passivation of vacancy-related centers in CdS and ZnS buffers, rendering them less effective interfacial hole traps and recombination centers.« less

  5. Superstructure Ta2O5 mesocrystals derived from (NH4)2Ta2O3F6 mesocrystals with efficient photocatalytic activity.

    PubMed

    Yu, Xin; Li, Wei; Huang, Jian; Li, Zhonghua; Liu, Jiawen; Hu, PingAn

    2018-02-06

    Superstructured mesocrystalline Ta 2 O 5 nanosheets were successfully prepared from mesocrystalline (NH 4 ) 2 Ta 2 O 3 F 6 nanorods by the annealing method for the first time. The as-prepared mesocrystalline Ta 2 O 5 nanosheets in this work showed remarkable visible light absorption, mainly due to the formation of oxygen vacancy defects in the mesocrystalline Ta 2 O 5 nanosheets, which was also confirmed by XPS spectra, Raman spectra and EPR spectra. Besides, the mesocrystalline Ta 2 O 5 nanosheets showed a highly enhanced photocatalytic activity of 11 268.24 μmol g -1 h -1 , about 3.95 times that of commercial Ta 2 O 5 . Moreover, the specific surface area of the mesocrystalline Ta 2 O 5 -800 nanosheets was 16.34 m 2 g -1 , about 5.32 times that of the commercial Ta 2 O 5 (3.072 m 2 g -1 ). The valence band XPS spectra indicated a strong oxidizing ability of the mesocrystalline Ta 2 O 5 nanosheets in comparison to that of commercial Ta 2 O 5 . The formation of superstructured Ta 2 O 5 mesocrystals generated long lifetime carriers and effective conduction pathways, which greatly enhanced the photocatalytic activity for hydrogen production.

  6. Fermi surface interconnectivity and topology in Weyl fermion semimetals TaAs, TaP, NbAs, and NbP

    DOE PAGES

    Lee, Chi-Cheng; Xu, Su-Yang; Huang, Shin-Ming; ...

    2015-12-01

    The family of binary compounds including TaAs, TaP, NbAs, and NbP was recently discovered as the first realization of Weyl semimetals. In order to develop a comprehensive description of the charge carriers in these Weyl semimetals, we performed detailed and systematic electronic band structure calculations which reveal the nature of Fermi surfaces and their complex interconnectivity in TaAs, TaP, NbAs, and NbP. In conclusion, our work reports a comparative and comprehensive study of Fermi surface topology and band structure details of all known members of the Weyl semimetal family and hence provides the fundamental knowledge for realizing the many predictedmore » exotic topological quantum physics of Weyl semimetals based on the TaAs class of materials.« less

  7. Resonances in odd-odd 182Ta

    NASA Astrophysics Data System (ADS)

    Brits, C. P.; Wiedeking, M.; Bello Garrote, F. L.; Bleuel, D. L.; Giacoppo, F.; Görgen, A.; Guttormsen, M.; Hadynska-Klek, K.; Hagen, T. W.; Ingeberg, V. W.; Kheswa, B. V.; Klintefjord, M.; Larsen, A. C.; Malatji, K. L.; Nyhus, H. T.; Papka, P.; Renstrøm, T.; Rose, S.; Sahin, E.; Siem, S.; Tveten, G. M.; Zeiser, F.

    2017-09-01

    Enhanced γ-decay on the tail of the giant electric dipole resonance, such as the scissors or pygmy resonances, can have significant impact on (n,γ) reaction rates. These rates are important input for modeling processes that take place in astrophysical environments and nuclear reactors. Recent results from the University of Oslo indicate the existence of a significant enhancement in the photon strength function for nuclei in the actinide region due to the scissors resonance. Further, the M1 strength distribution of the scissors resonances in rare earth nuclei has been studied extensively over the years. To investigate the evolution and persistence of the scissor resonance in other mass regions, an experiment was performed utilizing the NaI(Tl) γ-ray detector array (CACTUS) and silicon particle telescopes (SiRi) at the University of Oslo Cyclotron laboratory. Particle-γ coincidences from the 181Ta(d,p)182Ta and 181Ta(d,d')181Ta reactions were used to measure the nuclear level density and photon strength function of the well-deformed 181Ta and 182Ta systems, to investigate the existence of resonances below the neutron separation energy. Note to the reader: the title of this article has been corrected on September 19, 2017.

  8. High performance planar p-i-n perovskite solar cells with crown-ether functionalized fullerene and LiF as double cathode buffer layers

    NASA Astrophysics Data System (ADS)

    Liu, Xiaodong; Lei, Ming; Zhou, Yi; Song, Bo; Li, Yongfang

    2015-08-01

    Double cathode buffer layers (CBLs) composed of fullerene derivative functionalized with a crown-ether end group in its side chain (denoted as PCBC) and a LiF layer were introduced between the PCBM acceptor layer and the top cathode in planar p-i-n perovskite solar cells (pero-SCs) based on CH3NH3PbI3-XClX. The devices with the PCBC/LiF double CBLs showed significant improvements in power conversion efficiency (PCE) and long-term stability when compared to the device with LiF single CBL. Through optimizing the spin-coating speed of PCBC, a maximum PCE of 15.53% has been achieved, which is approximately 15% higher than that of the device with single LiF CBL. The remarkable improvement in PCE can be attributed to the formation of a better ohmic contact in the CBL between PCBC and LiF/Al electrode arising from the dipole moment of PCBC, leading to the enhanced fill factor and short-circuit current density (Jsc). Besides the PCE, the long-term stability of the devices with PCBC interlayer is also superior to that of the device with LiF single CBL, which is due to the more effective protection for the perovskite/PCBM interface.

  9. Moisture buffer capacity of cement-lime plasters with enhanced thermal storage capacity

    NASA Astrophysics Data System (ADS)

    Fořt, Jan; Pavlíková, Milena; Pavlík, Zbyšek

    2017-07-01

    Indoor air temperature and relative humidity represent important parameters for health and working efficiency of buildings occupants. Beside the moderation of temperature, investigation of hygric properties of building materials with connection to indoor relative humidity variation became recognized as a relevant factor for energy efficient building maintenance. The moisture buffer value introduced in the Nordtest protocol can be used for estimation of moisture buffer capacity of building materials or their multi-layered systems. In this paper, both the ideal and real moisture buffer values are examined on the basis of simulation of diurnal relative humidity fluctuations in plasters with incorporated PCM admixture. Retrieved data points to a complex effect of the tested plasters on possible moderation of buildings interior climate.

  10. Novel elastic, lattice dynamics and thermodynamic properties of metallic single-layer transition metal phosphides: 2H-M 2P (Mo2P, W2P, Nb2P and Ta2P)

    NASA Astrophysics Data System (ADS)

    Yin, Jiuren; Wu, Bozhao; Wang, Yanggang; Li, Zhimi; Yao, Yuanpeng; Jiang, Yong; Ding, Yanhuai; Xu, Fu; Zhang, Ping

    2018-04-01

    Recently, there has been a surge of interest in the research of two-dimensional (2D) phosphides due to their unique physical properties and wide applications. Transition metal phosphides 2H-M 2Ps (Mo2P, W2P, Nb2P and Ta2P) show considerable catalytic activity and energy storage potential. However, the electronic structure and mechanical properties of 2D 2H-M 2Ps are still unrevealed. Here, first-principles calculations are employed to investigate the lattice dynamics, elasticity and thermodynamic properties of 2H-M 2Ps. Results show that M 2Ps with lower stiffness exhibit remarkable lateral deformation under unidirectional loads. Due to the largest average Grüneisen parameter, single-layer Nb2P has the strongest anharmonic vibrations, resulting in the highest thermal expansion coefficient. The lattice thermal conductivities of Ta2P, W2P and Nb2P contradict classical theory, which would predict a smaller thermal conductivity due to the much heavier atom mass. Moreover, the calculations also demonstrate that the thermal conductivity of Ta2P is the highest as well as the lowest thermal expansion, owing to its weak anharmonic phonon scattering and the lowest average Grüneisen parameter. The insight provided by this study may be useful for future experimental and theoretical studies concerning 2D transition metal phosphide materials.

  11. Effect of Manufacturing Process on the Biocompatibility and Mechanical Properties of Ti-30Ta Alloy

    NASA Astrophysics Data System (ADS)

    Gill, P.; Munroe, N.; Pulletikurthi, C.; Pandya, S.; Haider, W.

    2011-07-01

    Ti alloys have been widely used in the aerospace, chemical, and biomedical industries for their high strength/weight ratio and corrosion resistance. However, Nitinol's usage in the latter industry has been fraught with concerns of allergic and toxic effects of Ni released from implants. Recently, much attention has been placed on the development of Ni-free Ti-Ta alloys, which are considered prime candidates for applications such as metal-on-metal spinal disk replacements, orthopedic implants, cardiovascular stents, dental posts, and guide wires. In this research, the biocompatibility of Ti-30Ta alloys manufactured by powder metallurgy (PM) and arc melting (ARC) were investigated. The corrosion resistance of each alloy was determined in accordance with ASTM F 2129-08 in phosphate buffered saline (PBS) and PBS with amino acids at 37 °C. The concentration of metal ions released during corrosion was measured by Inductively Coupled Plasma Mass Spectroscopy (ICP-MS). Scanning Electron Microscopy (SEM) was used to assess the morphology of the alloys before and after corrosion. Vicker's hardness tests were performed to compare the hardness and tensile strength of the alloys. Human osteoblast cells were successfully grown on the surface of both alloys.

  12. Effect of NiFeCr seed and capping layers on exchange bias and planar Hall voltage response of NiFe/Au/IrMn trilayer structures

    NASA Astrophysics Data System (ADS)

    Talantsev, Artem; Elzwawy, Amir; Kim, CheolGi

    2018-05-01

    Thin films and cross junctions, based on NiFe/Au/IrMn structures, were grown on Ta and NiFeCr seed layers by magnetron sputtering. The effects of substitution of Ta with NiFeCr in seed and capping layers on an exchange bias field are studied. A threefold improvement of the exchange bias value in the structures, grown with NiFeCr seed and capping layers, is demonstrated. The reasons for this effect are discussed. Formation of clusters in the NiFeCr capping layer is proved by atomic force microscopy technique. Ta replacement on NiFeCr in the capping layer results in the enhancement of magnetoresistive response and a reduction of noise.

  13. Biaxially oriented CdTe films on glass substrate through nanostructured Ge/CaF2 buffer layers

    NASA Astrophysics Data System (ADS)

    Lord, R. J.; Su, P.-Y.; Bhat, I.; Zhang, S. B.; Lu, T.-M.; Wang, G.-C.

    2015-09-01

    Heteroepitaxial CdTe films were grown by metal organic chemical vapor deposition on glass substrates through nanostructured Ge/CaF2 buffer layers which were biaxially oriented. It allows us to explore the structural properties of multilayer biaxial semiconductor films which possess small angle grain boundaries and to test the principle of a solar cell made of such low-cost, low-growth-temperature semiconductor films. Through the x-ray diffraction and x-ray pole figure analysis, the heteroepitaxial relationships of the mutilayered films are determined as [111] in the out-of-plane direction and <1\\bar{1}0>CdTe//<1\\bar{1}0>Ge//{< \\bar{1}10> }{{{CaF}}2} in the in-plane direction. The I-V curves measured from an ITO/CdS/CdTe/Ge/CaF2/glass solar cell test structure shows a power conversion efficiency of ˜η = 1.26%, illustrating the initial success of such an approach. The observed non-ideal efficiency is believed to be due to a low shunt resistance and high series resistance as well as some residual large-angle grain boundary effects, leaving room for significant further improvement.

  14. Synthesis of chemical vapor deposition graphene on tantalum wire for supercapacitor applications

    NASA Astrophysics Data System (ADS)

    Li, Mingji; Guo, Wenlong; Li, Hongji; Xu, Sheng; Qu, Changqing; Yang, Baohe

    2014-10-01

    This paper studies the synthesis and electrochemical characterization of graphene/tantalum (Ta) wires as high-performance electrode material for supercapacitors. Graphene on Ta wires is prepared by the thermal decomposition of methane under various conditions. The graphene nanosheets on the Ta wire surface have an average thickness of 1.3-3.4 nm and consist typically of a few graphene monolayers, and TaC buffer layers form between the graphene and Ta wire. A capacitor structure is fabricated using graphene/Ta wire with a length of 10 mm and a diameter of 0.6 mm as the anode and Pt wire of the same size as the cathode. The electrochemical behavior of the graphene/Ta wires as supercapacitor electrodes is characterized by cyclic voltammetry, galvanostatic charge/discharge, and electrochemical impedance spectroscopy in 1 M Na2SO4 aqueous electrolyte. The as-prepared graphene/Ta electrode has highest capacitance of 345.5 F g-1 at current density of 0.5 A g-1. The capacitance remains at about 84% after 1000 cycles at 10 A g-1. The good electrochemical performance of the graphene/Ta wire electrode is attributed to the unique nanostructural configuration, high electrical conductivity, and large specific surface area of the graphene layer. This suggests that graphene/Ta wire electrode materials have potential applications in high-performance energy storage devices.

  15. Ionizing radiation effects on electrical and reliability characteristics of sputtered Ta2O5/Si interface

    NASA Astrophysics Data System (ADS)

    Rao, Ashwath; Verma, Ankita; Singh, B. R.

    2015-06-01

    This paper describes the effect of ionizing radiation on the interface properties of Al/Ta2O5/Si metal oxide semiconductor (MOS) capacitors using capacitance-voltage (C-V) and current-voltage (I-V) characteristics. The devices were irradiated with X-rays at different doses ranging from 100 rad to 1 Mrad. The leakage behavior, which is an important parameter for memory applications of Al/Ta2O5/Si MOS capacitors, along with interface properties such as effective oxide charges and interface trap density with and without irradiation has been investigated. Lower accumulation capacitance and shift in flat band voltage toward negative value were observed in annealed devices after exposure to radiation. The increase in interfacial oxide layer thickness after irradiation was confirmed by Rutherford Back Scattering measurement. The effect of post-deposition annealing on the electrical behavior of Ta2O5 MOS capacitors was also investigated. Improved electrical and interface properties were obtained for samples deposited in N2 ambient. The density of interface trap states (Dit) at Ta2O5/Si interface sputtered in pure argon ambient was higher compared to samples reactively sputtered in nitrogen-containing plasma. Our results show that reactive sputtering in nitrogen-containing plasma is a promising approach to improve the radiation hardness of Ta2O5/Si MOS devices.

  16. Schottky barrier detection devices having a 4H-SiC n-type epitaxial layer

    DOEpatents

    Mandal, Krishna C.; Terry, J. Russell

    2016-12-06

    A detection device, along with methods of its manufacture and use, is provided. The detection device can include: a SiC substrate defining a substrate surface cut from planar to about 12.degree.; a buffer epitaxial layer on the substrate surface; a n-type epitaxial layer on the buffer epitaxial layer; and a top contact on the n-type epitaxial layer. The buffer epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.15 cm.sup.-3 to about 5.times.10.sup.18 cm.sup.-3 with nitrogen, boron, aluminum, or a mixture thereof. The n-type epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.13 cm.sup.-3 to about 5.times.10.sup.15 cm.sup.-3 with nitrogen. The top contact can have a thickness of about 8 nm to about 15 nm.

  17. 75 FR 43564 - TA-W-71,483, Continental Airlines, Inc., Reservations Division, Houston, TX; TA-W-71,483A...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-07-26

    ... DEPARTMENT OF LABOR Employment and Training Administration TA-W-71,483, Continental Airlines, Inc., Reservations Division, Houston, TX; TA-W-71,483A, Continental Airlines, Inc., Reservations Division, Tampa, FL; TA-W-71,483B, Continental Airlines, Inc., Reservations Division, Salt Lake City, UT; Notice of Negative Determination Regarding...

  18. Passivation of oxide traps and interface states in GaAs metal-oxide-semiconductor capacitor by LaTaON passivation layer and fluorine incorporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, L. N.; Choi, H. W.; Lai, P. T., E-mail: laip@eee.hku.hk

    2015-11-23

    GaAs metal-oxide-semiconductor capacitor with TaYON/LaTaON gate-oxide stack and fluorine-plasma treatment is fabricated and compared with its counterparts without the LaTaON passivation interlayer or the fluorine treatment. Experimental results show that the sample exhibits better characteristics: low interface-state density (8 × 10{sup 11 }cm{sup −2}/eV), small flatband voltage (0.69 V), good capacitance-voltage behavior, small frequency dispersion, and small gate leakage current (6.35 × 10{sup −6} A/cm{sup 2} at V{sub fb} + 1 V). These should be attributed to the suppressed growth of unstable Ga and As oxides on the GaAs surface during gate-oxide annealing by the LaTaON interlayer and fluorine incorporation, and the passivating effects of fluorine atoms on the acceptor-likemore » interface and near-interface traps.« less

  19. SU-F-T-662: Feasibility Study of Fe3O4/TaOx Nano Particles as a Radiosensitizer for Radiation Therapy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahn, S; Lee, N; Shin, S

    Purpose: To investigate the feasibility of using multifunctional Fe{sub 3}O{sub 4}/TaOx(core / shell) nano particles developed for CT and MRI contrast agent as dose enhancing radiosensitizers. Methods: Firstly, to verify the imaging detectability of Fe{sub 3}O{sub 4}/TaOx nano particles, in-vivo tests were conducted. Approximately 600 mg/kg of 19 nm diameter Fe{sub 3}O{sub 4}/TaOx nano particles dispersed in phosphate buffered saline(PBS) were injected to ten nude Balb/c mice through the tail vein. Difference between pre- and post-injection images was analyzed by computing the pixel histogram and correlation coefficient factor using MATLAB in the user defined ROI. Secondly, to quantify the potentialmore » therapeutic enhancement with nano materials, DER (Dose Enhancement Ratio) and number of SER (Secondary Electron Ratio) were computed using TOPAS(ver.2.0 P-03) MC simulation. Results: In CT, MRI imaging, the aorta, the blood vessel, and the liver were clearly visualized after intravenous injection of Fe{sub 3}O{sub 4}/TaOx nano particles. There was large different between pre and post-injection images of Histogram data and Coefficients of correlation factor in CT and MR are 0.006, 0.060, respectively. When 70 MeV protons were irradiated for a Gold, Tantalum, TaOx, Fe{sub 3}O{sub 4}/TaOx, Fe{sub 3}O{sub 4} nano particle, DER was 2.59, 2.41, 1.68, 1.54 and 1.36 respectively. Similarly, SER increment was 2.31, 2.15, 1.56, 1.46, and 1.27 for Gold, Tantalum, TaOx, Fe{sub 3}O{sub 4}/TaOx, Fe{sub 3}O{sub 4} nano particle, respectively. Conclusion: Fe{sub 3}O{sub 4}/TaOx nano particles have potential as a multifunctional agent which enhances the accuracy in cancer detection through visualization of developed tumor lesion and increases the therapeutic effect in proton therapy. The dose enhancement with Fe{sub 3}O{sub 4}/TaOx was estimated as half of the Gold. However, tumor targeting such as combined with magnetic field may overcome the low DER. This research was

  20. Time-dependent dielectric breakdown in pure and lightly Al-doped Ta2O5 stacks

    NASA Astrophysics Data System (ADS)

    Atanassova, E.; Stojadinović, N.; Spassov, D.; Manić, I.; Paskaleva, A.

    2013-05-01

    The time-dependent dielectric breakdown (TDDB) characteristics of 7 nm pure and lightly Al-doped Ta2O5 (equivalent oxide thickness of 2.2 and 1.5 nm, respectively) with W gate electrodes in MOS capacitor configuration are studied using gate injection and constant voltage stress. The effect of both the process-induced defects and the dopant on the breakdown distribution, and on the extracted Weibull slope values, are discussed. The pre-existing traps which provoke weak spots dictate early breakdowns. Their effect is compounded of both the stress-induced new traps generation (percolation model is valid) and the inevitable lower-k interface layer in the region with long time-to-breakdown. The domination of one of these competitive effects defines the mechanism of degradation: the trapping at pre-existing traps appears to dominate in Ta2O5; Al doping reduces defects in Ta2O5, the generation of new traps prevails over the charge trapping in the doped samples, and the mechanism of breakdown is more adequate to the percolation concept. The doping of high-k Ta2O5 even with small amount (5 at.%) may serve as an engineering solution for improving its TDDB characteristics and reliability.

  1. Switchable and tunable film bulk acoustic resonator fabricated using barium strontium titanate active layer and Ta{sub 2}O{sub 5}/SiO{sub 2} acoustic reflector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sbrockey, N. M., E-mail: sbrockey@structuredmaterials.com; Tompa, G. S.; Kalkur, T. S.

    2016-08-01

    A solidly mounted acoustic resonator was fabricated using a Ba{sub 0.60}Sr{sub 0.40}TiO{sub 3} (BST) film deposited by metal organic chemical vapor deposition. The device was acoustically isolated from the substrate using a Bragg reflector consisting of three pairs of Ta{sub 2}O{sub 5}/SiO{sub 2} layers deposited by chemical solution deposition. Transmission electron microscopy verified that the Bragg reflector was not affected by the high temperatures and oxidizing conditions necessary to process high quality BST films. Electrical characterization of the resonator demonstrated a quality factor (Q) of 320 and an electromechanical coupling coefficient (K{sub t}{sup 2}) of 7.0% at 11 V.

  2. Preparation and characterization of nanorod-like TiO2 and ZnO films used for charge-transport buffer layers in P3HT based organic solar cells

    NASA Astrophysics Data System (ADS)

    Thao, Tran Thi; Long, Dang Dinh; Truong, Vo-Van; Dinh, Nguyen Nang

    2016-08-01

    With the aim of findingout the appropriate buffer layers for organic solar cells (OSC), TiO2 and ZnO on ITO/glass were prepared as nanorod-like thin films. The TiO2 films were crystallyzed in the anatase phase and the ZnO films, in the wurtzite structure. The nanorods in both the fims have a similar size of 15 to 20 nm in diameter and 30 to 50 nm in length. The nanorods have an orientation nearly perpendicular to the ITO-substrate surface. From UV-Vis data the bandgap of the TiO2 and ZnO films were determined tobe 3.26 eV and 3.42 eV, respectively. The laminar organic solar cells with added TiO2 and ZnO, namely ITO/TiO2/P3HT:PCBM/LiF/Al (TBD) and ITO/ZnO/P3HT:PCBM/LiF/Al (ZBD)were made for characterization of the energy conversion performance. As a result, comparing to TiO2,the nanorod-likeZnO filmwas found to be a much better buffer layer that made the fill factor improve from a value of 0.60 for TBD to 0.82 for ZBD, and consequently thePCE was enhanced from 0.84 for TBD to 1.17% for ZBD.

  3. Pre-Test Analysis Predictions for the Shell Buckling Knockdown Factor Checkout Tests - TA01 and TA02

    NASA Technical Reports Server (NTRS)

    Thornburgh, Robert P.; Hilburger, Mark W.

    2011-01-01

    This report summarizes the pre-test analysis predictions for the SBKF-P2-CYL-TA01 and SBKF-P2-CYL-TA02 shell buckling tests conducted at the Marshall Space Flight Center (MSFC) in support of the Shell Buckling Knockdown Factor (SBKF) Project, NASA Engineering and Safety Center (NESC) Assessment. The test article (TA) is an 8-foot-diameter aluminum-lithium (Al-Li) orthogrid cylindrical shell with similar design features as that of the proposed Ares-I and Ares-V barrel structures. In support of the testing effort, detailed structural analyses were conducted and the results were used to monitor the behavior of the TA during the testing. A summary of predicted results for each of the five load sequences is presented herein.

  4. Structural and dielectric properties of Sr3(MgTa2)O9 and Sr3(ZnTa2)O9

    NASA Astrophysics Data System (ADS)

    Hoque, Md. M.; Dutta, Alo; Kumar, S.; Sinha, T. P.

    2015-07-01

    Herein, we report the crystal structures and morphological properties of Sr3(MgTa2)O9 (SMT) and Sr3(ZnTa2)O9 (SZT) synthesized by solid state ceramic method along with the results of alternating current impedance spectroscopic (ACIS) study in a frequency range from 50 Hz to 1 MHz at selective temperatures between 393 and 573 K. The crystal structures of SMT and SZT have been determined by Rietveld refinement of powder X-ray diffraction pattern using an initial structural model developed on the basis of literature survey. The results indicate that both the samples possess hexagonal structure of trigonal P 3 bar m 1 space group. The lattice parameters of SMT are a=b=5.65162 Å, c=6.94440 Å, α=β=90° and γ=120° and those of SZT are a=b=5.65832 Å, c=6.95911 Å and α=β=90° and γ=120°. SMT and SZT are isostructural and they exhibit 2:1 B site ordering with the staking sequence of {-Ta-Ta-Mg (Zn)-} (Mg for SMT and Zn for SZT) layer repeat on (111) plane of the pseudocells. The characteristic vibrational bands due to Ta-O, Mg-O and Zn-O bonds have been observed in the FTIR spectra of the samples. The FESEM micrographs of the samples show that the grains size ranges between 0.40 and 3.65 μm and 0.9 to 4.2 μm for SMT and SZT, respectively. To account for the polydispersive nature of the dielectric relaxation mechanism along with the effects of dc conductivity and localized space charges the variation of real (ε‧) and imaginary (ε″) parts of dielectric constant with frequency has been analytically interpreted in the framework of modified Cole-Cole model. SMT and SZT having the activation energies of 0.35 eV and 0.33 eV, respectively (obtained from the Arrhenius plot of dc conductivity), are semiconducting in nature. The electrical current conduction in the samples occurs by polaron hopping process. Further, we have shown that chemical property of A site cations has significant role in determining the dielectric properties of A3B‧B″2O9 type perovskites

  5. Hybrid ZnO/phthalocyanine photovoltaic device with highly resistive ZnO intermediate layer.

    PubMed

    Izaki, Masanobu; Chizaki, Ryo; Saito, Takamasa; Murata, Kazufumi; Sasano, Junji; Shinagawa, Tsutomu

    2013-10-09

    We report a hybrid photovoltaic device composed of a 3.3 eV bandgap zinc oxide (ZnO) semiconductor and metal-free phthalocyanine layers and the effects of the insertion of the highly resistive ZnO buffer layer on the electrical characteristics of the rectification feature and photovoltaic performance. The hybrid photovoltaic devices have been constructed by electrodeposition of the 300 nm thick ZnO layer in a simple zinc nitrate aqueous solution followed by vacuum evaporation of 50-400 nm thick-phthalocyanine layers. The ZnO layers with the resistivity of 1.8 × 10(3) and 1 × 10(8) Ω cm were prepared by adjusting the cathodic current density and were installed into the hybrid photovoltaic devices as the n-type and buffer layer, respectively. The phthalocyanine layers with the characteristic monoclinic lattice showed a characteristic optical absorption feature regardless of the thickness, but the preferred orientation changed depending on the thickness. The ZnO buffer-free hybrid 50 nm thick phthalocyanine/n-ZnO photovoltaic device showed a rectification feature but possessed a poor photovoltaic performance with a conversion efficiency of 7.5 × 10(-7) %, open circuit voltage of 0.041 V, and short circuit current density of 8.0 × 10(-5) mA cm(-2). The insertion of the ZnO buffer layer between the n-ZnO and phthalocyanine layers induced improvements in both the rectification feature and photovoltaic performance. The excellent rectification feature with a rectification ratio of 3188 and ideally factor of 1.29 was obtained for the hybrid 200 nm thick phthalocyanine/ZnO buffer/n-ZnO photovoltaic device, and the hybrid photovoltaic device possessed an improved photovoltaic performance with the conversion efficiency of 0.0016%, open circuit voltage of 0.31 V, and short circuit current density of 0.015 mA cm(-2).

  6. Electrochemical characterization of pulsed layer deposited hydroxyapatite-zirconia layers on Ti-21Nb-15Ta-6Zr alloy for biomedical application

    NASA Astrophysics Data System (ADS)

    Izquierdo, Javier; Bolat, Georgiana; Cimpoesu, Nicanor; Trinca, Lucia Carmen; Mareci, Daniel; Souto, Ricardo Manuel

    2016-11-01

    A new titanium base Ti-21Nb-15Ta-6Zr alloy covered with hydroxyapatite-zirconia (HA-ZrO2) by pulsed laser deposition (PLD) technique was characterized regarding its corrosion resistance in simulated physiological Ringer's solution at 37 °C. For the sake of comparison, Ti-6Al-4V standard implant alloy, with and without hydroxyapatite-zirconia coating, was also characterized. Multiscale electrochemical analysis using both conventional averaging electrochemical techniques, namely electrochemical impedance spectroscopy (EIS) and potentiodynamic polarization, and spatially-resolved microelectrochemical techniques (scanning electrochemical microscopy, SECM) were used to investigate the electrochemical behaviour of the materials. In addition, scanning electron microscopy evidenced that no relevant surface morphology changes occurred on the materials upon immersion in the simulated physiological solution, despite variations in their electrochemical behaviour. Although uncoated metals appear to show better performances during conventional corrosion tests, the response is still quite similar for the HA-ZrO2 coated materials while providing superior resistance towards electron transfer due to the formation of a more dense film on the surface, thus effectively behaving as a passive material. It is believed corrosion of the HA-ZrO2 coated Ti-21Nb-15Ta-6Zr alloy will have negligible effect upon biochemical and cellular events at the bone-implant interface and could facilitate osseointegration.

  7. Study of irradiation damage induced by He2+ ion irradiation in Ni62Ta38 metallic glass and W metal

    NASA Astrophysics Data System (ADS)

    Zhang, Xiaonan; Mei, Xianxiu; Zhang, Qi; Li, Xiaona; Wang, Yingmin; Wang, Younian

    2017-09-01

    Metallic glasses are considered to possess good resistant against irradiation due to their inherent structural long-range disorder and a lack of grain boundaries. The He2+ with an energy of 300 keV was used to irradiate Ni62Ta38 binary metallic glass to investigate its resistance against the irradiation, and the irradiated behaviour of the metallic glass was compared with that of W metal. The irradiation fluence range over 2.0 × 1017 ions/cm2-1.6 × 1018 ions/cm2. The TEM results show that nanocrystals of μ-NiTa phase and Ni2Ta phase appeared in Ni62Ta38 metallic glass under the irradiation fluence of 1.6 × 1018 ions/cm2. The SEM results show that the surfaces of Ni62Ta38 metallic glasses maintained flat and smooth, whereas a large area of blisters with peeling formed on the surface of W metal at the irradiation fluence of 1.0 × 1018 ions/cm2. It indicates that the critical irradiation fluence of surface breakage of the Ni62Ta38 metallic glass is higher than that of W metal. After the irradiation, stress was generated in the surface layer of W metal, leading to the increase of the hardness of W metal.

  8. TA-55 change control manual

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blum, T.W.; Selvage, R.D.; Courtney, K.H.

    This manual is the guide for initiating change at the Plutonium Facility, which handles the processing of plutonium as well as research on plutonium metallurgy. It describes the change and work control processes employed at TA-55 to ensure that all proposed changes are properly identified, reviewed, approved, implemented, tested, and documented so that operations are maintained within the approved safety envelope. All Laboratory groups, their contractors, and subcontractors doing work at TA-55 follow requirements set forth herein. This manual applies to all new and modified processes and experiments inside the TA-55 Plutonium Facility; general plant project (GPP) and line itemmore » funded construction projects at TA-55; temporary and permanent changes that directly or indirectly affect structures, systems, or components (SSCs) as described in the safety analysis, including Facility Control System (FCS) software; and major modifications to procedures. This manual does not apply to maintenance performed on process equipment or facility SSCs or the replacement of SSCs or equipment with documented approved equivalents.« less

  9. Investigation of the nanodomain structure formation by piezoelectric force microscopy and Raman confocal microscopy in LiNbO3 and LiTaO3 crystals

    NASA Astrophysics Data System (ADS)

    Shur, V. Ya.; Zelenovskiy, P. S.; Nebogatikov, M. S.; Alikin, D. O.; Sarmanova, M. F.; Ievlev, A. V.; Mingaliev, E. A.; Kuznetsov, D. K.

    2011-09-01

    Piezoelectric force microscopy (PFM) and Raman confocal microscopy have been used for studying the nanodomain structures in congruent LiNbO3 and LiTaO3 crystals. The high-resolution nanodomain images at the surface were observed via PFM. Raman confocal microscopy has been used for the visualization of the nanodomain structures in the bulk via layer-by-layer scanning at various depths. It has been shown experimentally that the nanodomain images obtained at different depths correspond to domain images at the polar surface obtained at different moments: the deeper the nanodomain, the earlier the moment. Such a correlation was applied for the reconstruction of the evolution of the domain structures with charged domain walls. The studied domain structures were obtained in highly non-equilibrium switching conditions realized in LiNbO3 and LiTaO3 via pulse laser irradiation and the electric field poling of LiNbO3, with the surface layer modified by ion implantation. The revealed main stages of the domain structure evolution allow the authors to demonstrate that all geometrically different nanodomain structures observed in LiNbO3 and LiTaO3 appeared as a result of discrete switching.

  10. Drought-responsive WRKY transcription factor genes TaWRKY1 and TaWRKY33 from wheat confer drought and/or heat resistance in Arabidopsis.

    PubMed

    He, Guan-Hua; Xu, Ji-Yuan; Wang, Yan-Xia; Liu, Jia-Ming; Li, Pan-Song; Chen, Ming; Ma, You-Zhi; Xu, Zhao-Shi

    2016-05-23

    Drought stress is one of the major causes of crop loss. WRKY transcription factors, as one of the largest transcription factor families, play important roles in regulation of many plant processes, including drought stress response. However, far less information is available on drought-responsive WRKY genes in wheat (Triticum aestivum L.), one of the three staple food crops. Forty eight putative drought-induced WRKY genes were identified from a comparison between de novo transcriptome sequencing data of wheat without or with drought treatment. TaWRKY1 and TaWRKY33 from WRKY Groups III and II, respectively, were selected for further investigation. Subcellular localization assays revealed that TaWRKY1 and TaWRKY33 were localized in the nuclei in wheat mesophyll protoplasts. Various abiotic stress-related cis-acting elements were observed in the promoters of TaWRKY1 and TaWRKY33. Quantitative real-time PCR (qRT-PCR) analysis showed that TaWRKY1 was slightly up-regulated by high-temperature and abscisic acid (ABA), and down-regulated by low-temperature. TaWRKY33 was involved in high responses to high-temperature, low-temperature, ABA and jasmonic acid methylester (MeJA). Overexpression of TaWRKY1 and TaWRKY33 activated several stress-related downstream genes, increased germination rates, and promoted root growth in Arabidopsis under various stresses. TaWRKY33 transgenic Arabidopsis lines showed lower rates of water loss than TaWRKY1 transgenic Arabidopsis lines and wild type plants during dehydration. Most importantly, TaWRKY33 transgenic lines exhibited enhanced tolerance to heat stress. The functional roles highlight the importance of WRKYs in stress response.

  11. VIRTUAL FRAME BUFFER INTERFACE

    NASA Technical Reports Server (NTRS)

    Wolfe, T. L.

    1994-01-01

    Large image processing systems use multiple frame buffers with differing architectures and vendor supplied user interfaces. This variety of architectures and interfaces creates software development, maintenance, and portability problems for application programs. The Virtual Frame Buffer Interface program makes all frame buffers appear as a generic frame buffer with a specified set of characteristics, allowing programmers to write code which will run unmodified on all supported hardware. The Virtual Frame Buffer Interface converts generic commands to actual device commands. The virtual frame buffer consists of a definition of capabilities and FORTRAN subroutines that are called by application programs. The virtual frame buffer routines may be treated as subroutines, logical functions, or integer functions by the application program. Routines are included that allocate and manage hardware resources such as frame buffers, monitors, video switches, trackballs, tablets and joysticks; access image memory planes; and perform alphanumeric font or text generation. The subroutines for the various "real" frame buffers are in separate VAX/VMS shared libraries allowing modification, correction or enhancement of the virtual interface without affecting application programs. The Virtual Frame Buffer Interface program was developed in FORTRAN 77 for a DEC VAX 11/780 or a DEC VAX 11/750 under VMS 4.X. It supports ADAGE IK3000, DEANZA IP8500, Low Resolution RAMTEK 9460, and High Resolution RAMTEK 9460 Frame Buffers. It has a central memory requirement of approximately 150K. This program was developed in 1985.

  12. Scaling of anomalous Hall effect in Ta/CoFeB/MgAl2O4/Ta multilayers

    NASA Astrophysics Data System (ADS)

    Wu, Yong; Zhang, Qimeng; Meng, Kangkang; Chen, Jikun; Xu, Xiaoguang; Miao, Jun; Jiang, Yong

    2017-06-01

    The anomalous Hall effect (AHE) is studied in Ta/CoFeB/MgAl2O4/Ta multilayers with different thicknesses of MgAl2O4 (t), which causes in-plane magnetic anisotropy (IMA) for t = 1.0 nm and perpendicular magnetic anisotropy (PMA) for t ≥ 1.2 nm. Conventional scaling was demonstrated to be not inadequate in our case. The origin of the AHE in Ta/CoFeB/MgAl2O4/Ta multilayers is mainly an extrinsic mechanism. The contribution of skew scattering (SS) is unneglectable, and both the SS and side jump are enhanced when the magnetic anisotropy changes from IMA to PMA, indicating that the oxidation at the interface of CoFeB/MgAl2O4 has a dominant influence on the AHE.

  13. Internal Photoemission at Interaces of ALD TaiOx Insulating Layers Deposited on Si, InP and In0.53Ga0.47As

    NASA Astrophysics Data System (ADS)

    Chou, H. Y.; Afanas'ev, V. V.; Thoan, N. H.; Adelmann, C.; Lin, H. C.; Houssa, M.; Stesmans, A.

    2012-12-01

    Electrical analysis of interfaces of (100)Si, (100)InP, and (100)In0.53Ga0.47As with TaSiOx (Ta/Si≈1) films atomic-layer deposited using SiCl4, TaCl5, and H2O precursors suggests Ta silicate as a good insulating and surface passivating layer on all three semiconductors. However, when a positive voltage is applied to the top metal electrode in a metal/ TaSiOx /semiconductor configuration, considerable hysteresis of the capacitance-voltage curves, both at 300 and 77 K, is universally observed indicating electron injection and trapping in the insulator. To shed some light on the origin of this charge instability, we analyzed interface band alignment of the studied interfaces using the spectroscopies of internal photoemission and photoconductivity measurements. The latter reveals that independently of the semiconductor substrate material, TaSiOx layers exhibit a bandgap of only 4.5±0.1 eV, typical for a Ta2O5 network. The density of electron states associated with this narrow-gap network may account for the enhanced electron injection and trapping. Furthermore, while a sufficiently high energy barrier for electrons between Si and TaSiOx (3.1±0.1 eV) is found, much lower IPE thresholds are encountered at the (100)InP/TaSiOx and (100) In0.53Ga0.47As/TaSiOx interfaces, i.e., 2.4 and 2.0 eV, respectively. The lower barrier may be related by the formation of narrow-gap In-rich interlayers between AIIIBV semiconductors and TaSiOx.

  14. Processing Ti-25Ta-5Zr Bioalloy via Anodic Oxidation Procedure at High Voltage

    NASA Astrophysics Data System (ADS)

    Ionita, Daniela; Grecu, Mihaela; Dilea, Mirela; Cojocaru, Vasile Danut; Demetrescu, Ioana

    2011-12-01

    The current paper reports the processing of Ti-25Ta-5Zr bioalloy via anodic oxidation in NH4BF4 solution under constant potentiostatic conditions at high voltage to obtain more suitable properties for biomedical application. The maximum efficiency of the procedure is reached at highest applied voltage, when the corrosion rate in Hank's solution is decreased approxomately six times. The topography of the anodic layer has been studied using atomic force microscopy (AFM), and the results indicated that the anodic oxidation process increases the surface roughness. The AFM images indicated a different porosity for the anodized surfaces as well. After anodizing, the hydrophilic character of Ti-25Ta-5Zr samples has increased. A good correlation between corrosion rate obtained from potentiodynamic curves and corrosion rate from ions release analysis was obtained.

  15. Variable substrate temperature deposition of CoFeB film on Ta for manipulating the perpendicular coercive forces

    NASA Astrophysics Data System (ADS)

    Lakshmanan, Saravanan; Rao, Subha Krishna; Muthuvel, Manivel Raja; Chandrasekaran, Gopalakrishnan; Therese, Helen Annal

    2017-08-01

    Magnetization of Ta/CoFeB/Ta trilayer films with thick layer of CoFeB deposited under different substrate temperatures (Ts) via ultra-high vacuum DC sputtering technique has been measured with the applied magnetic field parallel and perpendicular to the plane of the film respectively to study the perpendicular coercive forces of the film. The samples were further analyzed for its structural, topological, morphological, and electrical transport properties. The core chemical states for the elements present in the CoFeB thin film were analyzed by XPS studies. Magnetization studies reveal the existence of perpendicular coercive forces in CoFeB films deposited only at certain temperatures such as RT, 450 °C, 475 °C and 500 °C. CoFeB film deposited at 475 °C exhibited a maximum coercivity of 315 Oe and a very low saturation magnetization (Ms) of 169 emu/cc in perpendicular direction. This pronounced effect in perpendicular coercive forces observed for CoFeB475 could be attributed to the effect of temperature in enhancing the crystallization of the film at the Ta/CoFeB interfaces. However at temperatures higher than 475 °C the destruction of the Ta/CoFeB interface due to intermixing of Ta and CoFeB results in the disappearance of magnetic anisotropy.

  16. Two transcription factors TaPpm1 and TaPpb1 co-regulate anthocyanin biosynthesis in purple pericarps of wheat

    PubMed Central

    Jiang, Wenhui; Liu, Tianxiang; Nan, Wenzhi; Jeewani, Diddugodage Chamila; Niu, Yanlu; Li, Chunlian; Shi, Xue; Wang, Cong; Wang, Jiahuan; Li, Yang; Wang, Zhonghua

    2018-01-01

    Abstract Purple pericarps of bread wheat (Triticum aestivum L.) are a useful source of dietary anthocyanins. Previous mapping results indicated that the purple pericarp trait is controlled by two complementary genes located on chromosomes 7D and 2A. However, the identity of the genes and the mechanisms by which they regulate the trait are unknown. In this study, two transcription factors were characterised as anthocyanin activators in purple pericarps: TaPpm1 (purple pericarp-MYB 1) and TaPpb1 (purple pericarp-bHLH 1). Three non-functional variants were detected in the coding sequence of TaPpm1 from non-purple seed lines, in which the function of TaPpm1 was destroyed either by insertion-induced frame shifts or truncated peptides. There were six 261-bp tandem repeats in the promoter region of TaPpb1 in the purple-grained varieties, while there was only one repeat unit present in the non-purple varieties. Furthermore, using yeast two-hybrid, dual luciferase, yeast one-hybrid, and transient assays, we were able to demonstrate that the interaction of TaPpm1 and TaPpb1 co-regulates the synthesis of anthocyanin. Overall, our results provide a better understanding of the molecular basis of anthocyanin synthesis in the wheat pericarp and indicate the existence of an integrated regulatory mechanism that controls production. PMID:29562292

  17. Strain relaxation of thin Si{sub 0.6}Ge{sub 0.4} grown with low-temperature buffers by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhao, M.; Hansson, G. V.; Ni, W.-X.

    A double-low-temperature-buffer variable-temperature growth scheme was studied for fabrication of strain-relaxed thin Si{sub 0.6}Ge{sub 0.4} layer on Si(001) by using molecular beam epitaxy (MBE), with particular focuses on the influence of growth temperature of individual low-temperature-buffer layers on the relaxation process and final structural qualities. The low-temperature buffers consisted of a 40 nm Si layer grown at an optimized temperature of {approx}400 deg. C, followed by a 20 nm Si{sub 0.6}Ge{sub 0.4} layer grown at temperatures ranging from 50 to 550 deg. C. A significant relaxation increase together with a surface roughness decrease both by a factor of {approx}2, accompaniedmore » with the cross-hatch/cross-hatch-free surface morphology transition, took place for the sample containing a low-temperature Si{sub 0.6}Ge{sub 0.4} layer that was grown at {approx}200 deg. C. This dramatic change was explained by the association with a certain onset stage of the ordered/disordered growth transition during the low-temperature MBE, where the high density of misfit dislocation segments generated near surface cusps largely facilitated the strain relaxation of the top Si{sub 0.6}Ge{sub 0.4} layer.« less

  18. The Wheat Mediator Subunit TaMED25 Interacts with the Transcription Factor TaEIL1 to Negatively Regulate Disease Resistance against Powdery Mildew.

    PubMed

    Liu, Jie; Zhang, Tianren; Jia, Jizeng; Sun, Jiaqiang

    2016-03-01

    Powdery mildew, caused by the biotrophic fungal pathogen Blumeria graminis f. sp. tritici, is a major limitation for the production of bread wheat (Triticum aestivum). However, to date, the transcriptional regulation of bread wheat defense against powdery mildew remains largely unknown. Here, we report the function and molecular mechanism of the bread wheat Mediator subunit 25 (TaMED25) in regulating the bread wheat immune response signaling pathway. Three homoalleles of TaMED25 from bread wheat were identified and mapped to chromosomes 5A, 5B, and 5D, respectively. We show that knockdown of TaMED25 by barley stripe mosaic virus-induced gene silencing reduced bread wheat susceptibility to the powdery mildew fungus during the compatible plant-pathogen interaction. Moreover, our results indicate that MED25 may play a conserved role in regulating bread wheat and barley (Hordeum vulgare) susceptibility to powdery mildew. Similarly, bread wheat ETHYLENE INSENSITIVE3-LIKE1 (TaEIL1), an ortholog of Arabidopsis (Arabidopsis thaliana) ETHYLENE INSENSITIVE3, negatively regulates bread wheat resistance against powdery mildew. Using various approaches, we demonstrate that the conserved activator-interacting domain of TaMED25 interacts physically with the separate amino- and carboxyl-terminal regions of TaEIL1, contributing to the transcriptional activation activity of TaEIL1. Furthermore, we show that TaMED25 and TaEIL1 synergistically activate ETHYLENE RESPONSE FACTOR1 (TaERF1) transcription to modulate bread wheat basal disease resistance to B. graminis f. sp. tritici by repressing the expression of pathogenesis-related genes and deterring the accumulation of reactive oxygen species. Collectively, we identify the TaMED25-TaEIL1-TaERF1 signaling module as a negative regulator of bread wheat resistance to powdery mildew. © 2016 American Society of Plant Biologists. All Rights Reserved.

  19. Mechanical behavior of Ti-Ta-based surface alloy fabricated on TiNi SMA by pulsed electron-beam melting of film/substrate system

    NASA Astrophysics Data System (ADS)

    Meisner, S. N.; Yakovlev, E. V.; Semin, V. O.; Meisner, L. L.; Rotshtein, V. P.; Neiman, A. A.; D'yachenko, F.

    2018-04-01

    The physical-mechanical properties of the Ti-Ta based surface alloy with thickness up to ∼2 μm fabricated through the multiple (up to 20 cycles) alternation of magnetron deposition of Ti70Ta30 (at.%) thin (50 nm) films and their liquid-phase mixing with the NiTi substrate by microsecond low-energy, high current pulsed electron beam (LEHCPEB: ≤15 keV, ∼2 J/cm2) are presented. Two types of NiTi substrates (differing in the methods of melting alloys) were pretreated with LEHCPEB to improve the adhesion of thin-film coating and to protect it from local delimitation because of the surface cratering under pulsed melting. The methods used in the research include nanoindentation, transmission electron microscopy, and depth profile analysis of nanohardness, Vickers hardness, elastic modulus, depth recovery ratio, and plasticity characteristic as a function of indentation depth. For comparison, similar measurements were carried out with NiTi substrates in the initial state and after LEHCPEB pretreatment, as well as on "Ti70Ta30(1 μm) coating/NiTi substrate" system. It was shown that the upper surface layer in both NiTi substrates is the same in properties after LEHCPEB pretreatment. Our data suggest that the type of multilayer surface structure correlates with its physical-mechanical properties. For NiTi with the Ti-Ta based surface alloy ∼1 μm thick, the highest elasticity falls on the upper submicrocrystalline layer measuring ∼0.2 μm and consisting of two Ti-Ta based phases: α‧‧ martensite (a = 0.475 nm, b = 0.323 nm, c = 0.464 nm) and β austenite (a = 0.327 nm). Beneath the upper layer there is an amorphous sublayer followed by underlayers with coarse (>20 nm) and fine (<20 nm) average grain sizes which provide a gradual transition of the mechanical parameters to the values of the NiTi substrate.

  20. High performance planar p-i-n perovskite solar cells with crown-ether functionalized fullerene and LiF as double cathode buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Xiaodong; Zhou, Yi, E-mail: yizhou@suda.edu.cn, E-mail: songbo@suda.edu.cn, E-mail: liyf@iccas.ac.cn; Song, Bo, E-mail: yizhou@suda.edu.cn, E-mail: songbo@suda.edu.cn, E-mail: liyf@iccas.ac.cn

    2015-08-10

    Double cathode buffer layers (CBLs) composed of fullerene derivative functionalized with a crown-ether end group in its side chain (denoted as PCBC) and a LiF layer were introduced between the PCBM acceptor layer and the top cathode in planar p-i-n perovskite solar cells (pero-SCs) based on CH{sub 3}NH{sub 3}PbI{sub 3−X}Cl{sub X}. The devices with the PCBC/LiF double CBLs showed significant improvements in power conversion efficiency (PCE) and long-term stability when compared to the device with LiF single CBL. Through optimizing the spin-coating speed of PCBC, a maximum PCE of 15.53% has been achieved, which is approximately 15% higher than thatmore » of the device with single LiF CBL. The remarkable improvement in PCE can be attributed to the formation of a better ohmic contact in the CBL between PCBC and LiF/Al electrode arising from the dipole moment of PCBC, leading to the enhanced fill factor and short-circuit current density (J{sub sc}). Besides the PCE, the long-term stability of the devices with PCBC interlayer is also superior to that of the device with LiF single CBL, which is due to the more effective protection for the perovskite/PCBM interface.« less

  1. Effects of shot-peening and atmospheric-pressure plasma on aesthetic improvement of Ti-Nb-Ta-Zr alloy for dental applications

    NASA Astrophysics Data System (ADS)

    Miura-Fujiwara, Eri; Suzuki, Yuu; Ito, Michiko; Yamada, Motoko; Matsutake, Sinpei; Takashima, Seigo; Sato, Hisashi; Watanabe, Yoshimi

    2018-01-01

    Ti and Ti alloys are widely used for biomedical applications such as artificial joints and dental devices because of their good mechanical properties and biochemical compatibility. However, dental devices made of Ti and Ti alloys do not have the same color as teeth, so they are inferior to ceramics and polymers in terms of aesthetic properties. In a previous study, Ti-29Nb-13Ta-4.6Zr was coated with a white Ti oxide layer by heat treatment to improve its aesthetic properties. Shot-peening is a severe plastic deformation process and can introduce a large shear strain on the peened surface. In this study, the effects of shot-peening and atmospheric-pressure plasma on Ti-29Nb-13Ta-4.6Zr were investigated to form a white layer on the surface for dental applications.

  2. Buffer Therapy for Cancer

    PubMed Central

    Ribeiro, Maria de Lourdes C; Silva, Ariosto S.; Bailey, Kate M.; Kumar, Nagi B.; Sellers, Thomas A.; Gatenby, Robert A.; Ibrahim-Hashim, Arig; Gillies, Robert J.

    2013-01-01

    Oral administration of pH buffers can reduce the development of spontaneous and experimental metastases in mice, and has been proposed in clinical trials. Effectiveness of buffer therapy is likely to be affected by diet, which could contribute or interfere with the therapeutic alkalinizing effect. Little data on food pH buffering capacity was available. This study evaluated the pH and buffering capacity of different foods to guide prospective trials and test the effect of the same buffer (lysine) at two different ionization states. Food groups were derived from the Harvard Food Frequency Questionnaire. Foods were blended and pH titrated with acid from initial pH values until 4.0 to determine “buffering score”, in mmol H+/pH unit. A “buffering score” was derived as the mEq H+ consumed per serving size to lower from initial to a pH 4.0, the postprandial pH of the distal duodenum. To differentiate buffering effect from any metabolic byproduct effects, we compared the effects of oral lysine buffers prepared at either pH 10.0 or 8.4, which contain 2 and 1 free base amines, respectively. The effect of these on experimental metastases formation in mice following tail vein injection of PC-3M prostate cancer cells were monitored with in vivo bioluminescence. Carbohydrates and dairy products’ buffering score varied between 0.5 and 19. Fruits and vegetables showed a low to zero buffering score. The score of meats varied between 6 and 22. Wine and juices had negative scores. Among supplements, sodium bicarbonate and Tums® had the highest buffering capacities, with scores of 11 and 20 per serving size, respectively. The “de-buffered” lysine had a less pronounced effect of prevention of metastases compared to lysine at pH 10. This study has demonstrated the anti-cancer effects of buffer therapy and suggests foods that can contribute to or compete with this approach to manage cancer. PMID:24371544

  3. Design and characterization of thick InxGa1-xAs metamorphic buffer layers grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Schulte, K. L.; Zutter, B. T.; Wood, A. W.; Babcock, S. E.; Kuech, T. F.

    2014-03-01

    Thick InxGa1-xAs metamorphic buffer layers (MBLs) grown by hydride vapor phase epitaxy (HVPE) were studied. Relationships between MBL properties and growth parameters such as grading rate, cap layer thickness, final xInAs, and deposition temperature (TD) were explored. The MBLs were characterized by measurement of in-plane residual strain (ɛ¦¦), surface etch pit density (EPD), and surface roughness. Capping layer thickness had a strong effect on strain relaxation, with thickly capped samples exhibiting the lowest ɛ¦¦. EPD was higher in samples with thicker caps, reflecting their increased relaxation through dislocation generation. ɛ¦¦ and EPD were weakly affected by the grading rate, making capping layer thickness the primary structural parameter which controls these properties. MBLs graded in discrete steps had similar properties to MBLs with continuous grading. In samples with identical thickness and 10-step grading style, ɛ¦¦ increased almost linearly with final xInAs, while total relaxation stayed relatively constant. Relaxation as a function of xInAs could be described by an equilibrium model in which dislocation nucleation is impeded by the energy of the existing dislocation array. EPD was constant from xInAs = 0 to 0.24 then increased exponentially, which is related to the increased dislocation interaction and blocking seen at higher dislocation densities. RMS roughness increased with xInAs above a certain strain rate (0.15%/µm) samples grown below this level possessed large surface hillocks and high roughness values. The elimination of hillocks at higher values of xInAs is attributed to increased density of surface steps and is related to the out-of-plane component of the burgers vector of the dominant type of 60° dislocation. TD did not affect ɛ¦¦ for samples with a given xInAs. EPD tended to increase with TD, indicating dislocation glide likely is impeded at higher temperatures.

  4. Stress-induced magnetization for epitaxial spinel ferrite films through interface engineering

    NASA Astrophysics Data System (ADS)

    Wakiya, Naoki; Shinozaki, Kazuo; Mizutani, Nobuyasu

    2004-08-01

    This study found "stress-induced magnetization" for epitaxial ferrite films with spinel structure. We grew (111)- and (001)-epitaxial Ni0.17Zn0.23Fe2.60O4(NZF) films on CeO2/Y0.15Zr0.85O1.93(YSZ )/Si(001) and oxide single-crystal substrates, respectively. There is a window of lattice mismatch (between 0 and 6.5%) to achieve bulk saturation magnetization (Ms). An NZF film grown on CeO2/YSZ //Si(001) showed tensile stress, but that stress was relaxed by introducing a ZnCo2O4(ZC ) buffer layer. NZF films grown on SrTiO3(ST )(001) and (La,Sr)(Al,Ta)O3(LSAT)(001) had compressive stress, which was enhanced by introducing a ZC buffer layer. In both cases, bulk Ms was achieved by introducing the ZC buffer layer. This similarity suggests that magnetization can be controlled by the stress.

  5. Influences of ultrathin amorphous buffer layers on GaAs/Si grown by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hu, Haiyang; Wang, Jun; Cheng, Zhuo; Yang, Zeyuan; Yin, Haiying; Fan, Yibing; Ma, Xing; Huang, Yongqing; Ren, Xiaomin

    2018-04-01

    In this work, a technique for the growth of GaAs epilayers on Si, combining an ultrathin amorphous Si buffer layer and a three-step growth method, has been developed to achieve high crystalline quality for monolithic integration. The influences of the combined technique for the crystalline quality of GaAs on Si are researched in this article. The crystalline quality of GaAs epilayer on Si with the combined technique is investigated by scanning electron microscopy, double crystal X-ray diffraction (DCXRD), photoluminescence, and transmission electron microscopy measurements. By means of this technique, a 1.8-µm-thick high-quality GaAs/Si epilayer was grown by metal-organic chemical vapor deposition. The full-width at half-maximum of the DCXRD rocking curve in the (400) reflection obtained from the GaAs/Si epilayers is about 163 arcsec. Compared with only using three-step growth method, the current technique reduces etch pit density from 3 × 106 cm-2 to 1.5 × 105 cm-2. The results demonstrate that the combined technique is an effective approach for reducing dislocation density in GaAs epilayers on Si.

  6. Performance of strontium- and magnesium-doped lanthanum gallate electrolyte with lanthanum-doped ceria as a buffer layer for IT-SOFCs

    NASA Astrophysics Data System (ADS)

    Lee, Dokyol; Han, Ju-Hyeong; Kim, Eun-Gu; Song, Rak-Hyun; Shin, Dong-Ryul

    La 0.8Sr 0.2Ga 0.8Mg 0.2O 2.8 (LSGM8080) powder, showing the highest electrical conductivity among LSGMs of various compositions, is synthesized using the glycine nitrate process (GNP) and used as the electrolyte for an intermediate-temperature solid oxide fuel cell (IT-SOFC). The LDC (Ce 0.55La 0.45O 1.775) powder is synthesized by a solid-state reaction and employed as the material for a buffer layer to prevent the reaction between the anode and electrolyte materials. The LDC also serves as the skeleton material for the anode. An anode-supported single cell with an active area of 1 cm 2 is constructed for performance evaluation. A single-cell test is performed at 750 and 800 °C. The maximum power density of the cell 459 and 664 mW cm -2 at 750 and 800 °C, respectively.

  7. Three-dimensional resistivity and switching between correlated electronic states in 1T-TaS2

    NASA Astrophysics Data System (ADS)

    Svetin, Damjan; Vaskivskyi, Igor; Brazovskii, Serguei; Mihailovic, Dragan

    2017-04-01

    Recent demonstrations of controlled switching between different ordered macroscopic states by impulsive electromagnetic perturbations in complex materials have opened some fundamental questions on the mechanisms responsible for such remarkable behavior. Here we experimentally address the question of whether two-dimensional (2D) Mott physics can be responsible for unusual switching between states of different electronic order in the layered dichalcogenide 1T-TaS2, or it is a result of subtle inter-layer “orbitronic” re-ordering of its stacking structure. We report on in-plane (IP) and out-of-plane (OP) resistance switching by current-pulse injection at low temperatures. Elucidating the controversial theoretical predictions, we also report on measurements of the anisotropy of the electrical resistivity below room temperature. From the T-dependence of ρ⊥ and ρ||, we surmise that the resistivity is more consistent with collective motion than single particle diffusive or band-like transport. The relaxation dynamics of the metastable state for both IP and OP electron transport are seemingly governed by the same mesoscopic quantum re-ordering process. We conclude that 1T-TaS2 shows resistance switching arising from an interplay of both IP and OP correlations.

  8. Comparative analysis of the effects of tantalum doping and annealing on atomic layer deposited (Ta2O5)x(Al2O3)1-x as potential gate dielectrics for GaN/AlxGa1-xN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Partida-Manzanera, T.; Roberts, J. W.; Bhat, T. N.; Zhang, Z.; Tan, H. R.; Dolmanan, S. B.; Sedghi, N.; Tripathy, S.; Potter, R. J.

    2016-01-01

    This paper describes a method to optimally combine wide band gap Al2O3 with high dielectric constant (high-κ) Ta2O5 for gate dielectric applications. (Ta2O5)x(Al2O3)1-x thin films deposited by thermal atomic layer deposition (ALD) on GaN-capped AlxGa1-xN/GaN high electron mobility transistor (HEMT) structures have been studied as a function of the Ta2O5 molar fraction. X-ray photoelectron spectroscopy shows that the bandgap of the oxide films linearly decreases from 6.5 eV for pure Al2O3 to 4.6 eV for pure Ta2O5. The dielectric constant calculated from capacitance-voltage measurements also increases linearly from 7.8 for Al2O3 up to 25.6 for Ta2O5. The effect of post-deposition annealing in N2 at 600 °C on the interfacial properties of undoped Al2O3 and Ta-doped (Ta2O5)0.12(Al2O3)0.88 films grown on GaN-HEMTs has been investigated. These conditions are analogous to the conditions used for source/drain contact formation in gate-first HEMT technology. A reduction of the Ga-O to Ga-N bond ratios at the oxide/HEMT interfaces is observed after annealing, which is attributed to a reduction of interstitial oxygen-related defects. As a result, the conduction band offsets (CBOs) of the Al2O3/GaN-HEMT and (Ta2O5)0.16(Al2O3)0.84/GaN-HEMT samples increased by ˜1.1 eV to 2.8 eV and 2.6 eV, respectively, which is advantageous for n-type HEMTs. The results demonstrate that ALD of Ta-doped Al2O3 can be used to control the properties of the gate dielectric, allowing the κ-value to be increased, while still maintaining a sufficient CBO to the GaN-HEMT structure for low leakage currents.

  9. Effect of Mg interlayer on perpendicular magnetic anisotropy of CoFeB films in MgO/Mg/CoFeB/Ta structure

    NASA Astrophysics Data System (ADS)

    Ma, Q. L.; Iihama, S.; Kubota, T.; Zhang, X. M.; Mizukami, S.; Ando, Y.; Miyazaki, T.

    2012-09-01

    The effects of Mg metallic interlayer on the magnetic properties of thin CoFeB films in MgO/Mg (tMg)/CoFeB (1.2 nm)/Ta structures were studied in this letter. Our experimental result shows that the CoFeB film exhibits perpendicular magnetic anisotropy (PMA) when the CoFeB and MgO layers are separated by a metallic Mg layer with a maximum thickness of 0.8 nm. The origin of PMA was discussed by considering the preferential transmission of the Δ1 symmetry preserved by the Mg interlayer in crystallized MgO/Mg/CoFeB/Ta. In addition, the thin Mg interlayer also contributes to enhancing the thermal stability and reducing the effective damping constant and coercivity of the CoFeB film.

  10. The Wheat Mediator Subunit TaMED25 Interacts with the Transcription Factor TaEIL1 to Negatively Regulate Disease Resistance against Powdery Mildew1

    PubMed Central

    Zhang, Tianren; Jia, Jizeng; Sun, Jiaqiang

    2016-01-01

    Powdery mildew, caused by the biotrophic fungal pathogen Blumeria graminis f. sp. tritici, is a major limitation for the production of bread wheat (Triticum aestivum). However, to date, the transcriptional regulation of bread wheat defense against powdery mildew remains largely unknown. Here, we report the function and molecular mechanism of the bread wheat Mediator subunit 25 (TaMED25) in regulating the bread wheat immune response signaling pathway. Three homoalleles of TaMED25 from bread wheat were identified and mapped to chromosomes 5A, 5B, and 5D, respectively. We show that knockdown of TaMED25 by barley stripe mosaic virus-induced gene silencing reduced bread wheat susceptibility to the powdery mildew fungus during the compatible plant-pathogen interaction. Moreover, our results indicate that MED25 may play a conserved role in regulating bread wheat and barley (Hordeum vulgare) susceptibility to powdery mildew. Similarly, bread wheat ETHYLENE INSENSITIVE3-LIKE1 (TaEIL1), an ortholog of Arabidopsis (Arabidopsis thaliana) ETHYLENE INSENSITIVE3, negatively regulates bread wheat resistance against powdery mildew. Using various approaches, we demonstrate that the conserved activator-interacting domain of TaMED25 interacts physically with the separate amino- and carboxyl-terminal regions of TaEIL1, contributing to the transcriptional activation activity of TaEIL1. Furthermore, we show that TaMED25 and TaEIL1 synergistically activate ETHYLENE RESPONSE FACTOR1 (TaERF1) transcription to modulate bread wheat basal disease resistance to B. graminis f. sp. tritici by repressing the expression of pathogenesis-related genes and deterring the accumulation of reactive oxygen species. Collectively, we identify the TaMED25-TaEIL1-TaERF1 signaling module as a negative regulator of bread wheat resistance to powdery mildew. PMID:26813794

  11. 12 CFR 3.11 - Capital conservation buffer and countercyclical capital buffer amount.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 12 Banks and Banking 1 2014-01-01 2014-01-01 false Capital conservation buffer and countercyclical capital buffer amount. 3.11 Section 3.11 Banks and Banking COMPTROLLER OF THE CURRENCY, DEPARTMENT OF THE TREASURY CAPITAL ADEQUACY STANDARDS Capital Ratio Requirements and Buffers § 3.11 Capital conservation...

  12. Analysis of NiAlTa precipitates in beta-NiAl + 2 at. pct Ta alloy

    NASA Technical Reports Server (NTRS)

    Pathare, V.; Michal, G. M.; Vedula, K.; Nathal, M. V.

    1987-01-01

    Results are reported from experiments performed to identify the precipitates, and their orientation in the matrix, in a beta-NiAl alloy containing 2 at. pct. Ta after undergoing creep test at 1300 K. Test specimens formed by extruding hot powders were compressed at 1300 K for about 50 hr at a strain rate averaging 6/1 million per sec. The specimens were then thinned and examined under an electron microscope and by X-ray diffractometry. An intermetallic NiAlTa compound with a hexagonal Cl4 structure appeared as second phase precipitates in the samples, exhibiting plate-like shapes and a habit plane close to (012). The prism planes of the hexagonal NiAlTa precipitates paralleled the closest packed planes in the cubic beta-NiAl matrix.

  13. Superconductivity in palladium-doped 2H-TaS2

    NASA Astrophysics Data System (ADS)

    Zhou, M. H.; Li, X. C.; Dong, C.

    2018-06-01

    A series of Pd x TaS2 (0.01 ≤ x ≤ 0.08) samples were prepared and characterized via scanning electron microscope, x-ray powder diffraction, resistivity, magnetization and specific heat measurements. The lattice parameter c associated with the interlayer distance increases monotonically with the Pd content while the parameter a remains essentially constant. The crystal structure of Pd0.08TaS2 has been determined and refined by Rietveld refinement. Pd0.08TaS2 is hexagonal (space group: P31c) with lattice parameters a = 3.3151(1) Å, c = 12.1497(9) Å. The superconducting transition temperature T c (0.8 K) of TaS2 can be dramatically enhanced by Pd doping, and the maximum T c of 4.2 K, about five times the T c of pure TaS2, is obtained in Pd0.04TaS2. We have determined the superconducting parameters of Pd0.04TaS2, and found that the enhancement of T c can be attributed to the increase of density of states at the Fermi level. The charge density wave (CDW) of TaS2 is gradually suppressed with Pd doping and disappears in Pd0.06TaS2. This suggests that there is a competitive interplay between superconductivity and CDW in this system.

  14. Biodegradable neural cell culture sheet made of poly(lactic-co-glycolic acid) thin film with micropatterns of Dulbecco’s phosphate-buffered saline (-) containing laminin layers

    NASA Astrophysics Data System (ADS)

    Nakamura, Yuki; Horiuchi, Shunpu; Nishioka, Yasushiro

    2018-02-01

    In the regenerative medicine field of nervous systems, techniques used to fabricate microstructures of neurons on flexible and biodegradable substrates have attracted attention. In this research, biodegradable and flexible neuron culture thin films that enable the selective axonal outgrowth of neurons were fabricated using poly(lactic-co-glycolic acid) (PLGA) thin films with micropatterns of Dulbecco’s phosphate-buffered saline (D-PBS) (-) containing laminin layers. The 100-µm-thick PLGA thin films were fabricated by diluting PLGA in acetone (5% w/w) and the solution was distributed onto a poly(dimethylsiloxane) (PDMS) mold. D-PBS (-) micropatterns containing laminin layers with widths of 10-150 µm were fabricated by micromolding in capillaries (MIMIC) and the microstencil method. Rat neurons were selectively cultured for 3 d on the laminin micropatterns; using the MIMIC method, the cells properly adhered to a pattern wider than 30 µm, while with the microstencil method, the necessary pattern width for proper adhesion was more than 50 µm.

  15. Buffered Electrochemical Polishing of Niobium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gianluigi Ciovati; Tian, Hui; Corcoran, Sean

    The standard preparation of superconducting radio-frequency (SRF) cavities made of pure niobium include the removal of a 'damaged' surface layer, by buffered chemical polishing (BCP) or electropolishing (EP), after the cavities are formed. The performance of the cavities is characterized by a sharp degradation of the quality factor when the surface magnetic field exceeds about 90 mT, a phenomenon referred to as 'Q-drop.' In cavities made of polycrystalline fine grain (ASTM 5) niobium, the Q-drop can be significantly reduced by a low-temperature (? 120 °C) 'in-situ' baking of the cavity if the chemical treatment was EP rather than BCP. Asmore » part of the effort to understand this phenomenon, we investigated the effect of introducing a polarization potential during buffered chemical polishing, creating a process which is between the standard BCP and EP. While preliminary results on the application of this process to Nb cavities have been previously reported, in this contribution we focus on the characterization of this novel electrochemical process by measuring polarization curves, etching rates, surface finish, electrochemical impedance and the effects of temperature and electrolyte composition. In particular, it is shown that the anodic potential of Nb during BCP reduces the etching rate and improves the surface finish.« less

  16. Vortex phase diagram of the layered superconductor Cu0.03TaS2 for H is parallel to c.

    PubMed

    Zhu, X D; Lu, J C; Sun, Y P; Pi, L; Qu, Z; Ling, L S; Yang, Z R; Zhang, Y H

    2010-12-22

    The magnetization and anisotropic electrical transport properties have been measured in high quality Cu(0.03)TaS(2) single crystals. A pronounced peak effect has been observed, indicating that high quality and homogeneity are vital to the peak effect. A kink has been observed in the magnetic field, H, dependence of the in-plane resistivity ρ(ab) for H is parallel to c, which corresponds to a transition from activated to diffusive behavior of the vortex liquid phase. In the diffusive regime of the vortex liquid phase, the in-plane resistivity ρ(ab) is proportional to H(0.3), which does not follow the Bardeen-Stephen law for free flux flow. Finally, a simplified vortex phase diagram of Cu(0.03)TaS(2) for H is parallel to c is given.

  17. Raman Signatures of Broken Inversion Symmetry and In-Plane Anisotropy in Type-II Weyl Semimetal Candidate TaIrTe4.

    PubMed

    Liu, Yinan; Gu, Qiangqiang; Peng, Yu; Qi, Shaomian; Zhang, Na; Zhang, Yinong; Ma, Xiumei; Zhu, Rui; Tong, Lianming; Feng, Ji; Liu, Zheng; Chen, Jian-Hao

    2018-05-07

    The layered ternary compound TaIrTe 4 is an important candidate to host the recently predicted type-II Weyl fermions. However, a direct and definitive proof of the absence of inversion symmetry in this material, a prerequisite for the existence of Weyl Fermions, has so far remained evasive. Herein, an unambiguous identification of the broken inversion symmetry in TaIrTe 4 is established using angle-resolved polarized Raman spectroscopy. Combining with high-resolution transmission electron microscopy, an efficient and nondestructive recipe to determine the exact crystallographic orientation of TaIrTe 4 crystals is demonstrated. Such technique could be extended to the fast identification and characterization of other type-II Weyl fermions candidates. A surprisingly strong in-plane electrical anisotropy in TaIrTe 4 thin flakes is also revealed, up to 200% at 10 K, which is the strongest known electrical anisotropy for materials with comparable carrier density, notably in such good metals as copper and silver. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Improvements in multimedia data buffering using master/slave architecture

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sheikh, S.; Ganesan, R.

    1996-12-31

    Advances in the networking technology and multimedia technology has necessitated a need for multimedia servers to be robust and reliable. Existing solutions have direct limitations such as I/O bottleneck and reliability of data retrieval. The system can store the stream of incoming data if enough buffer space is available or the mass storage is clearing the buffer data faster than queue input. A single buffer queue is not sufficient to handle the large frames. Queue sizes are normally several megabytes in length and thus in turn will introduce a state of overflow. The system should also keep track of themore » rewind, fast forwarding, and pause requests, otherwise queue management will become intricate. In this paper, we present a master/slave (server that is designated to monitor the workflow of the complete system. This server holds every other information of slaves by maintaining a dynamic table. It also controls the workload on each of the systems by redistributing request to others or handles the request by itself) approach which will overcome the limitations of today`s storage and also satisfy tomorrow`s storage needs. This approach will maintain the system reliability and yield faster response by using more storage units in parallel. A network of master/slave can handle many requests and synchronize them at all times. Using dedicated CPU and a common pool of queues we explain how queues can be controlled and buffer overflow can be avoided. We propose a layered approach to the buffering problem and provide a read-ahead solution to ensure continuous storage and retrieval of multimedia data.« less

  19. Current-induced spin-orbit torque switching of perpendicularly magnetized Hf|CoFeB|MgO and Hf|CoFeB|TaO{sub x} structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akyol, Mustafa; Department of Physics, University of Çukurova, Adana 01330; Yu, Guoqiang

    2015-04-20

    We study the effect of the oxide layer on current-induced perpendicular magnetization switching properties in Hf|CoFeB|MgO and Hf|CoFeB|TaO{sub x} tri-layers. The studied structures exhibit broken in-plane inversion symmetry due to a wedged CoFeB layer, resulting in a field-like spin-orbit torque (SOT), which can be quantified by a perpendicular (out-of-plane) effective magnetic field. A clear difference in the magnitude of this effective magnetic field (H{sub z}{sup FL}) was observed between these two structures. In particular, while the current-driven deterministic perpendicular magnetic switching was observed at zero magnetic bias field in Hf|CoFeB|MgO, an external magnetic field is necessary to switch the CoFeBmore » layer deterministically in Hf|CoFeB|TaO{sub x}. Based on the experimental results, the SOT magnitude (H{sub z}{sup FL} per current density) in Hf|CoFeB|MgO (−14.12 Oe/10{sup 7} A cm{sup −2}) was found to be almost 13× larger than that in Hf|CoFeB|TaO{sub x} (−1.05 Oe/10{sup 7} A cm{sup −2}). The CoFeB thickness dependence of the magnetic switching behavior, and the resulting  H{sub z}{sup FL} generated by in-plane currents are also investigated in this work.« less

  20. The influence of buffer system and biological fluids on the degradation of magnesium.

    PubMed

    Törne, Karin; Örnberg, Andreas; Weissenrieder, Jonas

    2017-08-01

    The influence of frequently used buffer system 4-(2-hydroxyethyl)-1-piperazineethanesulfonic acid (HEPES) compared to CO 2 /HCO3- on the corrosion of magnesium is investigated. Samples were immersed in simulated body fluid (m-SBF) while monitored by electrochemical impedance spectroscopy (EIS) for up to 30 days. In CO 2 /HCO3- the initial corrosion rate was 0.11 mm yr -1 . An inner protective layer of magnesium oxide was formed within the first 30 min exposure and subsequently covered by an outer layer of apatite within 24 h . The corrosion mechanism thereafter is best described as passive pitting with a porosity of ∼10%. Using HEPES as buffer agent increased the corrosion rate to 3.37 mm yr -1 . Cross sectional microscopy show a porous outer corrosion layer allowing rapid diffusion of aggressive ions through the film. Here the EIS results are best described by an active pitting model with an inner layer 5 to 10 times less protective compared to the inner layer formed without HEPES. Further the suitability of human whole blood and plasma as in vitro models for Mg degradation was evaluated. Mg corrosion caused coagulation after 24 h in both biological fluids. The corrosion during the first 24 h is similar to the corrosion in m-SBF with HEPES. © 2016 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 105B: 1490-1502, 2017. © 2016 Wiley Periodicals, Inc.