Sample records for taiwan semiconductor manufacturing

  1. Decreased white blood cell counts in semiconductor manufacturing workers in Taiwan

    PubMed Central

    Luo, J; Hsieh, L; Chang, M; Hsu, K

    2002-01-01

    Objectives: To assess the systematic health effects on the liver, kidney, and haematological function tests of workers in semiconductors in Taiwan. Methods: 926 workers of a semiconductor plant in Taiwan in July 1995 were investigated. Complete blood tests including liver, kidney, and haematological functions were available from 227 workers. Results: There was a significantly lower mean (SD) white blood cell (WBC) count in male workers of photolithography (5870 (1190)/mm3, p=0.003) and implantation (6190 (1150)/mm3, p=0.018) than that of male control workers (7350 (1660)/mm3). There was a significantly higher prevalence of leukopenia in male photolithography workers (6 of 20; 30%) than in male control workers (1 of 18; 5.6%), the crude odds ratio (OR) was 7.3 (95% confidence interval (95% CI) 1 to 55.6), and the multivariate adjusted OR was 8.1 (95% CI 0.83 to 78.3). The tests for serum glutamic oxaloacetic transaminase (SGOT), serum glutamic pyruvic transaminase (SGPT), γ glutamyl transferase (RGT), and creatinine were not significant among male workers. Female workers in photolithography had abnormal SGPT and RGT of borderline significance, the multivariate adjusted ORs were 9.6 (95% CI 0.86 to 107) and 6.35 (95% CI 0.53 to 75.8), respectively. Conclusions: This study suggests that leukopenia is a potential health effect in male fabrication workers of the semiconductor industry. The tasks of the process, maintenance, and equipment engineers which consisted mostly of men put them at risk for intermittent short term peak exposure to glycol ethers, ionising radiation, arsenic, or other toxins. The findings of this medical surveillance are significant; however, a further investigation of the aetiological factors and the subsequent health effects is necessary. PMID:11836468

  2. Emission factors of air toxics from semiconductor manufacturing in Korea.

    PubMed

    Eom, Yun-Sung; Hong, Ji-Hyung; Lee, Suk-Jo; Lee, Eun-Jung; Cha, Jun-Seok; Lee, Dae-Gyun; Bang, Sun-Ae

    2006-11-01

    The development of local, accurate emission factors is very important for the estimation of reliable national emissions and air quality management. For that, this study is performed for pollutants released to the atmosphere with source-specific emission tests from the semiconductor manufacturing industry. The semiconductor manufacturing industry is one of the major sources of air toxics or hazardous air pollutants (HAPs); thus, understanding the emission characteristics of the emission source is a very important factor in the development of a control strategy. However, in Korea, there is a general lack of information available on air emissions from the semiconductor industry. The major emission sources of air toxics examined from the semiconductor manufacturing industry were wet chemical stations, coating applications, gaseous operations, photolithography, and miscellaneous devices in the wafer fabrication and semiconductor packaging processes. In this study, analyses of emission characteristics, and the estimations of emission data and factors for air toxics, such as acids, bases, heavy metals, and volatile organic compounds from the semiconductor manufacturing process have been performed. The concentration of hydrogen chloride from the packaging process was the highest among all of the processes. In addition, the emission factor of total volatile organic compounds (TVOCs) for the packaging process was higher than that of the wafer fabrication process. Emission factors estimated in this study were compared with those of Taiwan for evaluation, and they were found to be of similar level in the case of TVOCs and fluorine compounds.

  3. Emission characteristics of volatile organic compounds from semiconductor manufacturing.

    PubMed

    Chein, HungMin; Chen, Tzu Ming

    2003-08-01

    A huge amount of volatile organic compounds (VOCs) is produced and emitted with waste gases from semiconductor manufacturing processes, such as cleaning, etching, and developing. VOC emissions from semiconductor factories located at Science-Based Industrial Park, Hsin-chu, Taiwan, were measured and characterized in this study. A total of nine typical semiconductor fabricators (fabs) were monitored over a 12-month period (October 2000-September 2001). A flame ionization analyzer was employed to measure the VOC emission rate continuously in a real-time fashion. The amount of chemical use was adopted from the data that were reported to the Environmental Protection Bureau in Hsin-chu County as per the regulation of the Taiwan Environmental Protection Administration. The VOC emission factor, defined as the emission rate (kg/month) divided by the amount of chemical use (L/month), was determined to be 0.038 +/- 0.016 kg/L. A linear regression equation is proposed to fit the data with the correlation coefficient (R2)=0.863. The emission profiles of VOCs, which were drawn using the gas chromatograph/mass spectrometer analysis method, show that isopropyl alcohol is the dominant compound in most of the fabs.

  4. Prolonged menstrual cycles in female workers exposed to ethylene glycol ethers in the semiconductor manufacturing industry.

    PubMed

    Hsieh, G-Y; Wang, J-D; Cheng, T-J; Chen, P-C

    2005-08-01

    It has been shown that female workers exposed to ethylene glycol ethers (EGEs) in the semiconductor industry have higher risks of spontaneous abortion, subfertility, and menstrual disturbances, and prolonged waiting time to pregnancy. To examine whether EGEs or other chemicals are associated with long menstrual cycles in female workers in the semiconductor manufacturing industry. Cross-sectional questionnaire survey during the annual health examination at a wafer manufacturing company in Taiwan in 1997. A three tiered exposure-assessment strategy was used to analyse the risk. A short menstrual cycle was defined to be a cycle less than 24 days and a long cycle to be more than 35 days. There were 606 valid questionnaires from 473 workers in fabrication jobs and 133 in non-fabrication areas. Long menstrual cycles were associated with workers in fabrication areas compared to those in non-fabrication areas. Using workers in non-fabrication areas as referents, workers in photolithography and diffusion areas had higher risks for long menstrual cycles. Workers exposed to EGEs and isopropanol, and hydrofluoric acid, isopropanol, and phosphorous compounds also showed increased risks of a long menstrual cycle. Exposure to multiple chemicals, including EGEs in photolithography, might be associated with long menstrual cycles, and may play an important role in a prolonged time to pregnancy in the wafer manufacturing industry; however, the prevalence in the design, possible exposure misclassification, and chance should be considered.

  5. A hybrid life cycle inventory of nano-scale semiconductor manufacturing.

    PubMed

    Krishnan, Nikhil; Boyd, Sarah; Somani, Ajay; Raoux, Sebastien; Clark, Daniel; Dornfeld, David

    2008-04-15

    The manufacturing of modern semiconductor devices involves a complex set of nanoscale fabrication processes that are energy and resource intensive, and generate significant waste. It is important to understand and reduce the environmental impacts of semiconductor manufacturing because these devices are ubiquitous components in electronics. Furthermore, the fabrication processes used in the semiconductor industry are finding increasing application in other products, such as microelectromechanical systems (MEMS), flat panel displays, and photovoltaics. In this work we develop a library of typical gate-to-gate materials and energy requirements, as well as emissions associated with a complete set of fabrication process models used in manufacturing a modern microprocessor. In addition, we evaluate upstream energy requirements associated with chemicals and materials using both existing process life cycle assessment (LCA) databases and an economic input-output (EIO) model. The result is a comprehensive data set and methodology that may be used to estimate and improve the environmental performance of a broad range of electronics and other emerging applications that involve nano and micro fabrication.

  6. Semiconductor Manufacturing Final Air Toxics Rules Fact Sheets

    EPA Pesticide Factsheets

    This page contains a February 2003 fact sheet for the final NESHAP for Semiconductor Manufacturing. This page also contains a July 2008 fact sheet with information regarding the final amendments to the 2003 final rule for the NESHAP.

  7. Inorganic acid emission factors of semiconductor manufacturing processes.

    PubMed

    Chein, HungMin; Chen, Tzu Ming; Aggarwal, Shankar Gopala; Tsai, Chuen-Jinn; Huang, Chun-Chao

    2004-02-01

    A huge amount of inorganic acids can be produced and emitted with waste gases from integrated circuit manufacturing processes such as cleaning and etching. Emission of inorganic acids from selected semiconductor factories was measured in this study. The sampling of the inorganic acids was based on the porous metal denuders, and samples were then analyzed by ion chromatography. The amount of chemical usage was adopted from the data that were reported to the Environmental Protection Bureau in Hsin-chu County according to the Taiwan Environmental Protection Agency regulation. The emission factor is defined as the emission rate (kg/month) divided by the amount of chemical usage (L/month). Emission factors of three inorganic acids (i.e., hydrofluoric acid [HF], hydrochloric acid [HCl], and sulfuric acid [H2SO4]) were estimated by the same method. The emission factors of HF and HCl were determined to be 0.0075 kg/L (coefficient of variation [CV] = 60.7%, n = 80) and 0.0096 kg/L (CV = 68.2%, n = 91), respectively. Linear regression equations are proposed to fit the data with correlation coefficient square (R2) = 0.82 and 0.9, respectively. The emission factor of H2SO4, which is in the droplet form, was determined to be 0.0016 kg/L (CV = 99.2%, n = 107), and its R2 was 0.84. The emission profiles of gaseous inorganic acids show that HF is the dominant chemical in most of the fabricators.

  8. A study for safety and health management problem of semiconductor industry in Taiwan.

    PubMed

    Chao, Chin-Jung; Wang, Hui-Ming; Feng, Wen-Yang; Tseng, Feng-Yi

    2008-12-01

    The main purpose of this study is to discuss and explore the safety and health management in semiconductor industry. The researcher practically investigates and interviews the input, process and output of the safety and health management of semiconductor industry by using the questionnaires and the interview method which is developed according to the framework of the OHSAS 18001. The result shows that there are six important factors for the safety and health management in Taiwan semiconductor industry. 1. The company should make employee clearly understand the safety and health laws and standards. 2. The company should make the safety and health management policy known to the public. 3. The company should put emphasis on the pursuance of the safety and health management laws. 4. The company should prevent the accidents. 5. The safety and health message should be communicated sufficiently. 6. The company should consider safety and health norm completely.

  9. Intelligent monitoring and control of semiconductor manufacturing equipment

    NASA Technical Reports Server (NTRS)

    Murdock, Janet L.; Hayes-Roth, Barbara

    1991-01-01

    The use of AI methods to monitor and control semiconductor fabrication in a state-of-the-art manufacturing environment called the Rapid Thermal Multiprocessor is described. Semiconductor fabrication involves many complex processing steps with limited opportunities to measure process and product properties. By applying additional process and product knowledge to that limited data, AI methods augment classical control methods by detecting abnormalities and trends, predicting failures, diagnosing, planning corrective action sequences, explaining diagnoses or predictions, and reacting to anomalous conditions that classical control systems typically would not correct. Research methodology and issues are discussed, and two diagnosis scenarios are examined.

  10. Semiconductor Manufacturing Comes to Virginia: Developing Partnerships for Workforce Education and Training.

    ERIC Educational Resources Information Center

    Cantor, Jeffrey A.

    1998-01-01

    In Virginia, a community college consortium for semiconductor education and training programs works with a semiconductor manufacturers' partnership to review programs based on a national core curriculum model. The results are being used to improve curriculum development, faculty training, facility improvement, and student recruitment. (SK)

  11. Accumulation of trace elements used in semiconductor industry in Formosan squirrel, as a bio-indicator of their exposure, living in Taiwan.

    PubMed

    Suzuki, Yoshinari; Watanabe, Izumi; Oshida, Tatsuo; Chen, Yen-Jean; Lin, Liang-Kong; Wang, Yu-Huang; Yang, Kouh-Cheng; Kuno, Katsuji

    2007-07-01

    Concentrations of 17 trace elements were analyzed using inductively coupled plasma-mass spectrometry (ICP-MS) in Formosan squirrels (Callosciurus erythraeus) of Taiwan and Japan to document trace element pollution in Taiwan. High concentrations of elements used to produce semiconductors - Ga, As, Cd, In and Tl - were found in animals captured in Miaoli County, which is the nearest site to Hsinchu City, a chief city of Taiwan's semiconductor industry. Significant correlations between Ga, As, In and Tl were found in the kidney, liver, lung and muscle tissues of Taiwanese squirrels. Hierarchical cluster analysis indicated that Ga, As, In and Tl were of the same clade, indicating that Ga, As, In and Tl were discharged from an identical origin. Molar ratios of Ga/As concentration in lungs of animals captured in Miaoli resembled those of animals after intratracheal administration of particulate gallium arsenide (GaAs). This result might indicate that the higher concentrations of Ga and As in the specimens in Miaoli resulted from atmospheric exposure to GaAs.

  12. Health and safety executive inspection of U.K. semiconductor manufacturers.

    PubMed

    Watterson, Andrew; LaDou, Joseph

    2003-01-01

    Europe plays a major role in the international semiconductor industry, but has conducted few studies of the occupational health of its workers. An exception is in the United Kingdom, where, in two small studies, the Health and Safety Executive (HSE) evaluated some health effects of semiconductor work. Neither of these studies, largely restricted to Scotland, produced definitive results, and both were misused by industry to assert that they demonstrated no adverse health effect on workers. The results of the studies prompted semiconductor industry inspections recently completed by the HSE that included chip manufacturers in Scotland and other U.K. areas. The results of these inspections are disappointing.

  13. Increased risk of death with congenital anomalies in the offspring of male semiconductor workers.

    PubMed

    Lin, Ching-Chun; Wang, Jung-Der; Hsieh, Gong-Yih; Chang, Yu-Yin; Chen, Pau-Chung

    2008-01-01

    Female workers in the semiconductor industry have higher risks of subfertility and spontaneous abortion, but no studies exploring male-mediated developmental toxicity have been published. This study aimed to investigate whether the offspring of male workers employed in the semiconductor manufacturing industry had an increased risk of death with congenital anomalies. The 6,834 male workers had been employed in the eight semiconductor companies in Taiwan between 1980 and 1994. We identified the live born children with or without congenital anomalies of the workers using the National Birth and Death Registries from the Department of Health, Taiwan. Multiple logistic regression models were used to estimate the odds ratios (OR) of birth outcomes and deaths, controlling for infant sex, maternal age, and paternal education. A total of 5,702 children were born to male workers during the period 1980-1994. There were increased risks of deaths with congenital anomalies (adjusted OR, 3.26; and 95% confidence interval [CI], 1.12-9.44) and heart anomalies (OR, 4.15; 95% CI, 1.08-15.95) in the offspring of male workers who were employed during the two months before conception. We found evidence of a possible link between paternal preconception exposure of semiconductor manufacturing and an increased risk of congenital anomalies, especially of the heart. The possible etiological basis needs to be corroborated in further research.

  14. Rare resource supply crisis and solution technology for semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Fukuda, Hitomi; Hu, Sophia; Yoo, Youngsun; Takahisa, Kenji; Enami, Tatsuo

    2016-03-01

    There are growing concerns over future environmental impact and earth resource shortage throughout the world and in many industries. Our semiconductor industry is not excluded. "Green" has become an important topic as production volume become larger and more powerful. Especially, the rare gases are widely used in semiconductor manufacturing because of its inertness and extreme chemical stability. One major component of an Excimer laser system is Neon. It is used as a buffer gas for Argon (Ar) and Krypton (Kr) gases used in deep ultraviolet (DUV) lithography laser systems. Since Neon gas accounting for more than 96% of the laser gas mixture, a fairly large amount of neon gas is consumed to run these DUV lasers. However, due to country's instability both in politics and economics in Ukraine, the main producer of neon gas today, supply reduction has become an issue and is causing increasing concern. This concern is not only based on price increases, but has escalated to the point of supply shortages in 2015. This poses a critical situation for the semiconductor industry, which represents the leading consumer of neon gas in the world. Helium is another noble gas used for Excimer laser operation. It is used as a purge gas for optical component modules to prevent from being damaged by active gases and impurities. Helium has been used in various industries, including for medical equipment, linear motor cars, and semiconductors, and is indispensable for modern life. But consumption of helium in manufacturing has been increased dramatically, and its unstable supply and price rise has been a serious issue today. In this article, recent global supply issue of rare resources, especially Neon gas and Helium gas, and its solution technology to support semiconductor industry will be discussed.

  15. Microeconomics of process control in semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Monahan, Kevin M.

    2003-06-01

    Process window control enables accelerated design-rule shrinks for both logic and memory manufacturers, but simple microeconomic models that directly link the effects of process window control to maximum profitability are rare. In this work, we derive these links using a simplified model for the maximum rate of profit generated by the semiconductor manufacturing process. We show that the ability of process window control to achieve these economic objectives may be limited by variability in the larger manufacturing context, including measurement delays and process variation at the lot, wafer, x-wafer, x-field, and x-chip levels. We conclude that x-wafer and x-field CD control strategies will be critical enablers of density, performance and optimum profitability at the 90 and 65nm technology nodes. These analyses correlate well with actual factory data and often identify millions of dollars in potential incremental revenue and cost savings. As an example, we show that a scatterometry-based CD Process Window Monitor is an economically justified, enabling technology for the 65nm node.

  16. Reporting of occupational injury and illness in the semiconductor manufacturing industry.

    PubMed

    McCurdy, S A; Schenker, M B; Samuels, S J

    1991-01-01

    In the United States, occupational illness and injury cases meeting specific reporting criteria are recorded on company Occupational Safety and Health Administration (OSHA) 200 logs; case description data are submitted to participating state agencies for coding and entry in the national Supplementary Data System (SDS). We evaluated completeness of reporting (the percentage of reportable cases that were recorded in the company OSHA 200 log) in the semiconductor manufacturing industry by reviewing company health clinic records for 1984 of 10 manufacturing sites of member companies of a national semiconductor manufacturing industry trade association. Of 416 randomly selected work-related cases, 101 met OSHA reporting criteria. Reporting completeness was 60 percent and was lowest for occupational illnesses (44 percent). Case-description data from 150 reported cases were submitted twice to state coding personnel to evaluate coding reliability. Reliability was high (kappa 0.82-0.93) for "nature," "affected body part," "source," and "type" variables. Coding for the SDS appears reliable; reporting completeness may be improved by use of a stepwise approach by company personnel responsible for reporting decisions.

  17. SIMULTANEOUS WATER CONSERVATION/RECYCLING/REUSE AND WASTE REDUCTION IN SEMICONDUCTOR MANUFACTURING

    EPA Science Inventory

    The project was devoted to two separate arms of research.  The overall goals of this research was to reduce the water use in the semi-conductor industry through a comprehensive program to reduce water usage in manufacturing processes, to investigate opportunitie...

  18. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation.

    PubMed

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L(-1)). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K. Copyright 2010 Elsevier B.V. All rights reserved.

  19. Occupational injury and illness in the semiconductor manufacturing industry.

    PubMed

    McCurdy, S A; Schenker, M B; Lassiter, D V

    1989-01-01

    Two thousand nine hundred and ninety-four reports of OSHA-reportable occupational injury or illness cases in 1984 from member companies of a national trade association of semiconductor manufacturing firms were analyzed. The 37 participating manufacturing facilities represented 16 companies employing over 95,000 persons, or approximately one-third of the U.S. work force for this industry in 1984. The annual incidence rate for all reportable injuries and illnesses was 2.7 per 100 full-time employees (FTE) for men and 3.7 per 100 FTE for women. Strains, sprains, or dislocations were the most frequently reported incidents (N = 956 [31.9%]), followed by cuts, lacerations, punctures, scratches, and abrasions (N = 445 [14.9%]), and chemical burns (N = 401 [13.4%]). Increased work-loss days per case were associated with manufacturing sites that did not have an employee health clinic on the premises, with custodial occupations, and with female gender.

  20. Helicon wave excitation to produce energetic electrons for manufacturing semiconductors

    DOEpatents

    Molvik, Arthur W.; Ellingboe, Albert R.

    1998-01-01

    A helicon plasma source is controlled by varying the axial magnetic field or rf power controlling the formation of the helicon wave. An energetic electron current is carried on the wave when the magnetic field is 90 G; but there is minimal energetic electron current when the magnetic field is 100 G in one particular plasma source. Similar performance can be expected from other helicon sources by properly adjusting the magnetic field and power to the particular geometry. This control for adjusting the production of energetic electrons can be used in the semiconductor and thin-film manufacture process. By applying energetic electrons to the insulator layer, such as silicon oxide, etching ions are attracted to the insulator layer and bombard the insulator layer at higher energy than areas that have not accumulated the energetic electrons. Thus, silicon and metal layers, which can neutralize the energetic electron currents will etch at a slower or non-existent rate. This procedure is especially advantageous in the multilayer semiconductor manufacturing because trenches can be formed that are in the range of 0.18-0.35 mm or less.

  1. Helicon wave excitation to produce energetic electrons for manufacturing semiconductors

    DOEpatents

    Molvik, A.W.; Ellingboe, A.R.

    1998-10-20

    A helicon plasma source is controlled by varying the axial magnetic field or rf power controlling the formation of the helicon wave. An energetic electron current is carried on the wave when the magnetic field is 90 G; but there is minimal energetic electron current when the magnetic field is 100 G in one particular plasma source. Similar performance can be expected from other helicon sources by properly adjusting the magnetic field and power to the particular geometry. This control for adjusting the production of energetic electrons can be used in the semiconductor and thin-film manufacture process. By applying energetic electrons to the insulator layer, such as silicon oxide, etching ions are attracted to the insulator layer and bombard the insulator layer at higher energy than areas that have not accumulated the energetic electrons. Thus, silicon and metal layers, which can neutralize the energetic electron currents will etch at a slower or non-existent rate. This procedure is especially advantageous in the multilayer semiconductor manufacturing because trenches can be formed that are in the range of 0.18--0.35 mm or less. 16 figs.

  2. Progress and Prospect of Physics Research and Education in Taiwan

    NASA Astrophysics Data System (ADS)

    Raynien Kwo, J.

    2010-03-01

    Started about two decades ago, the global trend of shifting industrial manufacture power from western developed countries toward developing countries in Asia has in turn become the impetus in building up physical science and research in these areas. A very good example is the remarkable progress of physical research and education in Taiwan, in terms of quantity and quality. The continuous elevation of Taiwan's high education into graduate level plus the government's strong commitment to research and development on a level of 2.62 % GDP have led to an impressive physics program with an annual budget ˜32 million USD from National Science Council in supporting 568 PIs. The investigation scope encompasses high energy and astrophysics, nano and condensed matter, and semiconductor, optoelectronic physics, etc. The former is vigorously conducted via international collaborative efforts of LHC, KEK, ALMA, Pan-STARRS, etc. The latter is driven by vital Taiwan high tech industry mostly semiconductor IC and optoelectronics flourished during this period. The early trend of outflows of BS physics majors to western world for advanced studies has reversed dramatically. Nearly 80% of the BS students continue their MS and PhD degrees in Taiwan, attracted by lucrative job markets of high tech industry. In addition, healthy inflow of high-quality science manpower of well trained PhDs and senior scholars returning to homeland has strengthened the competitiveness. Overall, the physics community in Taiwan is thriving. The annual Physical Society meeting is expanding at a rate of 6%, reaching ˜1800 attendants and 1200 papers, and dedicated to promotions of female physicists and students. The publication quantity of Taiwan in top journals of PRs and PRL is ranked among top 20^th for all fields of physics, and ranked the 6^th in APL. Clearly Taiwan has now emerged as a strong power in applied science, not limited by its population size. Concerted efforts on scientific exchanges are being

  3. Distribution of volatile organic compounds over a semiconductor Industrial Park in Taiwan.

    PubMed

    Chiu, Kong-Hwa; Wu, Ben-Zen; Chang, Chih-Chung; Sree, Usha; Lo, Jiunn-Guang

    2005-02-15

    This study examined volatile organic compounds (VOC) concentration in ambient air collected during the years 2000--2003 at several different locations of Hsinchu Science-based Industrial Park (HSIP) in Taiwan. A canister automated GC-MS system analyzed the volatile organics in ambient air grasp samples according to T0-15 method. Oxygenated volatiles were the most abundant VOC detected in HSIP followed by aromatics that are commonly used as solvents in the semiconductor industries. The major components measured in the ambient air are 2-propanol (29-135 ppbv), acetone (12-164 ppbv), benzene (0.7-1.7 ppbv), and toluene (13-20 ppbv). At some of the sampling locations, odorous compounds such as carbon disulfide and dimethyl sulfide levels exceed threshold values. The estimated toluene/benzene ratio is very high at most of the sites. However, the total amount of VOC is reduced over the years from 2000 to 2003 due to strict implementation on use and discharge of solvents in industries. There exists no definite seasonal pattern for sporadic occurrence of high levels of some of the volatile organics. Stagnant weather conditions with low wind speeds aid accumulation of toxic species at ground level. The results entail that hi-tech semiconductor industries are still a potential source for harmful organic substances to surrounding microenvironment.

  4. The Enterprise Training System and Training Content Analysis of Selected Manufacturing Companies in Taiwan, R.O.C.: A Case Study.

    ERIC Educational Resources Information Center

    Kuo, Mike Chu-Hsun

    A study investigated the current enterprise training system in Taiwan and proposed suitable training suggestions for manufacturing industry through a carefully designed case study. Literature review and field study were used to gather research data. Interviews were conducted at four large manufacturing companies during the period October 1990 to…

  5. A study of the talent training project management for semiconductor industry in Taiwan: the application of a hybrid data envelopment analysis approach.

    PubMed

    Kao, Ling-Jing; Chiu, Shu-Yu; Ko, Hsien-Tang

    2014-01-01

    The purpose of this study is to evaluate the training institution performance and to improve the management of the Manpower Training Project (MTP) administered by the Semiconductor Institute in Taiwan. Much literature assesses the efficiency of an internal training program initiated by a firm, but only little literature studies the efficiency of an external training program led by government. In the study, a hybrid solution of ICA-DEA and ICA-MPI is developed for measuring the efficiency and the productivity growth of each training institution over the period. The technical efficiency change, the technological change, pure technical efficiency change, scale efficiency change, and the total factor productivity change were evaluated according to five inputs and two outputs. According to the results of the study, the training institutions can be classified by their efficiency successfully and the guidelines for the optimal level of input resources can be obtained for each inefficient training institution. The Semiconductor Institute in Taiwan can allocate budget more appropriately and establish withdrawal mechanisms for inefficient training institutions.

  6. A Study of the Talent Training Project Management for Semiconductor Industry in Taiwan: The Application of a Hybrid Data Envelopment Analysis Approach

    PubMed Central

    Kao, Ling-Jing; Chiu, Shu-Yu; Ko, Hsien-Tang

    2014-01-01

    The purpose of this study is to evaluate the training institution performance and to improve the management of the Manpower Training Project (MTP) administered by the Semiconductor Institute in Taiwan. Much literature assesses the efficiency of an internal training program initiated by a firm, but only little literature studies the efficiency of an external training program led by government. In the study, a hybrid solution of ICA-DEA and ICA-MPI is developed for measuring the efficiency and the productivity growth of each training institution over the period. The technical efficiency change, the technological change, pure technical efficiency change, scale efficiency change, and the total factor productivity change were evaluated according to five inputs and two outputs. According to the results of the study, the training institutions can be classified by their efficiency successfully and the guidelines for the optimal level of input resources can be obtained for each inefficient training institution. The Semiconductor Institute in Taiwan can allocate budget more appropriately and establish withdrawal mechanisms for inefficient training institutions. PMID:24977192

  7. Advanced excimer laser technologies enable green semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Fukuda, Hitomi; Yoo, Youngsun; Minegishi, Yuji; Hisanaga, Naoto; Enami, Tatsuo

    2014-03-01

    "Green" has fast become an important and pervasive topic throughout many industries worldwide. Many companies, especially in the manufacturing industries, have taken steps to integrate green initiatives into their high-level corporate strategies. Governments have also been active in implementing various initiatives designed to increase corporate responsibility and accountability towards environmental issues. In the semiconductor manufacturing industry, there are growing concerns over future environmental impact as enormous fabs expand and new generation of equipments become larger and more powerful. To address these concerns, Gigaphoton has implemented various green initiatives for many years under the EcoPhoton™ program. The objective of this program is to drive innovations in technology and services that enable manufacturers to significantly reduce both the financial and environmental "green cost" of laser operations in high-volume manufacturing environment (HVM) - primarily focusing on electricity, gas and heat management costs. One example of such innovation is Gigaphoton's Injection-Lock system, which reduces electricity and gas utilization costs of the laser by up to 50%. Furthermore, to support the industry's transition from 300mm to the next generation 450mm wafers, technologies are being developed to create lasers that offer double the output power from 60W to 120W, but reducing electricity and gas consumption by another 50%. This means that the efficiency of lasers can be improve by up to 4 times in 450mm wafer production environments. Other future innovations include the introduction of totally Heliumfree Excimer lasers that utilize Nitrogen gas as its replacement for optical module purging. This paper discusses these and other innovations by Gigaphoton to enable green manufacturing.

  8. Product manufacturing, quality, and reliability initiatives to maintain a competitive advantage and meet customer expectations in the semiconductor industry

    NASA Astrophysics Data System (ADS)

    Capps, Gregory

    Semiconductor products are manufactured and consumed across the world. The semiconductor industry is constantly striving to manufacture products with greater performance, improved efficiency, less energy consumption, smaller feature sizes, thinner gate oxides, and faster speeds. Customers have pushed towards zero defects and require a more reliable, higher quality product than ever before. Manufacturers are required to improve yields, reduce operating costs, and increase revenue to maintain a competitive advantage. Opportunities exist for integrated circuit (IC) customers and manufacturers to work together and independently to reduce costs, eliminate waste, reduce defects, reduce warranty returns, and improve quality. This project focuses on electrical over-stress (EOS) and re-test okay (RTOK), two top failure return mechanisms, which both make great defect reduction opportunities in customer-manufacturer relationship. Proactive continuous improvement initiatives and methodologies are addressed with emphasis on product life cycle, manufacturing processes, test, statistical process control (SPC), industry best practices, customer education, and customer-manufacturer interaction.

  9. Infrared spectroscopic ellipsometry in semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Guittet, Pierre-Yves; Mantz, Ulrich; Weidner, Peter; Stehle, Jean-Louis; Bucchia, Marc; Bourtault, Sophie; Zahorski, Dorian

    2004-05-01

    Infrared spectroscopic ellipsometry (IRSE) metrology is an emerging technology in semiconductor production environment. Infineon Technologies SC300 implemented the first worldwide automated IRSE in a class 1 clean room in 2002. Combining properties of IR light -- large wavelength, low absorption in silicon -- with a short focus optics -- no backside reflection -- which allow model-based analysis, a large number of production applications were developed. Part of Infineon IRSE development roadmap is now focused on depth monitoring for arrays of 3D dry-etched structures. In trench DRAM manufacturing, the areal density is high, and critical dimensions are much lower than mid-IR wavelength. Therefore, extensive use of effective medium theory is made to model 3D structures. IR-SE metrology is not limited by shrinking critical dimensions, as long as the areal density is above a specific cut-off value determined by trenches dimensions, trench-filling and surrounding materials. Two applications for depth monitoring are presented. 1D models were developed and successfully applied to the DRAM trench capacitor structures. Modeling and correlation to reference methods are shown as well as dynamic repeatability and gauge capability results. Limitations of the current tool configuration are reviewed for shallow structures.

  10. Assurance of Medical Device Quality with Quality Management System: An Analysis of Good Manufacturing Practice Implementation in Taiwan

    PubMed Central

    Tu, Pei-Weng; Wu, Shiow-Ing

    2015-01-01

    The implementation of an effective quality management system has always been considered a principal method for a manufacturer to maintain and improve its product and service quality. Globally many regulatory authorities incorporate quality management system as one of the mandatory requirements for the regulatory control of high-risk medical devices. The present study aims to analyze the GMP enforcement experience in Taiwan between 1998 and 2013. It describes the regulatory implementation of medical device GMP requirement and initiatives taken to assist small and medium-sized enterprises in compliance with the regulatory requirement. Based on statistical data collected by the competent authority and industry research institutes, the present paper reports the growth of Taiwan local medical device industry after the enforcement of GMP regulation. Transition in the production, technologies, and number of employees of Taiwan medical device industry between 1998 and 2013 provides the competent authorities around the world with an empirical foundation for further policy development. PMID:26075255

  11. Assurance of medical device quality with quality management system: an analysis of good manufacturing practice implementation in Taiwan.

    PubMed

    Li, Tzu-Wei; Tu, Pei-Weng; Liu, Li-Ling; Wu, Shiow-Ing

    2015-01-01

    The implementation of an effective quality management system has always been considered a principal method for a manufacturer to maintain and improve its product and service quality. Globally many regulatory authorities incorporate quality management system as one of the mandatory requirements for the regulatory control of high-risk medical devices. The present study aims to analyze the GMP enforcement experience in Taiwan between 1998 and 2013. It describes the regulatory implementation of medical device GMP requirement and initiatives taken to assist small and medium-sized enterprises in compliance with the regulatory requirement. Based on statistical data collected by the competent authority and industry research institutes, the present paper reports the growth of Taiwan local medical device industry after the enforcement of GMP regulation. Transition in the production, technologies, and number of employees of Taiwan medical device industry between 1998 and 2013 provides the competent authorities around the world with an empirical foundation for further policy development.

  12. Planning for the semiconductor manufacturer of the future

    NASA Technical Reports Server (NTRS)

    Fargher, Hugh E.; Smith, Richard A.

    1992-01-01

    Texas Instruments (TI) is currently contracted by the Air Force Wright Laboratory and the Defense Advanced Research Projects Agency (DARPA) to develop the next generation flexible semiconductor wafer fabrication system called Microelectronics Manufacturing Science & Technology (MMST). Several revolutionary concepts are being pioneered on MMST, including the following: new single-wafer rapid thermal processes, in-situ sensors, cluster equipment, and advanced Computer Integrated Manufacturing (CIM) software. The objective of the project is to develop a manufacturing system capable of achieving an order of magnitude improvement in almost all aspects of wafer fabrication. TI was awarded the contract in Oct., 1988, and will complete development with a fabrication facility demonstration in April, 1993. An important part of MMST is development of the CIM environment responsible for coordinating all parts of the system. The CIM architecture being developed is based on a distributed object oriented framework made of several cooperating subsystems. The software subsystems include the following: process control for dynamic control of factory processes; modular processing system for controlling the processing equipment; generic equipment model which provides an interface between processing equipment and the rest of the factory; specification system which maintains factory documents and product specifications; simulator for modelling the factory for analysis purposes; scheduler for scheduling work on the factory floor; and the planner for planning and monitoring of orders within the factory. This paper first outlines the division of responsibility between the planner, scheduler, and simulator subsystems. It then describes the approach to incremental planning and the way in which uncertainty is modelled within the plan representation. Finally, current status and initial results are described.

  13. George E. Pake Prize: A Few Challenges in the Evolution of Semiconductor Device/Manufacturing Technology

    NASA Astrophysics Data System (ADS)

    Doering, Robert

    In the early 1980s, the semiconductor industry faced the related challenges of ``scaling through the one-micron barrier'' and converting single-level-metal NMOS integrated circuits to multi-level-metal CMOS. Multiple advances in lithography technology and device materials/process integration led the way toward the deep-sub-micron transistors and interconnects that characterize today's electronic chips. In the 1990s, CMOS scaling advanced at an accelerated pace enabled by rapid advances in many aspects of optical lithography. However, the industry also needed to continue the progress in manufacturing on ever-larger silicon wafers to maintain economy-of-scale trends. Simultaneously, the increasing complexity and absolute-precision requirements of manufacturing compounded the necessity for new processes, tools, and control methodologies. This talk presents a personal perspective on some of the approaches that addressed the aforementioned challenges. In particular, early work on integrating silicides, lightly-doped-drain FETs, shallow recessed isolation, and double-level metal will be discussed. In addition, some pioneering efforts in deep-UV lithography and single-wafer processing will be covered. The latter will be mainly based on results from the MMST Program - a 100 M +, 5-year R&D effort, funded by DARPA, the U.S. Air Force, and Texas Instruments, that developed a wide range of new technologies for advanced semiconductor manufacturing. The major highlight of the program was the demonstration of sub-3-day cycle time for manufacturing 350-nm CMOS integrated circuits in 1993. This was principally enabled by the development of: (1) 100% single-wafer processing, including rapid-thermal processing (RTP), and (2) computer-integrated-manufacturing (CIM), including real-time, in-situ process control.

  14. Method for manufacturing compound semiconductor field-effect transistors with improved DC and high frequency performance

    DOEpatents

    Zolper, John C.; Sherwin, Marc E.; Baca, Albert G.

    2000-01-01

    A method for making compound semiconductor devices including the use of a p-type dopant is disclosed wherein the dopant is co-implanted with an n-type donor species at the time the n-channel is formed and a single anneal at moderate temperature is then performed. Also disclosed are devices manufactured using the method. In the preferred embodiment n-MESFETs and other similar field effect transistor devices are manufactured using C ions co-implanted with Si atoms in GaAs to form an n-channel. C exhibits a unique characteristic in the context of the invention in that it exhibits a low activation efficiency (typically, 50% or less) as a p-type dopant, and consequently, it acts to sharpen the Si n-channel by compensating Si donors in the region of the Si-channel tail, but does not contribute substantially to the acceptor concentration in the buried p region. As a result, the invention provides for improved field effect semiconductor and related devices with enhancement of both DC and high-frequency performance.

  15. Where the chips fall: environmental health in the semiconductor industry.

    PubMed

    Chepesiuk, R

    1999-09-01

    Three recent lawsuits are focusing public attention on the environmental and occupational health effects of the world's largest and fastest growing manufacturing sector-the $150 billion semiconductor industry. The suits allege that exposure to toxic chemicals in semiconductor manufacturing plants led to adverse health effects such as miscarriage and cancer among workers. To manufacture computer components, the semiconductor industry uses large amounts of hazardous chemicals including hydrochloric acid, toxic metals and gases, and volatile solvents. Little is known about the long-term health consequences of exposure to chemicals by semiconductor workers. According to industry critics, the semiconductor industry also adversely impacts the environment, causing groundwater and air pollution and generating toxic waste as a by-product of the semiconductor manufacturing process. In contrast, the U.S. Bureau of Statistics shows the semiconductor industry as having a worker illness rate of about one-third of the average of all manufacturers, and advocates defend the industry, pointing to recent research collaborations and product replacement as proof that semiconductor manufacturers adequately protect both their employees and the environment.

  16. Where the chips fall: environmental health in the semiconductor industry.

    PubMed Central

    Chepesiuk, R

    1999-01-01

    Three recent lawsuits are focusing public attention on the environmental and occupational health effects of the world's largest and fastest growing manufacturing sector-the $150 billion semiconductor industry. The suits allege that exposure to toxic chemicals in semiconductor manufacturing plants led to adverse health effects such as miscarriage and cancer among workers. To manufacture computer components, the semiconductor industry uses large amounts of hazardous chemicals including hydrochloric acid, toxic metals and gases, and volatile solvents. Little is known about the long-term health consequences of exposure to chemicals by semiconductor workers. According to industry critics, the semiconductor industry also adversely impacts the environment, causing groundwater and air pollution and generating toxic waste as a by-product of the semiconductor manufacturing process. In contrast, the U.S. Bureau of Statistics shows the semiconductor industry as having a worker illness rate of about one-third of the average of all manufacturers, and advocates defend the industry, pointing to recent research collaborations and product replacement as proof that semiconductor manufacturers adequately protect both their employees and the environment. PMID:10464084

  17. Taiwan`s experience with municipal waste recycling

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, C.H.

    1998-12-31

    Currently, each person on the average produces 1.15 kg of the municipal waste per day and a total of 9 million metric tons were generated annually in Taiwan. The disposal of such a huge amount of waste presents tremendous challenge for the island due to the scarcity of landfills and incineration facilities available locally. EPA of Taiwan, R.O.C. thus takes an active role in promoting waste recycling to reduce the garbage produced in municipalities. In order to efficiently utilize the government`s human and financial resources used in recycling, started from January 31, 1989, EPA has mandated the producer responsibility recyclingmore » program for several designated post-consumer products such as PET, PVC bottles, scrap tires, scrap motor vehicles, etc. Producer responsibility recycling program specifies that the manufacturers, importers and sellers of these designated products have the responsibility to retrieve their products and recycle them properly. Several negative effects have been encountered while the implementation of this producer responsibility recycling program in Taiwan which resulted in a modification of this recycling program recently. This paper presents the encountered experiences on the implementation of municipal waste recycling program in Taiwan.« less

  18. 2001 Industry Studies: Electronics

    DTIC Science & Technology

    2001-01-01

    Center, Dallas, TX Northrop Grumman Corp, Electronic Sensors & Systems, Baltimore, MD International Acer Incorporated, Hsin Chu, Taiwan Aerospace...manufacturing. Many of the large-scale fabrication foundries are offshore in such countries as Taiwan, Singapore and Malaysia .5 - 5 - The largest market for...done in the US. However, more of the actual mass manufacturing of the chips are done in Taiwan, Singapore, and Malaysia . A new semiconductor facility

  19. Current situation and industrialization of Taiwan nanotechnology

    NASA Astrophysics Data System (ADS)

    Su, Hsin-Ning; Lee, Pei-Chun; Tsai, Min-Hua; Chien, Kuo-Ming

    2007-12-01

    Nanotechnology is projected to be a very promising field, and the impact of nanotechnology on society is increasingly significant as the research funding and manufactured goods increase exponentially. A clearer picture of Taiwan's current and future nanotechnology industry is an essential component for future planning. Therefore, this investigation studies the progress of industrializing nanotechnology in Taiwan by surveying 150 companies. Along with understanding Taiwan's current nanotechnology industrialization, this paper also suggests ways to promote Taiwan's nanotechnology. The survey results are summarized and serve as the basis for planning a nanotechnology industrialization strategy.

  20. A taxonomy of green supply chain management capability among electronics-related manufacturing firms in Taiwan.

    PubMed

    Shang, Kuo-Chung; Lu, Chin-Shan; Li, Shaorui

    2010-05-01

    This study investigated crucial green supply chain management (GSCM) capability dimensions and firm performance based on electronics-related manufacturing firms in Taiwan. On the basis of a factor analysis, six green supply chain management dimensions were identified: green manufacturing and packaging, environmental participation, green marketing, green suppliers, green stock, and green eco-design. According to their factor scores in the GSCM dimensions, a cluster analysis subsequently assigned responding firms into four groups, namely, the weak GSCM oriented group, the green marketing oriented group, the green supplier oriented group, and the green stock oriented group. Differences in firm performance and GSCM dimensions among groups were examined. Results indicated that the green marketing oriented group performed best. Based on the resource-based view (RBV), the capability of the green marketing oriented group was considered to be the deployment of a collection of resources that enables it to successfully compete against rivals. The importance of green marketing as a GSCM capability and strategic asset/critical resources for electronics-related manufacturing firms to obtain a competitive edge is therefore highlighted in this study. Copyright 2010 Elsevier Ltd. All rights reserved.

  1. Microeconomics of yield learning and process control in semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Monahan, Kevin M.

    2003-06-01

    Simple microeconomic models that directly link yield learning to profitability in semiconductor manufacturing have been rare or non-existent. In this work, we review such a model and provide links to inspection capability and cost. Using a small number of input parameters, we explain current yield management practices in 200mm factories. The model is then used to extrapolate requirements for 300mm factories, including the impact of technology transitions to 130nm design rules and below. We show that the dramatic increase in value per wafer at the 300mm transition becomes a driver for increasing metrology and inspection capability and sampling. These analyses correlate well wtih actual factory data and often identify millions of dollars in potential cost savings. We demonstrate this using the example of grating-based overlay metrology for the 65nm node.

  2. Productivity improvement through industrial engineering in the semiconductor industry

    NASA Astrophysics Data System (ADS)

    Meyersdorf, Doron

    1996-09-01

    Industrial Engineering is fairly new to the semiconductor industry, though the awareness to its importance has increased in recent years. The US semiconductor industry in particular has come to the realization that in order to remain competitive in the global market it must take the lead not only in product development but also in manufacturing. Industrial engineering techniques offer one ofthe most effective strategies for achieving manufacturing excellence. Industrial engineers play an important role in the success of the manufacturing facility. This paper defines the Industrial engineers role in the IC facility, set the visions of excellence in semiconductor manufacturing and highlights 10 roadblocks on the journey towards manufacturing excellence.

  3. Productivity improvement through industrial engineering in the semiconductor industry

    NASA Astrophysics Data System (ADS)

    Meyersdorf, Doron

    1996-09-01

    Industrial engineering is fairly new to the semiconductor industry, though the awareness to its importance has increased in recent years. The U.S. semiconductor industry in particular has come to the realization that in order to remain competitive in the global market it must take the lead not only in product development but also in manufacturing. Industrial engineering techniques offer one of the most effective strategies for achieving manufacturing excellence. Industrial engineers play an important role in the success of the manufacturing facility. This paper defines the industrial engineers role in the IC facility, sets the visions of excellence in semiconductor manufacturing and highlights 10 roadblocks on the journey towards manufacturing excellence.

  4. Taiwan: improving radiography through application of Six Sigma techniques.

    PubMed

    Chen, Yan-Kwang; Lin, Jerry; Chang, Cheng-Chang

    2005-01-01

    The healthcare industry has shown significant recent growth potential in Taiwan. Associated financial problems have grown considerably since 1995, when national health insurance was implemented. Taiwan's healthcare bureau began to change the primary quantity-based healthcare expense payment method to a case-based payment model. Hospitals are now challenged to minimize healthcare waste. This article examines the application of manufacturing-based Six Sigma methods to an X-ray radiography improvement project to reduce the defect ratio of films for a teaching hospital in Taiwan. It was determined that (1) analysis of customer satisfaction data helped the Six Sigma improvement team identify critical quality elements; (2) the Six Sigma Level in this healthcare project is Lower than that in the manufacturing industry; (3) the improvement opportunity and the time required for the project had a direct correlation to the importance ascribed to the project and the cooperation received; and (4) process change can be made more quickly in the healthcare industry than in the manufacturing industry.

  5. Capital investment in semiconductors: The lifeblood of the US semiconductor industry

    NASA Astrophysics Data System (ADS)

    Finan, William F.

    1990-09-01

    An analysis is given of four proposals designed to improve capital formation for U.S. industry in general, and the semiconductor industry in particular. The National Advisory Committee on Semiconductors recommendations were to make the current research and experimentation (R and E) tax credit more effective, to reduce taxes on capital gains, to increase personal savings incentives, and to improve semiconductor manufacturing equipment depreciation rules. The results of the qualitative analysis of the proposals as well as a description of the methodology employed are given.

  6. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Settens, Charles M.

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron criticalmore » dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.« less

  7. Exposure Characteristics of Nanoparticles as Process By-products for the Semiconductor Manufacturing Industry.

    PubMed

    Choi, Kwang-Min; Kim, Jin-Ho; Park, Ju-Hyun; Kim, Kwan-Sick; Bae, Gwi-Nam

    2015-01-01

    This study aims to elucidate the exposure properties of nanoparticles (NPs; <100 nm in diameter) in semiconductor manufacturing processes. The measurements of airborne NPs were mainly performed around process equipment during fabrication processes and during maintenance. The number concentrations of NPs were measured using a water-based condensation particle counter having a size range of 10-3,000 nm. The chemical composition, size, and shape of NPs were determined by scanning electron microscopy and transmission electron microscopy techniques equipped with energy dispersive spectroscopy. The resulting concentrations of NPs ranged from 0.00-11.47 particles/cm(3). The concentration of NPs measured during maintenance showed a tendency to increase, albeit incrementally, compared to that measured during normal conditions (under typical process conditions without maintenance). However, the increment was small. When comparing the mean number concentration and standard deviation (n ± σ) of NPs, the chemical mechanical polishing (CMP) process was the highest (3.45 ± 3.65 particles/cm(3)), and the dry etch (ETCH) process was the lowest (0.11 ± 0.22 particles/cm(3)). The major NPs observed were silica (SiO2) and titania (TiO2) particles, which were mainly spherical agglomerates ranging in size from 25-280 nm. Sampling of semiconductor processes in CMP, chemical vapor deposition, and ETCH reveled NPs were <100 nm in those areas. On the other hand, particle size exceeded 100 nm in diffusion, metallization, ion implantation, and wet cleaning/etching process. The results show that the SiO2 and TiO2 are the major NPs present in semiconductor cleanroom environments.

  8. 78 FR 21344 - Grant of Authority for Subzone Status, Hemlock Semiconductor Corporation, (Polysilicon), Hemlock...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-04-10

    ... Status, Hemlock Semiconductor Corporation, (Polysilicon), Hemlock, Michigan Pursuant to its authority... polysilicon manufacturing facility of Hemlock Semiconductor Corporation, located in Hemlock, Michigan (FTZ... manufacturing of polysilicon at the facility of Hemlock Semiconductor Corporation, located in Hemlock, Michigan...

  9. Methods for the additive manufacturing of semiconductor and crystal materials

    DOEpatents

    Stowe, Ashley C.; Speight, Douglas

    2016-11-22

    A method for the additive manufacturing of inorganic crystalline materials, including: physically combining a plurality of starting materials that are used to form an inorganic crystalline compound to be used as one or more of a semiconductor, scintillator, laser crystal, and optical filter; heating or melting successive regions of the combined starting materials using a directed heat source having a predetermined energy characteristic, thereby facilitating the reaction of the combined starting materials; and allowing each region of the combined starting materials to cool in a controlled manner, such that the desired inorganic crystalline compound results. The method also includes, prior to heating or melting the successive regions of the combined starting materials using the directed heat source, heating the combined starting materials to facilitate initial reaction of the combined starting materials. The method further includes translating the combined starting materials and/or the directed heat source between successive locations. The method still further includes controlling the mechanical, electrical, photonic, and/or optical properties of the inorganic crystalline compound.

  10. A material flow of lithium batteries in Taiwan.

    PubMed

    Chang, T C; You, S J; Yu, B S; Yao, K F

    2009-04-30

    Li batteries, including secondary and cylindrical/button primary Li batteries, are used worldwide in computers, communications and consumer electronics products. However, there are several dangerous issues that occur during the manufacture, shipping, and storage of Li batteries. This study analyzes the material flow of lithium batteries and their valuable heavy metals in Taiwan for the year 2006 by material flow analysis. According to data from the Taiwan Environmental Protection Administration, Taiwan External Trade Development Council, Bureau of Foreign Trade, Directorate General of Customs, and the Li batteries manufactures/importers/exporters. It was found that 2,952,696 kg of Li batteries was input into Taiwan for the year 2006, including 2,256,501 kg of imported Li batteries and 696,195 kg of stock Li batteries in 2005. In addition, 1,113,867 and 572,215 kg of Li batteries was domestically produced and sold abroad, revealing that 3,494,348 kg of different types of Li batteries was sold in Taiwan. Of these domestically sold batteries, 504,663 and 146,557 kg were treated domestically and abroad. Thus, a total of 2,843,128 kg of Li batteries was stored by individual/industry users or illegally disposed. In addition, it was also observed that 2,120,682 kg of heavy metals contained in Li batteries, including Ni, Co, Al, Cu and Ni, was accumulated in Taiwan, with a recycled value of 38.8 million USD. These results suggest that these heavy metals should be recovered by suitable collection, recycling and reuse procedures.

  11. Using an extractive Fourier transform infrared spectrometer for improving cleanroom air quality in a semiconductor manufacturing plant.

    PubMed

    Li, Shou-Nan; Chang, Chin-Ta; Shih, Hui-Ya; Tang, Andy; Li, Alen; Chen, Yin-Yung

    2003-01-01

    A mobile extractive Fourier transform infrared (FTIR) spectrometer was successfully used to locate, identify, and quantify the "odor" sources inside the cleanroom of a semiconductor manufacturing plant. It was found that ozone (O(3)) gas with a peak concentration of 120 ppm was unexpectedly releasing from a headspace of a drain for transporting used ozonized water and that silicon tetrafluoride (SiF(4)) with a peak concentration of 3 ppm was off-gassed from silicon wafers after dry-etching processing. When the sources of the odors was pinpointed by the FTIR, engineering control measures were applied. For O(3) control, a water-sealed pipeline was added to prevent the O(3) gas (emitting from the ozonized water) from entering the mixing unit. A ventilation system also was applied to the mixing unit in case of O(3) release. For SiF(4) mitigation, before the wafer-out chamber was opened, N(2) gas with a flow rate of 150 L/min was used for 100 sec to purge the wafer-out chamber, and a vacuum system was simultaneously activated to pump away the purging N(2). The effectiveness of the control measures was assured by using the FTIR. In addition, the FTIR was used to monitor the potential hazardous gas emissions during preventative maintenance of the semiconductor manufacturing equipment.

  12. Method for manufacturing electrical contacts for a thin-film semiconductor device

    DOEpatents

    Carlson, David E.; Dickson, Charles R.; D'Aiello, Robert V.

    1988-11-08

    A method of fabricating spaced-apart back contacts on a thin film of semiconductor material by forming strips of buffer material on top of the semiconductor material in locations corresponding to the desired dividing lines between back contacts, forming a film of metal substantially covering the semiconductor material and buffer strips, and scribing portions of the metal film overlying the buffer strips with a laser without contacting the underlying semiconductor material to separate the metal layer into a plurality of back contacts. The buffer material serves to protect the underlying semiconductor material from being damaged during the laser scribing. Back contacts and multi-cell photovoltaic modules incorporating such back contacts also are disclosed.

  13. Design for manufacturability production management activity report

    NASA Astrophysics Data System (ADS)

    Miyazaki, Norihiko; Sato, T.; Honma, M.; Yoshioka, N.; Hosono, K.; Onodera, T.; Itoh, H.; Suzuki, H.; Uga, T.; Kadota, K.; Iriki, N.

    2006-05-01

    Design For Manufacturability Production Management (DFM-PM) Subcommittee has been started in succession to Reticle Management Subcommittee (RMS) in Semiconductor Manufacturing Technology Committee for Japan (SMTCJ) from 2005. Our activity focuses on the SoC (System On Chip) Business, and it pursues the improvement of communication in manufacturing technique. The first theme of activity is the investigation and examination of the new trends about production (manufacturer) technology and related information, and proposals of business solution. The second theme is the standardization activity about manufacture technology and the cooperation with related semiconductors' organizations. And the third theme is holding workshop and support for promotion and spread of the standardization technology throughout semiconductor companies. We expand a range of scope from design technology to wafer pattern reliability and we will propose the competition domain, the collaboration area and the standardization technology on DFM. Furthermore, we will be able to make up a SoC business model as the 45nm node technology beyond manufacturing platform in cooperating with the design information and the production information by utilizing EDA technology.

  14. Semiconductor assisted metal deposition for nanolithography applications

    DOEpatents

    Rajh, Tijana; Meshkov, Natalia; Nedelijkovic, Jovan M.; Skubal, Laura R.; Tiede, David M.; Thurnauer, Marion

    2001-01-01

    An article of manufacture and method of forming nanoparticle sized material components. A semiconductor oxide substrate includes nanoparticles of semiconductor oxide. A modifier is deposited onto the nanoparticles, and a source of metal ions are deposited in association with the semiconductor and the modifier, the modifier enabling electronic hole scavenging and chelation of the metal ions. The metal ions and modifier are illuminated to cause reduction of the metal ions to metal onto the semiconductor nanoparticles.

  15. Semiconductor assisted metal deposition for nanolithography applications

    DOEpatents

    Rajh, Tijana; Meshkov, Natalia; Nedelijkovic, Jovan M.; Skubal, Laura R.; Tiede, David M.; Thurnauer, Marion

    2002-01-01

    An article of manufacture and method of forming nanoparticle sized material components. A semiconductor oxide substrate includes nanoparticles of semiconductor oxide. A modifier is deposited onto the nanoparticles, and a source of metal ions are deposited in association with the semiconductor and the modifier, the modifier enabling electronic hole scavenging and chelation of the metal ions. The metal ions and modifier are illuminated to cause reduction of the metal ions to metal onto the semiconductor nanoparticles.

  16. Measurement science and manufacturing science research

    NASA Technical Reports Server (NTRS)

    Phillips, D. Howard

    1987-01-01

    The research program of Semiconductor Research Corp. is managed as three overlapping areas: Manufacturing Sciences, Design Sciences and Microstructure Sciences. A total of 40 universities are participating in the performance of over 200 research tasks. The goals and direction of Manufacturing Sciences research became more clearly focused through the efforts of the Manufacturing Sciences Committee of the SRC Technical Advisory Board (TAB). The mission of the SRC Manufacturing Research is the quantification, control, and understanding of semiconductor manufacturing process necessary to achieve a predictable and profitable product output in the competitive environment of the next decade. The 1994 integrated circuit factory must demonstrate a three level hierarchy of control: (1) operation control, (2) process control, and (3) process design. These levels of control are briefly discussed.

  17. Courseware Development for Semiconductor Technology and Its Application into Instruction

    ERIC Educational Resources Information Center

    Tsai, Shu-chiao

    2009-01-01

    This study reports on the development of ESP (English for specific purposes) courseware for semiconductor technology and its integration as a "silent partner" into instruction. This kind of team-teaching could help overcome current problems encountered in developing ESP in Taiwan. The content of the material under discussion includes…

  18. Research and Development Strategies in the Semiconductor Industry

    NASA Astrophysics Data System (ADS)

    Bowling, Allen

    2003-03-01

    In the 21st Century semiconductor industry, there is a critical balance between internally funded semiconductor research and development (R) and externally funded R. External R may include jointly-funded research collaborations/partnerships with other device manufacturers, jointly-funded consortia-based R, and individually-funded research programs at universities and other contract research locations. Each of these approaches has merits and each has costs. There is a critical balance between keeping the internal research and development pipeline filled and keeping it from being overspent. To meet both competitive schedule and cost goals, a semiconductor device manufacturer must decide on a model for selection of internal versus external R. Today, one of the most critical decisions is whether or not to do semiconductor research and development on 300 mm silicon wafers. Equipment suppliers are doing first development on 300 mm equipment. So, for the device manufacturer, there is a balance between the cost of doing development on 300 mm wafers and the development time schedule driven by equipment availability. In the face of these cost and schedule elements, device manufacturers are looking to consortia such as SEMATECH, SRC, and SRC MARCO for early development and screening of new materials and device structure approaches. This also causes much more close development collaboration between device manufacturer and equipment supplier. Many device manufacturers are also making use of direct contract research with universities and other contract-research organizations, such as IMEC, LETI, and other government-funded research organizations around the world. To get the most out of these external research interactions, the company must develop a strategy for management and technology integration of external R.

  19. Environmental and health risks of chlorine trifluoride (ClF3), an alternative to potent greenhouse gases in the semiconductor industry.

    PubMed

    Tsai, Wen-Tien

    2011-06-15

    The first accident involving chlorine trifluoride (ClF(3)) in the history of semiconductor fabrication processes occurred on 28 July 2006 at Hsinchu (Taiwan), resulting in a large release of the highly reactive material and causing the chemical burn to several workers. ClF(3) is used primarily as an in situ cleaning gas in the manufacture of semiconductor silicon-wafer devices in replacement of perfluorocompounds (PFCs) because they have the high potential to contribute significantly to the global warming. This article aimed at reviewing ClF(3) in the physicochemical properties, the industrial uses, and the environmental implications on the basis of its toxicity, reactivity, health hazards and exposure limits. The health hazards of probable decomposition/hydrolysis products from ClF(3) were also evaluated based on their basic physicochemical properties and occupational exposure limits. The occupational exposure assessment was further discussed to understand potentially hazardous risks caused by hydrogen fluoride and fluorides from the decomposition/hydrolysis products of ClF(3). Copyright © 2010 Elsevier B.V. All rights reserved.

  20. Bi-Se doped with Cu, p-type semiconductor

    DOEpatents

    Bhattacharya, Raghu Nath; Phok, Sovannary; Parilla, Philip Anthony

    2013-08-20

    A Bi--Se doped with Cu, p-type semiconductor, preferably used as an absorber material in a photovoltaic device. Preferably the semiconductor has at least 20 molar percent Cu. In a preferred embodiment, the semiconductor comprises at least 28 molar percent of Cu. In one embodiment, the semiconductor comprises a molar percentage of Cu and Bi whereby the molar percentage of Cu divided by the molar percentage of Bi is greater than 1.2. In a preferred embodiment, the semiconductor is manufactured as a thin film having a thickness less than 600 nm.

  1. The National Si-Soft Project

    NASA Astrophysics Data System (ADS)

    Chang, Chun-Yen; Trappey, Charles V.

    2003-06-01

    Taiwan's electronics industry emerged in the 1960s with the creation of a small but well planned integrated circuit (IC) packaging industry. This industry investment led to bolder investments in research, laboratories, and the island's first semiconductor foundries in the 1980s. Following the success of the emerging IC manufacturers and design houses, hundreds of service firms and related industries (software, legal services, substrate, chemical, and test firms among others) opened for business and completed Taiwan's IC manufacturing supply chain. The challenge for Taiwan's electronics industry is to take the lead in the design, manufacture, and marketing of name brand electronic products. This paper introduces the Si-Soft (silicon software) Project, a national initiative that builds on Taiwan's achievements in manufacturing (referred to as Si-Hard or silicon hardware) to launch a new wave of companies. These firms will contribute to the core underlying technology (intellectual property) used in the creation of electronic products.

  2. Modeling and analysis of equipment managers in manufacturing execution systems for semiconductor packaging.

    PubMed

    Cheng, F T; Yang, H C; Luo, T L; Feng, C; Jeng, M

    2000-01-01

    Equipment Managers (EMs) play a major role in a Manufacturing Execution System (MES). They serve as the communication bridge between the components of an MES and the equipment. The purpose of this paper is to propose a novel methodology for developing analytical and simulation models for the EM such that the validity and performance of the EM can be evaluated. Domain knowledge and requirements are collected from a real semiconductor packaging factory. By using IDEFO and state diagrams, a static functional model and a dynamic state model of the EM are built. Next, these two models are translated into a Petri net model. This allows qualitative and quantitative analyses of the system. The EM net model is then expanded into the MES net model. Therefore, the performance of an EM in the MES environment can be evaluated. These evaluation results are good references for design and decision making.

  3. National Manufacturing Strategy: Is a National Manufacturing Strategy Essential to National Security?

    DTIC Science & Technology

    2011-05-01

    cycle found nearly a quarter of all homeowners owning more than their home was worth. 11 Both Paul Volcker and Warren Buffet arrived at similar...November 15, 2010; Warren Buffet , Testimony, Financial Crisis Inquiry Commission, June 2, 2010; “Subprime Mortgage Crisis,” http://en.wikipedia.org...overseas manufacturing. Case Study: Semiconductor Wafer Industry. The history of the semiconductor industry is an instructive account . It begins with

  4. Engineering English and the High-Tech Industry: A Case Study of an English Needs Analysis of Process Integration Engineers at a Semiconductor Manufacturing Company in Taiwan

    ERIC Educational Resources Information Center

    Spence, Paul; Liu, Gi-Zen

    2013-01-01

    The global high-tech industry is characterized by extreme competitiveness, innovation, and widespread use of English. Consequently, Taiwanese high-tech companies require engineers that are talented in both their engineering and English abilities. In response to the lack of knowledge regarding the English skills needed by engineers in Taiwan's…

  5. Semiconductor with protective surface coating and method of manufacture thereof. [Patent application

    DOEpatents

    Hansen, W.L.; Haller, E.E.

    1980-09-19

    Passivation of predominantly crystalline semiconductor devices is provided for by a surface coating of sputtered hydrogenated amorphous semiconductor material. Passivation of a radiation detector germanium diode, for example, is realized by sputtering a coating of amorphous germanium onto the etched and quenched diode surface in a low pressure atmosphere of hydrogen and argon. Unlike prior germanium diode semiconductor devices, which must be maintained in vacuum at cryogenic temperatures to avoid deterioration, a diode processed in the described manner may be stored in air at room temperature or otherwise exposed to a variety of environmental conditions. The coating compensates for pre-existing undesirable surface states as well as protecting the semiconductor device against future impregnation with impurities.

  6. Health risk in the offspring of female semiconductor workers.

    PubMed

    Lin, Ching-Chun; Wang, Jung-Der; Hsieh, Gong-Yih; Chang, Yu-Yin; Chen, Pau-Chung

    2008-09-01

    There are no published studies focusing on adverse birth outcomes or infant mortality in the semiconductor industry. To investigate whether female workers have higher risks of any adverse birth outcome or death from congenital malformation. A total of 27,610 female workers had been employed in eight semiconductor companies in Taiwan between 1980 and 2000. Using the national birth registry, their live born children were identified, and then any deaths under 5 years of age with or without congenital malformations were identified by linking with the national death registry. Periconceptional exposure was defined as the mother having been employed in the semiconductor industry 3 months before and 3 months after conception of the live born infants. A total of 24,223 live births were included. No significant association between adverse birth outcomes or death with congenital malformation and maternal employment in semiconductor industry was found either in the period of 1980-94 or 1995-2000. There is no convincing evidence that female workers employed during the periconceptional period in the semiconductor industry had higher risks of having adverse birth outcomes or death due to congenital malformations. However, prospective research is warranted to confirm these findings.

  7. Taiwan's second remote sensing satellite

    NASA Astrophysics Data System (ADS)

    Chern, Jeng-Shing; Ling, Jer; Weng, Shui-Lin

    2008-12-01

    FORMOSAT-2 is Taiwan's first remote sensing satellite (RSS). It was launched on 20 May 2004 with five-year mission life and a very unique mission orbit at 891 km altitude. This orbit gives FORMOSAT-2 the daily revisit feature and the capability of imaging the Arctic and Antarctic regions due to the high enough altitude. For more than three years, FORMOSAT-2 has performed outstanding jobs and its global effectiveness is evidenced in many fields such as public education in Taiwan, Earth science and ecological niche research, preservation of the world heritages, contribution to the International Charter: space and major disasters, observation of suspected North Korea and Iranian nuclear facilities, and scientific observation of the atmospheric transient luminous events (TLEs). In order to continue the provision of earth observation images from space, the National Space Organization (NSPO) of Taiwan started to work on the second RSS from 2005. This second RSS will also be Taiwan's first indigenous satellite. Both the bus platform and remote sensing instrument (RSI) shall be designed and manufactured by NSPO and the Instrument Technology Research Center (ITRC) under the supervision of the National Applied Research Laboratories (NARL). Its onboard computer (OBC) shall use Taiwan's indigenous LEON-3 central processing unit (CPU). In order to achieve cost effective design, the commercial off the shelf (COTS) components shall be widely used. NSPO shall impose the up-screening/qualification and validation/verification processes to ensure their normal functions for proper operations in the severe space environments.

  8. Electronics Industry Study Report: Semiconductors and Defense Electronics

    DTIC Science & Technology

    2003-01-01

    Access Memory (DRAM) chips and microprocessors. Samsung , Micron, Hynix, and Infineon control almost three-fourths of the DRAM market,8 while Intel alone...Country 2001 Sales ($B) 2002 Sales ($B) % Change % 2002 Mkt 1 1 Intel U.S. 23.7 24.0 1% 16.9% 2 3 Samsung Semiconductor S. Korea 6.3...located in four major regions: the United States, Europe, Japan, and the Asia-Pacific region (includes South Korea, China, Singapore, Malaysia , Taiwan

  9. Dissolved air flotation of polishing wastewater from semiconductor manufacturer.

    PubMed

    Liu, J C; Lien, C Y

    2006-01-01

    The feasibility of the dissolved air flotation (DAF) process in treating chemical mechanical polishing (CMP) wastewater was evaluated in this study. Wastewater from a local semiconductor manufacturer was sampled and characterised. Nano-sized silica (77.6 nm) with turbidity of 130 +/- 3 NTU was found in the slightly alkaline wastewater with traces of other pollutants. Experimental results indicated removal efficiency of particles, measured as suspended particle or turbidity, increased with increasing concentration of cationic collector cetyltrimethyl ammonium bromide (CTAB). When CTAB concentration was 30 mg/L, pH of 6.5 +/- 0.1 and recycle ratio of 30%, very effective removal of particles (> 98%) was observed in saturation pressure range of 4 to 6 kg/cm2, and the reaction proceeded faster under higher pressure. Similarly, the reaction was faster under the higher recycle ratio, while final removal efficiency improved slightly as the recycle ratio increased from 20 to 40%. An insignificant effect of pH on treatment efficiency was found as pH varied from 4.5 to 8.5. The presence of activator, Al3+ and Fe3+, enhanced the system performance. It is proposed that CTAB adsorbs on silica particles in polishing wastewater through electrostatic interaction and makes particles more hydrophobic. The increase in hydrophobicity results in more effective bubble-particle collisions. In addition, flocculation of silica particles through bridging effect of collector was found; it is believed that flocculation of particles also contributed to flotation. Better attachment between gas bubble and solid, higher buoyancy and higher air to solid ratio all lead to effective flotation.

  10. Identifying airborne metal particles sources near an optoelectronic and semiconductor industrial park

    NASA Astrophysics Data System (ADS)

    Chen, Ho-Wen; Chen, Wei-Yea; Chang, Cheng-Nan; Chuang, Yen-Hsun; Lin, Yu-Hao

    2016-06-01

    The recently developed Central Taiwan Science Park (CTSP) in central Taiwan is home to an optoelectronic and semiconductor industrial cluster. Therefore, exploring the elemental compositions and size distributions of airborne particles emitted from the CTSP would help to prevent pollution. This study analyzed size-fractionated metal-rich particle samples collected in upwind and downwind areas of CTSP during Jan. and Oct. 2013 by using micro-orifice uniform deposited impactor (MOUDI). Correlation analysis, hierarchical cluster analysis and particle mass-size distribution analysis are performed to identify the source of metal-rich particle near the CTSP. Analyses of elemental compositions and particle size distributions emitted from the CTSP revealed that the CTSP emits some metals (V, As, In Ga, Cd and Cu) in the ultrafine particles (< 1 μm). The statistical analysis combines with the particle mass-size distribution analysis could provide useful source identification information. In airborne particles with the size of 0.32 μm, Ga could be a useful pollution index for optoelectronic and semiconductor emission in the CTSP. Meanwhile, the ratios of As/Ga concentration at the particle size of 0.32 μm demonstrates that humans near the CTSP would be potentially exposed to GaAs ultrafine particles. That is, metals such as Ga and As and other metals that are not regulated in Taiwan are potentially harmful to human health.

  11. The Preemptive Stocker Dispatching Rule of Automatic Material Handling System in 300 mm Semiconductor Manufacturing Factories

    NASA Astrophysics Data System (ADS)

    Wang, C. N.; Lin, H. S.; Hsu, H. P.; Wang, Yen-Hui; Chang, Y. P.

    2016-04-01

    The integrated circuit (IC) manufacturing industry is one of the biggest output industries in this century. The 300mm wafer fabs is the major fab size of this industry. The automatic material handling system (AMHS) has become one of the most concerned issues among semiconductor manufacturers. The major lot delivery of 300mm fabs is used overhead hoist transport (OHT). The traffic jams are happened frequently due to the wide variety of products and big amount of OHTs moving in the fabs. The purpose of this study is to enhance the delivery performance of automatic material handling and reduce the delay and waiting time of product transportation for both hot lots and normal lots. Therefore, this study proposes an effective OHT dispatching rule: preemptive stocker dispatching (PSD). Simulation experiments are conducted and one of the best differentiated preemptive rule, differentiated preemptive dispatching (DPD), is used for comparison. Compared with DPD, The results indicated that PSD rule can reduce average variable delivery time of normal lots by 13.15%, decreasing average variable delivery time of hot lots by 17.67%. Thus, the PSD rule can effectively reduce the delivery time and enhance productivity in 300 mm wafer fabs.

  12. Voluntary GHG reduction of industrial sectors in Taiwan.

    PubMed

    Chen, Liang-Tung; Hu, Allen H

    2012-08-01

    The present paper describes the voluntary greenhouse gas (GHG) reduction agreements of six different industrial sectors in Taiwan, as well as the fluorinated gases (F-gas) reduction agreement of the semiconductor and Liquid Crystal Display (LCD) industries. The operating mechanisms, GHG reduction methods, capital investment, and investment effectiveness are also discussed. A total of 182 plants participated in the voluntary energy saving and GHG reduction in six industrial sectors (iron and steel, petrochemical, cement, paper, synthetic fiber, and textile printing and dyeing), with 5.35 Mt reduction from 2004 to 2008, or 33% higher than the target goal (4.02 Mt). The reduction accounts for 1.6% annual emission or 7.8% during the 5-yr span. The petrochemical industry accounts for 49% of the reduction, followed by the cement sector (21%) and the iron and steel industry (13%). The total investment amounted to approximately USD 716 million, in which, the majority of the investment went to the modification of the manufacturing process (89%). The benefit was valued at around USD 472 million with an average payback period of 1.5 yr. Moreover, related energy saving was achieved through different approaches, e.g., via electricity (iron and steel), steam and oil consumption (petrochemical) and coal usage (cement). The cost for unit CO(2) reduction varies per industry, with the steel and iron industrial sector having the highest cost (USD 346 t(-1) CO(2)) compared with the average cost of the six industrial sectors (USD 134 t(-1) CO(2)). For the semiconductor and Thin-Film Transistor LCD industries, F-gas emissions were reduced from approximately 4.1 to about 1.7 Mt CO(2)-eq, and from 2.2 to about 1.1 Mt CO(2)-eq, respectively. Incentive mechanisms for participation in GHG reduction are also further discussed. Copyright © 2012 Elsevier Ltd. All rights reserved.

  13. Scrap computer recycling in Taiwan

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, C.H.; Chang, S.L.; Wang, K.M.

    1999-07-01

    It is estimated that approximately 700,000 scrap personal computers will be generated each year in Taiwan. The disposal of such a huge amount of scrap computers presents a difficult task for the island due to the scarcity of landfills and incineration facilities available locally. Also, the hazardous materials contained in the scrap computers may cause serious pollution to the environment, if they are not properly disposed. Thus, EPA of Taiwan has declared scrap personal computers as a producer responsibility recycling product on July 1997 to mandate that the manufacturers, importers and sellers of personal computers have to recover and recyclemore » their scrap computers properly. Beginning on June 1, 1998, a scrap computer recycling plan is officially implemented on the island. Under this plan, consumers can deliver their unwanted personal computers to the designated collection points to receive reward money. Currently, only six items are mandated to be recycled in this recycling plan. They are notebooks, monitor and the hard disk, power supply, printed circuit board and shell of the main frame of the personal computer. This paper presents the current scrap computer recycling system in Taiwan.« less

  14. Risk for work-related fatigue among the employees on semiconductor manufacturing lines.

    PubMed

    Lin, Yu-Cheng; Chen, Yen-Cheng; Hsieh, Hui-I; Chen, Pau-Chung

    2015-03-01

    To examine the potential risk factors for work-related fatigue (WRF) among workers in modern industries, the authors analyzed the records of need-for-recovery questionnaires and health checkup results for 1545 employees. Compared with regular daytime workers, and after adjusting for confounders, the workers adapting to day-and-night rotating shift work (RSW) had a 4.0-fold (95% confidence interval [CI] = 2.7-5.9) increased risk for WRF, higher than the 2.2-fold risk (95% CI = 1.5-3.3) for persistent shift workers. Based on highest education level, the male employees with university degrees had the highest adjusted odds ratio (a-OR) 2.8 (95% CI = 1.0-7.8) for complaining of WRF versus compulsory education group. For female workers, currently married/cohabiting status was inversely associated with WRF (a-OR = 0.5; 95% CI = 0.2-0.9), and child-rearing responsibility moderately increased WRF risk (a-OR = 1.9; 95% CI = 1.0-3.7). Day-and-night RSW and the adaptation, educational levels of males, and domestic factors for females contributed to WRF among semiconductor manufacturing employees. © 2013 APJPH.

  15. Charge pump-based MOSFET-only 1.5-bit pipelined ADC stage in digital CMOS technology

    NASA Astrophysics Data System (ADS)

    Singh, Anil; Agarwal, Alpana

    2016-10-01

    A simple low-power and low-area metal-oxide-semiconductor field-effect transistor-only fully differential 1.5-bit pipelined analog-to-digital converter stage is proposed and designed in Taiwan Semiconductor Manufacturing Company 0.18 μm-technology using BSIM3v3 parameters with supply voltage of 1.8 V in inexpensive digital complementary metal-oxide semiconductor (CMOS) technology. It is based on charge pump technique to achieve the desired voltage gain of 2, independent of capacitor mismatch and avoiding the need of power hungry operational amplifier-based architecture to reduce the power, Si area and cost. Various capacitances are implemented by metal-oxide semiconductor capacitors, offering compatibility with cheaper digital CMOS process in order to reduce the much required manufacturing cost.

  16. Amorphous semiconductor solar cell

    DOEpatents

    Dalal, Vikram L.

    1981-01-01

    A solar cell comprising a back electrical contact, amorphous silicon semiconductor base and junction layers and a top electrical contact includes in its manufacture the step of heat treating the physical junction between the base layer and junction layer to diffuse the dopant species at the physical junction into the base layer.

  17. A system approach for reducing the environmental impact of manufacturing and sustainability improvement of nano-scale manufacturing

    NASA Astrophysics Data System (ADS)

    Yuan, Yingchun

    This dissertation develops an effective and economical system approach to reduce the environmental impact of manufacturing. The system approach is developed by using a process-based holistic method for upstream analysis and source reduction of the environmental impact of manufacturing. The system approach developed consists of three components of a manufacturing system: technology, energy and material, and is useful for sustainable manufacturing as it establishes a clear link between manufacturing system components and its overall sustainability performance, and provides a framework for environmental impact reductions. In this dissertation, the system approach developed is applied for environmental impact reduction of a semiconductor nano-scale manufacturing system, with three case scenarios analyzed in depth on manufacturing process improvement, clean energy supply, and toxic chemical material selection. The analysis on manufacturing process improvement is conducted on Atomic Layer Deposition of Al2O3 dielectric gate on semiconductor microelectronics devices. Sustainability performance and scale-up impact of the ALD technology in terms of environmental emissions, energy consumption, nano-waste generation and manufacturing productivity are systematically investigated and the ways to improve the sustainability of the ALD technology are successfully developed. The clean energy supply is studied using solar photovoltaic, wind, and fuel cells systems for electricity generation. Environmental savings from each clean energy supply over grid power are quantitatively analyzed, and costs for greenhouse gas reductions on each clean energy supply are comparatively studied. For toxic chemical material selection, an innovative schematic method is developed as a visual decision tool for characterizing and benchmarking the human health impact of toxic chemicals, with a case study conducted on six chemicals commonly used as solvents in semiconductor manufacturing. Reliability of

  18. Occupational Neurotoxic Diseases in Taiwan

    PubMed Central

    Liu, Chi-Hung; Huang, Chu-Yun

    2012-01-01

    Occupational neurotoxic diseases have become increasingly common in Taiwan due to industrialization. Over the past 40 years, Taiwan has transformed from an agricultural society to an industrial society. The most common neurotoxic diseases also changed from organophosphate poisoning to heavy metal intoxication, and then to organic solvent and semiconductor agent poisoning. The nervous system is particularly vulnerable to toxic agents because of its high metabolic rate. Neurological manifestations may be transient or permanent, and may range from cognitive dysfunction, cerebellar ataxia, Parkinsonism, sensorimotor neuropathy and autonomic dysfunction to neuromuscular junction disorders. This study attempts to provide a review of the major outbreaks of occupational neurotoxins from 1968 to 2012. A total of 16 occupational neurotoxins, including organophosphates, toxic gases, heavy metals, organic solvents, and other toxic chemicals, were reviewed. Peer-reviewed articles related to the electrophysiology, neuroimaging, treatment and long-term follow up of these neurotoxic diseases were also obtained. The heavy metals involved consisted of lead, manganese, organic tin, mercury, arsenic, and thallium. The organic solvents included n-hexane, toluene, mixed solvents and carbon disulfide. Toxic gases such as carbon monoxide, and hydrogen sulfide were also included, along with toxic chemicals including polychlorinated biphenyls, tetramethylammonium hydroxide, organophosphates, and dimethylamine borane. In addition we attempted to correlate these events to the timeline of industrial development in Taiwan. By researching this topic, the hope is that it may help other developing countries to improve industrial hygiene and promote occupational safety and health care during the process of industrialization. PMID:23251841

  19. Coherent diffractive imaging methods for semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Helfenstein, Patrick; Mochi, Iacopo; Rajeev, Rajendran; Fernandez, Sara; Ekinci, Yasin

    2017-12-01

    The paradigm shift of the semiconductor industry moving from deep ultraviolet to extreme ultraviolet lithography (EUVL) brought about new challenges in the fabrication of illumination and projection optics, which constitute one of the core sources of cost of ownership for many of the metrology tools needed in the lithography process. For this reason, lensless imaging techniques based on coherent diffractive imaging started to raise interest in the EUVL community. This paper presents an overview of currently on-going research endeavors that use a number of methods based on lensless imaging with coherent light.

  20. Progress in ion torrent semiconductor chip based sequencing.

    PubMed

    Merriman, Barry; Rothberg, Jonathan M

    2012-12-01

    In order for next-generation sequencing to become widely used as a diagnostic in the healthcare industry, sequencing instrumentation will need to be mass produced with a high degree of quality and economy. One way to achieve this is to recast DNA sequencing in a format that fully leverages the manufacturing base created for computer chips, complementary metal-oxide semiconductor chip fabrication, which is the current pinnacle of large scale, high quality, low-cost manufacturing of high technology. To achieve this, ideally the entire sensory apparatus of the sequencer would be embodied in a standard semiconductor chip, manufactured in the same fab facilities used for logic and memory chips. Recently, such a sequencing chip, and the associated sequencing platform, has been developed and commercialized by Ion Torrent, a division of Life Technologies, Inc. Here we provide an overview of this semiconductor chip based sequencing technology, and summarize the progress made since its commercial introduction. We described in detail the progress in chip scaling, sequencing throughput, read length, and accuracy. We also summarize the enhancements in the associated platform, including sample preparation, data processing, and engagement of the broader development community through open source and crowdsourcing initiatives. © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Exposure to culturable airborne bioaerosols during noodle manufacturing in central Taiwan.

    PubMed

    Tsai, Min-Yi; Liu, Hui-Ming

    2009-02-15

    Biological hazards associated with the manufacturing of noodles have not been well characterized in Taiwan. This is an issue that flour workers can be exposed to bioaerosols (airborne fungi and bacteria) resulting flour-induced occupational asthma or allergic diseases. This study is to survey the species and concentrations of bioaerosols at different sites within a noodle factory for one year, and to investigate the effects of environmental factors on concentrations of bioaerosols. Air samples were taken twice a day, one day each month using a MAS-100 bioaerosol sampler. Nine species of culturable fungi were identified, with the main airborne fungi being Cladosporium, Penicillium, Aspergillus spp., non-sporing isolates and yeasts. Cladosporium, Penicillium and Aspergillus were the dominant fungal isolates in the indoor and outdoor air samples. Micrococcus spp. and Staphylococcus xylosus were the dominant bacterial isolates. Peak fungal and bacterial concentrations occurred at the crushing site, with mean values of 3082 and 12,616 CFU/m3. Meanwhile, the most prevalent fungi and bacteria at the crushing site were in ranges of 2.1-1.1 microm and 1.1-0.65 microm, respectively. Significant seasonal differences in total bacterial concentration were observed at all sampling sites (P<0.05). Moreover, significant seasonal differences were observed for most of the fungal genera except Fusarium. Levels of Aspergillus and Rhizopus differed significantly during the two sampling times, as did levels of Micrococcus spp. and Staphylococcus arlettae. Regarding the same operation procedures, relative humidity affected fungi levels more than temperature did. However, crushing generated the highest concentration of bioaerosols among all operation procedures. Furthermore, levels of bacteria at sites fitted with ventilation systems were lower than at sites without ventilation systems, especially at the crushing site. Therefore, we recommend these workers at the crushing site wear

  2. A Knowledge Database on Thermal Control in Manufacturing Processes

    NASA Astrophysics Data System (ADS)

    Hirasawa, Shigeki; Satoh, Isao

    A prototype version of a knowledge database on thermal control in manufacturing processes, specifically, molding, semiconductor manufacturing, and micro-scale manufacturing has been developed. The knowledge database has search functions for technical data, evaluated benchmark data, academic papers, and patents. The database also displays trends and future roadmaps for research topics. It has quick-calculation functions for basic design. This paper summarizes present research topics and future research on thermal control in manufacturing engineering to collate the information to the knowledge database. In the molding process, the initial mold and melt temperatures are very important parameters. In addition, thermal control is related to many semiconductor processes, and the main parameter is temperature variation in wafers. Accurate in-situ temperature measurment of wafers is important. And many technologies are being developed to manufacture micro-structures. Accordingly, the knowledge database will help further advance these technologies.

  3. Fault linkages and activities in a transition zone of compression to transpression in Hsinchu area, northwestern Taiwan based on 3-D structural geometry

    NASA Astrophysics Data System (ADS)

    Huang, H.; Hu, J.; Huang, S.; Huang, C.

    2010-12-01

    The Taiwan orogenic belt is resulted from the convergence between Philippine Sea plate and Eurasian plate. Serious earthquakes occurred in west and northwest flanks of main mountain belt of the island in 1935 and 1999, caused more than 5000 deaths in total. In addition, Hsinchu Science and Industrial Park (HSIP) located in northwest Taiwan is one of the world's most important areas for semiconductor manufacturing. There are more than 400 technology companies in this park, and accounted for 10% of Taiwan's GDP. Consequently, active Hsincheng and Hsinchu faults in study area become the major threat of the industrial park, thus the understanding of complex subsurface seismogenic structures are crucial issue of earthquake hazard assessment and mitigation in Hsinchu area. Several geological cross sections have been constructed and discussed to suggest possible deep structures of these two major faults in previous study. However, how subsurface fault system and folding intersect still remains unclear and the evolution of fault and fold geometry in Hsinchu area is not fully understood. The main purpose of this study is to clarify the spatial linkage between the major thrust faults, folds, and adjacent transverse structures. In this study, we first construct the NW-SE trending cross-section which is sub-parallel to the regional shortening direction, and then balance this cross section to derive the structure evolution in Hsinchu area. We also incorporate several cross-sections and relocated seismicity to get detail 3D fault geometry for the numerical modeling in order to assess the interseismic strain accumulation and seismic potential based on geodetic measurements.

  4. The MSFC complementary metal oxide semiconductor (including multilevel interconnect metallization) process handbook

    NASA Technical Reports Server (NTRS)

    Bouldin, D. L.; Eastes, R. W.; Feltner, W. R.; Hollis, B. R.; Routh, D. E.

    1979-01-01

    The fabrication techniques for creation of complementary metal oxide semiconductor integrated circuits at George C. Marshall Space Flight Center are described. Examples of C-MOS integrated circuits manufactured at MSFC are presented with functional descriptions of each. Typical electrical characteristics of both p-channel metal oxide semiconductor and n-channel metal oxide semiconductor discrete devices under given conditions are provided. Procedures design, mask making, packaging, and testing are included.

  5. Method of manufacturing semiconductor having group II-group VI compounds doped with nitrogen

    DOEpatents

    Compaan, Alvin D.; Price, Kent J.; Ma, Xianda; Makhratchev, Konstantin

    2005-02-08

    A method of making a semiconductor comprises depositing a group II-group VI compound onto a substrate in the presence of nitrogen using sputtering to produce a nitrogen-doped semiconductor. This method can be used for making a photovoltaic cell using sputtering to apply a back contact layer of group II-group VI compound to a substrate in the presence of nitrogen, the back coating layer being doped with nitrogen. A semiconductor comprising a group II-group VI compound doped with nitrogen, and a photovoltaic cell comprising a substrate on which is deposited a layer of a group II-group VI compound doped with nitrogen, are also included.

  6. Dynamical Competition of IC-Industry Clustering from Taiwan to China

    NASA Astrophysics Data System (ADS)

    Tsai, Bi-Huei; Tsai, Kuo-Hui

    2009-08-01

    Most studies employ qualitative approach to explore the industrial clusters; however, few research has objectively quantified the evolutions of industry clustering. The purpose of this paper is to quantitatively analyze clustering among IC design, IC manufacturing as well as IC packaging and testing industries by using the foreign direct investment (FDI) data. The Lotka-Volterra system equations are first adopted here to capture the competition or cooperation among such three industries, thus explaining their clustering inclinations. The results indicate that the evolution of FDI into China for IC design industry significantly inspire the subsequent FDI of IC manufacturing as well as IC packaging and testing industries. Since IC design industry lie in the upstream stage of IC production, the middle-stream IC manufacturing and downstream IC packing and testing enterprises tend to cluster together with IC design firms, in order to sustain a steady business. Finally, Taiwan IC industry's FDI amount into China is predicted to cumulatively increase, which supports the industrial clustering tendency for Taiwan IC industry. Particularly, the FDI prediction of Lotka-Volterra model performs superior to that of the conventional Bass model after the forecast accuracy of these two models are compared. The prediction ability is dramatically improved as the industrial mutualism among each IC production stage is taken into account.

  7. Education of biomedical engineering in Taiwan.

    PubMed

    Lin, Kang-Ping; Kao, Tsair; Wang, Jia-Jung; Chen, Mei-Jung; Su, Fong-Chin

    2014-01-01

    Biomedical Engineers (BME) play an important role in medical and healthcare society. Well educational programs are important to support the healthcare systems including hospitals, long term care organizations, manufacture industries of medical devices/instrumentations/systems, and sales/services companies of medical devices/instrumentations/system. In past 30 more years, biomedical engineering society has accumulated thousands people hold a biomedical engineering degree, and work as a biomedical engineer in Taiwan. Most of BME students can be trained in biomedical engineering departments with at least one of specialties in bioelectronics, bio-information, biomaterials or biomechanics. Students are required to have internship trainings in related institutions out of campus for 320 hours before graduating. Almost all the biomedical engineering departments are certified by IEET (Institute of Engineering Education Taiwan), and met the IEET requirement in which required mathematics and fundamental engineering courses. For BMEs after graduation, Taiwanese Society of Biomedical Engineering (TSBME) provides many continue-learning programs and certificates for all members who expect to hold the certification as a professional credit in his working place. In current status, many engineering departments in university are continuously asked to provide joint programs with BME department to train much better quality students. BME is one of growing fields in Taiwan.

  8. The future of automation for high-volume wafer fabrication and ASIC manufacturing

    NASA Astrophysics Data System (ADS)

    Hughes, Randall A.; Shott, John D.

    1986-12-01

    A framework is given to analyze the future trends in semiconductor manufacturing automation systems, focusing specifically on the needs of ASIC (application-specific integrated circuit) or custom integrated circuit manufacturing. Advances in technologies such as gate arrays and standard cells now make it significantly easier to obtain system cost and performance advantages by integrating nonstandard functions on silicon. ASICs are attractive to U.S. manufacturers because they place a premium on sophisticated design tools, familiarity with customer needs and applications, and fast turn-around fabrication. These are areas where U.S. manufacturers believe they have an advantage and, consequently, will not suffer from the severe price/manufacturing competition encountered in conventional high-volume semiconductor products. Previously, automation was often considered viable only for high-volume manufacturing, but automation becomes a necessity in the new ASIC environment.

  9. Using the Fuzzy DEMATEL to Determine Environmental Performance: A Case of Printed Circuit Board Industry in Taiwan

    PubMed Central

    Tsai, Sang-Bing; Chien, Min-Fang; Xue, Youzhi; Li, Lei; Jiang, Xiaodong; Chen, Quan; Zhou, Jie; Wang, Lei

    2015-01-01

    The method by which high-technology product manufacturers balance profits and environmental performance is of crucial concern for governments and enterprises. To examine the environmental performance of manufacturers, the present study applied Fuzzy-DEMATEL model to examine environmental performance of the PCB industry in Taiwan. Fuzzy theory was employed to examine the environmental performance criteria of manufacturers and analyse fuzzy linguistics. The fuzzy-DEMATEL model was then employed to assess the direction and level of interaction between environmental performance criteria. The core environmental performance criteria which were critical for enhancing environmental performance of the PCB industry in Taiwan were identified and presented. The present study revealed that green design (a1), green material procurement (a2), and energy consumption (b3) constitute crucial reason criteria, the core criteria influencing other criteria, and the driving factors for resolving problems. PMID:26052710

  10. Using the Fuzzy DEMATEL to Determine Environmental Performance: A Case of Printed Circuit Board Industry in Taiwan.

    PubMed

    Tsai, Sang-Bing; Chien, Min-Fang; Xue, Youzhi; Li, Lei; Jiang, Xiaodong; Chen, Quan; Zhou, Jie; Wang, Lei

    2015-01-01

    The method by which high-technology product manufacturers balance profits and environmental performance is of crucial concern for governments and enterprises. To examine the environmental performance of manufacturers, the present study applied Fuzzy-DEMATEL model to examine environmental performance of the PCB industry in Taiwan. Fuzzy theory was employed to examine the environmental performance criteria of manufacturers and analyse fuzzy linguistics. The fuzzy-DEMATEL model was then employed to assess the direction and level of interaction between environmental performance criteria. The core environmental performance criteria which were critical for enhancing environmental performance of the PCB industry in Taiwan were identified and presented. The present study revealed that green design (a1), green material procurement (a2), and energy consumption (b3) constitute crucial reason criteria, the core criteria influencing other criteria, and the driving factors for resolving problems.

  11. REDUCTION OF ARSENIC WASTES IN THE SEMICONDUCTOR INDUSTRY

    EPA Science Inventory

    The research described in this report was aimed at initiating and developing processes and process modifications that could be incorporated into semiconductor manufacturing operations to accomplish pollution prevention, especially to accomplish significant reduction in the quanti...

  12. The service industry strategy-A case study of tourism hotel in Taiwan

    NASA Astrophysics Data System (ADS)

    Lu, Chunwei; Huang, Jui-Chan; Wen, Hao-Ming; Hairui, Ji

    2017-06-01

    Regarding to raising living standard in Taiwan, service industry had stand more than 65% GDP and manufacturing is around 35% recently. The data from Council for Economic Planning and Development of Taiwan, in 2015, the service industry stand more than 73% of GDP, and the tourism industry has played one of the most important rules. In service industry, recently, the policy of Taiwan government encourages overseas tourists come to Taiwan for resort and it made many new tourism hotels built, and they also had to do the overall reform to satisfy their customers of global, especially respecting on Eastern Asia. In this study, experts and scholars in the fields of industry academia were interviewed. Survey were issued to the object companies and a comparative case study was conducted to analyze the influencing factors and extent to which differences on the competitive edge and strategies of Taiwan tourism hotels and those which benefit most or least from business operation current situation that conducted with governments' support and internal management. By primary data and literature reviews, this study hope that an effective reference for improving industrial competitiveness and models of competitiveness could be available for the governments and the companies.

  13. A review of nanoimprint lithography for high-volume semiconductor device manufacturing

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Choi, Jin

    2017-06-01

    Imprint lithography has been shown to be a promising technique for the replication of nanoscale features. Jet and flash imprint lithography (J-FIL) [jet and flash imprint lithography and J-FIL are trademarks of Molecular Imprints, Inc.] involves the field-by-field deposition and exposure of a low-viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid, which then quickly flows into the relief patterns in the mask by capillary action. After this filling step, the resist is cross-linked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Included on the list are overlay, throughput, and defectivity. The most demanding devices now require an overlay of better than 4 nm, 3σ. Throughput for an imprint tool is generally targeted at 80 wafers/h. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. The purpose of this paper is to report the status of throughput and defectivity work and to describe the progress made in addressing overlay for advanced devices. To address high-order corrections, a high-order distortion correction (HODC) system is introduced. The combination of applying magnification actuation to the mask and temperature correction to the wafer is described in detail. Examples are presented for the correction of K7, K11, and K17 distortions as well as distortions on actual device wafers.

  14. 76 FR 59542 - Mandatory Reporting of Greenhouse Gases: Changes to Provisions for Electronics Manufacturing To...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-09-27

    ... Mandatory Reporting of Greenhouse Gases: Changes to Provisions for Electronics Manufacturing To Provide... regulation to amend the calculation and monitoring provisions in the Electronics Manufacturing portion of the... Electronics Manufacturing 334111 Microcomputer manufacturing facilities. 334413 Semiconductor, photovoltaic...

  15. Investigation of cancer incidence and mortality at a Scottish semiconductor manufacturing facility.

    PubMed

    McElvenny, Damien M; Darnton, Andrew J; Hodgson, John T; Clarke, Simon D; Elliott, Richard C; Osman, John

    2003-10-01

    We became aware of concern about cancer at a Scottish semiconductor manufacturing facility in 1998. Aim To compare cancer experience among current and former workers at the facility, with an appropriate comparison population, making use of any readily available exposure information. We obtained personnel and employment episode information from four sources within the company. Workers were flagged for death and cancer registrations at the National Health Service Central Register in Edinburgh. We constructed standardized registration and mortality ratios (SRRs and SMRs), using Scotland as the comparison, with and without an adjustment for deprivation. The main mortality analysis included 4388 workers, with a mean length of follow-up of 12.5 years. Overall mortality was substantially below that expected for men, and for women was slightly below expected. Total cancer registrations were close to expected levels for men and women. Four cancers produced noteworthy findings: malignant neoplasm of the trachea, bronchus and lung in women-deprivation adjusted SRR [95% confidence interval (CI), number of cases] 273 (136-488, 11 cases); malignant neoplasm of the stomach in women-adjusted SRR 438 (90-1281, three cases); and malignant neoplasm of the female breast-adjusted SRR 134 (82-206, 20 cases). The unadjusted SMR for male brain cancer was 401 (83-1172, three cases) and there was an additional non-fatal case. These findings, particularly those relating to lung cancer, though inconclusive, point to the possibility of a work-related risk of cancer that justifies further investigation.

  16. Abatement of waste gases and water during the processes of semiconductor fabrication.

    PubMed

    Wen, Rui-mei; Liang, Jun-wu

    2002-10-01

    The purpose of this article is to examine the methods and equipment for abating waste gases and water produced during the manufacture of semiconductor materials and devices. Three separating methods and equipment are used to control three different groups of electronic wastes. The first group includes arsine and phosphine emitted during the processes of semiconductor materials manufacture. The abatement procedure for this group of pollutants consists of adding iodates, cupric and manganese salts to a multiple shower tower (MST) structure. The second group includes pollutants containing arsenic, phosphorus, HF, HCl, NO2, and SO3 emitted during the manufacture of semiconductor materials and devices. The abatement procedure involves mixing oxidants and bases in an oval column with a separator in the middle. The third group consists of the ions of As, P and heavy metals contained in the waste water. The abatement procedure includes adding CaCO3 and ferric salts in a flocculation-sedimentation compact device equipment. Test results showed that all waste gases and water after the abatement procedures presented in this article passed the discharge standards set by the State Environmental Protection Administration of China.

  17. Cameras for semiconductor process control

    NASA Technical Reports Server (NTRS)

    Porter, W. A.; Parker, D. L.

    1977-01-01

    The application of X-ray topography to semiconductor process control is described, considering the novel features of the high speed camera and the difficulties associated with this technique. The most significant results on the effects of material defects on device performance are presented, including results obtained using wafers processed entirely within this institute. Defects were identified using the X-ray camera and correlations made with probe data. Also included are temperature dependent effects of material defects. Recent applications and improvements of X-ray topographs of silicon-on-sapphire and gallium arsenide are presented with a description of a real time TV system prototype and of the most recent vacuum chuck design. Discussion is included of our promotion of the use of the camera by various semiconductor manufacturers.

  18. Research and development of biochip technologies in Taiwan

    NASA Astrophysics Data System (ADS)

    Ting, Solomon J.; Chiou, Arthur E. T.

    2000-07-01

    Recent advancements in several genome-sequencing projects have stimulated an enormous interest in microarray DNA chip technology, especially in the biomedical sciences and pharmaceutical industries. The DNA chips facilitated the miniaturization of conventional nucleic acid hybridizations, by either robotically spotting thousands of library cDNAs or in situ synthesis of high-density oligonucleotides onto solid supports. These innovations have found a wide range of applications in molecular biology, especially in studying gene expression and discovering new genes from the global view of genomic analysis. The research and development of this powerful tool has also received great attentions in Taiwan. In this paper, we report the current progresses of our DNA chip project, along with the current status of other biochip projects in Taiwan, such as protein chip, PCR chip, electrophoresis chip, olfactory chip, etc. The new development of biochip technologies integrates the biotechnology with the semiconductor processing, the micro- electro-mechanical, optoelectronic, and digital signal processing technologies. Most of these biochip technologies utilitze optical detection methods for data acquisition and analysis. The strengths and advantages of different approaches are compared and discussed in this report.

  19. 78 FR 24234 - Certain Semiconductor Chips With DRAM Circuitry, and Modules and Products Containing Same; Notice...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-04-24

    ... INTERNATIONAL TRADE COMMISSION [Investigation No. 337-TA-819] Certain Semiconductor Chips With DRAM Circuitry, and Modules and Products Containing Same; Notice of Request for Statements on the... order barring the entry of unlicensed DRAM semiconductor chips manufactured by Nanya Technology...

  20. New Drug Reimbursement and Pricing Policy in Taiwan.

    PubMed

    Chen, Gau-Tzu; Chang, Shu-Chen; Chang, Chee-Jen

    2018-05-01

    Taiwan has implemented a national health insurance system for more than 20 years now. The benefits of pharmaceutical products and new drug reimbursement scheme are determined by the Expert Advisory Meeting and the Pharmaceutical Benefit and Reimbursement Scheme (PBRS) Joint Committee in Taiwan. To depict the pharmaceutical benefits and reimbursement scheme for new drugs and the role of health technology assessment (HTA) in drug policy in Taiwan. All data were collected from the Expert Advisory Meeting and the PBRS meeting minutes; new drug applications with HTA reports were derived from the National Health Insurance Administration Web site. Descriptive statistics were used to analyze the timeline of a new drug from application submission to reimbursement effective, the distribution of approved price, and the approval rate for a new drug with/without local pharmacoeconomic study. After the second-generation national health insurance system, the timeline for a new drug from submission to reimbursement effective averages at 436 days, and that for an oncology drug reaches an average of 742 days. New drug approval rate is 67% and the effective rate (through the approval of the PBRS Joint Committee and the acceptance of the manufacturer) is 53%. The final approved price is 53.6% of the international median price and 70% of the proposed price by the manufacturer. Out of 95 HTA reports released during the period January 2011 to February 2017, 28 applications (30%) conducted an HTA with a local pharmacoeconomic study, and all (100%) received reimbursement approval. For the remaining 67 applications (70%) for which HTA was conducted without a local pharmacoeconomic analysis, 54 cases (81%) were reimbursed. New drug applications with local pharmacoeconomic studies are more likely to get reimbursement. Copyright © 2018. Published by Elsevier Inc.

  1. EDITORIAL: Extreme Ultraviolet Light Sources for Semiconductor Manufacturing

    NASA Astrophysics Data System (ADS)

    Attwood, David

    2004-12-01

    The International Technology Roadmap for Semiconductors (ITRS) [1] provides industry expectations for high volume computer chip fabrication a decade into the future. It provides expectations to anticipated performance and requisite specifications. While the roadmap provides a collective projection of what international industry expects to produce, it does not specify the technology that will be employed. Indeed, there are generally several competing technologies for each two or three year step forward—known as `nodes'. Recent successful technologies have been based on KrF (248 nm), and now ArF (193 nm) lasers, combined with ultraviolet transmissive refractive optics, in what are known as step and scan exposure tools. Less fortunate technologies in the recent past have included soft x-ray proximity printing and, it appears, 157 nm wavelength F2 lasers. In combination with higher numerical aperture liquid emersion optics, 193 nm is expected to be used for the manufacture of leading edge chip performance for the coming five years. Beyond that, starting in about 2009, the technology to be employed is less clear. The leading candidate for the 2009 node is extreme ultraviolet (EUV) lithography, however this requires that several remaining challenges, including sufficient EUV source power, be overcome in a timely manner. This technology is based on multilayer coated reflective optics [2] and an EUV emitting plasma. Following Moore's Law [3] it is expected, for example, that at the 2009 `32 nm node' (printable patterns of 32 nm half-pitch), isolated lines with 18 nm width will be formed in resist (using threshold effects), and that these will be further narrowed to 13 nm in transfer to metalized electronic gates. These narrow features are expected to provide computer chips of 19 GHz clock frequency, with of the order of 1.5 billion transistors per chip [1]. This issue of Journal of Physics D: Applied Physics contains a cluster of eight papers addressing the critical

  2. Multilayer Semiconductor Charged-Particle Spectrometers for Accelerator Experiments

    NASA Astrophysics Data System (ADS)

    Gurov, Yu. B.; Lapushkin, S. V.; Sandukovsky, V. G.; Chernyshev, B. A.

    2018-03-01

    The current state of studies in the field of development of multilayer semiconductor systems (semiconductor detector (SCD) telescopes), which allow the energy to be precisely measured within a large dynamic range (from a few to a few hundred MeV) and the particles to be identified in a wide mass range (from pions to multiply charged nuclear fragments), is presented. The techniques for manufacturing the SCD telescopes from silicon and high-purity germanium are described. The issues of measuring characteristics of the constructed detectors and their impact on the energy resolution of the SCD telescopes and on the quality of the experimental data are considered. Much attention is given to the use of the constructed semiconductor devices in experimental studies at accelerators of PNPI (Gatchina), LANL (Los Alamos) and CELSIUS (Uppsala).

  3. Semiconductors: In Situ Processing of Photovoltaic Devices

    NASA Technical Reports Server (NTRS)

    Curreri, Peter A.

    1998-01-01

    The possible processing of semiconductor photovoltaic devices is discussed. The requirements for lunar PV cells is reviewed, and the key challenges involved in their manufacturing are investigated. A schematic diagram of a passivated emitter and rear cell (PERC) is presented. The possible fabrication of large photovoltaic arrays in space from lunar materials is also discussed.

  4. Analyzing titers of antibodies against bacterial and viral antigens, and bacterial toxoids in the intravenous immunoglobulins utilized in Taiwan.

    PubMed

    Wu, Chi-Yu; Wang, Hsiu-Chi; Wang, Kun-Teng; Yang-Chih Shih, Daniel; Lo, Chi-Fang; Wang, Der-Yuan

    2013-03-01

    Intravenous immunoglobulin (IVIG) manufactured from human plasma contains IgG as the primary ingredient, and is used for indications such as immunodeficiency syndrome. Available IVIGs in Taiwan are either manufactured from Taiwanese or North American plasma. The effectiveness of the national immunization program of Taiwan can be evaluated by analyzing and comparing IVIG antibody titers that are induced through the corresponding vaccines (tetanus, diphtheria, and pertussis, measles, rubella, hepatitis A, hepatitis B and varicella). Both enzyme-linked immunosorbent assay (ELISA) and the in vitro neutralization test demonstrated that all IVIGs provide adequate clinical protection against diphtheria and tetanus toxins. ELISA results further revealed that plasma of Taiwanese subjects contains higher levels of pertussis toxin and filamentous hemagglutinin antibodies, when compared to foreign IVIGs. This may be related to the later adoption of acellular pertussis vaccine in Taiwan. Antibodies titers against measles, rubella, hepatitis A, and varicella-zoster virus were otherwise low. Low titers of hepatitis B surface antigen antibodies are present in Taiwanese plasma IVIG, indicating immune memory decline or loss. In conclusion, our results show that Taiwanese IVIG contains varying titers of vaccine-induced antibodies, and serves as a guide for future amendments to Taiwan's immunization program. Copyright © 2013 The International Alliance for Biological Standardization. Published by Elsevier Ltd. All rights reserved.

  5. Educating Tomorrow's Workforce: A Report on the Semiconductor Industry's Commitment to Youth in K-12.

    ERIC Educational Resources Information Center

    Semiconductor Industry Association, San Jose, CA.

    The U.S. semiconductor industry, now the nation's largest manufacturing industry, displays its commitment to training its current workers and educating future workers by supporting educational efforts on the K-12 level. This catalog describes innovative actions by 16 Semiconductor Industry Association companies to improve education at the K-12…

  6. Technician Training for the Semiconductor Microdevices Industry. Final Report.

    ERIC Educational Resources Information Center

    Center for Occupational Research and Development, Inc., Waco, TX.

    The Center for Occupational Research and Development (CORD) carried out four activities to foster semiconductor manufacturing technician (SMT) training: (1) collaboration with industry experts and educators while developing a curriculum to train SMTs; (2) implementation and testing of the curriculum at a technical college; (3) dissemination of…

  7. Technology Roadmaps for Compound Semiconductors

    PubMed Central

    Bennett, Herbert S.

    2000-01-01

    The roles cited for compound semiconductors in public versions of existing technology roadmaps from the National Electronics Manufacturing Initiative, Inc., Optoelectronics Industry Development Association, Microelectronics Advanced Research Initiative on Optoelectronic Interconnects, and Optoelectronics Industry and Technology Development Association (OITDA) are discussed and compared within the context of trends in the Si CMOS industry. In particular, the extent to which these technology roadmaps treat compound semiconductors at the materials processing and device levels will be presented for specific applications. For example, OITDA’s Optical Communications Technology Roadmap directly connects the information demand of delivering 100 Mbit/s to the home to the requirement of producing 200 GHz heterojunction bipolar transistors with 30 nm bases and InP high electron mobility transistors with 100 nm gates. Some general actions for progress towards the proposed International Technology Roadmap for Compound Semiconductors (ITRCS) and methods for determining the value of an ITRCS will be suggested. But, in the final analysis, the value added by an ITRCS will depend on how industry leaders respond. The technical challenges and economic opportunities of delivering high quality digital video to consumers provide concrete examples of where the above actions and methods could be applied. PMID:27551615

  8. Current status of the Taiwan Photon Source project

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, Shih-Lin

    2014-03-05

    The progress of establishment of a high brightness and low emittance mid-energy storage ring is reported. The status of the 3 GeV Taiwan Photon Source (TPS) currently under construction will be presented. The progress on the civil construction, manufacturing of machine components, as well as the opportunity of using low emittace synchrotron source and phase I beamlines at TPS will be mentioned. The future planning of phase II beamlines and related research will be sketched. Future developments will be also briefly outlined.

  9. The impact of semiconductor, electronics and optoelectronic industries on downstream perfluorinated chemical contamination in Taiwanese rivers.

    PubMed

    Lin, Angela Yu-Chen; Panchangam, Sri Chandana; Lo, Chao-Chun

    2009-04-01

    This study provides the first evidence on the influence of the semiconductor and electronics industries on perfluorinated chemicals (PFCs) contamination in receiving rivers. We have quantified ten PFCs, including perfluoroalkyl sulfonates (PFASs: PFBS, PFHxS, PFOS) and perfluoroalkyl carboxylates (PFCAs: PFHxA, PFHpA, PFOA, PFNA, PFDA, PFUnA, PFDoA) in semiconductor, electronic, and optoelectronic industrial wastewaters and their receiving water bodies (Taiwan's Keya, Touchien, and Xiaoli rivers). PFOS was found to be the major constituent in semiconductor wastewaters (up to 0.13 mg/L). However, different PFC distributions were found in electronics plant wastewaters; PFOA was the most significant PFC, contributing on average 72% to the effluent water samples, followed by PFOS (16%) and PFDA (9%). The distribution of PFCs in the receiving rivers was greatly impacted by industrial sources. PFOS, PFOA and PFDA were predominant and prevalent in all the river samples, with PFOS detected at the highest concentrations (up to 5.4 microg/L).

  10. Rapid Thermal Processing (RTP) of semiconductors in space

    NASA Technical Reports Server (NTRS)

    Anderson, T. J.; Jones, K. S.

    1993-01-01

    The progress achieved on the project entitled 'Rapid Thermal Processing of Semiconductors in Space' for a 12 month period of activity ending March 31, 1993 is summarized. The activity of this group is being performed under the direct auspices of the ROMPS program. The main objective of this program is to develop and demonstrate the use of advanced robotics in space with rapid thermal process (RTP) of semiconductors providing the test technology. Rapid thermal processing is an ideal processing step for demonstration purposes since it encompasses many of the characteristics of other processes used in solid state device manufacturing. Furthermore, a low thermal budget is becoming more important in existing manufacturing practice, while a low thermal budget is critical to successful processing in space. A secondary objective of this project is to determine the influence of microgravity on the rapid thermal process for a variety of operating modes. In many instances, this involves one or more fluid phases. The advancement of microgravity processing science is an important ancillary objective.

  11. Nanoimprint system development and status for high volume semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Hiura, Hiromi; Takabayashi, Yukio; Takashima, Tsuneo; Emoto, Keiji; Choi, Jin; Schumaker, Phil

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography* (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. For imprint lithography, recent attention has been given to the areas of overlay, throughput, defectivity, and mask replication. This paper reviews progress in these critical areas. Recent demonstrations have proven that mix and match overlay of less than 5nm can achieved. Further reductions require a higher order correction system. Modeling and experimental data are presented which provide a path towards reducing the overlay errors to less than 3nm. Throughput is mainly impacted by the fill time of the relief images on the mask. Improvement in resist materials provides a solution that allows 15 wafers per hour per station, or a tool throughput of 60 wafers per hour. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. Finally, on the mask side, a new replication tool, the FPA-1100NR2 is

  12. Electron transport in high aspect ratio semiconductor nanowires and metal-semiconductor interfaces

    NASA Astrophysics Data System (ADS)

    Sun, Zhuting

    We are facing variability problems for modern semiconductor transistors due to the fact that the performances of nominally identical devices in the scale of 10 100 nm could be dramatically different attributed to the small manufacturing variations. Different doping strategies give statistical variations in the number of dopant atom density ND in the channel. The material size gives variations in wire diameter dW. And the immediate environment of the material leads to an additional level of variability. E.g. vacuum-semiconductor interface causes variations in surface state density Ds, metal-semiconductor interface causes variations in Schottky barrier and dielectric semiconductor interface induces dielectric confinement at small scales. To approach these variability problems, I choose Si-doped GaAs nanowires as an example. I investigate transport in Si-doped GaAs nanowire (NW) samples contacted by lithographically patterned Gold-Titanium films as function of temperature T. I find a drastically different temperature dependence between the wire resistance RW, which is relatively weak, and the zero bias resistance RC, which is strong. I show that the data are consistent with a model based on a sharp donor energy level slightly above the bottom of the semiconductor conduction band and develop a simple method for using transport measurements for estimates of the doping density after nanowire growth. I discuss the predictions of effective free carrier density n eff as function of the surface state density Ds and wire size dW. I also describe a correction to the widely used model of Schottky contacts that improves thermodynamic consistency of the Schottky tunnel barrier profile and show that the original theory may underestimate the barrier conductance under certain conditions. I also provide analytical calculations for shallow silicon dopant energy in GaAs crystals, and find the presence of dielectrics (dielectric screening) and free carriers (Coulomb screening) cause a

  13. The measurement of alpha particle emissions from semiconductor memory materials

    NASA Astrophysics Data System (ADS)

    Bouldin, D. P.

    1981-07-01

    With the increasing concern for the affects of alpha particles on the reliability of semiconductor memories, an interest has arisen in characterizing semiconductor manufacturing materials for extremely low-level alpha-emitting contaminants. It is shown that four elements are of primary concern: uranium, thorium, radium, and polonium. Measurement of contamination levels are given relevance by first correlating them with alpha flux emission levels and then corre1ating these flux values with device soft error rates. Measurement techniques involve either measurements of elemental concentrations-applicable to only uranium and thorium - or direct measurements of alpha emission fluxes. Alpha fluxes are most usefully measured by means of ZnS scintillation counting, practical details of which are discussed. Materials measurements are reported for ceramics, solder, silicon, quartz, and various metals and organic materials. Ceramics and most metals have contamination levels of concern, but the high temperature processing normally used in semiconductor manufacturing and low total amounts reduce problems, at least for metals. Silicon, silicon compounds, and organic materials have been found to have no detectable alpha emitters. Finally, a brief discussion of the calibration of alpha sources for accelerated device testing is given, including practical details on the affects of source/chip separation and alignment variations.

  14. Water soluble nano-scale transient material germanium oxide for zero toxic waste based environmentally benign nano-manufacturing

    NASA Astrophysics Data System (ADS)

    Almuslem, A. S.; Hanna, A. N.; Yapici, T.; Wehbe, N.; Diallo, E. M.; Kutbee, A. T.; Bahabry, R. R.; Hussain, M. M.

    2017-02-01

    In the recent past, with the advent of transient electronics for mostly implantable and secured electronic applications, the whole field effect transistor structure has been dissolved in a variety of chemicals. Here, we show simple water soluble nano-scale (sub-10 nm) germanium oxide (GeO2) as the dissolvable component to remove the functional structures of metal oxide semiconductor devices and then reuse the expensive germanium substrate again for functional device fabrication. This way, in addition to transiency, we also show an environmentally friendly manufacturing process for a complementary metal oxide semiconductor (CMOS) technology. Every year, trillions of complementary metal oxide semiconductor (CMOS) electronics are manufactured and billions are disposed, which extend the harmful impact to our environment. Therefore, this is a key study to show a pragmatic approach for water soluble high performance electronics for environmentally friendly manufacturing and bioresorbable electronic applications.

  15. Sediment characteristics and provenance of the Taiwan Shoal in the southern Taiwan Strait

    NASA Astrophysics Data System (ADS)

    Koo, W. S.; Lin, A. T.; Kuo, L. W.; Lee, Y. H.

    2016-12-01

    The Taiwan Shoal in the southern Taiwan Strait exhibits a lobe-shaped shallow water area, with a depth less than around 40 m and an area approximately of 13,000 km2. The Shoal consists of relict sediments remnant from deltaic deposits during the last glacial period and associated with the paleo-Min River. We collected seafloor sediments in and around the Taiwan Shoal to study the sediment characteristics and provenance of the Shoal as well as Taiwanese river sediments to characterize sediment sourced from southern Taiwan. Our results help to understand possible sediment delivery pathways in a source-to-sink context from the southern Taiwan Strait to the northern South China Sea. The method of X-ray diffraction is used to identify mineral compositions for muds and mineral compositions are examined under polarized microscope for sands. Zircon grains are separated from heavy minerals for U-Pb dating in order to understand the sediment source terranes. Sediments of the Taiwan Shoal are mostly tawny-colored, medium to coarse-grained sands with abundant shell fragments and shallow-water benthic foraminifera. Sediments to the south of the Taiwan Shoal and in the outer shelf consist of dark brown-colored and fine-grained sands with rare shell fragments. Siliciclastic compositions of the Taiwan Shoal sediments are mostly quartz. The second abundant composition is rock fragments with more occurrences near the Chinese coastline and the Penghu archipelago. Slate fragments are found to occur near Taiwan, especially in the Penghu Channel area. Clay minerals from the Penghu Channels and south of the Taiwan Shoal are dominated by illite and chlorite with minor smectite and kaolinite. The sediment colors and mineral species are very different for the sediments of the Taiwan Shoal and outer shelf, revealing that these two areas featuring different oceanographic processes and sediment provenance.

  16. Cancer and reproductive risks in the semiconductor industry.

    PubMed

    LaDou, Joseph; Bailar, John C

    2007-01-01

    Although many reproductive toxicants and carcinogens are used in the manufacture of semiconductor chips, and worrisome findings have been reported, no broad epidemiologic study has been conducted to define possible risks in a comprehensive way. With few exceptions, the American semiconductor industry has not supported access for independent studies. Older technologies are exported to newly industrialized countries as newer technologies are installed in Japan, the United States, and Europe. Thus there is particular concern about the many workers, mostly in countries that are still industrializing, who have jobs that use chemicals, technologies, and equipment that are no longer in use in developed countries. Since most countries lack cancer registries and have inadequate reproductive and cancer reporting mechanisms, industry efforts to control exposures to carcinogens are of particular importance. Government agencies, the courts, industry, publishers, and academia, on occasion, collude to ignore or to downplay the importance of occupational diseases. Examples of how this happens in the semiconductor industry are presented.

  17. Development of Taiwan's strategies for regulating nanotechnology-based pharmaceuticals harmonized with international considerations.

    PubMed

    Guo, Jiun-Wen; Lee, Yu-Hsuan; Huang, Hsiau-Wen; Tzou, Mei-Chyun; Wang, Ying-Jan; Tsai, Jui-Chen

    2014-01-01

    Nanotechnology offers potential in pharmaceuticals and biomedical developments for improving drug delivery systems, medical imaging, diagnosis, cancer therapy, and regenerative medicine. Although there is no international regulation or legislation specifically for nanomedicine, it is agreed worldwide that considerably more attention should be paid to the quality, safety, and efficacy of nanotechnology-based drugs. The US Food and Drug Administration and the European Medicines Agency have provided several draft regulatory guidance and reflection papers to assist the development of nanomedicines. To cope with the impact of nanotechnology and to foster its pharmaceutical applications and development in Taiwan, this article reviews the trends of regulating nanotechnology-based pharmaceuticals in the international community and proposes strategies for Taiwan's regulation harmonized with international considerations. The draft regulatory measures include a chemistry, manufacturing, and controls (CMC) review checklist and guidance for CMC review of liposomal products. These have been submitted for discussion among an expert committee, with membership comprised of multidisciplinary academia, research institutions, the pharmaceutical industry, and regulators, and are currently approaching final consensus. Once a consensus is reached, these mechanisms will be recommended to the Taiwan Food and Drug Administration for jurisdiction and may be initiated as the starting point for regulating nanotechnology-based pharmaceuticals in Taiwan.

  18. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  19. Taiwan Regulation of Biobanks.

    PubMed

    Fan, Chien-Te; Hung, Tzu-Hsun; Yeh, Chan-Kun

    2015-01-01

    This paper introduces legal framework and governance structure in relation to the management and development of biobanks in Taiwan. At first, we briefly describe Taiwan's population, political system and health care system. Secondly, this research introduces biobanking framework of Taiwan including 25 biobanks established with the approval of the Ministry of Health and Welfare. In those biobanks, "Taiwan Biobank" is the first and the largest government-supported biobank which comprises population-based cohort study and disease- oriented study. Since the collection of information, data, and biological specimen of biobanks often involve highly sensitive personal information, in the legal framework of Taiwan, there is a specific regulation, "Human Biobank Management Act" (HBMA), which plays an important role in regulating biobanks in Taiwan. HBMA, the Personal Information Act and other regulations constitute a comprehensive legal and regulatory privacy framework of biobanks. Through the introduction and analysis of the current legal framework applicable to biobanks, we found that there are several challenges that need to be solved appropriately that involve duplicate review systems, the obstacles in the international collaboration, and data sharing between biobanks in Taiwan. © 2015 American Society of Law, Medicine & Ethics, Inc.

  20. The Establishment and Application of a Labor Quality Index: The Case of Taiwan's Manufacturing Industry

    ERIC Educational Resources Information Center

    San,Gee; Huang, Tung-Chun; Huang, Li-Hsuan

    2006-01-01

    The labor quality index (LQI) not only serves as an important reference for monitoring the progress of the sustainable development of a country, but it can also serve as an important parameter for economic analysis. To compile such an index for Taiwan, we conducted two large-scale surveys of 1000 major enterprises and 844 union leaders,…

  1. Taiwan: Background Notes Series.

    ERIC Educational Resources Information Center

    Reams, Joanne Reppert

    Concise background information on Taiwan is provided. The publication begins with a profile of Taiwan, discussing the people, geography, political establishment, and economy. A map of the country is provided. The bulk of the publication then provides more detailed information on Taiwan's people, geography, history, administration, political…

  2. Janus droplets: liquid marbles coated with dielectric/semiconductor particles.

    PubMed

    Bormashenko, Edward; Bormashenko, Yelena; Pogreb, Roman; Gendelman, Oleg

    2011-01-04

    The manufacturing of water droplets wrapped with two different powders, carbon black (semiconductor) and polytetrafluoroethylene (dielectric), is presented. Droplets composed of two hemispheres (Janus droplets) characterized by various physical and chemical properties are reported first. Watermelon-like striped liquid marbles are reported. Janus droplets remained stable on solid and liquid supports and could be activated with an electric field.

  3. Improvement of screening methods for silicon planar semiconductor devices

    NASA Technical Reports Server (NTRS)

    Berger, W. M.

    1972-01-01

    The results of the program for the development of a more sensitive method for selecting silicon planar semiconductor devices for long life applications are reported. The manufacturing technologies (MOS and Bipolar) are discussed along with the screening procedures developed as a result of the tests and evaluations, and the effectiveness of the MOS and Bilayer screening procedures are evaluated.

  4. Tuning exchange interactions in organometallic semiconductors

    NASA Astrophysics Data System (ADS)

    Rawat, Naveen; Manning, Lane W.; Hua, Kim-Ngan; Headrick, Randall L.; Cherian, Judy G.; Bishop, Michael M.; McGill, Stephen A.; Furis, Madalina I.

    2015-09-01

    Organic semiconductors are emerging as a leading area of research as they are expected to overcome limitations of inorganic semiconductor devices for certain applications where low cost manufacturing, device transparency in the visible range or mechanical flexibility are more important than fast switching times. Solution processing methods produce thin films with millimeter sized crystalline grains at very low cost manufacturing prices, ideally suited for optical spectroscopy investigations of long range many-body effects in organic systems. To this end, we synthesized an entire family of organosoluble 3-d transition metal Pc's and successfully employed a novel solution-based pen-writing deposition technique to fabricate long range ordered thin films of mixtures of metal-free (H2Pc) molecule and organometallic phthalocyanines (MPc's). Our previous studies on the parent MPc crystalline thin films identified different electronic states mediating exchange interactions in these materials. This understanding of spin-dependent exchange interaction between delocalized π-electrons with unpaired d spins enabled the further tuning of these interactions by mixing CoPc and H2Pc in different ratios ranging from 1:1 to 1000:1 H2Pc:MPc. The magnitude of the exchange is also tunable as a function of the average distance between unpaired spins in these materials. Furthermore, high magnetic field (B < 25T) MCD and magneto-photoluminescence show evidence of spin-polarized band-edge excitons in the same materials.

  5. A stable solution-processed polymer semiconductor with record high-mobility for printed transistors

    PubMed Central

    Li, Jun; Zhao, Yan; Tan, Huei Shuan; Guo, Yunlong; Di, Chong-An; Yu, Gui; Liu, Yunqi; Lin, Ming; Lim, Suo Hon; Zhou, Yuhua; Su, Haibin; Ong, Beng S.

    2012-01-01

    Microelectronic circuits/arrays produced via high-speed printing instead of traditional photolithographic processes offer an appealing approach to creating the long-sought after, low-cost, large-area flexible electronics. Foremost among critical enablers to propel this paradigm shift in manufacturing is a stable, solution-processable, high-performance semiconductor for printing functionally capable thin-film transistors — fundamental building blocks of microelectronics. We report herein the processing and optimisation of solution-processable polymer semiconductors for thin-film transistors, demonstrating very high field-effect mobility, high on/off ratio, and excellent shelf-life and operating stabilities under ambient conditions. Exceptionally high-gain inverters and functional ring oscillator devices on flexible substrates have been demonstrated. This optimised polymer semiconductor represents a significant progress in semiconductor development, dispelling prevalent skepticism surrounding practical usability of organic semiconductors for high-performance microelectronic devices, opening up application opportunities hitherto functionally or economically inaccessible with silicon technologies, and providing an excellent structural framework for fundamental studies of charge transport in organic systems. PMID:23082244

  6. Semiconductor Characterization: from Growth to Manufacturing

    NASA Astrophysics Data System (ADS)

    Colombo, Luigi

    The successful growth and/or deposition of materials for any application require basic understanding of the materials physics for a given device. At the beginning, the first and most obvious characterization tool is visual observation; this is particularly true for single crystal growth. The characterization tools are usually prioritized in order of ease of measurement, and have become especially sophisticated as we have moved from the characterization of macroscopic crystals and films to atomically thin materials and nanostructures. While a lot attention is devoted to characterization and understanding of materials physics at the nano level, the characterization of single crystals as substrates or active components is still critically important. In this presentation, I will review and discuss the basic materials characterization techniques used to get to the materials physics to bring crystals and thin films from research to manufacturing in the fields of infrared detection, non-volatile memories, and transistors. Finally I will present and discuss metrology techniques used to understand the physics and chemistry of atomically thin two-dimensional materials for future device applications.

  7. Taiwan Biobank: a project aiming to aid Taiwan's transition into a biomedical island.

    PubMed

    Fan, Chien-Te; Lin, Jui-Chu; Lee, Chung-His

    2008-02-01

    Essentially, the term 'biobank' can be defined in different ways. Taking the UK Biobank's experience as the main example, the Taiwan Biobank aims to collect the DNA of a large group of people on the population base and track their health and lifestyle for at least 10 years. It is hoped that the information collected, regarding the mechanisms underlying how genes and environmental factors interact with each other to make us ill, will benefit the society in various ways, including the exploration of a new generation of treatments, support to preventive medicine discovery and also the possible benefits for the promotion of evolving public health-related industries in Taiwan. However, the involvement of large-scale population base gene data collection also triggered serious ethical, legal and social issues. In Taiwan, the challenge is even more serious than for any other biobanking experiences that have occurred previously. Among all the ethical, legal and social issues, the convergence of aboriginal people protection provided under Taiwan's Constitution imposes on the research team an obligation to create an innovative Ethical & Legal Governance Framework adaptable to the unique social background of Taiwan, including a workable public consultation/communication mechanism. In early 2005, the creation of the 'Taiwan Biobank' has been included as a part of Taiwan's strategic development in promoting the country as an island of biomedicine. In this report, the ideology, the goals and special features, government strategy, visions and, in particular, the ethical, legal and social issue planning of the Taiwan Biobank will be briefly introduced and reviewed.

  8. A case study on the influences of long-range transport to Taiwan`s acid deposition using Taiwan air quality model

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ken-Hui Chang; Fu-Tien Jeng

    1996-12-31

    The long-range and transboundary transport of precursors of add deposition in East Asia became important due to the industrial development around this area. We started to develop Taiwan Air Quality Model (TAQM) system since 1992, which is based on regional Acid Deposition Model (RADM) system. A typical episode in Mei-Yu season has been selected to study. A case considering all emissions within simulated domain has been run as a reference case, and another perturbed case, not including Taiwan`s emission, has been also run for analyzing quantitatively the influence of long-range transport to Taiwan`s wet deposition during the episode are 31%more » and 24% for total sulfur compounds and total nitrogen compounds respectively; but for dry deposition, only 6% is contributed by long range transport for sulfur compounds and 29% for total nitrogen compounds. Therefore, the percentages of total acid deposition contributed by long-range transport are 27% and 25% for total sulfur compounds and total nitrogen compounds, respectively.« less

  9. Electronics

    DTIC Science & Technology

    2001-01-01

    International Acer Incorporated, Hsin Chu, Taiwan Aerospace Industrial Development Corporation, Taichung, Taiwan American Institute of Taiwan, Taipei, Taiwan...Singapore and Malaysia .5 - 4 - The largest market for semiconductor products is the high technology consumer electronics industry that consumes up...Singapore, and Malaysia . A new semiconductor facility costs around $3 billion to build and takes about two years to become operational

  10. Bacteria inside semiconductors as potential sensor elements: biochip progress.

    PubMed

    Sah, Vasu R; Baier, Robert E

    2014-06-24

    It was discovered at the beginning of this Century that living bacteria-and specifically the extremophile Pseudomonas syzgii-could be captured inside growing crystals of pure water-corroding semiconductors-specifically germanium-and thereby initiated pursuit of truly functional "biochip-based" biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs) and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities' features at the time of first production of these potential biochips.

  11. Effect of rotating shift work on childbearing and birth weight: a study of women working in a semiconductor manufacturing factory.

    PubMed

    Lin, Yu-Cheng; Chen, Mei-Huei; Hsieh, Chia-Jung; Chen, Pau-Chung

    2011-05-01

    Stable circadian rhythm is important for both maternal and fetal health. This retrospective analysis of women in a semiconductor factory evaluated the effect of shift work exposure on childbearing and birth weight. Records of 440 female employees (initial mean age: 28.4 years) including 111 mothers who had 158 live births during the period of observation (1997-2007) were reviewed. The data analyzed included maternal age, general health condition, highest educational level, life-style and occupational factors, as well as newborn gender, birth weight, birth order and gestational age. The childbearing rates of female workers on three different work schedules (consistent daytime work (CDW), intermittent (i-) or persistent (p-) rotating shift works (RSW)) were 32.1%, 20.0% and 25.4%, respectively (P=0.047). After controlling for potential confounding factors, childbearing rates among women with CDW exceeded those of shift workers (odds ratio (OR), 1.7; 95% confidence interval (CI), 1.0-3.0). The birth weights of newborns from mothers on the three work schedules (CDW, i-RSW and p-RSW) were significantly different (3271.7±395.4, 3251.3±460.9, and 2998.5±381.2 g, respectively (P<0.01). Newborns within the lightest birth weight quintile were significantly more likely to be born to mothers with exposure to p-RSW (OR, 4.3; 95% CI, 1.1-16.8). Rotating shift work exposure was significantly associated with decreased childbearing and lighter birth weight in women working in this semiconductor manufacturing factory. Work schedules should be carefully planned for female employees who are pregnant or preparing for pregnancy. Prenatal evaluations for mothers with persistent day-night rotating shift work exposures are especially necessary.

  12. Environmental and workplace contamination in the semiconductor industry: implications for future health of the workforce and community.

    PubMed Central

    Edelman, P

    1990-01-01

    The semiconductor industry has been an enormous worldwide growth industry. At the heart of computer and other electronic technological advances, the environment in and around these manufacturing facilities has not been scrutinized to fully detail the health effects to the workers and the community from such exposures. Hazard identification in this industry leads to the conclusion that there are many sources of potential exposure to chemicals including arsenic, solvents, photoactive polymers and other materials. As the size of the semiconductor work force expands, the potential for adverse health effects, ranging from transient irritant symptoms to reproductive effects and cancer, must be determined and control measures instituted. Risk assessments need to be effected for areas where these facilities conduct manufacturing. The predominance of women in the manufacturing areas requires evaluating the exposures to reproductive hazards and outcomes. Arsenic exposures must also be evaluated and minimized, especially for maintenance workers; evaluation for lung and skin cancers is also appropriate. PMID:2401268

  13. Method of Manufacturing a Light Emitting, Photovoltaic or Other Electronic Apparatus and System

    NASA Technical Reports Server (NTRS)

    Blanchard, Richard A. (Inventor); Lewandowski, Mark Allan (Inventor); Frazier, Donald Odell (Inventor); Ray, William Johnstone (Inventor); Fuller, Kirk A. (Inventor); Lowenthal, Mark David (Inventor); Shotton, Neil O. (Inventor)

    2014-01-01

    The present invention provides a method of manufacturing an electronic apparatus, such as a lighting device having light emitting diodes (LEDs) or a power generating device having photovoltaic diodes. The exemplary method includes depositing a first conductive medium within a plurality of channels of a base to form a plurality of first conductors; depositing within the plurality of channels a plurality of semiconductor substrate particles suspended in a carrier medium; forming an ohmic contact between each semiconductor substrate particle and a first conductor; converting the semiconductor substrate particles into a plurality of semiconductor diodes; depositing a second conductive medium to form a plurality of second conductors coupled to the plurality of semiconductor diodes; and depositing or attaching a plurality of lenses suspended in a first polymer over the plurality of diodes. In various embodiments, the depositing, forming, coupling and converting steps are performed by or through a printing process.

  14. Method of manufacturing a light emitting, photovoltaic or other electronic apparatus and system

    NASA Technical Reports Server (NTRS)

    Fuller, Kirk A. (Inventor); Frazier, Donald Odell (Inventor); Blanchard, Richard A. (Inventor); Lowenthal, Mark D. (Inventor); Lewandowski, Mark Allan (Inventor); Ray, William Johnstone (Inventor); Shotton, Neil O. (Inventor)

    2012-01-01

    The present invention provides a method of manufacturing an electronic apparatus, such as a lighting device having light emitting diodes (LEDs) or a power generating device having photovoltaic diodes. The exemplary method includes depositing a first conductive medium within a plurality of channels of a base to form a plurality of first conductors; depositing within the plurality of channels a plurality of semiconductor substrate particles suspended in a carrier medium; forming an ohmic contact between each semiconductor substrate particle and a first conductor; converting the semiconductor substrate particles into a plurality of semiconductor diodes; depositing a second conductive medium to form a plurality of second conductors coupled to the plurality of semiconductor diodes; and depositing or attaching a plurality of lenses suspended in a first polymer over the plurality of diodes. In various embodiments, the depositing, forming, coupling and converting steps are performed by or through a printing process.

  15. Development of STS and CAPS markers for variety identification and genetic diversity analysis of tea germplasm in Taiwan.

    PubMed

    Hu, Chih-Yi; Tsai, You-Zen; Lin, Shun-Fu

    2014-12-01

    Tea (Camellia sinensis) is an important economic crop in Taiwan. Particularly, two major commercial types of tea (Paochong tea and Oolong tea) which are produced in Taiwan are famous around the world, and they must be manufactured with specific cultivars. Nevertheless, many elite cultivars have been illegally introduced to foreign countries. Because of the lower cost, large amount of "Taiwan-type tea" are produced and imported to Taiwan, causing a dramatic damage in the tea industry. It is very urgent to develop the stable, fast and reliable DNA markers for fingerprinting tea cultivars in Taiwan and protecting intellectual property rights for breeders. Furthermore, genetic diversity and phylogenetic relationship evaluations of tea germplasm in Taiwan are imperative for parental selection in the cross-breeding program and avoidance of genetic vulnerability. Two STS and 37 CAPS markers derived from cytoplasmic genome and ESTs of tea have been developed in this study providing a useful tool for distinguishing all investigated germplasm. For identifying 12 prevailing tea cultivars in Taiwan, five core markers, including each one of mitochondria and chloroplast, and three nuclear markers, were developed. Based on principal coordinate analysis and cluster analysis, 55 tea germplasm in Taiwan were divided into three groups: sinensis type (C. sinensis var. sinensis), assamica type (C. sinensis var. assamica) and Taiwan wild species (C. formosensis). The result of genetic diversity analysis revealed that both sinensis (0.44) and assamica (0.41) types had higher genetic diversity than wild species (0.25). The close genetic distance between the first (Chin-Shin-Oolong) and the third (Shy-Jih-Chuen) prevailing cultivars was found, and many recently released varieties are the descents of Chin-Shin-Oolong. This implies the potential risk of genetic vulnerability for tea cultivation in Taiwan. We have successfully developed a tool for tea germplasm discrimination and genetic

  16. A self-reliant RSI payload development in Taiwan

    NASA Astrophysics Data System (ADS)

    Weng, Shui-Lin; Lian, Yu-Yung

    2011-10-01

    Instead of outsourcing the whole FORMOSAT-2 satellite to a foreign prime contractor, the National Space Organization in Taiwan is stepping ahead to take the full responsibility of consolidating self-reliant space technology capabilities. A newly initiated program FORMOSAT-5 satellite, not only to build a heritage design of a spacecraft bus but also, selfreliantly, to leap a big step toward Remote Sensing Instrument payload development, is sailing on its voyage. Among the payload development effort, an integrated circuit of the kind Complementary Metal Oxide Semiconductor instead of Charge-coupled Device is chosen as the image sensor playing the lead role for the instrument. Despite the foreseen technical concerns, management issues over scheduling and documentation are constantly emerging owing to the payload development underwent is collaborated by several domestic industries and research centers. Regardless of challenges we may confront with, a carefully planned strategy especially emphasizing on the product realization processes is considered, discussed, and implemented.

  17. Photoelectrochemical cells for conversion of solar energy to electricity and methods of their manufacture

    DOEpatents

    Skotheim, Terje

    1984-04-10

    A photoelectric device is disclosed which comprises first and second layers of semiconductive material, each of a different bandgap, with a layer of dry solid polymer electrolyte disposed between the two semiconductor layers. A layer of a polymer blend of a highly conductive polymer and a solid polymer electrolyte is further interposed between the dry solid polymer electrolyte and the first semiconductor layer. A method of manufacturing such devices is also disclosed.

  18. TOPICAL REVIEW: Semiconductors for terahertz photonics applications

    NASA Astrophysics Data System (ADS)

    Krotkus, Arūnas

    2010-07-01

    Generation and measurement of ultrashort, subpicosecond pulses of electromagnetic radiation with their characteristic Fourier spectra that reach far into terahertz (THz) frequency range has recently become a versatile tool of far-infrared spectroscopy and imaging. This technique, THz time-domain spectroscopy, in addition to a femtosecond pulse laser, requires semiconductor components manufactured from materials with a short photoexcited carrier lifetime, high carrier mobility and large dark resistivity. Here we will review the most important developments in the field of investigation of such materials. The main characteristics of low-temperature-grown or ion-implanted GaAs and semiconducting compounds sensitive in the wavelength ranges around 1 µm and 1.5 µm will be surveyed. The second part of the paper is devoted to the effect of surface emission of THz transients from semiconductors illuminated by femtosecond laser pulses. The main physical mechanisms leading to this emission as well as their manifestation in various crystals will be described.

  19. Childlessness patterns in Taiwan.

    PubMed

    Poston Dl

    1988-06-01

    Taiwan is a newly developed and industrialized area, and along with Korea, Brazil, Argentina, and a few other countries, belongs in a special class of recently industrialized areas. Taiwan has been undergoing large-scale modernization since the 1950s when the Nationalist government 1st began to implement land reform programs and today is 1 of the showcase of newly developed areas of the world. Demographic transition theory shows that fertility is negatively associated with modernization. During the past 3 decades, fertility in Taiwan has followed this pattern in a dramatic manner. Studies of childlessness conducted in Western countries have shown also that as the modernizing influences continue, fertility declines, and childlessness increases as it becomes more and more voluntary. Subregions with the highest levels of modernization and the lowest fertility rates should therefore be characterized by the highest levels of childlessness, particularly among younger women, and vice versa. Given the levels of socioeconomic and demographic development in Taiwan and its subregions circa 1980, as well as its variability among the hsiens and major cities, the author would expect to find higher levels of childlessness in the more developed localities, and lower levels in the less developed subregions. This hypothesis is tested with data from the 1980 Census of Population and Housing: General Report, Taiwan--Fukien Area (Republic of China, 1982) and the 1980 Taiwan--Fukien Demographic Fact Book (Republic of China, 1980).

  20. HIV/HCV Coinfection in Taiwan.

    PubMed

    Hsu, Ching-Sheng; Kao, Jia-Horng

    2016-01-01

    Both human immunodeficiency virus (HIV) and hepatitis C virus (HCV) infection are important global public health problems with shared transmission routes. Although HIV/HCV coinfection is not uncommon, the prevalence rates vary significantly across different studies and regions. In Taiwan, injection drug users have become the major contributors to the HIV/AIDS epidemic since 2005. Because the prevalence of HCV infection is high in injection drug users, this HIV epidemic is also associated with a significant increase of HIV/HCV coinfection in Taiwan. To control Taiwan's HIV epidemic, Taiwan Centers for Disease Control (CDC) launched a harm-reduction program in 2006. The HIV epidemic, the percentage attributed to injection drug users, and the prevalence of HIV/HCV coinfection gradually declined thereafter. In this article, we aimed to thoroughly examine the current literatures of HIV/HCV coinfection in Taiwan and hope to provide a better understanding of the needs for the management of this coinfection. We conducted a narrative review and searched for literature from PubMed, Ovid MEDLINE, and the Cochrane Library database untill August 2015. Studies relevant to the epidemiology and associated risk factors of HIV/HCV coinfection in Taiwan were examined and discussed.

  1. Integrated structural model for active arc-continental collision from southern Taiwan to central Taiwan inferred from seismogenic views

    NASA Astrophysics Data System (ADS)

    Nagai, S.; Wang, Y.; Ma, K.; Wu, Y.; Huang, H.

    2010-12-01

    The Taiwan Island is located in ongoing arc-continent collision zone between the Philippine Sea Plate and the Eurasian Plate. Numerous geophysical and geological studies have explained the tectonic processes and developed various models. There are two end-member models for Taiwan collision; Thin-skinned model [e.g. Suppe, 1987] and Lithospheric collision model [Wu et al., 1997]. One of most important issue is that collision in Taiwan involves and contributes deformation to what depth. We have presented on this point in central Taiwan through seismological views, including both observed facts and results of tomographic inversion [Nagai et al., 2010 in WPGM 2010]. We have concluded that orogenic process should involve and contribute to depth of 30 km at least in central Taiwan, and suggested ’Upper Crustal Stacking Model’. We have indicated that existence of low-velocity blocks under Central Mountain Range (CMR) is one of the most important factors to understand Taiwan arc-continental collision process. We extend this idea to southern and northern Taiwan and to connect with balanced cross-sections proposed in Ustaszewski et al. [2010]. In this talk, we focused on the structural variation from southern Taiwan to central Taiwan. We have been performing the local double-difference tomography [Zhang and Thurber, 2003] in southern and northern Taiwan using the Central Weather Bureau Seismic Network with temporary array observations. These results in seismic tomography show variation of seismic velocity under the CMR like in central Taiwan. Low-velocity anomalies are also detected. Although it should be checked carefully, velocity blocks segmented some parts and seismic activities seem to be located on their boundaries. The Jiaxian earthquake on 4th Mar, 2010 occurred on one of these segmentation boundaries. The tectonic process in Taiwan arc-continental collision consists of different-scale structures, which means finer resolution structures may be nested with the

  2. Ion-beam-induced bending of semiconductor nanowires.

    PubMed

    Hanif, Imran; Camara, Osmane; Tunes, Matheus A; Harrison, Robert W; Greaves, Graeme; Donnelly, Stephen E; Hinks, Jonathan A

    2018-08-17

    The miniaturisation of technology increasingly requires the development of both new structures as well as novel techniques for their manufacture and modification. Semiconductor nanowires (NWs) are a prime example of this and as such have been the subject of intense scientific research for applications ranging from microelectronics to nano-electromechanical devices. Ion irradiation has long been a key processing step for semiconductors and the natural extension of this technique to the modification of semiconductor NWs has led to the discovery of ion beam-induced deformation effects. In this work, transmission electron microscopy with in situ ion bombardment has been used to directly observe the evolution of individual silicon and germanium NWs under irradiation. Silicon NWs were irradiated with either 6 keV neon ions or xenon ions at 5, 7 or 9.5 keV with a flux of 3 × 10 13 ions cm -2 s -1 . Germanium NWs were irradiated with 30 or 70 keV xenon ions with a flux of 10 13 ions cm -2 s -1 . These new results are combined with those reported in the literature in a systematic analysis using a custom implementation of the transport of ions in matter Monte Carlo computer code to facilitate a direct comparison with experimental results taking into account the wide range of experimental conditions. Across the various studies this has revealed underlying trends and forms the basis of a critical review of the various mechanisms which have been proposed to explain the deformation of semiconductor NWs under ion irradiation.

  3. Method for anisotropic etching in the manufacture of semiconductor devices

    NASA Technical Reports Server (NTRS)

    Koontz, Steven L. (Inventor); Cross, Jon B. (Inventor)

    1993-01-01

    Hydrocarbon polymer coatings used in microelectronic manufacturing processes are anisotropically etched by hyperthermal atomic oxygen beams (translational energies of 0.2 to 20 eV, preferably 1 to 10 eV). Etching with hyperthermal oxygen atom species obtains highly anisotropic etching with sharp boundaries between etched and mask protected areas.

  4. 76 FR 63281 - Foreign-Trade Zone 78-Nashville, TN, Application for Subzone, Hemlock Semiconductor, L.L.C...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-10-12

    ... DEPARTMENT OF COMMERCE Foreign-Trade Zones Board [Docket 62-2011] Foreign-Trade Zone 78--Nashville, TN, Application for Subzone, Hemlock Semiconductor, L.L.C. (Polysilicon); Clarksville, TN An... polysilicon manufacturing facility of [[Page 63282

  5. X-ray topography as a process control tool in semiconductor and microcircuit manufacture

    NASA Technical Reports Server (NTRS)

    Parker, D. L.; Porter, W. A.

    1977-01-01

    A bent wafer camera, designed to identify crystal lattice defects in semiconductor materials, was investigated. The camera makes use of conventional X-ray topographs and an innovative slightly bent wafer which allows rays from the point source to strike all portions of the wafer simultaneously. In addition to being utilized in solving production process control problems, this camera design substantially reduces the cost per topograph.

  6. Taiwan: growing, growing, gone.

    PubMed

    Hanson, R

    1979-10-01

    Accommodation between Taiwan and the People's Republic of China may not be inconceivable as trade contacts (though officially disallowed) grow. Because of Taiwan's well-established success and the pressing need in China to industrialize, it appears, however, that such an accommodation will occur only after China becomes more like Taiwan. Taiwan owes its success, first, to land reform and then, in the 1960s, to steady industrialization. Besides broad controls over money supply and capital designed to ward off inflationary pressures when needed, and the grand outlines for development, another factor in the island's economic success is that the government has interfered little with private enterprise. The economy has an underpinning of small to medium size businesses. There are more than 10,000 trading companies. This diverse foundation has given the economy as a whole a flexible buffer on which more sophisticated industires can be formed.

  7. Method for anisotropic etching in the manufacture of semiconductor devices

    DOEpatents

    Koontz, Steven L.; Cross, Jon B.

    1993-01-01

    Hydrocarbon polymer coatings used in microelectronic manufacturing processes are anisotropically etched by atomic oxygen beams (translational energies of 0.2-20 eV, preferably 1-10 eV). Etching with hyperthermal (kinetic energy>1 eV) oxygen atom species obtains highly anisotropic etching with sharp boundaries between etched and mask-protected areas.

  8. Severe Dengue Fever Outbreak in Taiwan

    PubMed Central

    Wang, Sheng-Fan; Wang, Wen-Hung; Chang, Ko; Chen, Yen-Hsu; Tseng, Sung-Pin; Yen, Chia-Hung; Wu, Deng-Chyang; Chen, Yi-Ming Arthur

    2016-01-01

    Dengue fever (DF) is a vector-borne disease caused by dengue viruses (DENVs). Epidemic dengue occurs intermittently in Taiwan. In 2014, Taiwan experienced its largest DF outbreak. There were 15,732 DF cases reported. There were a total of 136 dengue hemorrhagic fever (DHF) cases, of which 20 resulted in death. Most DF cases were reported in southern Taiwan. A total of 15,043 (96%) cases were from Kaohsiung, a modern city in southern Taiwan. This report reviews DF epidemics in Taiwan during 2005–2014. The correlation between DF and DHF along with temperature and precipitation were conjointly examined. We conclude that most dengue epidemics in Taiwan resulted from imported DF cases. Results indicate three main factors that may have been associated with this DF outbreak in Kaohsiung: an underground pipeline explosion combined with subsequent rainfall and higher temperature. These factors may have enhanced mosquito breeding activity, facilitating DENV transmission. PMID:26572871

  9. Severe Dengue Fever Outbreak in Taiwan.

    PubMed

    Wang, Sheng-Fan; Wang, Wen-Hung; Chang, Ko; Chen, Yen-Hsu; Tseng, Sung-Pin; Yen, Chia-Hung; Wu, Deng-Chyang; Chen, Yi-Ming Arthur

    2016-01-01

    Dengue fever (DF) is a vector-borne disease caused by dengue viruses (DENVs). Epidemic dengue occurs intermittently in Taiwan. In 2014, Taiwan experienced its largest DF outbreak. There were 15,732 DF cases reported. There were a total of 136 dengue hemorrhagic fever (DHF) cases, of which 20 resulted in death. Most DF cases were reported in southern Taiwan. A total of 15,043 (96%) cases were from Kaohsiung, a modern city in southern Taiwan. This report reviews DF epidemics in Taiwan during 2005-2014. The correlation between DF and DHF along with temperature and precipitation were conjointly examined. We conclude that most dengue epidemics in Taiwan resulted from imported DF cases. Results indicate three main factors that may have been associated with this DF outbreak in Kaohsiung: an underground pipeline explosion combined with subsequent rainfall and higher temperature. These factors may have enhanced mosquito breeding activity, facilitating DENV transmission. © The American Society of Tropical Medicine and Hygiene.

  10. Semiconductor photoelectrochemistry

    NASA Technical Reports Server (NTRS)

    Buoncristiani, A. M.; Byvik, C. E.

    1983-01-01

    Semiconductor photoelectrochemical reactions are investigated. A model of the charge transport processes in the semiconductor, based on semiconductor device theory, is presented. It incorporates the nonlinear processes characterizing the diffusion and reaction of charge carriers in the semiconductor. The model is used to study conditions limiting useful energy conversion, specifically the saturation of current flow due to high light intensity. Numerical results describing charge distributions in the semiconductor and its effects on the electrolyte are obtained. Experimental results include: an estimate rate at which a semiconductor photoelectrode is capable of converting electromagnetic energy into chemical energy; the effect of cell temperature on the efficiency; a method for determining the point of zero zeta potential for macroscopic semiconductor samples; a technique using platinized titanium dioxide powders and ultraviolet radiation to produce chlorine, bromine, and iodine from solutions containing their respective ions; the photoelectrochemical properties of a class of layered compounds called transition metal thiophosphates; and a technique used to produce high conversion efficiency from laser radiation to chemical energy.

  11. Neural manufacturing: a novel concept for processing modeling, monitoring, and control

    NASA Astrophysics Data System (ADS)

    Fu, Chi Y.; Petrich, Loren; Law, Benjamin

    1995-09-01

    Semiconductor fabrication lines have become extremely costly, and achieving a good return from such a high capital investment requires efficient utilization of these expensive facilities. It is highly desirable to shorten processing development time, increase fabrication yield, enhance flexibility, improve quality, and minimize downtime. We propose that these ends can be achieved by applying recent advances in the areas of artificial neural networks, fuzzy logic, machine learning, and genetic algorithms. We use the term neural manufacturing to describe such applications. This paper describes our use of artificial neural networks to improve the monitoring and control of semiconductor process.

  12. Structural Features of the Western Taiwan Foreland Basin in the Eastern Taiwan Strait since Late Miocene

    NASA Astrophysics Data System (ADS)

    WANG, J. H.; Liu, C. S.; Chang, J. H.; Yang, E. Y.

    2017-12-01

    The western Taiwan Foreland Basin lies on the eastern part of Taiwan Strait. The structures in this region are dominated by crustal stretch and a series of flexural normal faults have been developed since Late Miocene owing to the flexural of Eurasia Plate. Through deciphering multi-channel seismic data and drilling data, these flexural features are observed in the offshore Changhua coastal area. The flexure normal faults are important features to realize structural activity in the western Taiwan Foreland Basin. Yang et al. (2016) mention that the reactivated normal faults are found north of the Zhushuixi estuary. It should be a significant issue to decipher whether these faults are still active. In this study, we have analyzed all the available seismic reflections profiles in the central part of the Taiwan Strait, and have observed many pre-Pliocene normal faults that are mainly distributed in the middle of the Taiwan Strait to Changyun Rise, and we tentatively suggest that the formation of these faults may be associated with the formation of the foreland basal unconformity. Furthermore, we will map the distribution of these normal faults and examine whether the reactivated normal faults have extended to south of the Zhushuixi estuary. Finally, we discuss the relation between the reactivated normal faults in the Taiwan Strait and those faults onshore. Key words: Multichannel seismic reflection profile, Taiwan Strait, Foreland basin, normal fault.

  13. Ophthalmic plastic and orbital surgery in Taiwan.

    PubMed

    Hsu, Chi-Hsin; Lin, I-Chan; Shen, Yun-Dun; Hsu, Wen-Ming

    2014-06-01

    We describe in this paper the current status of ophthalmic plastic and orbital surgery in Taiwan. Data were collected from the Bureau of National Health Insurance of Taiwan, the Bulletin of the Taiwan Ophthalmic Plastic and Reconstructive Society, and the Statistics Yearbook of Practicing Physicians and Health Care Organizations in Taiwan by the Taiwan Medical Association. We ascertained that 94 ophthalmologists were oculoplastic surgeons and accounted for 5.8% of 1621 ophthalmologists in Taiwan. They had their fellowship training abroad (most ophthalmologists trained in the United States of America) or in Taiwan. All ophthalmologists were well trained and capable of performing major oculoplastic surgeries. The payment rates by our National Health Insurance for oculoplastic and orbital surgeries are relatively low, compared to Medicare payments in the United States. Ophthalmologists should promote the concept that oculoplastic surgeons specialize in periorbital plastic and aesthetic surgeries. However, general ophthalmologists should receive more educational courses on oculoplastic and cosmetic surgery. Copyright © 2014. Published by Elsevier B.V.

  14. An integrated semiconductor device enabling non-optical genome sequencing.

    PubMed

    Rothberg, Jonathan M; Hinz, Wolfgang; Rearick, Todd M; Schultz, Jonathan; Mileski, William; Davey, Mel; Leamon, John H; Johnson, Kim; Milgrew, Mark J; Edwards, Matthew; Hoon, Jeremy; Simons, Jan F; Marran, David; Myers, Jason W; Davidson, John F; Branting, Annika; Nobile, John R; Puc, Bernard P; Light, David; Clark, Travis A; Huber, Martin; Branciforte, Jeffrey T; Stoner, Isaac B; Cawley, Simon E; Lyons, Michael; Fu, Yutao; Homer, Nils; Sedova, Marina; Miao, Xin; Reed, Brian; Sabina, Jeffrey; Feierstein, Erika; Schorn, Michelle; Alanjary, Mohammad; Dimalanta, Eileen; Dressman, Devin; Kasinskas, Rachel; Sokolsky, Tanya; Fidanza, Jacqueline A; Namsaraev, Eugeni; McKernan, Kevin J; Williams, Alan; Roth, G Thomas; Bustillo, James

    2011-07-20

    The seminal importance of DNA sequencing to the life sciences, biotechnology and medicine has driven the search for more scalable and lower-cost solutions. Here we describe a DNA sequencing technology in which scalable, low-cost semiconductor manufacturing techniques are used to make an integrated circuit able to directly perform non-optical DNA sequencing of genomes. Sequence data are obtained by directly sensing the ions produced by template-directed DNA polymerase synthesis using all-natural nucleotides on this massively parallel semiconductor-sensing device or ion chip. The ion chip contains ion-sensitive, field-effect transistor-based sensors in perfect register with 1.2 million wells, which provide confinement and allow parallel, simultaneous detection of independent sequencing reactions. Use of the most widely used technology for constructing integrated circuits, the complementary metal-oxide semiconductor (CMOS) process, allows for low-cost, large-scale production and scaling of the device to higher densities and larger array sizes. We show the performance of the system by sequencing three bacterial genomes, its robustness and scalability by producing ion chips with up to 10 times as many sensors and sequencing a human genome.

  15. Selective etchant for oxide sacrificial material in semiconductor device fabrication

    DOEpatents

    Clews, Peggy J.; Mani, Seethambal S.

    2005-05-17

    An etching composition and method is disclosed for removing an oxide sacrificial material during manufacture of semiconductor devices including micromechanical, microelectromechanical or microfluidic devices. The etching composition and method are based on the combination of hydrofluoric acid (HF) and sulfuric acid (H.sub.2 SO.sub.4). These acids can be used in the ratio of 1:3 to 3:1 HF:H.sub.2 SO.sub.4 to remove all or part of the oxide sacrificial material while providing a high etch selectivity for non-oxide materials including polysilicon, silicon nitride and metals comprising aluminum. Both the HF and H.sub.2 SO.sub.4 can be provided as "semiconductor grade" acids in concentrations of generally 40-50% by weight HF, and at least 90% by weight H.sub.2 SO.sub.4.

  16. Toward designing semiconductor-semiconductor heterojunctions for photocatalytic applications

    NASA Astrophysics Data System (ADS)

    Zhang, Liping; Jaroniec, Mietek

    2018-02-01

    Semiconductor photocatalysts show a great potential for environmental and energy-related applications, however one of the major disadvantages is their relatively low photocatalytic performance due to the recombination of electron-hole pairs. Therefore, intensive research is being conducted toward design of heterojunctions, which have been shown to be effective for improving the charge-transfer properties and efficiency of photocatalysts. According to the type of band alignment and direction of internal electric field, heterojunctions are categorized into five different types, each of which is associated with its own charge transfer characteristics. Since the design of heterojunctions requires the knowledge of band edge positions of component semiconductors, the commonly used techniques for the assessment of band edge positions are reviewed. Among them the electronegativity-based calculation method is applied for a large number of popular visible-light-active semiconductors, including some widely investigated bismuth-containing semiconductors. On basis of the calculated band edge positions and the type of component semiconductors reported, heterojunctions composed of the selected bismuth-containing semiconductors are proposed. Finally, the most popular synthetic techniques for the fabrication of heterojunctions are briefly discussed.

  17. FIBER AND INTEGRATED OPTICS: Photodetector waveguide structures made of epitaxial InGaAs films and intended for integrated circuits manufactured from III-V semiconductor compounds

    NASA Astrophysics Data System (ADS)

    Shmal'ko, A. V.; Lamekin, V. F.; Smirnov, V. L.; Polyantsev, A. S.; Kogan, Yu I.; Babushkina, T. S.; Kuntsevich, T. S.; Peshkovskaya, O. G.

    1990-08-01

    Photodetector waveguide structures made of epitaxial InxGa1 - xAs solid-solution films were developed and investigated. These structures were intended for optical integrated circuits manufactured from III-V semiconductor compounds for operation in the wavelength range 1.0-1.5 μm. Two types of photodetector waveguide p-i-n structures were developed. They consisted of a composite waveguide and tunnel-coupled waveguides, respectively. A study was made of structural parameters, responsivity, spectral and time characteristics, and dark currents in photodetectors made of the waveguide structures. This investigation was carried out in the wavelength range 1.0-1.3 μm. The maximum spectral responsivity of one of the types of the waveguide photodetector was ~ 0.5 ± 0.1 A/W and the dark current did not exceed 10 - 7-10 - 8 A.

  18. Women scientists in Taiwan: an update.

    PubMed

    Wang, Hsiu-Yun; Stocker, Joel Floyd

    2010-06-01

    This paper reflects upon issues of gender and science in Taiwan. Its starting point is the first academic paper on the subject published in Taiwan in 1996 by Fu and Wang, and then it draws upon the biographical accounts of 20 women scientists. We emphasize the importance of focusing on the specific contexts of the history of science and women in Taiwan. Partly as a result of Taiwan's colonial past and women's limited access to education, women scientists did not emerge in Taiwan until the second half of the 20(th) century when higher education became available to women. The gender issues with which women scientists in Taiwan have had to cope include the ways in which women have been excluded or included, their marital and career status, the local and global politics of scientific knowledge, and negotiating social networks. These issues have remained largely the same since the Fu and Wang study, but they have certainly gained wider attention and understanding, and greater articulation, both within academia and society. 2010 Elsevier. Published by Elsevier B.V. All rights reserved.

  19. Evolutionary fuzzy ARTMAP neural networks for classification of semiconductor defects.

    PubMed

    Tan, Shing Chiang; Watada, Junzo; Ibrahim, Zuwairie; Khalid, Marzuki

    2015-05-01

    Wafer defect detection using an intelligent system is an approach of quality improvement in semiconductor manufacturing that aims to enhance its process stability, increase production capacity, and improve yields. Occasionally, only few records that indicate defective units are available and they are classified as a minority group in a large database. Such a situation leads to an imbalanced data set problem, wherein it engenders a great challenge to deal with by applying machine-learning techniques for obtaining effective solution. In addition, the database may comprise overlapping samples of different classes. This paper introduces two models of evolutionary fuzzy ARTMAP (FAM) neural networks to deal with the imbalanced data set problems in a semiconductor manufacturing operations. In particular, both the FAM models and hybrid genetic algorithms are integrated in the proposed evolutionary artificial neural networks (EANNs) to classify an imbalanced data set. In addition, one of the proposed EANNs incorporates a facility to learn overlapping samples of different classes from the imbalanced data environment. The classification results of the proposed evolutionary FAM neural networks are presented, compared, and analyzed using several classification metrics. The outcomes positively indicate the effectiveness of the proposed networks in handling classification problems with imbalanced data sets.

  20. Semiconductor sensors

    NASA Technical Reports Server (NTRS)

    Gatos, Harry C. (Inventor); Lagowski, Jacek (Inventor)

    1977-01-01

    A semiconductor sensor adapted to detect with a high degree of sensitivity small magnitudes of a mechanical force, presence of traces of a gas or light. The sensor includes a high energy gap (i.e., .about. 1.0 electron volts) semiconductor wafer. Mechanical force is measured by employing a non-centrosymmetric material for the semiconductor. Distortion of the semiconductor by the force creates a contact potential difference (cpd) at the semiconductor surface, and this cpd is determined to give a measure of the force. When such a semiconductor is subjected to illumination with an energy less than the energy gap of the semiconductors, such illumination also creates a cpd at the surface. Detection of this cpd is employed to sense the illumination itself or, in a variation of the system, to detect a gas. When either a gas or light is to be detected and a crystal of a non-centrosymmetric material is employed, the presence of gas or light, in appropriate circumstances, results in a strain within the crystal which distorts the same and the distortion provides a mechanism for qualitative and quantitative evaluation of the gas or the light, as the case may be.

  1. Fabrication and performance of pressure-sensing device consisting of electret film and organic semiconductor

    NASA Astrophysics Data System (ADS)

    Kodzasa, Takehito; Nobeshima, Daiki; Kuribara, Kazunori; Uemura, Sei; Yoshida, Manabu

    2017-04-01

    We propose a new concept of a pressure-sensitive device that consists of an organic electret film and an organic semiconductor. This device exhibits high sensitivity and selectivity against various types of pressure. The sensing mechanism of this device originates from a modulation of the electric conductivity of the organic semiconductor film induced by the interaction between the semiconductor film and the charged electret film placed face to face. It is expected that a complicated sensor array will be fabricated by using a roll-to-roll manufacturing system, because this device can be prepared by an all-printing and simple lamination process without high-level positional adjustment for printing processes. This also shows that this device with a simple structure is suitable for application to a highly flexible device array sheet for an Internet of Things (IoT) or wearable sensing system.

  2. Metrologies for quantitative nanomechanical testing and quality control in semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Pratt, Jon R.; Kramar, John A.; Newell, David B.; Smith, Douglas T.

    2005-05-01

    If nanomechanical testing is to evolve into a tool for process and quality control in semiconductor fabrication, great advances in throughput, repeatability, and accuracy of the associated instruments and measurements will be required. A recent grant awarded by the NIST Advanced Technology Program seeks to address the throughput issue by developing a high-speed AFM-based platform for quantitative nanomechanical measurements. The following paper speaks to the issue of quantitative accuracy by presenting an overview of various standards and techniques under development at NIST and other national metrology institutes (NMIs) that can provide a metrological basis for nanomechanical testing. The infrastructure we describe places firm emphasis on traceability to the International System of Units, paving the way for truly quantitative, rather than qualitative, physical property testing.

  3. Solar cell circuit and method for manufacturing solar cells

    NASA Technical Reports Server (NTRS)

    Mardesich, Nick (Inventor)

    2010-01-01

    The invention is a novel manufacturing method for making multi-junction solar cell circuits that addresses current problems associated with such circuits by allowing the formation of integral diodes in the cells and allows for a large number of circuits to readily be placed on a single silicon wafer substrate. The standard Ge wafer used as the base for multi-junction solar cells is replaced with a thinner layer of Ge or a II-V semiconductor material on a silicon/silicon dioxide substrate. This allows high-voltage cells with multiple multi-junction circuits to be manufactured on a single wafer, resulting in less array assembly mass and simplified power management.

  4. Semiconductor nanostructures for artificial photosynthesis

    NASA Astrophysics Data System (ADS)

    Yang, Peidong

    2012-02-01

    Nanowires, with their unique capability to bridge the nanoscopic and macroscopic worlds, have already been demonstrated as important materials for different energy conversion. One emerging and exciting direction is their application for solar to fuel conversion. The generation of fuels by the direct conversion of solar energy in a fully integrated system is an attractive goal, but no such system has been demonstrated that shows the required efficiency, is sufficiently durable, or can be manufactured at reasonable cost. One of the most critical issues in solar water splitting is the development of a suitable photoanode with high efficiency and long-term durability in an aqueous environment. Semiconductor nanowires represent an important class of nanostructure building block for direct solar-to-fuel application because of their high surface area, tunable bandgap and efficient charge transport and collection. Nanowires can be readily designed and synthesized to deterministically incorporate heterojunctions with improved light absorption, charge separation and vectorial transport. Meanwhile, it is also possible to selectively decorate different oxidation or reduction catalysts onto specific segments of the nanowires to mimic the compartmentalized reactions in natural photosynthesis. In this talk, I will highlight several recent examples in this lab using semiconductor nanowires and their heterostructures for the purpose of direct solar water splitting.

  5. Seismic Strain Field in Taiwan

    NASA Astrophysics Data System (ADS)

    Kao, H.; Liang, W.; Chang, T.; Liu, Y.; Lee, E.

    2001-12-01

    Centroid-moment-tensor (CMT) solutions determined by inverting waveform data of Broadband Array in Taiwan for Seismology (BATS) are collected for earthquakes that occurred in the Taiwan region. In total, the dataset contains more than 300 events that scattered across an area of 400 km by 400 km, including the devastating 1999 Chi-Chi earthquake sequence. The entire region is divided into 3 layers (0-40 km, 40-70 km, and greater than 70 km) with blocks of 0.2 degree by 0.2 degree in lateral dimension. Seismic moment tensors of all earthquakes that occurred inside each block are summed to give the strain tensor characterizing the corresponding seismic deformation. We calculate the eigenvalues and eigenvectors of the resulted strain tensor for each block and project the normalized maximum compressional (P) and extensional (T) axes on horizontal plane to resolve the strain field in Taiwan associated with regional seismic activities. For the majority of events with depths less than 40 km (i.e., at crustal scale), the strain field is characterized by nearly E-W compression along the eastern coastline and immediately offshore east of Taiwan. Once inland, clear fan-shaped trajectories of P-axes are observed, ranging from NW-SE in the northwest to NE-SW in the southwest. The Ryukyu and Luzon subduction systems show compression in the forearc region and extension in the backarc and outerrise regions. For depths greater than 40 km, a clear pattern of lateral compression is observed within the subducted Philippine Sea plate to the northeast of Taiwan. It is doubtless that the "slab-continent" collision is predominant at deeper depths near the junction between the Ryukyu arc and Taiwan Collision Zone, whereas the "arc-continent" collision is predominant in the central and southern Taiwan.

  6. History and development of ophthalmology in Taiwan.

    PubMed

    Hou, Yu-Chih; Oren, Gale A; Chen, Muh-Shy; Hu, Fung-Rong

    2016-12-01

    Western medicine was first introduced to Taiwan by medical missionaries in the mid-19 th century. Modernization of medicine was systematically transplanted to Taiwan in the Japanese colonial period, and ophthalmology was established third among hospital departments, following internal medicine and surgery. Dr Hidetaka Yamaguchi, an ophthalmologist, was the first head of the Taihoku Hospital, later known as National Taiwan University Hospital (NTUH; Taipei, Taiwan). Ophthalmologists during the colonial period conducted studies on tropical and infectious eye diseases. After World War II, ophthalmologists at NTUH played an important role in medical education, residency training, studies, and teaching. Dr Yan-Fei Yang established the Taiwan Ophthalmological Society in 1960 and instituted its official journal in 1962. Dr Ho-Ming Lin established the Department of Ophthalmology at the Tri-Service General Hospital in the 1950s and the Veterans General Hospital in the 1960s. Taiwan ophthalmologists eradicated trachoma by 1971. Cataract surgery and penetrating keratoplasty were initially performed in the 1960s. Currently, there are about 1600 ophthalmologists in Taiwan conducting an estimated 120,000 cataract surgeries and 600 corneal transplantations annually. Many subspecialty societies have been established recently that serve to educate Taiwanese ophthalmologists and to connect with international ophthalmic societies. Taiwan ophthalmologists continue to contribute to the advancement of ophthalmic knowledge globally. Copyright © 2016. Published by Elsevier B.V.

  7. n-Hexane polyneuropathy in a ball-manufacturing factory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang, C.C.; Shih, T.S.; Cheng, S.Y.

    Five overt and two occult cases of n-hexane polyneuropathy occurred in a ball-manufacturing factory in Taiwan. The severity of polyneuropathy was directly related to the index of n-hexane exposure that occurred during the processes of cement coating and nylon fiber winding in a poorly ventilated room. The n-hexane concentrations over eight hours of personal sampling of the air of the cement coating and nylon fiber winding areas were 109 ppm and 86 ppm, respectively. After installation of a new factory ventilation system, these seven patients recovered completely, and there were no new cases in the two-year follow-up.

  8. Analysis on online word-of-mouth of customer satisfaction in cultural and creative industries of Taiwan: using crafts as an example

    NASA Astrophysics Data System (ADS)

    Tsai, Li-Fen; Shaw, Jing-Chi; Wang, Pei-Wen; Shih, Meng-Long; Yang, Min-Chieh

    2011-10-01

    This study aims to analyze customers' online word-of-mouth for crafts in Cultural and Creative Industries of Taiwan, and extracts articles from Yahoo and Wretch Blogs by the online writing mining technique. The research scope is from Jan. 1, 2008 to Dec. 31, 2010. The subjects include 2457 valid articles on customers' online word-of-mouth regarding the craft industry of Taiwan. Findings demonstrate that, regarding online word-of-mouth, the most important word-of-mouth items of ceramics, stone craft, wood craft manufacturing, and metal craft is decoration and display of surroundings; while brand is valued in glass craft; and the most important item for consumers of paper craft is cultural characteristics of handicrafts.

  9. Maternity Leave in Taiwan

    ERIC Educational Resources Information Center

    Feng, Joyce Yen; Han, Wen-Jui

    2010-01-01

    Using the first nationally representative birth cohort study in Taiwan, this paper examines the role that maternity leave policy in Taiwan plays in the timing of mothers returning to work after giving birth, as well as the extent to which this timing is linked to the amount of time mothers spend with their children and their use of breast milk…

  10. Professional Counseling in Taiwan: Past to Future

    ERIC Educational Resources Information Center

    Guo, Yuh-Jen; Wang, Shu-Ching; Combs, Don C.; Lin, Yi-Chun; Johnson, Veronica

    2013-01-01

    Because of the recent introduction of a licensure law, professional counseling has grown rapidly in Taiwan after decades of slow development. The authors provide a historical review of the development of professional counseling in Taiwan and discuss the current status and future trajectory of professional counseling in Taiwan.

  11. The Design and Assessment of a Hypermedia Course on Semiconductor Manufacturing.

    ERIC Educational Resources Information Center

    Schank, Patrick K.; Rowe, Lawrence A.

    1993-01-01

    Describes the design and evaluation of a multimedia course on integrated circuit manufacturing that was developed at the University of California at Berkeley using IC-HIP (Integrated Circuit-Hypermedia in PICASSO), a hypermedia-based instructional system. Learning effects based on prior knowledge, methods of navigation, and other factors are…

  12. Bacteria Inside Semiconductors as Potential Sensor Elements: Biochip Progress

    PubMed Central

    Sah, Vasu R.; Baier, Robert E.

    2014-01-01

    It was discovered at the beginning of this Century that living bacteria—and specifically the extremophile Pseudomonas syzgii—could be captured inside growing crystals of pure water-corroding semiconductors—specifically germanium—and thereby initiated pursuit of truly functional “biochip-based” biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs) and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities' features at the time of first production of these potential biochips. PMID:24961215

  13. Medical education in Taiwan.

    PubMed

    Chou, Jen-Yu; Chiu, Chiung-Hsuan; Lai, Enoch; Tsai, Duujian; Tzeng, Chii-Ruey

    2012-01-01

    Taiwan's medical education system bears a close relationship with its colonial and post-colonial history. Since the late nineteenth century, Western medicine, Chinese medicine, and the practice of the other forms of traditional healing have encountered complex transactions with the state and one another, eventually evolving into the present medical system. Nowadays, the mainstream form of medical education in Taiwan is a 7-year Western program; other forms of medical education include a 5-year graduate program and traditional medicine programs. Challenged by the National Health Insurance that emphasizes cost management since 1995 and criticized by the US National Committee on Foreign Medical Education and Accreditation in 1998, medical education reform was implemented by the Taiwan Medical Accreditation Council established in 2000. The reform tries to bring humanities into various aspects of medical education, including student recruitment, curriculum, licensing, and continuing education. Similar to other modernization projects, the reform transplants the American and British standards to Taiwan. These changes hope to insure the reflective capabilities in physicians on the welfare of patients. However, frustration of current and future physicians may be deepened if the reform is insensitive to local issues or incapable of addressing new global tendencies.

  14. Lithospheric Structure Beneath Taiwan From Sp Converted Waves

    NASA Astrophysics Data System (ADS)

    Glasgow, D.; McGlashan, N.; Brown, L.

    2006-12-01

    Taiwan is the product of three dimensionally complex interaction between the Eurasian Plate (EP) and the Philippine Sea plate (PSP), with the EP subducting eastward beneath the PSP in southern Taiwan while the PSP subducts northward beneath the EP in northern Taiwan. The structural emplacement of Philippine Arc lithosphere onto Chinese passive margin lithosphere is an exemplar of continental amalgamation, yet there are relatively few contraints on the geometry of lithosphere involved at depth. We have used teleseismic data recorded by the Broadband Array for Taiwan Seismology (BATS) to compute S-to-p wave receiver functions for the Taiwan region to provide new constraints on deep geometries. Moho conversions provide independent new estimates of crustal thickness, which vary from 35 to 55 km across the island in agreement with previous P to S conversion studies and local tomography. More significantly, our results suggest that the lithosphere- asthenosphere boundary (LAB) varies in depth from ca 140 km beneath northeastern Taiwan to ca 120 km beneath central Taiwan to perhaps less than 80 km beneath southern Taiwan. We attribute this along strike variation to the depression and decapitation of the Eurasian plate in the transition to northward subduction of the PSP.

  15. Using the scanning electron microscope on the production line to assure quality semiconductors

    NASA Technical Reports Server (NTRS)

    Adolphsen, J. W.; Anstead, R. J.

    1972-01-01

    The use of the scanning electron microscope to detect metallization defects introduced during batch processing of semiconductor devices is discussed. A method of determining metallization integrity was developed which culminates in a procurement specification using the scanning microscope on the production line as a quality control tool. Batch process control of the metallization operation is monitored early in the manufacturing cycle.

  16. Quantifying the seismicity on Taiwan

    NASA Astrophysics Data System (ADS)

    Wu, Yi-Hsuan; Chen, Chien-Chih; Turcotte, Donald L.; Rundle, John B.

    2013-07-01

    We quantify the seismicity on the island of Taiwan using the frequency-magnitude statistics of earthquakes since 1900. A break in Gutenberg-Richter scaling for large earthquakes in global seismicity has been observed, this break is also observed in our Taiwan study. The seismic data from the Central Weather Bureau Seismic Network are in good agreement with the Gutenberg-Richter relation taking b ≈ 1 when M < 7. For large earthquakes, M ≥ 7, the seismic data fit Gutenberg-Richter scaling with b ≈ 1.5. If the Gutenberg-Richter scaling for M < 7 earthquakes is extrapolated to larger earthquakes, we would expect a M > 8 earthquake in the study region about every 25 yr. However, our analysis shows a lower frequency of occurrence of large earthquakes so that the expected frequency of M > 8 earthquakes is about 200 yr. The level of seismicity for smaller earthquakes on Taiwan is about 12 times greater than in Southern California and the possibility of a M ≈ 9 earthquake north or south of Taiwan cannot be ruled out. In light of the Fukushima, Japan nuclear disaster, we also discuss the implications of our study for the three operating nuclear power plants on the coast of Taiwan.

  17. Origins of Semisulcospira libertina (gastropoda: semisulcospiridae) in Taiwan.

    PubMed

    Chiu, Yuh-Wen; Bor, Hor; Kuo, Po-Hsun; Hsu, Kui-Ching; Tan, Mian-Shin; Wang, Wei-Kuang; Lin, Hung-Du

    2017-07-01

    The most accepted hypothesis has suggested that the fauna in Taiwan Island originated from South China, but some studies supported the Japan, Ryukyu Archipelago, and Taiwan Islands as a unique biogeographical district. This study examines whether the populations of freshwater snail Semisulcospira libertina in Taiwan are closer to those in Japan based on the mitochondrial cytochrome c oxidase subunit I (COI) gene sequences. Our study shows the populations in North Taiwan originated from Japan and the cyclic glacial caused the migrations among islands and continent repeatedly; the populations in South Taiwan might originate from South China or South Asia. Our results will not only affect the conclusions in phylogeography of freshwater species in Taiwan but also change the sampling plans in the future studies about evolutionary of freshwater species in East Asia.

  18. The relationship between spontaneous abortion and female workers in the semiconductor industry.

    PubMed

    Kim, Heechan; Kwon, Ho-Jang; Rhie, Jeongbae; Lim, Sinye; Kang, Yun-Dan; Eom, Sang-Yong; Lim, Hyungryul; Myong, Jun-Pyo; Roh, Sangchul

    2017-01-01

    This study investigated the relationship between job type and the risk for spontaneous abortion to assess the reproductive toxicity of female workers in the semiconductor industry. A questionnaire survey was administered to current female workers of two semiconductor manufacturing plants in Korea. We included female workers who became pregnant at least 6 months after the start of their employment with the company. The pregnancy outcomes of 2,242 female workers who experienced 4,037 pregnancies were investigated. Personnel records were used to assign the subjects to one of three groups: fabrication process workers, packaging process workers, and clerical workers. To adjust for within-person correlations between pregnancies, a generalized estimating equation was used. The logistic regression analysis was limited to the first pregnancy after joining the company to satisfy the assumption of independence among pregnancies. Moreover, we stratified the analysis by time period (pregnancy in the years prior to 2008 vs. after 2009) to reflect differences in occupational exposure based on semiconductor production periods. The risk for spontaneous abortion in female semiconductor workers was not significantly higher for fabrication and packaging process workers than for clerical workers. However, when we stratified by time period, the odds ratio for spontaneous abortion was significantly higher for packaging process workers who became pregnant prior to 2008 when compared with clerical workers (odds ratio: 2.21; 95% confidence interval: 1.01-4.81). When examining the pregnancies of female semiconductor workers that occurred prior to 2008, packaging process workers showed a significantly higher risk for spontaneous abortions than did clerical workers. The two semiconductor production periods in our study (prior to 2008 vs. after 2009) had different automated processes, chemical exposure levels, and working environments. Thus, the conditions prior to 2008 may have increased the

  19. Design and Manufacturing Criteria for Beam Position Monitor (BPM) of Taiwan Photon Source (TPS)

    NASA Astrophysics Data System (ADS)

    Hsueh, H. P.; Chang, C. C.; Hsu, S. N.; Huang, I. T.; Chen, Y. B.; Kuan, C. K.; Hsiung, G. Y.; Chen, J. R.

    2010-06-01

    There are quite some considerations when the button feedthrough is designed and manufactured in a new 3rd generation synchrotron light source like the to-be-constructed TPS. It is the responsibility of the button feedthrough designer to design a feedthrough with the lowest probable HOM problem. It is also required for the designer to analyze the consequences for each possible mechanical error during manufacturing and therefore set standards of tolerance. We have been using MAFIA to optimize the design of feedthrough including flange type on bending chamber section and primary BPM on insertion device section. We also have set some criteria for feedthrough manufacturing, inspection, and welding based on hands-on experience on feedthrough electrical properties measuring, sorting, inspection, and laser welding. Here we will present the MAFIA results, electrical measurement results, and laser welding results and analysis. Criteria based on these results will also be presented.

  20. Scrap car recycling in Taiwan

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, C.H.; Tai, H.S.; Fan, R.K.S.

    1997-12-31

    The official figure of registered automobiles released by the Ministry of Transportation of Taiwan, R.O.C. as of the end of April 1996, is approximately 4.8 millions. Among them, 18% of the cars are between seven and ten years old and 15% of the cars are old than ten years. The result of this large number of old cars is the problem of abandoned cars on the street of Taiwan. This phenomena not only hinders traffic flow but also undermines the living quality in the cities. To minimize these negative effects, EPA has promulgated a Scrap Motor Vehicles Management Regulation tomore » enforce the scrap car recycling in Taiwan. Under this regulation, a buyer of a new vehicle has to pay the Scrap Motor Vehicle Disposal fee (NT$ 3000, or US$ 110 for a car; and NT$ 700, or US$ 25 for a motorcycle). This paper presents the current status of scrap car recycling in Taiwan.« less

  1. Technology Education and Technology Teacher Education in Taiwan, R.O.C. = Zhong Hua Min Guo Ke Ji Jiao Yu Ji qi shi zi Jiao Yu.

    ERIC Educational Resources Information Center

    Lee, Lung-Sheng Steven; Wang, Cheng-Pin Peter; Wang, Yen-chao; Shih, Neng-Mu; Yeh, Chung-ching

    Technology education in Taiwan begins with craft work at the elementary school level, and the most important point is the practical application. All junior high school students are required to take the Living Technology course. Content includes technology and life, information and communication, construction and manufacturing, and energy and…

  2. Discrepancy of cytogenetic analysis in Western and eastern Taiwan.

    PubMed

    Chang, Yu-Hsun; Chen, Pui-Yi; Li, Tzu-Ying; Yeh, Chung-Nan; Li, Yi-Shian; Chu, Shao-Yin; Lee, Ming-Liang

    2013-06-01

    This study aimed at investigating the results of second-trimester amniocyte karyotyping in western and eastern Taiwan, and identifying any regional differences in the prevalence of fetal chromosomal anomalies. From 2004 to 2009, pregnant women who underwent amniocentesis in their second trimester at three hospitals in western Taiwan and at four hospitals in eastern Taiwan were included. All the cytogenetic analyses of cultured amniocytes were performed in the cytogenetics laboratory of the Genetic Counseling Center of Hualien Buddhist Tzu Chi General Hospital. We used the chi-square test, Student t test, and Mann-Whitney U test to evaluate the variants of clinical indications, amniocyte karyotyping results, and prevalence and types of chromosomal anomalies in western and eastern Taiwan. During the study period, 3573 samples, 1990 (55.7%) from western Taiwan and 1583 (44.3%) from eastern Taiwan, were collected and analyzed. The main indication for amniocyte karyotyping was advanced maternal age (69.0% in western Taiwan, 67.1% in eastern Taiwan). The detection rates of chromosomal anomalies by amniocyte karyotyping in eastern Taiwan (45/1582, 2.8%) did not differ significantly from that in western Taiwan (42/1989, 2.1%) (p = 1.58). Mothers who had abnormal ultrasound findings and histories of familial hereditary diseases or chromosomal anomalies had higher detection rates of chromosomal anomalies (9.3% and 7.2%, respectively). The detection rate of autosomal anomalies was higher in eastern Taiwan (93.3% vs. 78.6%, p = 0.046), but the detection rate of sex-linked chromosomal anomalies was higher in western Taiwan (21.4% vs. 6.7%, p = 0.046). We demonstrated regional differences in second-trimester amniocyte karyotyping results and established a database of common chromosomal anomalies that could be useful for genetic counseling, especially in eastern Taiwan. Copyright © 2012. Published by Elsevier B.V.

  3. Influences of fireworks on chemical characteristics of atmospheric fine and coarse particles during Taiwan's Lantern Festival

    NASA Astrophysics Data System (ADS)

    Tsai, Hsieh-Hung; Chien, Li-Hsing; Yuan, Chung-Shin; Lin, Yuan-Chung; Jen, Yi-Hsiu; Ie, Iau-Ren

    2012-12-01

    In recent years, the celebration activities of various folk-custom festivals have been getting more and more attention from the citizens in Taiwan. Festivities throughout the whole island are traditionally accompanied by loud and brightly colored firework displays. Among these activities, the firework displays during Taiwan's Lantern Festival in Kaohsiung harbor is one of the largest festivals in Taiwan each year. Therefore, it is of importance to investigate the influence of fireworks displays on the ambient air quality during the Taiwan's Lantern Festival. Field measurements of atmospheric particulate matter (PM) were conducted on February 9th-11th, 2009 during Taiwan's Lantern Festival in Kaohsiung City. Moreover, three kinds of fireworks powders obtained from the same manufacturing factory producing Kaohsiung Lantern Festival fireworks were burned in a self-designed combustion chamber to determine the physicochemical properties of the fireworks' particles and to establish the source profile of firework burning. Several metallic elements of PM during the firework display periods were notably higher than those during the non-firework periods. The concentrations of Mg, K, Pb, and Sr in PM2.5 during the firework periods were 10 times higher than those during the non-firework periods. Additionally, the Cl-/Na+ ratio was approximately 3 during the firework display periods as Cl- came from the chlorine content of the firework powder. Moreover, the OC/EC ratio increased up to 2.8. Results obtained from PCA and CMB receptor modeling showed that major sources of atmospheric particles during the firework display periods in Kaohsiung harbor were fireworks, vehicular exhausts, soil dusts and marine sprays. Particularly, on February 10th, the firework displays contributed approximately 25.2% and 16.6% of PM10 at two downwind sampling sites, respectively.

  4. Design and Manufacturing Criteria for Beam Position Monitor (BPM) of Taiwan Photon Source (TPS)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hsueh, H. P.; Chang, C. C.; Hsu, S. N.

    2010-06-23

    There are quite some considerations when the button feedthrough is designed and manufactured in a new 3rd generation synchrotron light source like the to-be-constructed TPS. It is the responsibility of the button feedthrough designer to design a feedthrough with the lowest probable HOM problem. It is also required for the designer to analyze the consequences for each possible mechanical error during manufacturing and therefore set standards of tolerance. We have been using MAFIA to optimize the design of feedthrough including flange type on bending chamber section and primary BPM on insertion device section. We also have set some criteria formore » feedthrough manufacturing, inspection, and welding based on hands-on experience on feedthrough electrical properties measuring, sorting, inspection, and laser welding. Here we will present the MAFIA results, electrical measurement results, and laser welding results and analysis. Criteria based on these results will also be presented.« less

  5. Exploration of approaches to adjusting brand-name drug prices in Mainland of China: based on comparison and analysis of some brand-name drug prices of Mainland and Taiwan, China.

    PubMed

    Weng, Geng; Han, Sheng; Pu, Run; Pan, Wynn H T; Shi, Luwen

    2014-01-01

    Under the circumstance of the New Medical Reform in Mainland of China, lowering drug prices has become an approach to relieving increase of medical expenses, and lowering brand-name medication price is a key strategy. This study, by comparing and analyzing brand-name medication prices between Mainland of China and Taiwan, explores how to adjust brand-name medication prices in Mainland of China in the consideration of the drug administrative strategies in Taiwan. By selecting brand-name drug with generic name and dose types matched in Mainland and Taiwan, calculate the average unit price and standard deviation and test it with the paired t-test. In the mean time, drug administrative strategies between Mainland and Taiwan are also compared systematically. Among the 70 brand-name medications with generic names and matched dose types, 54 are at higher prices in Mainland of China than Taiwan, which is statistically significant in t-test. Also, among the 47 medications with all of matched generic names, dose types, and manufacturing enterprises, 38 are at higher prices in Mainland than Taiwan, and the gap is also statistically significant in t-test. In Mainland of China, brand-name medication took cost-plus pricing and price-based price adjustment, while in Taiwan, brand-name medication took internal and external reference pricing and market-based price adjustment. Brand-name drug prices were higher in Mainland of China than in Taiwan. The adjustment strategies of drug prices are scientific in Taiwan and are worth reference by Mainland of China.

  6. Organic transistors manufactured using inkjet technology with subfemtoliter accuracy

    PubMed Central

    Sekitani, Tsuyoshi; Noguchi, Yoshiaki; Zschieschang, Ute; Klauk, Hagen; Someya, Takao

    2008-01-01

    A major obstacle to the development of organic transistors for large-area sensor, display, and circuit applications is the fundamental compromise between manufacturing efficiency, transistor performance, and power consumption. In the past, improving the manufacturing efficiency through the use of printing techniques has inevitably resulted in significantly lower performance and increased power consumption, while attempts to improve performance or reduce power have led to higher process temperatures and increased manufacturing cost. Here, we lift this fundamental limitation by demonstrating subfemtoliter inkjet printing to define metal contacts with single-micrometer resolution on the surface of high-mobility organic semiconductors to create high-performance p-channel and n-channel transistors and low-power complementary circuits. The transistors employ an ultrathin low-temperature gate dielectric based on a self-assembled monolayer that allows transistors and circuits on rigid and flexible substrates to operate with very low voltages. PMID:18362348

  7. Sulfide semiconductor materials prepared by high-speed electrodeposition and discussion of electrochemical reaction mechanism

    NASA Astrophysics Data System (ADS)

    Okamoto, Naoki; Kataoka, Kentaro; Saito, Takeyasu

    2017-07-01

    A manufacturing method for SnS using a one-step electrochemical technique was developed. The sulfide semiconductor was formed by electrodeposition using an aqueous bath at low temperatures. The sulfide semiconductor particles produced were characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM). The highest current density at which SnS was formed was 1800 mA/cm2 at a bath temperature of 293 K, which is 36 times larger than that in a previous deposition process. Analysis of the chronoamperometric current-time transients indicated that in the potential range from -1100 to -2000 mV vs saturated calomel electrode (SCE), the electrodeposition of SnS can be explained by an instantaneous nucleation model.

  8. 75 FR 49526 - Freescale Semiconductor, Inc., Technical Information Center, Tempe, AZ; Freescale Semiconductor...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-08-13

    ... Semiconductor, Inc., Technical Information Center, Tempe, AZ; Freescale Semiconductor, Inc., Technical... October 1, 2009, applicable to workers of Freescale Semiconductor, Inc., Technical Information Center..., Massachusetts location of Freescale Semiconductor, Inc., Technical Information Center. The intent of the...

  9. The Geothermal Potential, Current and Opportunity in Taiwan

    NASA Astrophysics Data System (ADS)

    Song, Sheng-Rong

    2016-04-01

    Located in the west Pacific Rim of Fire, Taiwan possesses rich geothermal resources due to volcanic activities and rapid uplifting of plate collision. Based on available data prior to 1980, Taiwan may have about 1 GWe of potential shallow geothermal energy, which is less than 3% of the national gross power generation. A 3-Mw pilot power plant, therefore, was constructed in 1981 and terminated in 1993 in the Chingshui geothermal field of Ilan, northeastern Taiwan. Recently, one of the National Science & Technology Program (NSTP) projects has been conducting research and reevaluating the island-wide deep geothermal energy. Four hot potential sites have been recognized. They are: (1) Tatun Volcano Group of northern Taiwan; (2) I-Lan Plain of NE Taiwan; (3) Lu-Shan area of Central Taiwan; and (4) Hua-Tung area of eastern Taiwan. We found that the geothermal resource in Taiwan may be as high as 160 GWe, with 33.6 GWe of exploitable geothermal energy. There are no any commercial geothermal power plants until now in Taiwan, although the potential is great. However, geothermal energy has been listed as one of major tasks of National Energy Program, Phase II (NEP-II) in Taiwan. We will conduct more detailed geothermal energy surveys on some proposed hot sites and to construct an EGS pilot geothermal plant with 1 MWe capability in a few years. Currently, there are three nuclear power plants, named No. 1, 2 & 3, in operations, which produce 16.5% gross generation of electricity and one (No. 4) is under construction, but is stopped and sealed now in Taiwan. Furthermore, the life-span of 40-year operation for those three power plants will be close-at hand and retire in 2018-2019, 2021-2023 and 2024-2025, respectively. Therefore, to find alternative energy sources, especially on the clean, renewable and sustainable ones for generating electricity are emergent and important for Taiwan's government in next few years. Among various energy sources, geothermal energy can be as base

  10. Carbon Fiber Manufacturing Facility Siting and Policy Considerations: International Comparison

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cook, Jeffrey J.; Booth, Samuel

    Carbon fiber is increasingly used in a wide variety of applications due largely to its superior material properties such as high strength-to-weight ratio. The current global carbon fiber manufacturing industry is predominately located in China, Europe, Japan, and the United States. The carbon fiber market is expected to expand significantly through 2024 and to require additional manufacturing capacity to meet demand. Carbon fiber manufacturing facilities can offer significant economic development and employment opportunities as exemplified by the $1 billion investment and 500 jobs expected at a new Toray plant in Moore, South Carolina. Though the market is expected to expand,more » it is unclear where new manufacturing facilities will locate to meet demand. This uncertainty stems from the lack of research evaluating how different nations with significant carbon fiber manufacturing capacity compare as it relates to certain manufacturing facility siting factors such as costs of labor and energy as well as policy directed at supporting carbon fiber development, domestic deployment, and exports. This report fills these gaps by evaluating the top carbon fiber manufacturing countries, including China, European Union countries, Japan, Mexico, South Korea, Taiwan, and the United States. The report documents how the United States compares to these countries based on a range of manufacturing siting considerations and existing policies related to carbon fiber. It concludes with a discussion of various policy options the United States could adopt to both (1) increase the competitiveness of the United States as it relates to attracting new carbon fiber manufacturing and (2) foster broader end-use markets for deployment.« less

  11. Macroporous Semiconductors

    PubMed Central

    Föll, Helmut; Leisner, Malte; Cojocaru, Ala; Carstensen, Jürgen

    2010-01-01

    Pores in single crystalline semiconductors come in many forms (e.g., pore sizes from 2 nm to > 10 µm; morphologies from perfect pore crystal to fractal) and exhibit many unique properties directly or as nanocompounds if the pores are filled. The various kinds of pores obtained in semiconductors like Ge, Si, III-V, and II-VI compound semiconductors are systematically reviewed, emphasizing macropores. Essentials of pore formation mechanisms will be discussed, focusing on differences and some open questions but in particular on common properties. Possible applications of porous semiconductors, including for example high explosives, high efficiency electrodes for Li ion batteries, drug delivery systems, solar cells, thermoelectric elements and many novel electronic, optical or sensor devices, will be introduced and discussed.

  12. FOREWORD: Focus on Superconductivity in Semiconductors Focus on Superconductivity in Semiconductors

    NASA Astrophysics Data System (ADS)

    Takano, Yoshihiko

    2008-12-01

    Since the discovery of superconductivity in diamond, much attention has been given to the issue of superconductivity in semiconductors. Because diamond has a large band gap of 5.5 eV, it is called a wide-gap semiconductor. Upon heavy boron doping over 3×1020 cm-3, diamond becomes metallic and demonstrates superconductivity at temperatures below 11.4 K. This discovery implies that a semiconductor can become a superconductor upon carrier doping. Recently, superconductivity was also discovered in boron-doped silicon and SiC semiconductors. The number of superconducting semiconductors has increased. In 2008 an Fe-based superconductor was discovered in a research project on carrier doping in a LaCuSeO wide-gap semiconductor. This discovery enhanced research activities in the field of superconductivity, where many scientists place particular importance on superconductivity in semiconductors. This focus issue features a variety of topics on superconductivity in semiconductors selected from the 2nd International Workshop on Superconductivity in Diamond and Related Materials (IWSDRM2008), which was held at the National Institute for Materials Science (NIMS), Tsukuba, Japan in July 2008. The 1st workshop was held in 2005 and was published as a special issue in Science and Technology of Advanced Materials (STAM) in 2006 (Takano 2006 Sci. Technol. Adv. Mater. 7 S1). The selection of papers describe many important experimental and theoretical studies on superconductivity in semiconductors. Topics on boron-doped diamond include isotope effects (Ekimov et al) and the detailed structure of boron sites, and the relation between superconductivity and disorder induced by boron doping. Regarding other semiconductors, the superconducting properties of silicon and SiC (Kriener et al, Muranaka et al and Yanase et al) are discussed, and In2O3 (Makise et al) is presented as a new superconducting semiconductor. Iron-based superconductors are presented as a new series of high

  13. Herbal Medicine Research in Taiwan*

    PubMed Central

    Kaphle, Krishna; Wu, Leang-Shin; Yang, Nai-Yen Jack; Lin, Jen-Hsou

    2006-01-01

    Of all the countries in the world, why did you choose Taiwan to pursue your study? It is a question that I (comments of the first author) have answered a thousand times. My first visit to a laboratory at National Taiwan University opened my eyes to the possibilities of herbal medicine research, especially in the area of veterinary medicine. It became my ambition to link the knowledge of Traditional Chinese Medicine (TCM) and Ayurveda from the Indian subcontinent and their integration with other systems of medicine, including Western medicine (WM), to achieve the concept of Sustainable Medicine, firstly for animals and then for humans. The Ministry of Economic Affairs (MOEA) has implemented a technology development program to quickly establish the key technologies, and this is a moment of opportunity for Taiwan's traditional herbal medicine industry to upgrade and transform itself. This paper, initially intended to be a student's narration, has evolved into a multi-author treatise on the present state and likely future scenario of herbal medicine research in Taiwan. PMID:16550238

  14. Unitary lens semiconductor device

    DOEpatents

    Lear, Kevin L.

    1997-01-01

    A unitary lens semiconductor device and method. The unitary lens semiconductor device is provided with at least one semiconductor layer having a composition varying in the growth direction for unitarily forming one or more lenses in the semiconductor layer. Unitary lens semiconductor devices may be formed as light-processing devices such as microlenses, and as light-active devices such as light-emitting diodes, photodetectors, resonant-cavity light-emitting diodes, vertical-cavity surface-emitting lasers, and resonant cavity photodetectors.

  15. [Nursing manpower and solutions in Taiwan].

    PubMed

    Teng, Su-Wen

    2014-04-01

    The shortage of nursing manpower is a long-term problem worldwide that affects Taiwan despite this country's internationally admired achievements in terms of its healthcare and national health insurance systems. This article reviews discussions related to the nursing shortage issue published by the World Health Organization, International Council of Nurses, and Taiwan Ministry of Health and Welfare. Next, an overview is given of the nursing workforce profile, causal factors behind the nursing shortage, and demand for and supply of nursing manpower. Finally, problems, resolutions, and expected outcomes for the nursing shortage in Taiwan are analyzed.

  16. 76 FR 13982 - Antidumping Duty Order: Polyvinyl Alcohol From Taiwan

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-03-15

    ...: Polyvinyl Alcohol From Taiwan AGENCY: Import Administration, International Trade Administration, Department... on polyvinyl alcohol (PVA) from Taiwan. DATES: Effective Date: March 15, 2011. FOR FURTHER... from Taiwan. See Polyvinyl Alcohol From Taiwan: Final Determination of Sales at Less Than Fair Value...

  17. Unitary lens semiconductor device

    DOEpatents

    Lear, K.L.

    1997-05-27

    A unitary lens semiconductor device and method are disclosed. The unitary lens semiconductor device is provided with at least one semiconductor layer having a composition varying in the growth direction for unitarily forming one or more lenses in the semiconductor layer. Unitary lens semiconductor devices may be formed as light-processing devices such as microlenses, and as light-active devices such as light-emitting diodes, photodetectors, resonant-cavity light-emitting diodes, vertical-cavity surface-emitting lasers, and resonant cavity photodetectors. 9 figs.

  18. Physics teaching in the medical schools of Taiwan.

    PubMed

    Hsu, Jiann-wien; Hsu, Roy

    2012-02-01

    We describe and analyze the statistics of general physics and laboratory courses in the medical schools of Taiwan. We explore the development of the general physics curriculum for medical students of Taiwan. Also, an approach to designing a general physics course in combination with its application to medical sciences is proposed. We hope this preliminary study can provide a useful reference for physics colleagues in the medical schools of Taiwan to revolutionize the dynamics of teaching physics to the medical students of Taiwan. Copyright © 2011. Published by Elsevier B.V.

  19. Land, carbon and water footprints in Taiwan

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Yung-Jaan, E-mail: yungjaanlee@gmail.com

    The consumer responsibility approach uses footprints as indicators of the total direct and indirect effects of a product or consumption activity. This study used a time-series analysis of three environmental pressures to quantify the total environmental pressures caused by consumption in Taiwan: land footprint, carbon footprint, and water footprint. Land footprint is the pressure from appropriation of biologically productive land and water area. Carbon footprint is the pressure from greenhouse gas emissions. Water footprint is the pressure from freshwater consumption. Conventional carbon footprint is the total CO{sub 2} emitted by a certain activity or the CO{sub 2} accumulation during amore » product life cycle. This definition cannot be used to convert CO{sub 2} emissions into land units. This study responds to the needs of “CO{sub 2} land” in the footprint family by applying the carbon footprint concept used by GFN. The analytical results showed that consumption by the average Taiwan citizen in 2000 required appropriation of 5.39 gha (hectares of land with global-average biological productivity) and 3.63 gha in 2011 in terms of land footprint. The average Taiwan citizen had a carbon footprint of 3.95 gha in 2000 and 5.94 gha in 2011. These results indicate that separately analyzing the land and carbon footprints enables their trends to be compared and appropriate policies and strategies for different sectors to be proposed accordingly. The average Taiwan citizen had a blue water footprint of 801 m{sup 3} in 2000 and 784 m{sup 3} in 2011. By comparison, their respective global averages were 1.23 gha, 2.36 gha and 163 m{sup 3} blue water in 2011, respectively. Overall, Taiwan revealed higher environmental pressures compared to the rest of the world, demonstrating that Taiwan has become a high footprint state and has appropriated environmental resources from other countries. That is, through its imports of products with embodied pressures and its exports

  20. The chemical deposition of semiconductor thin-films for photovoltaic devices

    NASA Astrophysics Data System (ADS)

    Breen, Marc Louis

    Initially, possible precursors to metal sulfide films formed by metal-organic chemical vapor deposition (MOCVD), the standard commercial technique for manufacturing photovoltaic semiconductors, were synthesized. Triple-junction GaInP 2/GaAs/Ge solar cells, prepared by this method, were studied to understand how chemical properties and material defects can effect the performance of photovoltaic devices. Finally, novel methods for the low-temperature, solution growth of CdS, CdSe, and CuInSe2 photovoltaic materials were targeted which will reduce manufacturing costs and increase the economic feasibility of solar energy conversion. A series of dialkyldithiocarbamate copper, gallium and indium compounds were studied as possible metal sulfide MOCVD precursors. Metal powders were oxidized by dialkylthiurams in 3- or 4-methylpyridine using standard techniques for handling air and moisture-sensitive compounds. Metal chlorides reacted directly with the sodium dialkyldithiocarbamate salts. In these complexes, the metal was found in a roughly octahedral orientation, surrounded by dithiocarbamate ligands and/or solvent molecules. Triple-junction GaInP2/GaAs/Ge cells were composed of thin-films of GaInP2 and GaAs grown monolithically on top of a germanium substrate. Each layer of semiconductor material had a different bandgap and absorbed a different portion of the solar spectrum, thus improving the overall efficiency of the cell. Work focused on dark current-voltage behavior which is known to limit solar cell open-circuit voltage, fill factor, and conversion efficiency. Cells were studied using microscopic and spectroscopic techniques to correlate the effect of physical defects in the materials with poor performance of the devices as evaluated through current vs. voltage measurements. Films of US and CdSe were readily prepared in solution through an "ion-by-ion" deposition of Cd2+ and S2- (or Se 2-) generated from the slow hydrolysis of thiourea (or dimethylthiourea). The bath

  1. Atomic-Scale Engineering of Abrupt Interface for Direct Spin Contact of Ferromagnetic Semiconductor with Silicon

    PubMed Central

    Averyanov, Dmitry V.; Karateeva, Christina G.; Karateev, Igor A.; Tokmachev, Andrey M.; Vasiliev, Alexander L.; Zolotarev, Sergey I.; Likhachev, Igor A.; Storchak, Vyacheslav G.

    2016-01-01

    Control and manipulation of the spin of conduction electrons in industrial semiconductors such as silicon are suggested as an operating principle for a new generation of spintronic devices. Coherent injection of spin-polarized carriers into Si is a key to this novel technology. It is contingent on our ability to engineer flawless interfaces of Si with a spin injector to prevent spin-flip scattering. The unique properties of the ferromagnetic semiconductor EuO make it a prospective spin injector into silicon. Recent advances in the epitaxial integration of EuO with Si bring the manufacturing of a direct spin contact within reach. Here we employ transmission electron microscopy to study the interface EuO/Si with atomic-scale resolution. We report techniques for interface control on a submonolayer scale through surface reconstruction. Thus we prevent formation of alien phases and imperfections detrimental to spin injection. This development opens a new avenue for semiconductor spintronics. PMID:26957146

  2. Metal oxide semiconductor thin-film transistors for flexible electronics

    NASA Astrophysics Data System (ADS)

    Petti, Luisa; Münzenrieder, Niko; Vogt, Christian; Faber, Hendrik; Büthe, Lars; Cantarella, Giuseppe; Bottacchi, Francesca; Anthopoulos, Thomas D.; Tröster, Gerhard

    2016-06-01

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In particular

  3. Metal oxide semiconductor thin-film transistors for flexible electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petti, Luisa; Vogt, Christian; Büthe, Lars

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This reviewmore » reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  4. From waste minimization to ISO 14000: Taiwan`s experience and prospects

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wen-Huei Chen; Wain-Sun Hou

    Taiwan has completed a very successful five-year industrial waste minimization (IWM) demonstration and promotion project sponsored by the government. From 1990 to 1995, the project successfully disseminated the IWM concept of pollution prevention (P2) to industries. It effectively reduced industrial waste while significantly benefitting the economy by assisting industries in implementing in-plant IWM programs. In July 1995, the second stage of the five-year IWM and ISO 14000 promotion project was initiated for further promoting the IWM, P2, and cleaner production and, in particular, coping with the upcoming international environmental management standards (ISO 14000). To assist industries in establishing an environmentalmore » management system (EMS) and accumulating related experience, an EMS pilot demonstration project of five model industries and an ISO 14001 EMS demonstration and promotion project for 22 factories in 13 industries were initiated in October 1995 and August 1996, respectively. These projects can assist Taiwan`s industries in changing the constitution of their enterprises, enhancing competition in the international market, and helping our nation achieve the forerunner`s profits in sustainable development. 9 refs., 3 figs.« less

  5. Application of laser spot cutting on spring contact probe for semiconductor package inspection

    NASA Astrophysics Data System (ADS)

    Lee, Dongkyoung; Cho, Jungdon; Kim, Chan Ho; Lee, Seung Hwan

    2017-12-01

    A packaged semiconductor has to be electrically tested to make sure they are free of any manufacturing defects. The test interface, typically employed between a Printed Circuit Board and the semiconductor devices, consists of densely populated Spring Contact Probe (SCP). A standard SCP typically consists of a plunger, a barrel, and an internal spring. Among these components, plungers are manufactured by a stamping process. After stamping, plunger connecting arms need to be cut into pieces. Currently, mechanical cutting has been used. However, it may damage to the body of plungers due to the mechanical force engaged at the cutting point. Therefore, laser spot cutting is considered to solve this problem. The plunger arm is in the shape of a rectangular beam, 50 μm (H) × 90 μm (W). The plunger material used for this research is gold coated beryllium copper. Laser parameters, such as power and elapsed time, have been selected to study laser spot cutting. Laser material interaction characteristics such as a crater size, material removal zone, ablation depth, ablation threshold, and full penetration are observed. Furthermore, a carefully chosen laser parameter (Etotal = 1000mJ) to test feasibility of laser spot cutting are applied. The result show that laser spot cutting can be applied to cut SCP.

  6. Emergence and evolution of avian H5N2 influenza viruses in chickens in Taiwan.

    PubMed

    Lee, Chang-Chun David; Zhu, Huachen; Huang, Pei-Yu; Peng, Liuxia; Chang, Yun-Cheng; Yip, Chun-Hung; Li, Yao-Tsun; Cheung, Chung-Lam; Compans, Richard; Yang, Chinglai; Smith, David K; Lam, Tommy Tsan-Yuk; King, Chwan-Chuen; Guan, Yi

    2014-05-01

    unusually high similarity of the chicken H5N2 viruses to the Mexican vaccine strain suggests that these viruses might have been introduced to Taiwan by using inadequately inactivated or attenuated vaccines. These chicken H5N2 viruses are developing varying levels of pathogenicity that could lead to significant consequences for the local poultry industry. These findings emphasize the need for strict quality control and competent oversight in the manufacture and usage of avian influenza virus vaccines and indicate that alternatives to widespread vaccination may be desirable.

  7. Emergence and Evolution of Avian H5N2 Influenza Viruses in Chickens in Taiwan

    PubMed Central

    Lee, Chang-Chun David; Zhu, Huachen; Huang, Pei-Yu; Peng, Liuxia; Chang, Yun-Cheng; Yip, Chun-Hung; Li, Yao-Tsun; Cheung, Chung-Lam; Compans, Richard; Yang, Chinglai; Smith, David K.; Lam, Tommy Tsan-Yuk

    2014-01-01

    Eurasian gene pool. The unusually high similarity of the chicken H5N2 viruses to the Mexican vaccine strain suggests that these viruses might have been introduced to Taiwan by using inadequately inactivated or attenuated vaccines. These chicken H5N2 viruses are developing varying levels of pathogenicity that could lead to significant consequences for the local poultry industry. These findings emphasize the need for strict quality control and competent oversight in the manufacture and usage of avian influenza virus vaccines and indicate that alternatives to widespread vaccination may be desirable. PMID:24623422

  8. Opportunity, Choice, and Burglary Victimization in Taiwan.

    PubMed

    Kuo, Shih-Ya

    2015-07-01

    This study examined burglary victimization in Taiwan with an application of the structure-choice model that included four theoretical dimensions: proximity to crime, exposure to crime, target attractiveness, and guardianship. Drawing on the 2000 Taiwan Areas Criminal Victimization Survey, the results of the current study showed a moderate effect of the opportunity model on Taiwan's burglary victimization. Also, this study found both consistent and conflicting findings in the current data. The null effect of motorcycles (including scooters) that represented the concept of target attractiveness in relation to burglary risk reflected a difference in population density and transportation needs between Taiwan and the West. Clearly, the role of social context needs to be understood when studies apply a Western model to a non-Western context. © The Author(s) 2014.

  9. Comparative analysis of redox and inflammatory properties of pristine nanomaterials and commonly used semiconductor manufacturing nano-abrasives.

    PubMed

    Flaherty, Nicole L; Chandrasekaran, Akshaya; del Pilar Sosa Peña, Maria; Roth, Gary A; Brenner, Sara A; Begley, Thomas J; Melendez, J Andrés

    2015-12-15

    Continued expansion of the nanotechnology industry has necessitated the self-assessment of manufacturing processes, specifically in regards to understanding the health related aspects following exposure to nanomaterials. There exists a growing concern over potential occupational exposure in the semiconductor industry where Al2O3, CeO2 and SiO2 nanoparticles are commonly featured as part of the chemical mechanical planarization (CMP) process. Chronic exposure to toxicants can result not only in acute cytotoxicity but also initiation of a chronic inflammatory state associated with diverse pathologies. In the current investigation, pristine nanoparticles and CMP slurry formulations of Al2O3, SiO2 and CeO2 were employed to assess their ability to induce cytotoxicity, inflammatory responses and reactive oxygen species in a mouse alveolar macrophage cell model. The pristine nanoparticles and slurries were not intrinsically cytotoxic and did not generate free radicals but were found to act as scavengers in the presence of an oxidant stimulant. Al2O3 and SiO2 nanoparticles increased levels of pro-inflammatory cytokines while pristine SiO2 nanoparticles induced generation of F2-Isoprostanes. In co-treatment studies, the pristine nanomaterials modulated the response to the inflammatory stimulant lipopolysaccharide. The studies have established that pristine nanoparticles and slurries do not impact the cells in a similar way indicating that they should not be used as slurry substitutes in toxicity evaluations. Further, we have defined how an alveolar cell line, which would likely be the first challenged upon nanomaterial aerosolization, responds to diverse mixtures of nanomaterials. Moreover, our findings reinforce the importance of using multiple analytic methods to define the redox state of the cell following exposure to commonly used industrial nanomaterials and toxicants. Copyright © 2015 Elsevier Ireland Ltd. All rights reserved.

  10. Application of INCSEA principles to the Taiwan Strait.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wen-Chung, Chai

    2003-06-01

    The waters surrounding Taiwan are important international waterways. In addition to merchant ships of every nation, the warships of the United States, Japan, Russia, and China may appear in these waters. No hostility is expected between Taiwan and the United States, Japan, or Russia; however, Taiwan and China have a tense relationship, and both sides face a potential for naval incidents. As Taiwan and China expand their naval capability, the International Maritime Organization Convention for the lnternational Regulations for Preventing Collisions at Sea may not be sufficient to prevent naval incidents, any of which might develop into conflict or war.more » Therefore, China and Taiwan need to develop maritime confidence building measures (CBMs) that could reduce the chance of naval incidents and strengthen mutual trust and confidence. Among the variety of maritime CBM concepts for military purposes, the most successful and effective measure has been the 1972 U.S.-Soviet Union Agreement on the Prevention of Incidents On and Over the High Seas (INCSEA). The success of the agreement demonstrates that CBMs represent a workable alternative to traditional arms controls. The purpose of this paper is to suggest a concrete approach to the constraint of naval activities between China and Taiwan to reduce accidents and misunderstandings. This paper outlines the categories and characteristics of incidents at sea. Next, the author identifies the successful factors of the U.S.-Soviet INCSEA and applies the INCSEA concept to the Taiwan Strait. Finally, the author develops a framework of options and a step-by-step approach for establishing an INCSEA between Taiwan and China.« less

  11. Organic semiconductor crystals.

    PubMed

    Wang, Chengliang; Dong, Huanli; Jiang, Lang; Hu, Wenping

    2018-01-22

    Organic semiconductors have attracted a lot of attention since the discovery of highly doped conductive polymers, due to the potential application in field-effect transistors (OFETs), light-emitting diodes (OLEDs) and photovoltaic cells (OPVs). Single crystals of organic semiconductors are particularly intriguing because they are free of grain boundaries and have long-range periodic order as well as minimal traps and defects. Hence, organic semiconductor crystals provide a powerful tool for revealing the intrinsic properties, examining the structure-property relationships, demonstrating the important factors for high performance devices and uncovering fundamental physics in organic semiconductors. This review provides a comprehensive overview of the molecular packing, morphology and charge transport features of organic semiconductor crystals, the control of crystallization for achieving high quality crystals and the device physics in the three main applications. We hope that this comprehensive summary can give a clear picture of the state-of-art status and guide future work in this area.

  12. In-Line Detection and Measurement of Molecular Contamination in Semiconductor Process Solutions

    NASA Astrophysics Data System (ADS)

    Wang, Jason; West, Michael; Han, Ye; McDonald, Robert C.; Yang, Wenjing; Ormond, Bob; Saini, Harmesh

    2005-09-01

    This paper discusses a fully automated metrology tool for detection and quantitative measurement of contamination, including cationic, anionic, metallic, organic, and molecular species present in semiconductor process solutions. The instrument is based on an electrospray ionization time-of-flight mass spectrometer (ESI-TOF/MS) platform. The tool can be used in diagnostic or analytical modes to understand process problems in addition to enabling routine metrology functions. Metrology functions include in-line contamination measurement with near real-time trend analysis. This paper discusses representative organic and molecular contamination measurement results in production process problem solving efforts. The examples include the analysis and identification of organic compounds in SC-1 pre-gate clean solution; urea, NMP (N-Methyl-2-pyrrolidone) and phosphoric acid contamination in UPW; and plasticizer and an organic sulfur-containing compound found in isopropyl alcohol (IPA). It is expected that these unique analytical and metrology capabilities will improve the understanding of the effect of organic and molecular contamination on device performance and yield. This will permit the development of quantitative correlations between contamination levels and process degradation. It is also expected that the ability to perform routine process chemistry metrology will lead to corresponding improvements in manufacturing process control and yield, the ability to avoid excursions and will improve the overall cost effectiveness of the semiconductor manufacturing process.

  13. Manufacturing Demonstration Facility: Roll-to-Roll Processing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Datskos, Panos G; Joshi, Pooran C; List III, Frederick Alyious

    This Manufacturing Demonstration Facility (MDF)e roll-to-roll processing effort described in this report provided an excellent opportunity to investigate a number of advanced manufacturing approaches to achieve a path for low cost devices and sensors. Critical to this effort is the ability to deposit thin films at low temperatures using nanomaterials derived from nanofermentation. The overarching goal of this project was to develop roll-to-roll manufacturing processes of thin film deposition on low-cost flexible substrates for electronics and sensor applications. This project utilized ORNL s unique Pulse Thermal Processing (PTP) technologies coupled with non-vacuum low temperature deposition techniques, ORNL s clean roommore » facility, slot dye coating, drop casting, spin coating, screen printing and several other equipment including a Dimatix ink jet printer and a large-scale Kyocera ink jet printer. The roll-to-roll processing project had three main tasks: 1) develop and demonstrate zinc-Zn based opto-electronic sensors using low cost nanoparticulate structures manufactured in a related MDF Project using nanofermentation techniques, 2) evaluate the use of silver based conductive inks developed by project partner NovaCentrix for electronic device fabrication, and 3) demonstrate a suite of low cost printed sensors developed using non-vacuum deposition techniques which involved the integration of metal and semiconductor layers to establish a diverse sensor platform technology.« less

  14. Method of doping a semiconductor

    DOEpatents

    Yang, Chiang Y.; Rapp, Robert A.

    1983-01-01

    A method for doping semiconductor material. An interface is established between a solid electrolyte and a semiconductor to be doped. The electrolyte is chosen to be an ionic conductor of the selected impurity and the semiconductor material and electrolyte are jointly chosen so that any compound formed from the impurity and the semiconductor will have a free energy no lower than the electrolyte. A potential is then established across the interface so as to allow the impurity ions to diffuse into the semiconductor. In one embodiment the semiconductor and electrolyte may be heated so as to increase the diffusion coefficient.

  15. Materials, Processes, and Facile Manufacturing for Bioresorbable Electronics: A Review.

    PubMed

    Yu, Xiaowei; Shou, Wan; Mahajan, Bikram K; Huang, Xian; Pan, Heng

    2018-05-07

    Bioresorbable electronics refer to a new class of advanced electronics that can completely dissolve or disintegrate with environmentally and biologically benign byproducts in water and biofluids. They have provided a solution to the growing electronic waste problem with applications in temporary usage of electronics such as implantable devices and environmental sensors. Bioresorbable materials such as biodegradable polymers, dissolvable conductors, semiconductors, and dielectrics are extensively studied, enabling massive progress of bioresorbable electronic devices. Processing and patterning of these materials are predominantly relying on vacuum-based fabrication methods so far. However, for the purpose of commercialization, nonvacuum, low-cost, and facile manufacturing/printing approaches are the need of the hour. Bioresorbable electronic materials are generally more chemically reactive than conventional electronic materials, which require particular attention in developing the low-cost manufacturing processes in ambient environment. This review focuses on material reactivity, ink availability, printability, and process compatibility for facile manufacturing of bioresorbable electronics. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Integration of a nonmetallic electrostatic precipitator and a wet scrubber for improved removal of particles and corrosive gas cleaning in semiconductor manufacturing industries.

    PubMed

    Kim, Hak-Joon; Han, Bangwoo; Kim, Yong-Jin; Yoa, Seok-Jun; Oda, Tetsuji

    2012-08-01

    To remove particles in corrosive gases generated by semiconductor industries, we have developed a novel non-metallic, two-stage electrostatic precipitator (ESP). Carbon brush electrodes and grounded carbon fiber-reinforced polymer (CFRP) form the ionization stage, and polyvinyl chloride collection plates are used in the collection stage of the ESP The collection performance of the ESP downstream of a wet scrubber was evaluated with KC1, silica, and mist particles (0.01-10 pm), changing design and operation parameters such as the ESP length, voltage, and flow rate. A long-term and regeneration performance (12-hr) test was conducted at the maximum operation conditions of the scrubber and ESP and the performance was then demonstrated for 1 month with exhaust gases from wet scrubbers at the rooftop of a semiconductor manufacturing plant in Korea. The results showed that the electrical and collection performance of the ESP (16 channels, 400x400 mm2) was maintained with different grounded plate materials (stainless steel and CFRP) and different lengths of the ionization stage. The collection efficiency of the ESP at high air velocity was enhanced with increases in applied voltages and collection plate lengths. The ESP (16 channels with 100 mm length, 400x400 mm2x540 mm with a 10-mm gap) removed more than 90% of silica and mistparticles with 10 and 12 kV applied to the ESPat the air velocity of 2 m/s and liquid-to-gas ratio of 3.6 L/m3. Decreased performance after 13 hours ofcontinuous operation was recovered to the initial performance level by 5 min of water washing. Moreover during the 1-month operation at the demonstration site, the ESP showed average collection efficiencies of 97% based on particle number and 92% based on total particle mass, which were achieved with a much smaller specific corona power of 0.28 W/m3/hr compared with conventional ESPs.

  17. Quarantine for SARS, Taiwan

    PubMed Central

    King, Chwan-Chuan; Chen, Cathy W. S.; Ho, Mei-Shang; Lee, Jen-Yu; Liu, Feng-Chi; Wu, Yi-Chun

    2005-01-01

    During the 2003 outbreak of severe acute respiratory syndrome (SARS) in Taiwan, >150,000 persons were quarantined, 24 of whom were later found to have laboratory-confirmed SARS-coronavirus (SARS-CoV) infection. Since no evidence exists that SARS-CoV is infective before the onset of symptoms and the quarantined persons were exposed but not symptomatic, we thought the quarantine's effectiveness should be investigated. Using the Taiwan quarantine data, we found that the onset-to-diagnosis time of previously quarantined confirmed case-patients was significantly shortened compared to that for those who had not been quarantined. Thus, quarantine for SARS in Taiwan screened potentially infective persons for swift diagnosis and hospitalization after onset, thereby indirectly reducing infections. Full-scale quarantine measures implemented on April 28 led to a significant improvement in onset-to-diagnosis time of all SARS patients, regardless of previous quarantine status. We discuss the temporal effects of quarantine measures and other interventions on detection and isolation as well as the potential usefulness of quarantine in faster identification of persons with SARS and in improving isolation measures. PMID:15752447

  18. Feasibility Study on Manufacturing Lightweight Aggregates from Water Purification Sludge

    NASA Astrophysics Data System (ADS)

    Peng, Ching-Fang; Chen, How-Ji

    2018-02-01

    This study mainly discussed the feasibility of manufacturing lightweight aggregates from water purification sludge in Taiwan. They were analysed for the physical and chemical composition before the sintering test for lightweight aggregates in a laboratory. Then the physical and mechanical properties of the synthesized aggregates were assessed. The result showed that the chemical composition of sludge in the water purification plants was within the appropriate range for manufacturing lightweight aggregate as proposed in the literature. The sintering test demonstrated that the particle density of aggregates from the ten types of water purification sludge were mostly less than 1.8 g/cm3. In addition, the dry unit weight, the organic impurity, the ignition loss, and other characteristics of synthesized aggregates met the requirement of CNS standards, while its water absorption and crushing strength also fulfilled the general commercial specifications. Therefore, reclamation of water purification sludge for production of lightweight aggregate is indeed feasible.

  19. Taiwan's underwater cultural heritage documentation management

    NASA Astrophysics Data System (ADS)

    Tung, Y.-Y.

    2015-09-01

    Taiwan is an important trading and maritime channels for many countries since ancient time. Numerous relics lie underwater due to weather, wars, and other factors. In the year of 2006, Bureau of Cultural Heritage (BOCH) entrusted the Underwater Archaeological Team of Academia Sinica to execute the underwater archaeological investigation projects. Currently, we verified 78 underwater targets, with 78 site of those had been recognized as shipwrecks sites. Up to date, there is a collection of 638 underwater objects from different underwater archaeological sites. Those artefacts are distributed to different institutions and museums. As very diverse management methods/systems are applied for every individual institution, underwater cultural heritage data such as survey, excavation report, research, etc. are poorly organized and disseminated for use. For better communication regarding to Taiwan's underwater cultural heritage in every level, a universal format of documentation should be established. By comparing the existing checklist used in Taiwan with guidelines that are followed in other countries, a more intact and appropriate underwater cultural heritage condition documentation system can be established and adapted in Taiwan.

  20. EUV patterning improvement toward high-volume manufacturing

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Matsunaga, Koichi; Kawakami, Shinichiro; Nafus, Kathleen; Foubert, Philippe; Goethals, Anne-Marie

    2015-03-01

    Extreme ultraviolet lithography (EUVL) technology is a promising candidate for a semiconductor process for 18nm half pitch and beyond. So far, the studies of EUV for manufacturability have been focused on particular aspects. It still requires fine resolution, uniform and smooth patterns, and low defectivity, not only after lithography but also after the etch process. Tokyo Electron Limited and imec are continuously collaborating to improve manufacturing quality of the process of record (POR) on a CLEAN TRACKTM LITHIUS ProTMZ-EUV. This next generation coating/developing system has been upgraded with defectivity reduction enhancements which are applied along with TELTM best known methods. We have evaluated process defectivity post lithography and post etch. Apart from defectivity, FIRMTM rinse material and application compatibility with sub 18nm patterning is improved to prevent line pattern collapse and increase process window on next generation resist materials. This paper reports on the progress of defectivity and patterning performance optimization towards the NXE:3300 POR.

  1. Flexible, Photopatterned, Colloidal CdSe Semiconductor Nanocrystal Integrated Circuits

    NASA Astrophysics Data System (ADS)

    Stinner, F. Scott

    As semiconductor manufacturing pushes towards smaller and faster transistors, a parallel goal exists to create transistors which are not nearly as small. These transistors are not intended to match the performance of traditional crystalline semiconductors; they are designed to be significantly lower in cost and manufactured using methods that can make them physically flexible for applications where form is more important than speed. One of the developing technologies for this application is semiconductor nanocrystals. We first explore methods to develop CdSe nanocrystal semiconducting "inks" into large-scale, high-speed integrated circuits. We demonstrate photopatterned transistors with mobilities of 10 cm2/Vs on Kapton substrates. We develop new methods for vertical interconnect access holes to demonstrate multi-device integrated circuits including inverting amplifiers with 7 kHz bandwidths, ring oscillators with <10 micros stage delays, and NAND and NOR logic gates. In order to produce higher performance and more consistent transistors, we develop a new hybrid procedure for processing the CdSe nanocrystals. This procedure produces transistors with repeatable performance exceeding 40 cm2/Vs when fabricated on silicon wafers and 16 cm 2/vs when fabricated as part of photopatterned integrated circuits on Kapton substrates. In order to demonstrate the full potential of these transistors, methods to create high-frequency oscillators were developed. These methods allow for transistors to operate at higher voltages as well as provide a means for wirebonding to the Kapton substrate, both of which are required for operating and probing high-frequency oscillators. Simulations of this system show the potential for operation at MHz frequencies. Demonstration of these transistors in this frequency range would open the door for development of CdSe integrated circuits for high-performance sensor, display, and audio applications. To develop further applications of electronics on

  2. Predictive factors of life quality among packaging workers in Taiwan.

    PubMed

    Yang, Shang-Yu; Hsu, Der-Jen; Yen, Chun-Ming; Chang, Jer-Hao

    2018-05-16

    The semiconductor plants on the top of high-tech industrial chain hire many packaging workers to carry out miscellaneous packing tasks for various product orders from different companies and countries. Under tremendous workload the quality of life (QoL) of such packaging workers need to be concerned. The aim of this study was to explore factors influencing their QoL. This study recruited 247 packing workers (162 male and 85 female; mean age: 35.6 years old) in 2015 and 2016 from a semiconductor plant in Taiwan by convenience sampling. The questionnaire comprised four parts: demographics, the World Health Organization Quality of Life (WHOQOL-BREF), an occupational burnout inventory and the Nordic Musculoskeletal Questionnaire. The four domains of the WHOQOL-BREF were defined as outcome variables. Predictive factors included gender (reference: male), age (reference: ≤ 35), BMI (reference: ≤ 25), educational level (reference: below university), marital/partner status (reference: married/cohabiting), years of work (reference: ≤ 5), work shift (reference: day shift), personal burnout, work-related burnout, over-commitment to work and the number of body parts with discomfort (0-9). The findings showed that physical QoL was negatively correlated with night -shift work, personal burnout, and number of body parts with discomfort. Psychological QoL was negatively correlated with night shift work and personal burnout. Environment QoL was negatively correlated with being male, night shift work and personal burnout. The results showed that the QoL among the packaging workers could be improved by reducing musculoskeletal discomfort, personal burnout and by improving work schedules.

  3. Paradoxical increase in cigarette smuggling after the market opening in Taiwan.

    PubMed

    Wen, C P; Peterson, R A; Cheng, T Y D; Tsai, S P; Eriksen, M P; Chen, T

    2006-06-01

    To assess the magnitude of cigarette smuggling after the market opened in Taiwan. Review of tobacco industry documents for references to smuggling activities related to Taiwan and government statistics on seizure of smuggled cigarettes. The market opening in 1987 led to an increase in smuggling. Contraband cigarettes became as available as legal ones, with only a small fraction (8%) being seized. Being specifically excluded from the market-opening, Japan entered the Taiwan market by setting up a Swiss plant as a legal cover for smuggling 10-20 times its legal quota of exports to Taiwan. Smuggling in Taiwan contributed to increased consumption of foreign brands, particularly by the young. Taiwan, not a member of the World Health Organization, was excluded from the East Asian 16-member "Project Crocodile", a regional anti-smuggling collaborative effort to implement the Framework Convention on Tobacco Control. Taiwan showed a sharp increase in smuggling after market liberalisation. Being excluded from the international community, Taiwan faces an uphill battle to fight smuggling alone. If Taiwan remained as its weakest link, global efforts to reduce tobacco use will be undermined, particularly for countries in the East Asian region.

  4. Summary and recommendations. [reduced gravitational effects on materials manufactured in space

    NASA Technical Reports Server (NTRS)

    1975-01-01

    An economic analysis using econometric and cost benefit analysis techniques was performed to determine the feasibility of space processing of certain products. The overall objectives of the analysis were (1) to determine specific products or processes uniquely connected with space manufacturing, (2) to select a specific product or process from each of the areas of semiconductors, metals, and biochemicals, and (3) to determine the overall price/cost structure of each product or process considered. The economic elements of the analysis involved a generalized decision making format for analyzing space manufacturing, a comparative cost study of the selected processes in space vs. earth manufacturing, and a supply and demand study of the economic relationships of one of the manufacturing processes. Space processing concepts were explored. The first involved the use of the shuttle as the factory with all operations performed during individual flights. The second concept involved a permanent unmanned space factory which would be launched separately. The shuttle in this case would be used only for maintenance and refurbishment. Finally, some consideration was given to a permanent manned space factory.

  5. Species of Nepalomyia Hollis from Taiwan (Diptera: Dolichopodidae: Peloropeodinae).

    PubMed

    Wang, Mengoing; Chen, Hongyin; Yang, Ding

    2013-01-01

    An overview is presented for the species of the genus Nepalomyia Hollis, 1964 trom Taiwan. Nepalonyia xtaoyanae sp. nov. is described and N. brevifurcata (Yang & Saigusa, 2001) and N. orientalis (Yang & Li, 1998) are recorded from Taiwan for the first time. A key is provided for all seven named species of this genus from Taiwan.

  6. Real-Time Surveillance of Infectious Diseases: Taiwan's Experience.

    PubMed

    Jian, Shu-Wan; Chen, Chiu-Mei; Lee, Cheng-Yi; Liu, Ding-Ping

    Integration of multiple surveillance systems advances early warning and supports better decision making during infectious disease events. Taiwan has a comprehensive network of laboratory, epidemiologic, and early warning surveillance systems with nationwide representation. Hospitals and clinical laboratories have deployed automatic reporting mechanisms since 2014 and have effectively improved timeliness of infectious disease and laboratory data reporting. In June 2016, the capacity of real-time surveillance in Taiwan was externally assessed and was found to have a demonstrated and sustainable capability. We describe Taiwan's disease surveillance system and use surveillance efforts for influenza and Zika virus as examples of surveillance capability. Timely and integrated influenza information showed a higher level and extended pattern of influenza activity during the 2015-16 season, which ensured prompt information dissemination and the coordination of response operations. Taiwan also has well-developed disease detection systems and was the first country to report imported cases of Zika virus from Miami Beach and Singapore. This illustrates a high level of awareness and willingness among health workers to report emerging infectious diseases, and highlights the robust and sensitive nature of Taiwan's surveillance system. These 2 examples demonstrate the flexibility of the surveillance systems in Taiwan to adapt to emerging infectious diseases and major communicable diseases. Through participation in the GHSA, Taiwan can more actively collaborate with national counterparts and use its expertise to strengthen global and regional surveillance capacity in the Asia Pacific and in Southeast Asia, in order to advance a world safe and secure from infectious disease.

  7. Real-Time Surveillance of Infectious Diseases: Taiwan's Experience

    PubMed Central

    Jian, Shu-Wan; Chen, Chiu-Mei; Lee, Cheng-Yi

    2017-01-01

    Integration of multiple surveillance systems advances early warning and supports better decision making during infectious disease events. Taiwan has a comprehensive network of laboratory, epidemiologic, and early warning surveillance systems with nationwide representation. Hospitals and clinical laboratories have deployed automatic reporting mechanisms since 2014 and have effectively improved timeliness of infectious disease and laboratory data reporting. In June 2016, the capacity of real-time surveillance in Taiwan was externally assessed and was found to have a demonstrated and sustainable capability. We describe Taiwan's disease surveillance system and use surveillance efforts for influenza and Zika virus as examples of surveillance capability. Timely and integrated influenza information showed a higher level and extended pattern of influenza activity during the 2015-16 season, which ensured prompt information dissemination and the coordination of response operations. Taiwan also has well-developed disease detection systems and was the first country to report imported cases of Zika virus from Miami Beach and Singapore. This illustrates a high level of awareness and willingness among health workers to report emerging infectious diseases, and highlights the robust and sensitive nature of Taiwan's surveillance system. These 2 examples demonstrate the flexibility of the surveillance systems in Taiwan to adapt to emerging infectious diseases and major communicable diseases. Through participation in the GHSA, Taiwan can more actively collaborate with national counterparts and use its expertise to strengthen global and regional surveillance capacity in the Asia Pacific and in Southeast Asia, in order to advance a world safe and secure from infectious disease. PMID:28418738

  8. [Glocalization: the outlook for Taiwan evidence based health care].

    PubMed

    Chen, Chiehfeng

    2014-12-01

    Public attention to evidence-based health care (EBHC) has increased significantly in recent years. Key problems related to applying EBHC in current healthcare practice include the timely update of up-to-date knowledge and skills and the methodology used to implement EBHC in clinical settings. EBHC has been introduced to the Taiwan healthcare system for the past two decades. The annual EBM (Evidence based medicine) National Competition is a unique and important EBHC activity in Taiwan. EBHC has been promoted widely in medicine, nursing, pharmacy, public health and other professions, and EBHC-related organizations such as the Taiwan Evidence Based Medicine Association (TEBMA), and Taiwan Evidence Based Nursing Association (TEBNA), have increased in number and grown in membership. In addition to domestic developments, Taiwan is also actively involved in global organizations, such as the Cochrane Collaboration, East Asian Cochrane Alliance (EACA), and the International Society for Evidence Based Health Care (ISEHC). In Taiwan, most medical professionals work cooperatively to promote EBHC, which facilitates the gradual improvement of healthcare quality.

  9. Variable temperature semiconductor film deposition

    DOEpatents

    Li, X.; Sheldon, P.

    1998-01-27

    A method of depositing a semiconductor material on a substrate is disclosed. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  10. Variable temperature semiconductor film deposition

    DOEpatents

    Li, Xiaonan; Sheldon, Peter

    1998-01-01

    A method of depositing a semiconductor material on a substrate. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  11. Porous Diblock Copolymer Thin Films in High-Performance Semiconductor Microelectronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Black, C.T.

    2011-02-01

    The engine fueling more than 40 years of performance improvements in semiconductor integrated circuits (ICs) has been industry's ability to pattern circuit elements at ever-higher resolution and with ever-greater precision. Steady advances in photolithography - the process wherein ultraviolet light chemically changes a photosensitive polymer resist material in order to create a latent image - have resulted in scaling of minimum printed feature sizes from tens of microns during the 1980s to sub-50 nanometer transistor gate lengths in today's state-of-the-art ICs. The history of semiconductor technology scaling as well as future technology requirements is documented in the International Technology Roadmapmore » for Semiconductors (ITRS). The progression of the semiconductor industry to the realm of nanometer-scale sizes has brought enormous challenges to device and circuit fabrication, rendering performance improvements by conventional scaling alone increasingly difficult. Most often this discussion is couched in terms of field effect transistor (FET) feature sizes such as the gate length or gate oxide thickness, however these challenges extend to many other aspects of the IC, including interconnect dimensions and pitch, device packing density, power consumption, and heat dissipation. The ITRS Technology Roadmap forecasts a difficult set of scientific and engineering challenges with no presently-known solutions. The primary focus of this chapter is the research performed at IBM on diblock copolymer films composed of polystyrene (PS) and poly(methyl-methacrylate) (PMMA) (PS-b-PMMA) with total molecular weights M{sub n} in the range of {approx}60K (g/mol) and polydispersities (PD) of {approx}1.1. These materials self assemble to form patterns having feature sizes in the range of 15-20nm. PS-b-PMMA was selected as a self-assembling patterning material due to its compatibility with the semiconductor microelectronics manufacturing infrastructure, as well as the

  12. 76 FR 13660 - Polyvinyl Alcohol From Taiwan

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-03-14

    ... INTERNATIONAL TRADE COMMISSION [Investigation No. 731-TA-1088 (Final)] Polyvinyl Alcohol From Taiwan Determination On the basis of the record \\1\\ developed in the subject investigation, the United... (March 2011), entitled Polyvinyl Alcohol from Taiwan: Investigation No. 731-TA-1088 (Final). By order of...

  13. Back-side readout semiconductor photomultiplier

    DOEpatents

    Choong, Woon-Seng; Holland, Stephen E

    2014-05-20

    This disclosure provides systems, methods, and apparatus related to semiconductor photomultipliers. In one aspect, a device includes a p-type semiconductor substrate, the p-type semiconductor substrate having a first side and a second side, the first side of the p-type semiconductor substrate defining a recess, and the second side of the p-type semiconductor substrate being doped with n-type ions. A conductive material is disposed in the recess. A p-type epitaxial layer is disposed on the second side of the p-type semiconductor substrate. The p-type epitaxial layer includes a first region proximate the p-type semiconductor substrate, the first region being implanted with p-type ions at a higher doping level than the p-type epitaxial layer, and a second region disposed on the first region, the second region being doped with p-type ions at a higher doping level than the first region.

  14. Highly Sensitive and Very Stretchable Strain Sensor Based on a Rubbery Semiconductor.

    PubMed

    Kim, Hae-Jin; Thukral, Anish; Yu, Cunjiang

    2018-02-07

    There is a growing interest in developing stretchable strain sensors to quantify the large mechanical deformation and strain associated with the activities for a wide range of species, such as humans, machines, and robots. Here, we report a novel stretchable strain sensor entirely in a rubber format by using a solution-processed rubbery semiconductor as the sensing material to achieve high sensitivity, large mechanical strain tolerance, and hysteresis-less and highly linear responses. Specifically, the rubbery semiconductor exploits π-π stacked poly(3-hexylthiophene-2,5-diyl) nanofibrils (P3HT-NFs) percolated in silicone elastomer of poly(dimethylsiloxane) to yield semiconducting nanocomposite with a large mechanical stretchability, although P3HT is a well-known nonstretchable semiconductor. The fabricated strain sensors exhibit reliable and reversible sensing capability, high gauge factor (gauge factor = 32), high linearity (R 2 > 0.996), and low hysteresis (degree of hysteresis <12%) responses at the mechanical strain of up to 100%. A strain sensor in this format can be scalably manufactured and implemented as wearable smart gloves. Systematic investigations in the materials design and synthesis, sensor fabrication and characterization, and mechanical analysis reveal the key fundamental and application aspects of the highly sensitive and very stretchable strain sensors entirely from rubbers.

  15. Paradoxical increase in cigarette smuggling after the market opening in Taiwan

    PubMed Central

    Wen, C P; Peterson, R A; Cheng, T Y D; Tsai, S P; Eriksen, M P; Chen, T

    2006-01-01

    Objectives To assess the magnitude of cigarette smuggling after the market opened in Taiwan. Methods Review of tobacco industry documents for references to smuggling activities related to Taiwan and government statistics on seizure of smuggled cigarettes. Results The market opening in 1987 led to an increase in smuggling. Contraband cigarettes became as available as legal ones, with only a small fraction (8%) being seized. Being specifically excluded from the market‐opening, Japan entered the Taiwan market by setting up a Swiss plant as a legal cover for smuggling 10–20 times its legal quota of exports to Taiwan. Smuggling in Taiwan contributed to increased consumption of foreign brands, particularly by the young. Taiwan, not a member of the World Health Organization, was excluded from the East Asian 16‐member “Project Crocodile”, a regional anti‐smuggling collaborative effort to implement the Framework Convention on Tobacco Control. Conclusions Taiwan showed a sharp increase in smuggling after market liberalisation. Being excluded from the international community, Taiwan faces an uphill battle to fight smuggling alone. If Taiwan remained as its weakest link, global efforts to reduce tobacco use will be undermined, particularly for countries in the East Asian region. PMID:16728745

  16. Adaptive Integration of the Compressed Algorithm of CS and NPC for the ECG Signal Compressed Algorithm in VLSI Implementation

    PubMed Central

    Tseng, Yun-Hua; Lu, Chih-Wen

    2017-01-01

    Compressed sensing (CS) is a promising approach to the compression and reconstruction of electrocardiogram (ECG) signals. It has been shown that following reconstruction, most of the changes between the original and reconstructed signals are distributed in the Q, R, and S waves (QRS) region. Furthermore, any increase in the compression ratio tends to increase the magnitude of the change. This paper presents a novel approach integrating the near-precise compressed (NPC) and CS algorithms. The simulation results presented notable improvements in signal-to-noise ratio (SNR) and compression ratio (CR). The efficacy of this approach was verified by fabricating a highly efficient low-cost chip using the Taiwan Semiconductor Manufacturing Company’s (TSMC) 0.18-μm Complementary Metal-Oxide-Semiconductor (CMOS) technology. The proposed core has an operating frequency of 60 MHz and gate counts of 2.69 K. PMID:28991216

  17. An Integrated Model for Supplier Selection for a High-Tech Manufacturer

    NASA Astrophysics Data System (ADS)

    Lee, Amy H. I.; Kang, He-Yau; Lin, Chun-Yu

    2011-11-01

    Global competitiveness has become the biggest concern of manufacturing companies, especially in high-tech industries. Improving competitive edges in an environment with rapidly changing technological innovations and dynamic customer needs is essential for a firm to survive and to acquire a decent profit. Thus, the introduction of successful new products is a source of new sales and profits and is a necessity in the intense competitive international market. After a product is developed, a firm needs the cooperation of upstream suppliers to provide satisfactory components and parts for manufacturing final products. Therefore, the selection of suitable suppliers has also become a very important decision. In this study, an analytical approach is proposed to select the most appropriate critical-part suppliers in order to maintain a high reliability of the supply chain. A fuzzy analytic network process (FANP) model, which incorporates the benefits, opportunities, costs and risks (BOCR) concept, is constructed to evaluate various aspects of suppliers. The proposed model is adopted in a TFT-LCD manufacturer in Taiwan in evaluating the expected performance of suppliers with respect to each important factor, and an overall ranking of the suppliers can be generated as a result.

  18. Taiwan Space Programs

    NASA Astrophysics Data System (ADS)

    Liu, Jann-Yenq

    Taiwan space programs consist of FORMOSAT-1, -2, and -3, sounding rockets, and international cooperation. FORMOSAT-1, a low-earth-orbit (LEO) scientific experimental satellite, was launched on January 26, 1999. It circulates with an altitude of 600 km and 35 degree inclination around the Earth every 97 minutes, transmitting collected data to Taiwan's receiving stations approximately six times a day. The major mission of FORMOSAT-1 includes three scientific experiments for measuring the effects of ionospheric plasma and electrodynamics, taking the ocean color image and conducting Ka-band communication experiment. The FORMOSAT- 1 mission was ended by June 15, 2004. FORMOSAT-2, launched on May 21, 2004 onto the Sun-synchronous orbit located at 891 km above ground. The main mission of FORMOSAT-2 is to conduct remote sensing imaging over Taiwan and on terrestrial and oceanic regions of the entire earth. The images captured by FORMOSAT-2 during daytime can be used for land distribution, natural resources research, environmental protection, disaster prevention and rescue work etc. When the satellite travels to the eclipsed zone, it observes natural phenomena of lighting in the upper atmosphere. FORMOSAT-3 is an international collaboration project between Taiwan and the US to develop advanced technology for the real-time monitoring of the global climate. This project is also named Constellation Observing System for Meteorology, Ionosphere and Climate, or FORMOSAT-3/COSMIC for short. Six micro-satellites were launched on 15 April 2007 and eventually placed into six different orbits at 700 800 kilometer above the earth ground. These satellites orbit around the earth to form a LEO constellation that receives signals transmitted by the 24 US GPS satellites. The satellite observation covers the entire global atmosphere and ionosphere, providing over 2,500 global sounding data per day. These data distribute uniformly over the earth's atmosphere. The global climate information

  19. [The medical autonomy of elderly in Taiwan].

    PubMed

    Chen, Kai-Li; Chen, Ching-Huey

    2014-10-01

    The elderly population is increasing rapidly in Taiwan. With the average life expectancy on the rise, the elderly have become major consumers of healthcare products and services. Factors that influence respect for autonomy, a core value of medical ethics, may be related to family, society, and the medical culture. Especially in patients who are already elderly, aging causes declines in physical, mental and societal capacities. Practicing a respect for patient autonomy is particularly challenging for healthcare professionals in Taiwan due the unique culture background of elderly Taiwanese patients. This article reviews and integrates the literature related to the issue of patient autonomy and elaborates on medical decision-making among elderly patients in Taiwan in the contexts of: the disadvantages faced by the elderly, the background of Chinese culture, and the current medical decision-making environment. A few suggestions are proposed to help preserve the medical-decision-making autonomy of elderly patients in Taiwan.

  20. Maternity Leave in Taiwan

    PubMed Central

    Feng, Joyce Yen; Han, Wen-Jui

    2011-01-01

    Using the first nationally representative birth cohort study in Taiwan, this paper examines the role that maternity leave policy in Taiwan plays in the timing of mothers returning to work after giving birth, as well as the extent to which this timing is linked to the amount of time mothers spend with their children and their use of breast milk versus formula. We found that the time when mothers returned to work coincided with the duration of guaranteed leave. In particular, mothers with a labor pension plan resumed work significantly earlier than mothers with no pension plan, and mothers with no pension plan returned to work significantly later than those with pension plans. The short leave of absence guaranteed under existing policies translated into mothers spending less time with their children and being more likely to exclusively use formula by 6 months after birth. In contrast, mothers who resumed work later than 6 months after birth were more likely to have not worked before birth or to have quit their jobs during pregnancy. Implications and recommendations for parental leave policy in Taiwan are discussed. PMID:21603074

  1. Maternity Leave in Taiwan.

    PubMed

    Feng, Joyce Yen; Han, Wen-Jui

    2010-07-01

    Using the first nationally representative birth cohort study in Taiwan, this paper examines the role that maternity leave policy in Taiwan plays in the timing of mothers returning to work after giving birth, as well as the extent to which this timing is linked to the amount of time mothers spend with their children and their use of breast milk versus formula. We found that the time when mothers returned to work coincided with the duration of guaranteed leave. In particular, mothers with a labor pension plan resumed work significantly earlier than mothers with no pension plan, and mothers with no pension plan returned to work significantly later than those with pension plans. The short leave of absence guaranteed under existing policies translated into mothers spending less time with their children and being more likely to exclusively use formula by 6 months after birth. In contrast, mothers who resumed work later than 6 months after birth were more likely to have not worked before birth or to have quit their jobs during pregnancy. Implications and recommendations for parental leave policy in Taiwan are discussed.

  2. Semiconductor bridge (SCB) detonator

    DOEpatents

    Bickes, Jr., Robert W.; Grubelich, Mark C.

    1999-01-01

    The present invention is a low-energy detonator for high-density secondary-explosive materials initiated by a semiconductor bridge igniter that comprises a pair of electrically conductive lands connected by a semiconductor bridge. The semiconductor bridge is in operational or direct contact with the explosive material, whereby current flowing through the semiconductor bridge causes initiation of the explosive material. Header wires connected to the electrically-conductive lands and electrical feed-throughs of the header posts of explosive devices, are substantially coaxial to the direction of current flow through the SCB, i.e., substantially coaxial to the SCB length.

  3. Semiconductor bridge (SCB) detonator

    DOEpatents

    Bickes, R.W. Jr.; Grubelich, M.C.

    1999-01-19

    The present invention is a low-energy detonator for high-density secondary-explosive materials initiated by a semiconductor bridge (SCB) igniter that comprises a pair of electrically conductive lands connected by a semiconductor bridge. The semiconductor bridge is in operational or direct contact with the explosive material, whereby current flowing through the semiconductor bridge causes initiation of the explosive material. Header wires connected to the electrically-conductive lands and electrical feed-throughs of the header posts of explosive devices, are substantially coaxial to the direction of current flow through the SCB, i.e., substantially coaxial to the SCB length. 3 figs.

  4. Taiwan-U.S. Relations: Developments and Policy Implications

    DTIC Science & Technology

    2009-05-01

    ally, China’s situation changed dramatically after the civil war victory of Mao Tse-tung in 1949. The reigning Chinese government, led by Chiang Kai ...Congressional Research Service 5 Changing Political Dynamics in Taiwan Until the mid-1980s, Taiwan had a one-party system in which Chiang Kai -shek’s...Taipei Times, January 23, 2009, p. 1. 21 Xie Yu , “Taiwan put under WHO health rules,” China Daily, February 12, 2009. 22 Chen, Jian, “WHA arrangements

  5. Wafer-fused semiconductor radiation detector

    DOEpatents

    Lee, Edwin Y.; James, Ralph B.

    2002-01-01

    Wafer-fused semiconductor radiation detector useful for gamma-ray and x-ray spectrometers and imaging systems. The detector is fabricated using wafer fusion to insert an electrically conductive grid, typically comprising a metal, between two solid semiconductor pieces, one having a cathode (negative electrode) and the other having an anode (positive electrode). The wafer fused semiconductor radiation detector functions like the commonly used Frisch grid radiation detector, in which an electrically conductive grid is inserted in high vacuum between the cathode and the anode. The wafer-fused semiconductor radiation detector can be fabricated using the same or two different semiconductor materials of different sizes and of the same or different thicknesses; and it may utilize a wide range of metals, or other electrically conducting materials, to form the grid, to optimize the detector performance, without being constrained by structural dissimilarity of the individual parts. The wafer-fused detector is basically formed, for example, by etching spaced grooves across one end of one of two pieces of semiconductor materials, partially filling the grooves with a selected electrical conductor which forms a grid electrode, and then fusing the grooved end of the one semiconductor piece to an end of the other semiconductor piece with a cathode and an anode being formed on opposite ends of the semiconductor pieces.

  6. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    NASA Astrophysics Data System (ADS)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  7. A Novel Defect Inspection Method for Semiconductor Wafer Based on Magneto-Optic Imaging

    NASA Astrophysics Data System (ADS)

    Pan, Z.; Chen, L.; Li, W.; Zhang, G.; Wu, P.

    2013-03-01

    The defects of semiconductor wafer may be generated from the manufacturing processes. A novel defect inspection method of semiconductor wafer is presented in this paper. The method is based on magneto-optic imaging, which involves inducing eddy current into the wafer under test, and detecting the magnetic flux associated with eddy current distribution in the wafer by exploiting the Faraday rotation effect. The magneto-optic image being generated may contain some noises that degrade the overall image quality, therefore, in this paper, in order to remove the unwanted noise present in the magneto-optic image, the image enhancement approach using multi-scale wavelet is presented, and the image segmentation approach based on the integration of watershed algorithm and clustering strategy is given. The experimental results show that many types of defects in wafer such as hole and scratch etc. can be detected by the method proposed in this paper.

  8. Regulatory control of low level radioactive waste in Taiwan

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, T.D.S.; Chiou, Syh-Tsong

    1996-12-31

    The commercial operation of Chinshan Nuclear Power Plant (NPP) Unit One marked the beginning of Taiwan`s nuclear power program. There are now three NPPs, each consisting of two units, in operation. This represents a generating capacity of 5,144 MWe. Nuclear power plants are sharing some 30 percent of electricity supplies in Taiwan. As far as low level radwaste (LLRW) is concerned, Taiwan Power Company (TPC) is the principal producer, contributing more than 90 percent of total volume of waste arising in Taiwan. Small producers, other than nuclear industries, medicine, research institutes, and universities, are responsible for the remaining 10 percent.more » In the paper, the LLRW management policy, organizational scheme, regulatory control over waste treatment, storage, transportation and disposal are addressed. Added to the paper in the last is how this country is managing its Naturally Occurring Radioactive Materials (NORM) waste.« less

  9. Programme and Abstracts. Workshop on Expert Evaluation and Control of Compound Semiconductor Materials and Technologies (1st) Held in Ecole Centrale De Lyon, France on 19 -22 May 1992. (EXAMTEC’ 92)

    DTIC Science & Technology

    1992-05-22

    Evaluation and Control of Compound Semiconductor Materials and Technologies (EXMATEC󈨠) at Ecole Centrale de Lyon (Ecully, France, 19th to 22nd May...semiconductor technologies to manufacture advanced devices with improved reproducibility, better reliability and lower cost. -’Device structures...concepts are required for expert evaluation and control of still developing technologies . In this context, the EXMATEC series will constitute a major

  10. Subsurface imaging, TAIGER experiments and tectonic models of Taiwan

    NASA Astrophysics Data System (ADS)

    Wu, Francis T.; Kuo-Chen, H.; McIntosh, K. D.

    2014-08-01

    The seismicity, deformation rates and associated erosion in the Taiwan region clearly demonstrate that plate tectonic and orogenic activities are at a high level. Major geologic units can be neatly placed in the plate tectonic context, albeit critical mapping in specific areas is still needed, but the key processes involved in the building of the island remain under discussion. Of the two plates in the vicinity of Taiwan, the Philippine Sea Plate (PSP) is oceanic in its origin while the Eurasian Plate (EUP) is comprised partly of the Asian continental lithosphere and partly of the transitional lithosphere of the South China Sea basin. It is unanimously agreed that the collision of PSP and EU is the cause of the Taiwan orogeny, but several models of the underlying geological processes have been proposed, each with its own evolutionary history and implied subsurface tectonics. TAIGER (TAiwan Integrated GEodynamics Research) crustal- and mantle-imaging experiments recently made possible a new round of testing and elucidation. The new seismic tomography resolved structures under and offshore of Taiwan to a depth of about 200 km. In the upper mantle, the steeply east-dipping high velocity anomalies from southern to central Taiwan are clear, but only the extreme southern part is associated with seismicity; toward the north the seismicity disappears. The crustal root under the Central Range is strongly asymmetrical; using 7.5 km/s as a guide, the steep west-dipping face on the east stands in sharp contrast to a gradual east-dipping face on the west. A smaller root exists under the Coastal Range or slightly to the east of it. Between these two roots lies a well delineated high velocity rise spanning the length from Hualien to Taitung. The 3-D variations in crustal and mantle structures parallel to the trend of the island are closely correlated with the plate tectonic framework of Taiwan. The crust is thickest in the central Taiwan collision zone, and although it thins

  11. What University Governance Can Taiwan Learn from the United States?

    ERIC Educational Resources Information Center

    Lee, Lung-Sheng; Land, Ming H.

    2010-01-01

    Due to changes from centralization to marketization, Taiwan's university governance must increase its effectiveness. The purpose of this paper was to introduce trends in and issues of Taiwan's university governance, describe university governance in the United States, and draw implications that Taiwan's university governance needs to learn from…

  12. Optical orientation in ferromagnet/semiconductor hybrids

    NASA Astrophysics Data System (ADS)

    Korenev, V. L.

    2008-11-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin-spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism.

  13. EDITORIAL The 23rd Nordic Semiconductor Meeting The 23rd Nordic Semiconductor Meeting

    NASA Astrophysics Data System (ADS)

    Ólafsson, Sveinn; Sveinbjörnsson, Einar

    2010-12-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a topical issue of Physica Scripta. All of the papers in this topical issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This meeting of the 23rd Nordic Semiconductor community, NSM 2009, was held at Háskólatorg at the campus of the University of Iceland, Reykjavik, Iceland, 14-17 June 2009. Support was provided by the University of Iceland. Almost 50 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The meeting aim was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. Topics Theory and fundamental physics of semiconductors Emerging semiconductor technologies (for example III-V integration on Si, novel Si devices, graphene) Energy and semiconductors Optical phenomena and optical devices MEMS and sensors Program 14 June Registration 13:00-17:00 15 June Meeting program 09:30-17:00 and Poster Session I 16 June Meeting program 09:30-17:00 and Poster Session II 17 June Excursion and dinner

  14. Spectroscopic characterization of III-V semiconductor nanomaterials

    NASA Astrophysics Data System (ADS)

    Crankshaw, Shanna Marie

    III-V semiconductor materials form a broad basis for optoelectronic applications, including the broad basis of the telecom industry as well as smaller markets for high-mobility transistors. In a somewhat analogous manner as the traditional silicon logic industry has so heavily depended upon process manufacturing development, optoelectronics often relies instead on materials innovations. This thesis focuses particularly on III-V semiconductor nanomaterials, detailed characterization of which is invaluable for translating the exhibited behavior into useful applications. Specifically, the original research described in these thesis chapters is an investigation of semiconductors at a fundamental materials level, because the nanostructures in which they appear crystallize in quite atypical forms for the given semiconductors. Rather than restricting the experimental approaches to any one particular technique, many different types of optical spectroscopies are developed and applied where relevant to elucidate the connection between the crystalline structure and exhibited properties. In the first chapters, for example, a wurtzite crystalline form of the prototypical zincblende III-V binary semiconductor, GaAs, is explored through polarization-dependent Raman spectroscopy and temperature-dependent photoluminescence, as well as second-harmonic generation (SHG). The altered symmetry properties of the wurtzite crystalline structure are particularly evident in the Raman and SHG polarization dependences, all within a bulk material realm. A rather different but deeply elegant aspect of crystalline symmetry in GaAs is explored in a separate study on zincblende GaAs samples quantum-confined in one direction, i.e. quantum well structures, whose quantization direction corresponds to the (110) direction. The (110) orientation modifies the low-temperature electron spin relaxation mechanisms available compared to the usual (001) samples, leading to altered spin coherence times explored

  15. Effects of work-related factors on the breastfeeding behavior of working mothers in a Taiwanese semiconductor manufacturer: a cross-sectional survey.

    PubMed

    Chen, Yi Chun; Wu, Ya-Chi; Chie, Wei-Chu

    2006-06-21

    In recent years, the creation of supportive environments for encouraging mothers to breastfeed their children has emerged as a key health issue for women and children. The provision of lactation rooms and breast pumping breaks have helped mothers to continue breastfeeding after returning to work, but their effectiveness is uncertain. The aim of this study was to assess the effects of worksite breastfeeding-friendly policies and work-related factors on the behaviour of working mothers. This study was conducted at a large Taiwanese semiconductor manufacturer in August-September 2003. Questionnaires were used to collect data on female employees' breastfeeding behaviour, child rearing and work status when raising their most recently born child. A total of 998 valid questionnaires were collected, giving a response rate of 75.3%. The results showed that 66.9% of survey respondents breastfed initially during their maternity leave, which averaged 56 days. Despite the provision of lactation rooms and breast pumping breaks, only 10.6% mothers continued to breastfeed after returning to work, primarily office workers and those who were aware of their company's breastfeeding-friendly policies. In conclusion, breastfeeding-friendly policies can significantly affect breastfeeding behaviour. However, an unfavourable working environment, especially for fab workers, can make it difficult to implement breastfeeding measures. With health professionals emphasizing that the importance of breastfeeding for infant health, and as only females can perform lactation, it is vital that women's work "productive role" and family "reproductive role" be respected and accommodated by society.

  16. Semiconductor nanocrystal-based phagokinetic tracking

    DOEpatents

    Alivisatos, A Paul; Larabell, Carolyn A; Parak, Wolfgang J; Le Gros, Mark; Boudreau, Rosanne

    2014-11-18

    Methods for determining metabolic properties of living cells through the uptake of semiconductor nanocrystals by cells. Generally the methods require a layer of neutral or hydrophilic semiconductor nanocrystals and a layer of cells seeded onto a culture surface and changes in the layer of semiconductor nanocrystals are detected. The observed changes made to the layer of semiconductor nanocrystals can be correlated to such metabolic properties as metastatic potential, cell motility or migration.

  17. Impacts of drug reimbursement reductions on utilization and expenditures of oral antidiabetic medications in Taiwan: an interrupted time series study.

    PubMed

    Hsu, Jason C; Lu, Christine Y; Wagner, Anita K; Chan, K Arnold; Lai, Mei-Shu; Ross-Degnan, Dennis

    2014-06-01

    To control increasing pharmaceutical expenditures, Taiwan's National Health Insurance has implemented a series of drug reimbursement price reductions since 2000. This study examined changes in use and expenditures of oral antidiabetic medications following the price regulation in November 2006. We obtained claims data between January 2006 and August 2007 from Taiwan's National Health Insurance Research Database. We categorized oral antidiabetic products as affected by the reimbursement reduction ("targeted") or not ("non-targeted"), by level of relative price reduction, and by manufacturer type (international vs. local manufacturers). We used an interrupted time series design and segmented regression models to estimate changes in monthly per capita prescribing rate, volume, and insurance reimbursement expenditures following the policy. The majority (129/178; 72.5%) of oral antidiabetic products were targeted by this round of price reductions. There was a relative reduction of 9.5% [95%CI: -12.68, -6.32] in total expenditures at ten months post-policy compared to expected rates. For targeted products, there were 2.04% [95%CI: -4.15, 0.07] and 13.26% [95%CI: -16.64, -9.87] relative reductions in prescribing rate and expenditures, respectively, at ten months post-policy. Non-targeted products increased significantly (22% [95%CI: 10.49, 33.51] and 22.85% [95%CI: 11.69, 34.01] relative increases in prescribing rate and expenditures respectively). Larger reimbursement cuts led to greater reductions in prescribing rate, volume, and insurance reimbursement expenditures of targeted products. Prescribing rates of both targeted and non-targeted products by international manufacturers declined after the policy while rates of prescribing non-targeted products by local manufacturers increased. While total government expenditures for oral antidiabetic medications were contained by the policy, our results indicate that prescribing shifted at the margin from targeted to non

  18. Petrographic analysis of prehistoric ceramics from the Huagangshan site of Hualien City, eastern Taiwan

    NASA Astrophysics Data System (ADS)

    Liou, Y. S.; Yi-Chang, L.

    2017-12-01

    Numerous stone artifacts, ceramics, bone tools, metal objects, and etc., had been unearthed from the Huagangshan site of Hualien City, eastern Taiwan, during the excavations of 2008-2010 and 2012. Of particular importance is more than ten thousands of potsherds were discovered. A stratigraphic sequence spanning the late Early Neolithic (ca. 5000 BP) through to the prehistoric of Taiwan (300 BP) was excavated. This study focuses on potteries from the Late Neolithic (ca. 3500-2800 BP), owing to some ceramics exhibiting distinct stylistic motifs and morphological attributes were recognized to be not produced locally. Have these wares been brought to the area by exchange trade and/or by immigrants? Or had they been made by local potters through the imitation of exotic styles? It is still unclear and is one of the most important archaeological issues in eastern Taiwan. To clarify this subject, understanding the raw material compositions and sources, manufacturing techniques, and etc. are considered to be the best ways. Thus, 21 potsherds from excavations and 6 river sand samples near the site were studied by petrographic analysis. The results of petrographic study show that temper components in the potsherds are quartz, pyroxene, amphibole, plagioclase, sedimentary rock fragments (sandstone), igneous rock fragments (andesite), and metamorphic rock fragments (metasandstone, slate, schist), and the contents and proportions are different in these samples. Petrography shows that the ceramic have multiple origins. A ternary plot of rock fragments shows three compositional groups. This result discriminates two types of ceramics from the others and confirms those ceramics producing non-locally. However, one type of potsherds have local origins through they were recognized to be exotic ones.

  19. The Study of Aeromagnetic Surveys in Taiwan

    NASA Astrophysics Data System (ADS)

    Li, P. T.; Tong, L. T.; Lin, W.; Chang, S. F.

    2016-12-01

    The airborne magnetic survey is a cost-effective method for regional geological investigation. Most of developed countries use aeromagnetic data as important fundamental information for resources development. The first aeromagnetic survey was conducted in the offshore areas of west and southern Taiwan in 1968 by U.S. Naval Oceanographic Office to help Taiwan finding oil. Later, in 2007, a helicopter-borne magnetic survey was proceed in east Taiwan for underground granite bodies. In order to improve better understanding of deep geological structures associated with the Holocene volcanism in Taiwan, we applied helicopter-borne magnetic technique in northern Taiwan include Tatun Volcano Group (TVG) and Kueishan island in 2013 and 2014 to obtain the distribution information of potential magma chamber as well as hydrothermal pathways along regional geological structures. The most important findings of the high-resolution aeromagnetic dataset since 1960's to 2014 acquired include: (1) the distribution of subsurface igneous rocks and the Curie point depth in Tatun Volcano Group, Keelung Volcano Group, and Kueishantao Volcano; (2) the widely distributed NE high-magnetic belts in northern Taiwan may be associated with NE fractures created by long-term subsidence in this area; (3) the high-magnetic belts in south of Lanyang River which is very different from the magnetic characteristics of the Central Range may imply paleo oceanic plate; (4) the NE high-magnetic belts in Penghu area formed by magma intrusion along NE fractures and the dense and high-magnetic anomalies may be associated with the Miocene basaltic lava overlying on the pre-Tertiary igneous dykes and are widely spread in northern Penghu area. The new aeromagnetic survey techniques help us to investigate the areas with steep terrain or covered by dense vegetation which was difficult to obtain reasonable geological understanding, and also provide an opportunity for us to apply the geothermal energy prospecting.

  20. Jet and flash imprint defectivity: assessment and reduction for semiconductor applications

    NASA Astrophysics Data System (ADS)

    Malloy, Matt; Litt, Lloyd C.; Johnson, Steve; Resnick, Douglas J.; Lovell, David

    2011-04-01

    Defectivity has been historically identified as a leading technical roadblock to the implementation of nanoimprint lithography for semiconductor high volume manufacturing. The lack of confidence in nanoimprint's ability to meet defect requirements originates in part from the industry's past experiences with 1X lithography and the shortage in end-user generated defect data. SEMATECH has therefore initiated a defect assessment aimed at addressing these concerns. The goal is to determine whether nanoimprint, specifically Jet and Flash Imprint Lithography from Molecular Imprints, is capable of meeting semiconductor industry defect requirements. At this time, several cycles of learning have been completed in SEMATECH's defect assessment, with promising results. J-FIL process random defectivity of < 0.1 def/cm2 has been demonstrated using a 120nm half-pitch template, providing proof of concept that a low defect nanoimprint process is possible. Template defectivity has also improved significantly as shown by a pre-production grade template at 80nm pitch. Cycles of learning continue on feature sizes down to 22nm.

  1. Reducing leakage current in semiconductor devices

    DOEpatents

    Lu, Bin; Matioli, Elison de Nazareth; Palacios, Tomas Apostol

    2018-03-06

    A semiconductor device includes a first region having a first semiconductor material and a second region having a second semiconductor material. The second region is formed over the first region. The semiconductor device also includes a current blocking structure formed in the first region between first and second terminals of the semiconductor device. The current blocking structure is configured to reduce current flow in the first region between the first and second terminals.

  2. Educating Students with Learning Disabilities in Taiwan

    ERIC Educational Resources Information Center

    Hsiao, Yun-Ju

    2011-01-01

    In East Asia, Taiwan is one of only a few countries that has a clear definition of learning disabilities (LD) as well as operational criteria for the identification of LD. In Taiwan, special education services for students with LD are mandated in the Special Education Act of 1984. According to the official statistics from the Taiwanese Special…

  3. Manufacturability improvements in EUV resist processing toward NXE:3300 processing

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Matsunaga, Koichi; Shimoaoki, Takeshi; Kawakami, Shinichiro; Nafus, Kathleen; Foubert, Philippe; Goethals, Anne-Marie; Shimura, Satoru

    2014-03-01

    As the design rule of semiconductor process gets finer, extreme ultraviolet lithography (EUVL) technology is aggressively studied as a process for 22nm half pitch and beyond. At present, the studies for EUV focus on manufacturability. It requires fine resolution, uniform, smooth patterns and low defectivity, not only after lithography but also after the etch process. In the first half of 2013, a CLEAN TRACKTM LITHIUS ProTMZ-EUV was installed at imec for POR development in preparation of the ASML NXE:3300. This next generation coating/developing system is equipped with state of the art defect reduction technology. This tool with advanced functions can achieve low defect levels. This paper reports on the progress towards manufacturing defectivity levels and latest optimizations towards the NXE:3300 POR for both lines/spaces and contact holes at imec.

  4. Past and Present of the Chinese and Korean Trainees and Survival of a Small Manufacturing Industry

    NASA Astrophysics Data System (ADS)

    Nishihata, Mikio

    In 1973, the author established the Nippon Bell Parts Co., Ltd. in Funabashi-city under his estimation of the advances in communication, information, semiconductor and automotive industries, then he has focused on R&D and developed the manufacturing of precise parts. During the past 30 years, he has himself experienced the importance of the mutual exchange between Japan and China and Korea, for keeping the human capability as well as for the management and the technical development to avoid a bankruptcy. The author is intentionally acting for the education of craftsmen in small and medium-sized manufacturing industries.

  5. An investigation of snakebite antivenom usage in Taiwan.

    PubMed

    Lin, Chih-Chuan; Chaou, Chung-Hsien; Tseng, Chiung-Yao

    2016-08-01

    Four types of antivenom are used to treat snakebites by the six species of venomous snakes native to Taiwan. Research into antivenom use in Taiwan and its outcomes, as well as the utility of current Taiwan Poison Control Center guidelines for antivenom use, has been limited. We aimed to provide increased understanding by investigating the treatment and outcomes of patients treated for snakebite in Taiwan. On the basis of data collected from the 2009 Taiwan National Health Insurance database, patients with snakebites were identified and categorized into two sets of groups according to types of antivenom administered. The relationships between antivenom types, dosage and the variables of antibiotic use, surgical intervention, acute respiratory failure acute, renal failure, antivenom-related allergic reaction, mortality, need for hospital admission, and length of hospitalization were analyzed by multivariate logistic regression and the Kruskal-Wallis test. The majority of patients were successfully treated by administration of 1 vial of antivenom and discharged without complications. However, patients treated for neurotoxic-type venom snakebite required administration of larger doses of antivenom and > 30% required surgical intervention, particularly those treated for Chinese cobra snakebite. Approximately 10% of patients were administered two types of antivenom. The results partially support Taiwan Poison Control Center guidelines for treating the hemorrhagic-type venom snakebite. However, deficit in the guidelines for treatment of neurotoxic-type venom snakebite is obvious and new guidelines for treatment of neurotoxic-type venom snakebite and diagnosis should be developed. Copyright © 2015. Published by Elsevier B.V.

  6. Rapid Three-Dimensional Printing in Water Using Semiconductor-Metal Hybrid Nanoparticles as Photoinitiators.

    PubMed

    Pawar, Amol Ashok; Halivni, Shira; Waiskopf, Nir; Ben-Shahar, Yuval; Soreni-Harari, Michal; Bergbreiter, Sarah; Banin, Uri; Magdassi, Shlomo

    2017-07-12

    Additive manufacturing processes enable fabrication of complex and functional three-dimensional (3D) objects ranging from engine parts to artificial organs. Photopolymerization, which is the most versatile technology enabling such processes through 3D printing, utilizes photoinitiators that break into radicals upon light absorption. We report on a new family of photoinitiators for 3D printing based on hybrid semiconductor-metal nanoparticles. Unlike conventional photoinitiators that are consumed upon irradiation, these particles form radicals through a photocatalytic process. Light absorption by the semiconductor nanorod is followed by charge separation and electron transfer to the metal tip, enabling redox reactions to form radicals in aerobic conditions. In particular, we demonstrate their use in 3D printing in water, where they simultaneously form hydroxyl radicals for the polymerization and consume dissolved oxygen that is a known inhibitor. We also demonstrate their potential for two-photon polymerization due to their giant two-photon absorption cross section.

  7. Solution-printed organic semiconductor blends exhibiting transport properties on par with single crystals

    PubMed Central

    Niazi, Muhammad R.; Li, Ruipeng; Qiang Li, Er; Kirmani, Ahmad R.; Abdelsamie, Maged; Wang, Qingxiao; Pan, Wenyang; Payne, Marcia M.; Anthony, John E.; Smilgies, Detlef-M.; Thoroddsen, Sigurdur T.; Giannelis, Emmanuel P.; Amassian, Aram

    2015-01-01

    Solution-printed organic semiconductors have emerged in recent years as promising contenders for roll-to-roll manufacturing of electronic and optoelectronic circuits. The stringent performance requirements for organic thin-film transistors (OTFTs) in terms of carrier mobility, switching speed, turn-on voltage and uniformity over large areas require performance currently achieved by organic single-crystal devices, but these suffer from scale-up challenges. Here we present a new method based on blade coating of a blend of conjugated small molecules and amorphous insulating polymers to produce OTFTs with consistently excellent performance characteristics (carrier mobility as high as 6.7 cm2 V−1 s−1, low threshold voltages of<1 V and low subthreshold swings <0.5 V dec−1). Our findings demonstrate that careful control over phase separation and crystallization can yield solution-printed polycrystalline organic semiconductor films with transport properties and other figures of merit on par with their single-crystal counterparts. PMID:26592862

  8. Solution-printed organic semiconductor blends exhibiting transport properties on par with single crystals.

    PubMed

    Niazi, Muhammad R; Li, Ruipeng; Qiang Li, Er; Kirmani, Ahmad R; Abdelsamie, Maged; Wang, Qingxiao; Pan, Wenyang; Payne, Marcia M; Anthony, John E; Smilgies, Detlef-M; Thoroddsen, Sigurdur T; Giannelis, Emmanuel P; Amassian, Aram

    2015-11-23

    Solution-printed organic semiconductors have emerged in recent years as promising contenders for roll-to-roll manufacturing of electronic and optoelectronic circuits. The stringent performance requirements for organic thin-film transistors (OTFTs) in terms of carrier mobility, switching speed, turn-on voltage and uniformity over large areas require performance currently achieved by organic single-crystal devices, but these suffer from scale-up challenges. Here we present a new method based on blade coating of a blend of conjugated small molecules and amorphous insulating polymers to produce OTFTs with consistently excellent performance characteristics (carrier mobility as high as 6.7 cm(2) V(-1) s(-1), low threshold voltages of<1 V and low subthreshold swings <0.5 V dec(-1)). Our findings demonstrate that careful control over phase separation and crystallization can yield solution-printed polycrystalline organic semiconductor films with transport properties and other figures of merit on par with their single-crystal counterparts.

  9. Canine distemper virus in wild ferret-badgers of Taiwan.

    PubMed

    Chen, Chen-Chih; Pei, Kurtis Jai-Chyi; Liao, Ming-Huei; Mortenson, Jack A

    2008-04-01

    Canine distemper is an acute or subacute, highly contagious, febrile disease that is caused by canine distemper virus (CDV). Two CDV-infected wild Taiwan ferret-badgers (Melogale moschata subauantiaca) were found in Kaohsiung County, southern Taiwan, in 2005. Each case was confirmed by detecting CDV RNA in lung and brain tissues. A suspected third case was detected based on clinical signs and histology. These cases are the first record of wildlife infected by CDV in Taiwan. It is believed that domestic dogs or coexisting wild carnivores infected with the virus were the most likely source, and a serologic survey is needed to fully understand the host range of this virus in Taiwan. In addition, further genetic sequencing is needed to determine the source of these CDV cases.

  10. Electrodes for Semiconductor Gas Sensors

    PubMed Central

    Lee, Sung Pil

    2017-01-01

    The electrodes of semiconductor gas sensors are important in characterizing sensors based on their sensitivity, selectivity, reversibility, response time, and long-term stability. The types and materials of electrodes used for semiconductor gas sensors are analyzed. In addition, the effect of interfacial zones and surface states of electrode–semiconductor interfaces on their characteristics is studied. This study describes that the gas interaction mechanism of the electrode–semiconductor interfaces should take into account the interfacial zone, surface states, image force, and tunneling effect. PMID:28346349

  11. Epidemiology of organophosphate pesticide poisoning in Taiwan.

    PubMed

    Lin, Tzeng Jih; Walter, Frank Gardner; Hung, Dong Zong; Tsai, Jin Lian; Hu, Sheng Chuan; Chang, Jung San; Deng, Jou-Fang; Chase, Jung San; Denninghoff, Kurt; Chan, Hon Man

    2008-11-01

    The nationwide epidemiology of organophosphate pesticide (OP) poisoning has never been reported in detail for Taiwan. This study retrospectively reviewed all human OP exposures reported to Taiwan's Poison Control Centers (PCCs) from July 1985 through December 2006. There were 4799 OP exposures. Most OP exposures were acute (98.37%) ingestions (74.50%) of a single OP (80.37%) to attempt suicide (64.72%) in adults (93.25%). Males were the most common gender (64.95%). Most patients (61.97%) received atropine and/or pralidoxime. The mortality rate for all 4799 OP exposures was 12.71%. Exposures to single OPs without co-intoxicants caused 524 deaths; of these, 63.36% were due to dimethyl OPs. Dimethyl OPs cause the majority of deaths in Taiwan.

  12. Cancer Mortality and Incidence in Korean Semiconductor Workers

    PubMed Central

    Lee, Hye-Eun; Park, Jungsun; Kang, Seong-Kyu

    2011-01-01

    Objectives The purpose of this study was to evaluate cancer risks in the Korean semiconductor industry. Methods A retrospective cohort study was performed in eight semiconductor factories between 1998 and 2008. The number of subjects was 113,443 for mortality and 108,443 for incidence. Standardized mortality ratios (SMR) and standardized incidence ratios (SIR) were calculated. Results The SMR of leukemia was 0.39 (95% Confidence Interval 0.08-1.14) in males (2 cases) and 1.37 (0.55-2.81) in females (7 cases). The SMR of non-Hodgkin's lymphoma (NHL) was 1.33 (0.43-3.09, 5 cases) in males and 2.5 (0.68-6.40, 4 cases) in females. The SIR of leukemia was 0.69 (0.30-1.37, 8 cases) in males and 1.28 (0.61-2.36, 10 cases) in females. The SIR of NHL in females was 2.31 (1.23-3.95, 13 cases) and that of thyroid cancer in males was 2.11 (1.49-2.89, 38 cases). The excess incidence of NHL was significant in female assembly operators [SIR=3.15 (1.02-7.36, 5 cases)], but not significant in fabrication workers. The SIR of NHL in the group working for 1-5 years was higher than the SIR of NHL for those working for more than five years. The excess incidence of male thyroid cancer was observed in both office and manufacturing workers. Conclusion There was no significant increase of leukemia in the Korean semiconductor industry. However, the incidence of NHL in females and thyroid cancer in males were significantly increased even though there was no definite association between work and those diseases in subgroup analysis according to work duration. This result should be interpreted cautiously, because the majority of the cohort was young and the number of cases was small. PMID:22953196

  13. Cancer mortality and incidence in korean semiconductor workers.

    PubMed

    Lee, Hye-Eun; Kim, Eun-A; Park, Jungsun; Kang, Seong-Kyu

    2011-06-01

    The purpose of this study was to evaluate cancer risks in the Korean semiconductor industry. A retrospective cohort study was performed in eight semiconductor factories between 1998 and 2008. The number of subjects was 113,443 for mortality and 108,443 for incidence. Standardized mortality ratios (SMR) and standardized incidence ratios (SIR) were calculated. The SMR of leukemia was 0.39 (95% Confidence Interval 0.08-1.14) in males (2 cases) and 1.37 (0.55-2.81) in females (7 cases). The SMR of non-Hodgkin's lymphoma (NHL) was 1.33 (0.43-3.09, 5 cases) in males and 2.5 (0.68-6.40, 4 cases) in females. The SIR of leukemia was 0.69 (0.30-1.37, 8 cases) in males and 1.28 (0.61-2.36, 10 cases) in females. The SIR of NHL in females was 2.31 (1.23-3.95, 13 cases) and that of thyroid cancer in males was 2.11 (1.49-2.89, 38 cases). The excess incidence of NHL was significant in female assembly operators [SIR=3.15 (1.02-7.36, 5 cases)], but not significant in fabrication workers. The SIR of NHL in the group working for 1-5 years was higher than the SIR of NHL for those working for more than five years. The excess incidence of male thyroid cancer was observed in both office and manufacturing workers. There was no significant increase of leukemia in the Korean semiconductor industry. However, the incidence of NHL in females and thyroid cancer in males were significantly increased even though there was no definite association between work and those diseases in subgroup analysis according to work duration. This result should be interpreted cautiously, because the majority of the cohort was young and the number of cases was small.

  14. Earthquake-induced gravitational potential energy change in the active Taiwan orogenic belt

    NASA Astrophysics Data System (ADS)

    Lo, Chung-Liang; Hsu, Shu-Kun

    2005-07-01

    The Philippine Sea Plate is converging against the Eurasian Plate near Taiwan at a velocity of 7-8 cm yr-1 this has caused the Taiwan orogenesis and induced abundant earthquakes. In this study we examine the corresponding change of gravitational potential energy (ΔGPE) using 757 earthquakes from the earthquake catalogue of the Broadband Array in Taiwan for Seismology (BATS) from 1995 July to 2003 December. Our results show that the variation of the crustal ΔGPE strongly correlates with the different stages of the orogenesis. Except for the western Okinawa Trough and southern Taiwan, most of the Taiwan convergent region exhibits a gain of crustal ΔGPE. In contrast, the lithospheric ΔGPE in the Taiwan region exhibits a reverse pattern. For the whole Taiwan region, the earthquake-induced crustal ΔGPE and the lithospheric ΔGPE during the observation period are 1.03 × 1017 J and -1.15 × 1017 J, respectively. The average rate of the whole ΔGPE in the Taiwan region is very intense and equal to -2.07 × 1010 W, corresponding to about 1 per cent of the global GPE loss induced by earthquakes.

  15. Method of passivating semiconductor surfaces

    DOEpatents

    Wanlass, Mark W.

    1990-01-01

    A method of passivating Group III-V or II-VI semiconductor compound surfaces. The method includes selecting a passivating material having a lattice constant substantially mismatched to the lattice constant of the semiconductor compound. The passivating material is then grown as an ultrathin layer of passivating material on the surface of the Group III-V or II-VI semiconductor compound. The passivating material is grown to a thickness sufficient to maintain a coherent interface between the ultrathin passivating material and the semiconductor compound. In addition, a device formed from such method is also disclosed.

  16. Motorcycle pollution control in Taiwan, Republic of China

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, H.W.; Hsiao, H.C.; Walsh, M.P.

    1998-12-31

    The Taiwan EPA has developed a comprehensive approach to motor vehicle pollution control. Building on its early adoption of US `83 standards for light duty vehicles (starting July 1, 1990) it recently moved to US `87 requirements, which include the 0.2 gram per mile particulate standard, as of July 1, 1995. Heavy duty diesel particulate standards almost as stringent as US `90, 6.0 grams per brake horsepower hour NO{sub x} and 0.7 particulate, using the US transient test procedure, went into effect on July 1, 1993. It is intended that US`94 standards, 5.0 NO{sub x} and 0.25 particulate, will bemore » adopted soon. Clearly the most distinctive feature of the Taiwan program, however, is its motorcycle control effort, reflecting the fact that motorcycles dominate the vehicle fleet and are a substantial source of emissions. This paper will summarize Taiwan`s extensive efforts to address this problem.« less

  17. Semiconductor structure and recess formation etch technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching processmore » stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.« less

  18. Classification management plan of groundwater quality in Taiwan

    NASA Astrophysics Data System (ADS)

    Chen, Chun Ming; Chen, Yu Ying; Pan, Shih Cheng; Li, Hui Jun; Hsiao, Fang Ke

    2017-04-01

    Taiwan Environmental Protection Administration has been monitoring regional water quality for 14 years. Since the beginning of 2002 till now, there are 453 regional groundwater monitoring wells in ten groundwater subregions in Taiwan, and the monitoring of groundwater quality has been carried out for a long time. Currently, water quality monitoring project has reached 50 items, while the number of water quality monitoring data has reached more than 20,000. In order to use the monitoring data efficiently, this study constructed the localized groundwater quality indicators of Taiwan. This indicator takes into account the different users' point of view, incorporating the Taiwan groundwater pollution monitoring standards (Category II), irrigation water quality standard and drinking water source water quality standard. 50 items of water quality monitoring projects were simplified and classified. The groundwater quality parameters were divided into five items, such as potability for drinking water, salting, external influence, health influences and toxicity hazard. The weight of the five items of groundwater was calculated comprehensively, and the groundwater quality of each monitoring well was evaluated with three grades of good, ordinary, and poor. According to the monitoring results of the groundwater monitoring wells in October to December of 2016, about 70% of groundwater quality in Taiwan is in good to ordinary grades. The areas with poor groundwater quality were mostly distributed in coastal, agriculture and part of the urban areas. The conductivity or ammonia nitrogen concentration was higher in those regions, showing that groundwater may be salinized or affected by external influences. Groundwater quality indicators can clearly show the current comprehensive situation of the groundwater environment in Taiwan and can be used as a tool for groundwater quality classification management. The indicators can coordinate with the Taiwan land planning policy in the

  19. Three depositional states and sedimentary processes of the western Taiwan foreland basin system

    NASA Astrophysics Data System (ADS)

    Lin, Yi-Jung; Wu, Pei-Jen; Yu, Ho-Shing

    2010-05-01

    The western Taiwan foreland basin formed during the Early Pliocene as the flexural response to the loading of Taiwan orogen on the Eurasian plate. What makes Taiwan interesting is the oblique collision, which allows the foreland basin to be seen at different stages in its evolution at the present day. Due to oblique arc-continent collision from north to south, the western Taiwan foreland basin has evolved into three distinct subbasins: an over-filled basin proximal to the Taiwan orogen, mainly distributed in the Western Foothills and Coastal Plain provinces, a filled basin occupying the shallow Taiwan Strait continental shelf west of the Taiwan orogen and an under-filled basin distal to the Taiwan orogen in the deep marine Kaoping Slope offshore southwest Taiwan, respectively. The over-filled depositional phase is dominated by fluvial environments across the structurally controlled piggy-back basins. The filled depositional state in the Taiwan Strait is characterized by shallow marine environments and is filled by Pliocene-Quaternary sediments up to 4,000 m thick derived from the Taiwan orogen with an asymmetrical and wedge-shaped cross section. The under-filled depositional state is characteristic of deep marine environments in the wedge-top basins accompanied by active structures of thrust faults and mud diapers. Sediments derived from the Taiwan orogen have progressively filled the western Taiwan foreland basin across and along the orogen. Sediment dispersal model suggests that orogenic sediments derived from oblique dischronous collisional highlands are transported in two different ways. Transport of fluvial and shallow marine sediments is perpendicular to hill-slope and across-strike in the fluvial and shallow marine environments proximal to the orogen. Fine-grained sediments mainly longitudinally transported into the deep marine environments distal to the orogen. The present sedimentary processes in the over-filled basin on land are dominated by fluvial

  20. Influenza A(H6N1) Virus in Dogs, Taiwan

    PubMed Central

    Lin, Hui-Ting; Wang, Ching-Ho; Chueh, Ling-Ling; Su, Bi-Ling

    2015-01-01

    We determined the prevalence of influenza A virus in dogs in Taiwan and isolated A/canine/Taiwan/E01/2014. Molecular analysis indicated that this isolate was closely related to influenza A(H6N1) viruses circulating in Taiwan and harbored the E627K substitution in the polymerase basic 2 protein, which indicated its ability to replicate in mammalian species. PMID:26583707

  1. Education in Taiwan (Formosa). Bulletin, 1956, No. 3

    ERIC Educational Resources Information Center

    Sassani, Abul H. K.

    1956-01-01

    "Education in Taiwan (Formosa)" is based mostly on official reports of the Ministry of Education published in Taiwan, including other official and private reports which are not yet published. The text has been supplemented with additional data and information obtained from other official sources and through interviews with Chinese…

  2. Program Changes in Technology Teacher Education in Taiwan.

    ERIC Educational Resources Information Center

    Lai, Chih-Chien Steven

    Taiwan has two technology teacher departments: one at the National Taiwan Normal University (NTNU) and the other at National Kaohsiung Normal University (NKNU). In recent years, both universities' technology teacher education programs have simultaneously followed two lines of development: the transition from an industrial arts education program to…

  3. All-semiconductor high-speed akinetic swept-source for OCT

    NASA Astrophysics Data System (ADS)

    Minneman, Michael P.; Ensher, Jason; Crawford, Michael; Derickson, Dennis

    2011-12-01

    A novel swept-wavelength laser for optical coherence tomography (OCT) using a monolithic semiconductor device with no moving parts is presented. The laser is a Vernier-Tuned Distributed Bragg Reflector (VT-DBR) structure exhibiting a single longitudinal mode. All-electronic wavelength tuning is achieved at a 200 kHz sweep repetition rate, 20 mW output power, over 100 nm sweep width and coherence length longer than 40 mm. OCT point-spread functions with 45- 55 dB dynamic range are demonstrated; lasers at 1550 nm, and now 1310 nm, have been developed. Because the laser's long-term tuning stability allows for electronic sample trigger generation at equal k-space intervals (electronic k-clock), the laser does not need an external optical k-clock for measurement interferometer sampling. The non-resonant, allelectronic tuning allows for continuously adjustable sweep repetition rates from mHz to 100s of kHz. Repetition rate duty cycles are continuously adjustable from single-trigger sweeps to over 99% duty cycle. The source includes a monolithically integrated power leveling feature allowing flat or Gaussian power vs. wavelength profiles. Laser fabrication is based on reliable semiconductor wafer-scale processes, leading to low and rapidly decreasing cost of manufacture.

  4. Metal-Insulator-Semiconductor Photodetectors

    PubMed Central

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III–V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows. PMID:22163382

  5. Taiwan Ascii and Idl_save Data Archives (AIDA) for THEMIS

    NASA Astrophysics Data System (ADS)

    Lee, B.; Hsieh, W.; Shue, J.; Angelopoulos, V.; Glassmeier, K. H.; McFadden, J. P.; Larson, D.

    2008-12-01

    THEMIS (Time History of Events and their Macroscopic Interactions during Substorms) is a satellite mission that aims to determine where and how substorms are triggered. The space research team in Taiwan has been involved in data promotion and scientific research. Taiwan Ascii and Idl_save Data Archives (AIDA) for THEMIS is the main work of the data promotion. Taiwan AIDA is developed for those who are not familiar with the Interactive Data Language (IDL) data analysis and visualization software, and those who have some basic IDL concepts and techniques and want more flexibilities in reading and plotting the THEMIS data. Two kinds of data format are stored in Taiwan AIDA: one is ASCII format for most users and the other is IDL SAVE format for IDL users. The public can download THEMIS data in either format through the Taiwan AIDA web site, http://themis.ss.ncu.edu.tw/e_data_download.php. Taiwan AIDA provides (1) plasma data including number density, average temperature, and velocity of ions and electrons, (2) magnetic field data, and (3) state information including the position and velocity of five THEMIS probes. On the Taiwan AIDA web site there are two data-downloading options. The public can download a large amount of data for a particular instrument in the FTP equivalent option; the public can also download all the data for a particular date in the Data Search option.

  6. Democratic Reforms in Taiwan: Issues for Congress

    DTIC Science & Technology

    2010-05-26

    stationed in Taiwan were happy under martial law. For local citizens, the Taiwan Garrison Command tried defendants for alleged civilian crimes ...of dissidents; sentencing of an average of 10 years for several hundred political prisoners; use of martial law to try a variety of crimes in...military courts; limited freedom of speech , political assembly, freedom of the press, and labor strikes; lengthy detentions incommunicado and without

  7. Induced abortion in Taiwan.

    PubMed

    Wang, P D; Lin, R S

    1995-04-01

    Induced abortion is widely practised in Taiwan; however, it had been illegal until 1985. It was of interest to investigate induced abortion practices in Taiwan after its legalization in 1985 in order to calculate the prevalence rate and ratio of induced abortion to live births and to pregnancies in Taiwan. A study using questionnaires through personal interviews was conducted on more than seventeen thousand women who attended a family planning service in Taipei metropolitan areas between 1991 and 1992. The reproductive history and sexual behaviour of the subjects were especially focused on during the interviews. Preliminary findings showed that 46% of the women had a history of having had an induced abortion. Among them, 54.8% had had one abortion, 29.7% had had two, and 15.5% had had three or more. The abortion ratio was 379 induced abortions per 1,000 live births and 255 per 1,000 pregnancies. The abortion ratio was highest for women younger than 20 years of age, for aboriginal women and for nulliparous women. When logistic regression was used to control for confounding variables, we found that the number of previous live births is the strongest predictor relating to women seeking induced abortion. In addition, a significant positive association exists between increasing number of induced abortions and cervical dysplasia.

  8. 76 FR 72722 - Helical Spring Lock Washers From China and Taiwan

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-11-25

    ... Spring Lock Washers From China and Taiwan Determination On the basis of the record \\1\\ developed in the... antidumping duty orders on helical spring lock washers from China and Taiwan would be likely to lead to... with respect to helical spring lock washers from Taiwan. Background The Commission instituted these...

  9. An Evaluation of Taiwan Vocational and Technical Education Programs in Agriculture.

    ERIC Educational Resources Information Center

    Meaders, O. Donald; Chi-ho, Hu

    Presented in both English and Chinese, this study of the system of agricultural education in Taiwan secondary and postsecondary schools resulted from the author's five-month stay in Taiwan and subsequent visits. Focus of the study is on evaluation of Taiwan's vocational agriculture education programs with information about students, faculties,…

  10. Advantages of using an abbreviated dossier for drug master file applications in Taiwan.

    PubMed

    Sun, I-Chen

    2016-10-01

    In Taiwan, the quality of active pharmaceutical ingredients is recorded in a drug master file (DMF), the applications for which can be submitted in two dossier types, either full (complete technical information) or abbreviated (partially complete technical information with an approved document issued by developed countries). However, the advantages of the abbreviated approach remain unknown. This study compared full and abbreviated dossier profiles and reviewed their outcomes in acceptance rates and deficiencies leading to rejection. Data were collected from new submissions of both dossier types that were completed in 2014 by the Center for Drug Evaluation, Taiwan. The results revealed that the abbreviated applications took shorter review time and had a higher acceptance rate. Among the eligible types of document for abbreviated applications, Certification of Suitability to the Monographs of the European Pharmacopeia (CEP) was the most frequently used. For categorical deficiencies, both dossier types presented the deficiencies in similar sections leading to rejection, namely Manufacture (3.2.S.2), Control of drug substance (3.2.S.4), and Stability (3.2.S.7). In summary, CEP serves a favorable document for the abbreviated DMF application in which it shortens the review time, increases the acceptance rate, and its deficiencies are similar to those of the full DMF application. Copyright © 2016 Elsevier Inc. All rights reserved.

  11. Semiconductor devices incorporating multilayer interference regions

    DOEpatents

    Biefeld, Robert M.; Drummond, Timothy J.; Gourley, Paul L.; Zipperian, Thomas E.

    1990-01-01

    A semiconductor high reflector comprising a number of thin alternating layers of semiconductor materials is electrically tunable and may be used as a temperature insensitive semiconductor laser in a Fabry-Perot configuration.

  12. Fuzzy time-series based on Fibonacci sequence for stock price forecasting

    NASA Astrophysics Data System (ADS)

    Chen, Tai-Liang; Cheng, Ching-Hsue; Jong Teoh, Hia

    2007-07-01

    Time-series models have been utilized to make reasonably accurate predictions in the areas of stock price movements, academic enrollments, weather, etc. For promoting the forecasting performance of fuzzy time-series models, this paper proposes a new model, which incorporates the concept of the Fibonacci sequence, the framework of Song and Chissom's model and the weighted method of Yu's model. This paper employs a 5-year period TSMC (Taiwan Semiconductor Manufacturing Company) stock price data and a 13-year period of TAIEX (Taiwan Stock Exchange Capitalization Weighted Stock Index) stock index data as experimental datasets. By comparing our forecasting performances with Chen's (Forecasting enrollments based on fuzzy time-series. Fuzzy Sets Syst. 81 (1996) 311-319), Yu's (Weighted fuzzy time-series models for TAIEX forecasting. Physica A 349 (2004) 609-624) and Huarng's (The application of neural networks to forecast fuzzy time series. Physica A 336 (2006) 481-491) models, we conclude that the proposed model surpasses in accuracy these conventional fuzzy time-series models.

  13. Intercultural Training for US Business Expatriates in Taiwan

    ERIC Educational Resources Information Center

    Chien, Tien-Chen; McLean, Gary N.

    2011-01-01

    Purpose: This study aims to explore the intercultural training needs for US business expatriates on assignment in Taiwan. The study assesses Taiwan culture-specific training needs of US expatriates from the perspectives of both US expatriates and their Taiwanese colleagues and compares the perceived importance of these intercultural training needs…

  14. Development of Taiwan Undergraduates' Volunteer Service Motivation Scale

    ERIC Educational Resources Information Center

    Ho-Tang, Wu; Chin-Tang, Tu; Mei-Ju, Chou; Jing-Fang, Hou; Meng-Shan, Lei

    2016-01-01

    This study aims to develop Taiwan undergraduates' volunteer service motivation scale. To begin with, item pool was proposed on the basis of literature. After discussing with three Taiwan undergraduates, item pool, exploratory factor analysis (EFA) (N = 150) was proceeded, where three tests were conducted EFA: 1. Item analysis: comparisons of…

  15. Dispersion of Heat Flux Sensors Manufactured in Silicon Technology.

    PubMed

    Ziouche, Katir; Lejeune, Pascale; Bougrioua, Zahia; Leclercq, Didier

    2016-06-09

    In this paper, we focus on the dispersion performances related to the manufacturing process of heat flux sensors realized in CMOS (Complementary metal oxide semi-conductor) compatible 3-in technology. In particular, we have studied the performance dispersion of our sensors and linked these to the physical characteristics of dispersion of the materials used. This information is mandatory to ensure low-cost manufacturing and especially to reduce production rejects during the fabrication process. The results obtained show that the measured sensitivity of the sensors is in the range 3.15 to 6.56 μV/(W/m²), associated with measured resistances ranging from 485 to 675 kΩ. The dispersions correspond to a Gaussian-type distribution with more than 90% determined around average sensitivity S e ¯ = 4.5 µV/(W/m²) and electrical resistance R ¯ = 573.5 kΩ within the interval between the average and, more or less, twice the relative standard deviation.

  16. Semiconductor electrode with improved photostability characteristics

    DOEpatents

    Frank, A.J.

    1985-02-19

    An electrode is described for use in photoelectrochemical cells having an electrolyte which includes an aqueous constituent. The electrode consists of a semiconductor and a hydrophobic film disposed between the semiconductor and the aqueous constituent. The hydrophobic film is adapted to permit charges to pass therethrough while substantially decreasing the activity of the aqueous constituent at the semiconductor surface thereby decreasing the photodegradation of the semiconductor electrode.

  17. Semiconductor electrode with improved photostability characteristics

    DOEpatents

    Frank, Arthur J.

    1987-01-01

    An electrode is disclosed for use in photoelectrochemical cells having an electrolyte which includes an aqueous constituent. The electrode includes a semiconductor and a hydrophobic film disposed between the semiconductor and the aqueous constituent. The hydrophobic film is adapted to permit charges to pass therethrough while substantially decreasing the activity of the aqueous constituent at the semiconductor surface thereby decreasing the photodegradation of the semiconductor electrode.

  18. Method of passivating semiconductor surfaces

    DOEpatents

    Wanlass, M.W.

    1990-06-19

    A method is described for passivating Group III-V or II-VI semiconductor compound surfaces. The method includes selecting a passivating material having a lattice constant substantially mismatched to the lattice constant of the semiconductor compound. The passivating material is then grown as an ultrathin layer of passivating material on the surface of the Group III-V or II-VI semiconductor compound. The passivating material is grown to a thickness sufficient to maintain a coherent interface between the ultrathin passivating material and the semiconductor compound. In addition, a device formed from such method is also disclosed.

  19. Effects of work-related factors on the breastfeeding behavior of working mothers in a Taiwanese semiconductor manufacturer: a cross-sectional survey

    PubMed Central

    Chen, Yi Chun; Wu, Ya-Chi; Chie, Wei-Chu

    2006-01-01

    Background In recent years, the creation of supportive environments for encouraging mothers to breastfeed their children has emerged as a key health issue for women and children. The provision of lactation rooms and breast pumping breaks have helped mothers to continue breastfeeding after returning to work, but their effectiveness is uncertain. The aim of this study was to assess the effects of worksite breastfeeding-friendly policies and work-related factors on the behaviour of working mothers. Methods This study was conducted at a large Taiwanese semiconductor manufacturer in August-September 2003. Questionnaires were used to collect data on female employees' breastfeeding behaviour, child rearing and work status when raising their most recently born child. A total of 998 valid questionnaires were collected, giving a response rate of 75.3%. Results The results showed that 66.9% of survey respondents breastfed initially during their maternity leave, which averaged 56 days. Despite the provision of lactation rooms and breast pumping breaks, only 10.6% mothers continued to breastfeed after returning to work, primarily office workers and those who were aware of their company's breastfeeding-friendly policies. Conclusion In conclusion, breastfeeding-friendly policies can significantly affect breastfeeding behaviour. However, an unfavourable working environment, especially for fab workers, can make it difficult to implement breastfeeding measures. With health professionals emphasizing that the importance of breastfeeding for infant health, and as only females can perform lactation, it is vital that women's work "productive role" and family "reproductive role" be respected and accommodated by society. PMID:16787546

  20. 76 FR 58040 - Certain Polyester Staple Fiber From Korea and Taiwan

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-09-19

    ... Polyester Staple Fiber From Korea and Taiwan Determination On the basis of the record \\1\\ developed in the... antidumping duty orders on certain polyester staple fiber from Korea and Taiwan would be likely to lead to...), entitled Certain Polyester Staple Fiber From Korea and Taiwan: Investigation Nos. 731-TA-825 and 826...

  1. Semiconductor devices incorporating multilayer interference regions

    DOEpatents

    Biefeld, R.M.; Drummond, T.J.; Gourley, P.L.; Zipperian, T.E.

    1987-08-31

    A semiconductor high reflector comprising a number of thin alternating layers of semiconductor materials is electrically tunable and may be used as a temperature insensitive semiconductor laser in a Fabry-Perot configuration. 8 figs.

  2. Earthquake-induced gravitational potential energy change at convergent plate boundary near Taiwan

    NASA Astrophysics Data System (ADS)

    Lo, C.; Hsu, S.

    2004-12-01

    The coseismic displacement induced by earthquakes will change the gravitational potential energy (GPE). Okamoto and Tanimoto (2002) have shown that the gain of {Δ GPE} corresponds to the compressional stress regime while the loss of {Δ GPE} corresponds to the extensional stress regime. Here we show an example at a convergent plate boundary near Taiwan. The Philippine Sea Plate is converging against the Eurasian Plate with a velocity of 7-8 cm/yr near Taiwan, which has caused the active Taiwan orogeny and induced abundant earthquakes. We have examined the corresponding change of gravitational potential energy by using 757 earthquakes from the earthquake catalogue of the Broadband Array in Taiwan for Seismology (BATS) from July 1995 to December 2003. The results show that the variation of the crustal Δ GPE strongly correlates with the different stage of the orogenesis. Except for the western Okinawa Trough and the southern Taiwan, most of the Taiwan convergent region exhibits a gain of crustal Δ GPE. In contrast, the lithospheric Δ GPE in the Taiwan region exhibits a reverse pattern. For the whole Taiwan region, the earthquake-induced crustal Δ GPE and the lithospheric Δ GPE during the observation period are 1.03×1017 joules and -1.15×1017 joules, respectively. The average rate of the whole Δ GPE in the Taiwan region is very intense and equal to -2.07×1010 watts, corresponding to about one percent of the global Δ GPE loss induced by earthquakes.

  3. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    PubMed

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  4. Preliminary Study of UAS Equipped with Thermal Camera for Volcanic Geothermal Monitoring in Taiwan.

    PubMed

    Chio, Shih-Hong; Lin, Cheng-Horng

    2017-07-18

    Thermal infrared cameras sense the temperature information of sensed scenes. With the development of UASs (Unmanned Aircraft Systems), thermal infrared cameras can now be carried on a quadcopter UAV (Unmanned Aircraft Vehicle) to appropriately collect high-resolution thermal images for volcanic geothermal monitoring in a local area. Therefore, the quadcopter UAS used to acquire thermal images for volcanic geothermal monitoring has been developed in Taiwan as part of this study to overcome the difficult terrain with highly variable topography and extreme environmental conditions. An XM6 thermal infrared camera was employed in this thermal image collection system. The Trimble BD970 GNSS (Global Navigation Satellite System) OEM (Original Equipment Manufacturer) board was also carried on the quadcopter UAV to gather dual-frequency GNSS observations in order to determine the flying trajectory data by using the Post-Processed Kinematic (PPK) technique; this will be used to establish the position and orientation of collected thermal images with less ground control points (GCPs). The digital surface model (DSM) and thermal orthoimages were then produced from collected thermal images. Tests conducted in the Hsiaoyukeng area of Taiwan's Yangmingshan National Park show that the difference between produced DSM and airborne LIDAR (Light Detection and Ranging) data are about 37% between -1 m and 1 m, and 66% between -2 m and 2 m in the area surrounded by GCPs. As the accuracy of thermal orthoimages is about 1.78 m, it is deemed sufficient for volcanic geothermal monitoring. In addition, the thermal orthoimages show some phenomena not only more globally than do the traditional methods for volcanic geothermal monitoring, but they also show that the developed system can be further employed in Taiwan in the future.

  5. Monolithic integration of GaN-based light-emitting diodes and metal-oxide-semiconductor field-effect transistors.

    PubMed

    Lee, Ya-Ju; Yang, Zu-Po; Chen, Pin-Guang; Hsieh, Yung-An; Yao, Yung-Chi; Liao, Ming-Han; Lee, Min-Hung; Wang, Mei-Tan; Hwang, Jung-Min

    2014-10-20

    In this study, we report a novel monolithically integrated GaN-based light-emitting diode (LED) with metal-oxide-semiconductor field-effect transistor (MOSFET). Without additionally introducing complicated epitaxial structures for transistors, the MOSFET is directly fabricated on the exposed n-type GaN layer of the LED after dry etching, and serially connected to the LED through standard semiconductor-manufacturing technologies. Such monolithically integrated LED/MOSFET device is able to circumvent undesirable issues that might be faced by other kinds of integration schemes by growing a transistor on an LED or vice versa. For the performances of resulting device, our monolithically integrated LED/MOSFET device exhibits good characteristics in the modulation of gate voltage and good capability of driving injected current, which are essential for the important applications such as smart lighting, interconnection, and optical communication.

  6. Optimization of CMOS image sensor utilizing variable temporal multisampling partial transfer technique to achieve full-frame high dynamic range with superior low light and stop motion capability

    NASA Astrophysics Data System (ADS)

    Kabir, Salman; Smith, Craig; Armstrong, Frank; Barnard, Gerrit; Schneider, Alex; Guidash, Michael; Vogelsang, Thomas; Endsley, Jay

    2018-03-01

    Differential binary pixel technology is a threshold-based timing, readout, and image reconstruction method that utilizes the subframe partial charge transfer technique in a standard four-transistor (4T) pixel CMOS image sensor to achieve a high dynamic range video with stop motion. This technology improves low light signal-to-noise ratio (SNR) by up to 21 dB. The method is verified in silicon using a Taiwan Semiconductor Manufacturing Company's 65 nm 1.1 μm pixel technology 1 megapixel test chip array and is compared with a traditional 4 × oversampling technique using full charge transfer to show low light SNR superiority of the presented technology.

  7. Leadership styles and nursing faculty job satisfaction in Taiwan.

    PubMed

    Chen, Hsiu-Chin; Beck, Susan L; Amos, Linda K

    2005-01-01

    To examine nursing faculty job satisfaction and their perceptions of nursing deans' and directors' leadership styles, and to explore how the perceptions of leadership styles relate to faculty job satisfaction in Taiwan. Descriptive, correlational, and cross-sectional study with self-administered questionnaires. The sample was recruited from 18 nursing programs, and 286 questionnaires were returned. Faculty perceived that Taiwan's nursing deans and directors showed more transformational than transactional leadership. Taiwan's nursing faculty were moderately satisfied in their jobs, and they were more satisfied with deans or directors who practiced the transactional leadership style of contingent reward and the transformational style of individualized consideration. A style with negative effect was passive management by exception. Three types of leadership behaviors explained significant variance (21.2%) in faculty job satisfaction in Taiwan, indicating the need for further attention to training and development for effective leadership behaviors.

  8. Taiwan Teacher Preparation Program Evaluation: Some Critical Perspectives

    ERIC Educational Resources Information Center

    Liu, Tze-Chang

    2015-01-01

    This paper focuses on the influences and changes of recent Taiwan teacher preparation program evaluation (TTPPE) as one of the national evaluation projects conducted by the Higher Education Evaluation and Accreditation Council of Taiwan. The main concerns are what kind of ideology is transformed through the policy by means of evaluation, and what…

  9. Micro-fabricated integrated coil and magnetic circuit and method of manufacturing thereof

    DOEpatents

    Mihailovich, Robert E.; Papavasiliou, Alex P.; Mehrotra, Vivek; Stupar, Philip A.; Borwick, III, Robert L.; Ganguli, Rahul; DeNatale, Jeffrey F.

    2017-03-28

    A micro-fabricated electromagnetic device is provided for on-circuit integration. The electromagnetic device includes a core. The core has a plurality of electrically insulating layers positioned alternatingly between a plurality of magnetic layers to collectively form a continuous laminate having alternating magnetic and electrically insulating layers. The electromagnetic device includes a coil embedded in openings of the semiconductor substrate. An insulating material is positioned in the cavity and between the coil and an inner surface of the core. A method of manufacturing the electromagnetic device includes providing a semiconductor substrate having openings formed therein. Windings of a coil are electroplated and embedded in the openings. The insulating material is coated on or around an exposed surface of the coil. Alternating magnetic layers and electrically insulating layers may be micro-fabricated and electroplated as a single and substantially continuous segment on or around the insulating material.

  10. Transformation of Taiwan’s Reserve Force

    DTIC Science & Technology

    2017-01-01

    force roles, missions, and capabilities, based on the requirement to counter People’s Republic of China advan- tages in air and maritime power -projection... people on Taiwan increasingly identify themselves as citizens of a state that is separate and distinct from the PRC. As a result, the Chinese Communist...including short- comings in the PLA’s ability to project power significantly across the Taiwan Strait, technological advantages of Taiwan’s armed

  11. Moore's law, lithography, and how optics drive the semiconductor industry

    NASA Astrophysics Data System (ADS)

    Hutcheson, G. Dan

    2018-03-01

    When the subject of Moore's Law arises, the important role that lithography plays and how advances in optics have made it all possible is seldom brought up in the world outside of lithography itself. When lithography is mentioned up in the value chain, it's often a critique of how advances are coming too slow and getting far too expensive. Yet advances in lithography are at the core of how Moore's Law is viable. This presentation lays out how technology and the economics of optics in manufacturing interleave to drive the immense value that semiconductors have brought to the world by making it smarter. Continuing these advances will be critical as electronics make the move from smart to cognitive.

  12. Occupational health provision and health surveillance in the semiconductor industry.

    PubMed

    Kinoulty, Mary; Williams, Nerys

    2006-03-01

    To identify the nature of occupational health provision in UK semiconductor-manufacturing plants. To identify the level of industry compliance with legal health surveillance requirements. A national inspection programme was carried out by Health & Safety Executive inspectors using a developed protocol. A wide range of occupational health provision was identified from none to use of an accredited specialist. The majority of work was of a reactive nature even where there was specialist occupational health input. Seven companies were identified as not meeting legal compliance and one as having unacceptable compliance for health surveillance. The spectrum of occupational health provision was very wide. Where health surveillance was provided, it was poorly targeted with limited interpretation and feedback to management.

  13. A Manufacturing Cost and Supply Chain Analysis of SiC Power Electronics Applicable to Medium-Voltage Motor Drives

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Horowitz, Kelsey; Remo, Timothy; Reese, Samantha

    Wide bandgap (WBG) semiconductor devices are increasingly being considered for use in certain power electronics applications, where they can improve efficiency, performance, footprint, and, potentially, total system cost compared to systems using traditional silicon (Si) devices. Silicon carbide (SiC) devices in particular -- which are currently more mature than other WBG devices -- are poised for growth in the coming years. Today, the manufacturing of SiC wafers is concentrated in the United States, and chip production is split roughly equally between the United States, Japan, and Europe. Established contract manufacturers located throughout Asia typically carry out manufacturing of WBG powermore » modules. We seek to understand how global manufacturing of SiC components may evolve over time by illustrating the regional cost drivers along the supply chain and providing an overview of other factors that influence where manufacturing is sited. We conduct this analysis for a particular case study where SiC devices are used in a medium-voltage motor drive.« less

  14. A Crowdsourcing-based Taiwan Scientific Earthquake Reporting System

    NASA Astrophysics Data System (ADS)

    Liang, W. T.; Lee, J. C.; Lee, C. F.

    2017-12-01

    To collect immediately field observations for any earthquake-induced ground damages, such as surface fault rupture, landslide, rock fall, liquefaction, and landslide-triggered dam or lake, etc., we are developing an earthquake damage reporting system which particularly relies on school teachers as volunteers after taking a series of training courses organized by this project. This Taiwan Scientific Earthquake Reporting (TSER) system is based on the Ushahidi mapping platform, which has been widely used for crowdsourcing on different purposes. Participants may add an app-like icon for mobile devices to this website at https://ies-tser.iis.sinica.edu.tw. Right after a potential damaging earthquake occurred in the Taiwan area, trained volunteers will be notified/dispatched to the source area to carry out field surveys and to describe the ground damages through this system. If the internet is available, they may also upload some relevant images in the field right away. This collected information will be shared with all public after a quick screen by the on-duty scientists. To prepare for the next strong earthquake, we set up a specific project on TSER for sharing spectacular/remarkable geologic features wherever possible. This is to help volunteers get used to this system and share any teachable material on this platform. This experimental, science-oriented crowdsourcing system was launched early this year. Together with a DYFI-like intensity reporting system, Taiwan Quake-Catcher Network, and some online games and teaching materials, the citizen seismology has been much improved in Taiwan in the last decade. All these constructed products are now either operated or promoted at the Taiwan Earthquake Research Center (TEC). With these newly developed platforms and materials, we are aiming not only to raise the earthquake awareness and preparedness, but also to encourage public participation in earthquake science in Taiwan.

  15. Joint Local/Teleseismic Tomographic Inversion in Taiwan Using TAIGER and Other Data

    NASA Astrophysics Data System (ADS)

    Lee, E.; Wu, F. T.; Huang, B.; Liang, W.; Wang, C.; Rawlinson, N.; Okaya, D. A.

    2008-12-01

    Taiwan, one of the most active orogenic belts, is at the intersection of two subduction zones. In southern Taiwan, the South China Sea Slab (SCSS), part of Eurasian Plate (EP), subducts beneath the Luzon arc along the Manila trench. In northern Taiwan, the Philippine Sea Plate (PSP) subducts beneath the Ryukyu arc along the Ryukyu trench. The thin skinned model and lithospheric deformation model have been proposed to explain the formation of orogeny. To distinguish between these two geodynamically possible processes, imaging of the deep structures below Taiwan is necessary. In this study, explosion data, local/regional earthquakes and teleseisms are used to invert the velocity structures of Taiwan from surface to about 150 km. Temporary passive broadband (on land and at the ocean bottom), active sources array datasets of the TAIGER (TAiwan Integrated GEodynamics Research) project and permanent array datasets of the BATS (Broadband Array in Taiwan for Seismology) and CWB (Central Weather Bureau) are used in this study. FMTOMO (fast marching tomography) of Rawlinson et al. (2006) is employed to invert the 3D P-wavespeed beneath Taiwan. The derived velocity perturbations dVp (dVp= Vfinal-Vinital) are clearly related to geology and tectonics. At shallow depth (< 10km), dVp >0 under the Central Range (Pre-Tertiary metamorphic rocks) and dVp < 0 under the Foothills (Pliocene sedimentary). Below a depth about 20 km, the placement of the high and low anomalies is reversed, i.e., dVp>0 under the Foothills and dVp<0 under the Central Range; the low velocity core of the Central Ranges extend down to about 50 km, forming the mountain root. A steeply dipping high velocity zone lies under the thickening 'mountain root' in central Taiwan. In southern Taiwan, the high velocity zone dips eastward coinciding with the Benioff Zone. The geometry of the high velocity zones in the upper mantle are key to understanding the Taiwan orogeny.

  16. Country Profiles, Taiwan.

    ERIC Educational Resources Information Center

    Keeny, S. M.; And Others

    A profile of Taiwan is sketched in this paper. Emphasis is placed on the nature, scope, and accomplishments of population activities in the country. Topics and sub-topics include: location and description of the country; population (size, growth patterns, age structure, urban/rural distribution, ethnic and religious composition, migration,…

  17. A dataset from bottom trawl survey around Taiwan

    PubMed Central

    Shao, Kwang-Tsao; Lin, Jack; Wu, Chung-Han; Yeh, Hsin-Ming; Cheng, Tun-Yuan

    2012-01-01

    Abstract Bottom trawl fishery is one of the most important coastal fisheries in Taiwan both in production and economic values. However, its annual production started to decline due to overfishing since the 1980s. Its bycatch problem also damages the fishery resource seriously. Thus, the government banned the bottom fishery within 3 nautical miles along the shoreline in 1989. To evaluate the effectiveness of this policy, a four year survey was conducted from 2000–2003, in the waters around Taiwan and Penghu (Pescadore) Islands, one region each year respectively. All fish specimens collected from trawling were brought back to lab for identification, individual number count and body weight measurement. These raw data have been integrated and established in Taiwan Fish Database (http://fishdb.sinica.edu.tw). They have also been published through TaiBIF (http://taibif.tw), FishBase and GBIF (website see below). This dataset contains 631 fish species and 3,529 records, making it the most complete demersal fish fauna and their temporal and spatial distributional data on the soft marine habitat in Taiwan. PMID:22707908

  18. [An outline medical history of Taiwan (I): the period of folklore medicine and witch doctor].

    PubMed

    Li, C

    1997-01-01

    The paper makes a correlated analysis on the origin of health folklore between Chinese in mainland and Taiwan island. After quoting literatures written by authors living in the Qing dynasty in Taiwan, this paper analyses health condition among aboriginals of Taiwan during the witchcraft age. Along with the increasing immigration from China mainland to Taiwan island, health of folklore and gods from China mainland were introduced into Taiwan, hence the period of witch doctor in Taiwan, featuring the correlation of both. Though modern medicine in Taiwan is so advanced, yet there are still witch doctors elsewhere.

  19. A synthesized biophysical and social vulnerability assessment for Taiwan

    NASA Astrophysics Data System (ADS)

    Lee, Yung-Jaan

    2017-11-01

    Taiwan, located in the Western Pacific, is a country that is one of the most vulnerable to disasters that are associated with the changing climate; it is located within the Ring of Fire, which is the most geologically active region in the world. The environmental and geological conditions in Taiwan are sensitive and vulnerable to such disasters. Owing to increasing urbanization in Taiwan, floods and climate-related disasters have taken an increasing toll on human lives. As global warming accelerates the rising of sea levels and increasing of the frequency of extreme weather events, disasters will continue to affect socioeconomic development and human conditions. Under such circumstances, researchers and policymakers alike must recognize the importance of providing useful knowledge concerning vulnerability, disaster recovery and resilience. Strategies for reducing vulnerability and climate-related disaster risks and for increasing resilience involve preparedness, mitigation and adaptation. In the last two decades, extreme climate events have caused severe flash floods, debris flows, landslides, and other disasters and have had negative effects of many sectors, including agriculture, infrastructure and health. Since climate change is expected to have a continued impact on socio-economic development, this work develops a vulnerability assessment framework that integrates both biophysical and social vulnerability and supports synthesized vulnerability analyses to identify vulnerable areas in Taiwan. Owing to its geographical, geological and climatic features, Taiwan is susceptible to earthquakes, typhoons, droughts and various induced disasters. Therefore, Taiwan has the urgent task of establishing a framework for assessing vulnerability as a planning and policy tool that can be used to identify not only the regions that require special attention but also hotspots in which efforts should be made to reduce vulnerability and the risk of climate-related disaster. To

  20. [Current situation of the standardization of acupuncture and moxibustion in Taiwan].

    PubMed

    Pan, Li-Jia; Cui, Rui; Zhan, Bi-Yu; Liao, Cai-Yan; Cao, Qi-Hua; Li, Gui-Lan; Guo, Yi

    2012-09-01

    The current situation of the standardization of acupuncture and moxibustion in the Taiwan region is introduced in this paper from the three aspects, named the development state of standard of acupuncture and moxibustion in Taiwan, the implementation of Taiwan district standard and the standardization of acupuncture and moxibustion in Taiwan. At present, the relevant standards of acupuncture and moxibustion in Taiwan just include the standard operation procedure of acupuncture and moxibustion, the reference guideline of the safe operation in the medical service centers of traditional Chinese medicine, and the faculty standard of Chinese medicine hospital, etc. It is concluded that the current situation of the standardization of acupuncture and moxibusiton presented the weak awareness of the standardization of acupuncture and moxibustion in the industry, insufficient enterprise standard, less-quantity of the implemented standards and narrow coverage.

  1. Stable surface passivation process for compound semiconductors

    DOEpatents

    Ashby, Carol I. H.

    2001-01-01

    A passivation process for a previously sulfided, selenided or tellurated III-V compound semiconductor surface. The concentration of undesired mid-gap surface states on a compound semiconductor surface is reduced by the formation of a near-monolayer of metal-(sulfur and/or selenium and/or tellurium)-semiconductor that is effective for long term passivation of the underlying semiconductor surface. Starting with the III-V compound semiconductor surface, any oxidation present thereon is substantially removed and the surface is then treated with sulfur, selenium or tellurium to form a near-monolayer of chalcogen-semiconductor of the surface in an oxygen-free atmosphere. This chalcogenated surface is then contacted with a solution of a metal that will form a low solubility chalcogenide to form a near-monolayer of metal-chalcogen-semiconductor. The resulting passivating layer provides long term protection for the underlying surface at or above the level achieved by a freshly chalcogenated compound semiconductor surface in an oxygen free atmosphere.

  2. Seasonal Patterns of Japanese Encephalitis and Associated Meteorological Factors in Taiwan.

    PubMed

    Lin, Che-Liang; Chang, Hsiao-Ling; Lin, Chuan-Yao; Chen, Kow-Tong

    2017-10-29

    The persistent transmission of Japanese encephalitis virus (JEV) in Taiwan necessitates exploring the risk factors of occurrence of Japanese encephalitis (JE). The purpose of this study was to assess the relationship between meteorological factors and the incidence of JE in Taiwan. We collected data for cases of JE reported to the Taiwan Centers for Disease Control (Taiwan CDC) from 2000 to 2014. Meteorological data were obtained from the Taiwan Central Weather Bureau. The relationships between weather variability and the incidence of JE in Taiwan were determined via Poisson regression analysis and a case-crossover methodology. During the 15-year study period, a total of 379 cases of JE were reported. The incidence of JE showed significant seasonality, with the majority of cases occurring in summertime (for oscillation, p < 0.001). The number of JE cases started to increase at temperatures of 22 °C (r² = 0.88, p < 0.001). Similarly, the number of JE cases began to increase at a relative humidity of 70-74% (r² = 0.75, p < 0.005). The number of JE cases was positively associated with mean temperature and relative humidity in the period preceding the infection. In conclusion, the occurrence of JE is significantly associated with increasing temperature and relative humidity in Taiwan. Therefore, these factors could be regarded as warning signals indicating the need to implement preventive measures.

  3. Wintertime sea surface temperature fronts in the Taiwan Strait

    NASA Astrophysics Data System (ADS)

    Chang, Yi; Shimada, Teruhisa; Lee, Ming-An; Lu, Hsueh-Jung; Sakaida, Futoki; Kawamura, Hiroshi

    2006-12-01

    We present wintertime variations and distributions of sea surface temperature (SST) fronts in the Taiwan Strait by applying an entropy-based edge detection method to 10-year (1996-2005) satellite SST images with grid size of 0.01°. From climatological monthly mean maps of SST gradient magnitude in winter, we identify four significant SST fronts in the Taiwan Strait. The Mainland China Coastal Front is a long frontal band along the 50-m isobath near the Chinese coast. The sharp Peng-Chang Front appears along the Peng-Hu Channel and extends northward around the Chang-Yuen Ridge. The Taiwan Bank Front evolves in early winter. As the winter progresses, the front becomes broad and moves toward the Chinese coast, connecting to the Mainland China Coastal Front. The Kuroshio Front extends northeastward from the northeastern tip of Taiwan with a semicircle-shape curving along the 100-m isobath.

  4. The Ticks (Acari: Ixodida: Argasidae, Ixodidae) of Taiwan: A Synonymic Checklist

    DTIC Science & Technology

    2005-01-01

    Lyme disease in Taiwan" Primary isolation of Borrelia burgdor- idae). Acarina 10:95-136. Wilson...the recent emergence of Lyme borreliosis and human babesiosis in Taiwan (Shih and Chao 1998, 1999; Shih et al. 1997, 1998), it is imperative that these...the Ixodes kuntzi Hoogstraal & Kohls, principal vector of Lyme borreliosis in 1965.35 Taiwan collections in USNTC. northeastern Asia and is

  5. Pacifichem 2000 Symposium on Plasma Chemistry and Technology for Green Manufacturing, Pollution Control and Processing Applications

    DTIC Science & Technology

    2001-03-19

    Plasma chemistry and technology represents a significant advance and improvement for green manufacturing, pollution control, and various processing...December 14-19, 2000 in Honolulu, HI. This Congress consists of over 120 symposia. amongst them the Symposium on Plasma Chemistry and Technology for...in the plasma chemistry many field beyond the more traditional and mature fields of semiconductor and materials processing. This symposium was focus on

  6. 75 FR 76023 - Notice of Receipt of Complaint; Solicitation of Comments Relating to the Public Interest

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-12-07

    ... Certain Semiconductor Chips and Products Containing Same, DN 2771; the Commission is soliciting comments... semiconductor chips and products containing same The complaint names as respondents Freescale Semiconductor, Inc... Microtech (U.S.A.) Corp. of City of Industry, CA; Biostar Microtech International Corp. of Hsin Tien, Taiwan...

  7. Launching the dialogue: Safety and innovation as partners for success in advanced manufacturing.

    PubMed

    Geraci, C L; Tinkle, S S; Brenner, S A; Hodson, L L; Pomeroy-Carter, C A; Neu-Baker, N

    2018-06-01

    Emerging and novel technologies, materials, and information integrated into increasingly automated and networked manufacturing processes or into traditional manufacturing settings are enhancing the efficiency and productivity of manufacturing. Globally, there is a move toward a new era in manufacturing that is characterized by: (1) the ability to create and deliver more complex designs of products; (2) the creation and use of materials with new properties that meet a design need; (3) the employment of new technologies, such as additive and digital techniques that improve on conventional manufacturing processes; and (4) a compression of the time from initial design concept to the creation of a final product. Globally, this movement has many names, but "advanced manufacturing" has become the shorthand for this complex integration of material and technology elements that enable new ways to manufacture existing products, as well as new products emerging from new technologies and new design methods. As the breadth of activities associated with advanced manufacturing suggests, there is no single advanced manufacturing industry. Instead, aspects of advanced manufacturing can be identified across a diverse set of business sectors that use manufacturing technologies, ranging from the semiconductors and electronics to the automotive and pharmaceutical industries. The breadth and diversity of advanced manufacturing may change the occupational and environmental risk profile, challenge the basic elements of comprehensive health and safety (material, process, worker, environment, product, and general public health and safety), and provide an opportunity for development and dissemination of occupational and environmental health and safety (OEHS) guidance and best practices. It is unknown how much the risk profile of different elements of OEHS will change, thus requiring an evolution of health and safety practices. These changes may be accomplished most effectively through multi

  8. High Volume Manufacturing and Field Stability of MEMS Products

    NASA Astrophysics Data System (ADS)

    Martin, Jack

    Low volume MEMS/NEMS production is practical when an attractive concept is implemented with business, manufacturing, packaging, and test support. Moving beyond this to high volume production adds requirements on design, process control, quality, product stability, market size, market maturity, capital investment, and business systems. In a broad sense, this chapter uses a case study approach: It describes and compares the silicon-based MEMS accelerometers, pressure sensors, image projection systems, and gyroscopes that are in high volume production. Although they serve several markets, these businesses have common characteristics. For example, the manufacturing lines use automated semiconductor equipment and standard material sets to make consistent products in large quantities. Standard, well controlled processes are sometimes modified for a MEMS product. However, novel processes that cannot run with standard equipment and material sets are avoided when possible. This reliance on semiconductor tools, as well as the organizational practices required to manufacture clean, particle-free products partially explains why the MEMS market leaders are integrated circuit manufacturers. There are other factors. MEMS and NEMS are enabling technologies, so it can take several years for high volume applications to develop. Indeed, market size is usually a strong function of price. This becomes a vicious circle, because low price requires low cost - a result that is normally achieved only after a product is in high volume production. During the early years, IC companies reduced cost and financial risk by using existing facilities for low volume MEMS production. As a result, product architectures are partially determined by capabilities developed for previous products. This chapter includes a discussion of MEMS product architecture with particular attention to the impact of electronic integration, packaging, and surfaces. Packaging and testing are critical, because they are

  9. High Volume Manufacturing and Field Stability of MEMS Products

    NASA Astrophysics Data System (ADS)

    Martin, Jack

    Low volume MEMS/NEMS production is practical when an attractive concept is implemented with business, manufacturing, packaging, and test support. Moving beyond this to high volume production adds requirements on design, process control, quality, product stability, market size, market maturity, capital investment, and business systems. In a broad sense, this chapter uses a case study approach: It describes and compares the silicon-based MEMS accelerometers, pressure sensors, image projection systems, and gyroscopes that are in high volume production. Although they serve several markets, these businesses have common characteristics. For example, the manufacturing lines use automated semiconductor equipment and standard material sets to make consistent products in large quantities. Standard, well controlled processes are sometimes modified for a MEMS product. However, novel processes that cannot run with standard equipment and material sets are avoided when possible. This reliance on semiconductor tools, as well as the organizational practices required to manufacture clean, particle-free products partially explains why the MEMS market leaders are integrated circuit manufacturers. There are other factors. MEMS and NEMS are enabling technologies, so it can take several years for high volume applications to develop. Indeed, market size is usually a strong function of price. This becomes a vicious circle, because low price requires low cost - a result that is normally achieved only after a product is in high volume production. During the early years, IC companies reduced cost and financial risk by using existing facilities for low volume MEMS production. As a result, product architectures are partially determined by capabilities developed for previous products. This chapter includes a discussion of MEMS product architecture with particular attention to the impact of electronic integration, packaging, and surfaces. Packaging and testing are critical, because they are

  10. New Lithospheric Model of Taiwan based on the Receiver Function Method

    NASA Astrophysics Data System (ADS)

    Wang, H.; Zhu, L.; Chen, H.

    2008-12-01

    Taiwan is situated on the junction area between two subduction systems. The complex orogeny was developed by collision between the Eurasian continental plate and Philippine Sea plate and is still active in the present. Therefore, Taiwan provides unique opportunities for geophysical imaging of the ongoing process underneath. The TAiwan Integrated GEodynamics Research (TAIGER) combined a field program of active and passive seismology, which will undoubtedly be a major step forward in understanding mountain building process. In 2006, we developed a new crustal model of Taiwan from teleseismic waveforms by the receiver function method. We determined lateral variation of Moho discontinuity, crustal thickness (H), and Vp/Vs ratios (Kappa) for each permanent broadband station using all the available teleseismic data collected by BATS (Broadband Array in Taiwan for Seismology) and CWB (Central Weather Bureau). All the broadband stations are distributed uniformly over the whole Taiwan area so that we could delineate the Moho depth contour map. Recently, we concentrated on the three linear temporary arrays of the TAIGER project and obtained three high-resolution images of crustal structure across Taiwan along west-to-east direction from north to south by using the CCP (common-conversion-point) stacking of teleseismic P-to-S converted waves. Sharp impedance contrasts in these images clearly show the relief of each of seismic discontinuities in the crust and upper mantle. The preliminary results show that the Moho depth, 40 to 50 km of central Taiwan is deeper than in other parts of the island, which suggests crustal thickening due to collision. In addition, shallow part of western foothill area show highly acoustic impedance which probably results from thick sediment.

  11. Epidemiological Features of Japanese Encephalitis in Taiwan from 2000 to 2014

    PubMed Central

    Chang, Yu-Kang; Chang, Hsiao-Ling; Wu, Ho-Sheng; Chen, Kow-Tong

    2017-01-01

    The incidence of Japanese encephalitis (JE) decreased sharply after the national vaccination program was implemented in Taiwan in 1968. However, cases of JE still occur. The purpose of this study was to assess the epidemiology and vaccination policy for JE in Taiwan. We analyzed the data on JE cases reported to the Taiwan Centers for Disease Control (Taiwan CDC) between 2000 and 2014. During the 15-year study period, a total of 4,474 cases were reported to the Taiwan CDC. Of these, 379 (8.5%) were classified as confirmed cases, and 4,095 (91.5%) were classified as suspected cases. The incidence of JE ranged from 0.59 to 1.61 per 1,000,000 people and peaked in 2007. Men had a higher incidence of JE than women (1.37 versus 0.84 per 1,000,000; P = 0.03). Patients who were 40–59 years of age had a higher incidence than did patients younger than 20 years (1.82 versus 0.23; P < 0.001). Patients who lived in the eastern region of Taiwan had the highest incidence rate of JE (P < 0.001). Compared with those who were not vaccinated with the JE vaccine, patients who received four doses of JE vaccine had a lower risk of suffering from death and/or hospitalization (adjusted odds ratio: 0.26; 95% confidence interval: 0.08–0.90; P = 0.04). JE is still a public health problem in Taiwan, and monitoring JE via diagnostic testing to determine the best vaccination program along with enforcing JE vaccine boosters for adults is necessary to eliminate JE in Taiwan. PMID:27821699

  12. Epidemiological Features of Japanese Encephalitis in Taiwan from 2000 to 2014.

    PubMed

    Chang, Yu-Kang; Chang, Hsiao-Ling; Wu, Ho-Sheng; Chen, Kow-Tong

    2017-02-08

    The incidence of Japanese encephalitis (JE) decreased sharply after the national vaccination program was implemented in Taiwan in 1968. However, cases of JE still occur. The purpose of this study was to assess the epidemiology and vaccination policy for JE in Taiwan. We analyzed the data on JE cases reported to the Taiwan Centers for Disease Control (Taiwan CDC) between 2000 and 2014. During the 15-year study period, a total of 4,474 cases were reported to the Taiwan CDC. Of these, 379 (8.5%) were classified as confirmed cases, and 4,095 (91.5%) were classified as suspected cases. The incidence of JE ranged from 0.59 to 1.61 per 1,000,000 people and peaked in 2007. Men had a higher incidence of JE than women (1.37 versus 0.84 per 1,000,000; P = 0.03). Patients who were 40-59 years of age had a higher incidence than did patients younger than 20 years (1.82 versus 0.23; P < 0.001). Patients who lived in the eastern region of Taiwan had the highest incidence rate of JE ( P < 0.001). Compared with those who were not vaccinated with the JE vaccine, patients who received four doses of JE vaccine had a lower risk of suffering from death and/or hospitalization (adjusted odds ratio: 0.26; 95% confidence interval: 0.08-0.90; P = 0.04). JE is still a public health problem in Taiwan, and monitoring JE via diagnostic testing to determine the best vaccination program along with enforcing JE vaccine boosters for adults is necessary to eliminate JE in Taiwan. © The American Society of Tropical Medicine and Hygiene.

  13. Room-temperature ductile inorganic semiconductor.

    PubMed

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag 2 S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  14. Room-temperature ductile inorganic semiconductor

    NASA Astrophysics Data System (ADS)

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag2S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  15. Sub-ppb Oxygen Contaminant Detection in Semi-Conductor Processing

    NASA Technical Reports Server (NTRS)

    Man, K. F.

    1995-01-01

    Gaseous contaminants such as oxygen, water vapor, nitrogen and hydrocarbons are often present in the processing environment in semiconductor device fabrication and in containerless materials processing. The contaminants arise as a result of outgassing from hot surfaces or they may be part of the impurities in commercial ultra-high purity gases. Among these gaseous contaminants, oxygen is the most reactive and, therefore, has the most adverse effects on the end product. There has been an intense effort at the Jet Propulsion Laboratory to develop different types of oxygen sorbents to reduce oxygen concentration in a microgravity processing environment to sub-ppb (parts-per-billion) levels. Higher concentrations can lead to rapid surface oxide formation, hence reducing the quality of semiconductor devices. If the concentration of oxygen in a processing chamber at 1000oC is in the ppb level, it will only take approximately 10 seconds for an oxide layer to form on the surface of a sample. The interaction of oxygen with the water surface can lead to the formation of localized defects in semi-conductor devices, hence decreasing the manufacturing yield. For example, efficient production of 64 Mb RAM chips requires contaminations below ppb levels. This paper describes a technique for measuring trace quantities of oxygen contaminants by recording the monoatomic negative ions, O-, using mass spectrometry. The O- formation from the e--O2 interaction utilizes the electron dissociative attachment method that is greatly enhanced at the resonant energy (6.8 eV). The device combines a small gridded electron ionizer with a compact mass spectrometer. The concentrations of oxygen have been measured using the method of standard additions by diluting O2 in N2. The lowest detection limit obtained was 1.2 kHz (O- count rate) at a concentration of 10-10, corresponding to 0.1 ppb.

  16. 7/5nm logic manufacturing capabilities and requirements of metrology

    NASA Astrophysics Data System (ADS)

    Bunday, Benjamin; Bello, A. F.; Solecky, Eric; Vaid, Alok

    2018-03-01

    This paper will provide an update to previous works [2][4][9] to our view of the future for in-line high volume manufacturing (HVM) metrology for the semiconductor industry, concentrating on logic technology for foundries. First, we will review of the needs of patterned defect, critical dimensional (CD/3D), overlay and films metrology, and present the extensive list of applications for which metrology solutions are needed. We will then update the industry's progress towards addressing gating technical limits of the most important of these metrology solutions, highlighting key metrology technology gaps requiring industry attention and investment.

  17. 75 FR 22842 - Polyethylene Retail Carrier Bags From Indonesia, Taiwan, and Vietnam

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-04-30

    ...)] Polyethylene Retail Carrier Bags From Indonesia, Taiwan, and Vietnam Determinations On the basis of the record... material injury by reason of imports from Indonesia, Taiwan, and Vietnam of PRCBs that have been found by... notification of preliminary determinations by Commerce that imports of PRCBs from Indonesia, Taiwan, and...

  18. Nursing directors' leadership styles and faculty members' job satisfaction in Taiwan.

    PubMed

    Chen, Hsiu-Chin; Baron, Mark

    2006-10-01

    Nursing leaders in Taiwan seldom receive the leadership training necessary to lead an academic organization. As a result, leaders may experience burn out, and dissatisfaction among faculty may increase. This study examined nursing faculty members' perceptions of nursing directors' leadership and their job satisfaction levels to understand how perceptions of leadership styles related to job satisfaction in Taiwan. This descriptive, correlational, cross-sectional study used self-administered questionnaires. Transformational leadership theory supported the research framework. Nine schools with nursing programs awarding diplomas to students participated in this study. A total of 175 questionnaires were returned (72% response rate). The findings indicated that Taiwan's nursing directors tend to display transformational leadership more frequently in their workplaces and that Taiwan's nursing faculty members are moderately satisfied in their jobs. In addition, nursing faculty in Taiwan are more satisfied with directors who practice the leadership style of attributed idealized influence.

  19. Analysis of optoelectronic strategic planning in Taiwan by artificial intelligence portfolio tool

    NASA Astrophysics Data System (ADS)

    Chang, Rang-Seng

    1992-05-01

    Taiwan ROC has achieved significant advances in the optoelectronic industry with some Taiwan products ranked high in the world market and technology. Six segmentations of optoelectronic were planned. Each one was divided into several strategic items, design artificial intelligent portfolio tool (AIPT) to analyze the optoelectronic strategic planning in Taiwan. The portfolio is designed to provoke strategic thinking intelligently. This computer- generated strategy should be selected and modified by the individual. Some strategies for the development of the Taiwan optoelectronic industry also are discussed in this paper.

  20. Developing quartz wafer mold manufacturing process for patterned media

    NASA Astrophysics Data System (ADS)

    Chiba, Tsuyoshi; Fukuda, Masaharu; Ishikawa, Mikio; Itoh, Kimio; Kurihara, Masaaki; Hoga, Morihisa

    2009-04-01

    Recently, patterned media have gained attention as a possible candidate for use in the next generation of hard disk drives (HDD). Feature sizes on media are predicted to be 20-25 nm half pitch (hp) for discrete-track media in 2010. One method of fabricating such a fine pattern is by using a nanoimprint. The imprint mold for the patterned media is created from a 150-millimeter, rounded, quartz wafer. The purpose of the process introduced here was to construct a quartz wafer mold and to fabricate line and space (LS) patterns at 24 nmhp for DTM. Additionally, we attempted to achieve a dense hole (HOLE) pattern at 12.5 nmhp for BPM for use in 2012. The manufacturing process of molds for patterned media is almost the same as that for semiconductors, with the exception of the dry-etching process. A 150-millimeter quartz wafer was etched on a special tray made from carving a 6025 substrate, by using the photo-mask tool. We also optimized the quartz etching conditions. As a result, 24 nmhp LS and HOLE patterns were manufactured on the quartz wafer. In conclusion, the quartz wafer mold manufacturing process was established. It is suggested that the etching condition should be further optimized to achieve a higher resolution of HOLE patterns.

  1. Estimating Source Duration for Moderate and Large Earthquakes in Taiwan

    NASA Astrophysics Data System (ADS)

    Chang, Wen-Yen; Hwang, Ruey-Der; Ho, Chien-Yin; Lin, Tzu-Wei

    2017-04-01

    Estimating Source Duration for Moderate and Large Earthquakes in Taiwan Wen-Yen Chang1, Ruey-Der Hwang2, Chien-Yin Ho3 and Tzu-Wei Lin4 1 Department of Natural Resources and Environmental Studies, National Dong Hwa University, Hualien, Taiwan, ROC 2Department of Geology, Chinese Culture University, Taipei, Taiwan, ROC 3Department of Earth Sciences, National Cheng Kung University, Tainan, Taiwan, ROC 4Seismology Center, Central Weather Bureau, Taipei, Taiwan, ROC ABSTRACT To construct a relationship between seismic moment (M0) and source duration (t) was important for seismic hazard in Taiwan, where earthquakes were quite active. In this study, we used a proposed inversion process using teleseismic P-waves to derive the M0-t relationship in the Taiwan region for the first time. Fifteen earthquakes with MW 5.5-7.1 and focal depths of less than 40 km were adopted. The inversion process could simultaneously determine source duration, focal depth, and pseudo radiation patterns of direct P-wave and two depth phases, by which M0 and fault plane solutions were estimated. Results showed that the estimated t ranging from 2.7 to 24.9 sec varied with one-third power of M0. That is, M0 is proportional to t**3, and then the relationship between both of them was M0=0.76*10**23(t)**3 , where M0 in dyne-cm and t in second. The M0-t relationship derived from this study was very close to those determined from global moderate to large earthquakes. For further understanding the validity in the derived relationship, through the constructed relationship of M0-, we inferred the source duration of the 1999 Chi-Chi (Taiwan) earthquake with M0=2-5*10**27 dyne-cm (corresponding to Mw = 7.5-7.7) to be approximately 29-40 sec, in agreement with many previous studies for source duration (28-42 sec).

  2. Thermodynamic analysis of resources used in manufacturing processes.

    PubMed

    Gutowski, Timothy G; Branham, Matthew S; Dahmus, Jeffrey B; Jones, Alissa J; Thiriez, Alexandre

    2009-03-01

    In this study we use a thermodynamic framework to characterize the material and energy resources used in manufacturing processes. The analysis and data span a wide range of processes from "conventional" processes such as machining, casting, and injection molding, to the so-called "advanced machining" processes such as electrical discharge machining and abrasive waterjet machining, and to the vapor-phase processes used in semiconductor and nanomaterials fabrication. In all, 20 processes are analyzed. The results show that the intensity of materials and energy used per unit of mass of material processed (measured either as specific energy or exergy) has increased by at least 6 orders of magnitude over the past several decades. The increase of material/energy intensity use has been primarily a consequence of the introduction of new manufacturing processes, rather than changes in traditional technologies. This phenomenon has been driven by the desire for precise small-scale devices and product features and enabled by stable and declining material and energy prices over this period. We illustrate the relevance of thermodynamics (including exergy analysis) for all processes in spite of the fact that long-lasting focus in manufacturing has been on product quality--not necessarily energy/material conversion efficiency. We promote the use of thermodynamics tools for analysis of manufacturing processes within the context of rapidly increasing relevance of sustainable human enterprises. We confirm that exergy analysis can be used to identify where resources are lost in these processes, which is the first step in proposing and/or redesigning new more efficient processes.

  3. Metrology needs for the semiconductor industry over the next decade

    NASA Astrophysics Data System (ADS)

    Melliar-Smith, Mark; Diebold, Alain C.

    1998-11-01

    Metrology will continue to be a key enabler for the development and manufacture of future generations of integrated circuits. During 1997, the Semiconductor Industry Association renewed the National Technology Roadmap for Semiconductors (NTRS) through the 50 nm technology generation and for the first time included a Metrology Roadmap (1). Meeting the needs described in the Metrology Roadmap will be both a technological and financial challenge. In an ideal world, metrology capability would be available at the start of process and tool development, and silicon suppliers would have 450 mm wafer capable metrology tools in time for development of that wafer size. Unfortunately, a majority of the metrology suppliers are small companies that typically can't afford the additional two to three year wait for return on R&D investment. Therefore, the success of the semiconductor industry demands that we expand cooperation between NIST, SEMATECH, the National Labs, SRC, and the entire community. In this paper, we will discuss several critical metrology topics including the role of sensor-based process control, in-line microscopy, focused measurements for transistor and interconnect fabrication, and development needs. Improvements in in-line microscopy must extend existing critical dimension measurements up to 100 nm generations and new methods may be required for sub 100 nm generations. Through development, existing metrology dielectric thickness and dopant dose and junction methods can be extended to 100 nm, but new and possibly in-situ methods are needed beyond 100 nm. Interconnect process control will undergo change before 100 nm due to the introduction of copper metallization, low dielectric constant interlevel dielectrics, and Damascene process flows.

  4. Counseling Psychology Licensure in Taiwan: Development, Challenges, and Opportunities

    ERIC Educational Resources Information Center

    Wang, Li-fei; Kwan, Kwong-Liem K.; Huang, Su-Fei

    2011-01-01

    The development and consequences of licensure for counseling psychologists in Taiwan are presented to promote cross-cultural awareness surrounding issues in the counseling psychology profession. The national licensure statute for counseling psychologists in Taiwan was established by the Taiwanese Legislature in 2001. While the licensing system…

  5. 77 FR 72884 - Steel Wire Garment Hangers From Taiwan

    Federal Register 2010, 2011, 2012, 2013, 2014

    2012-12-06

    ... INTERNATIONAL TRADE COMMISSION [Investigation No. 731-TA-1197 (Final)] Steel Wire Garment Hangers... injured by reason of imports of steel wire garment hangers from Taiwan, provided for in subheading 7326.20... notification of a preliminary determination by Commerce that imports of steel wire garment hangers from Taiwan...

  6. Transformation and Framework of Teacher Professional Development in Taiwan

    ERIC Educational Resources Information Center

    Huang, Bo-Ruey

    2016-01-01

    This article describes the situation of teacher professional development in Taiwan, including the history and the framework of teacher professional development. With diversification of teacher education systems and institutions, teacher professional development in Taiwan is undergoing a gradual governance shift from the model of centralised state…

  7. Kuroshio Transport East of Taiwan and the Effect of Mesoscale Eddies

    DTIC Science & Technology

    2015-09-30

    1 DISTRIBUTION STATEMENT A. Approved for public release; distribution is unlimited. Kuroshio Transport East of Taiwan and the Effect of...The objective of this project is to characterize variability in the Kuroshio east of Taiwan and to understand (1) how this variability is related to...Mindanao Current and (2) how westward-propagating mesoscale eddies that arrive east of Taiwan from the ocean interior affect Kuroshio variability. This

  8. Teaching Benchmark Strategy for Fifth-Graders in Taiwan

    ERIC Educational Resources Information Center

    Yang, Der-Ching; Lai, M. L.

    2013-01-01

    The key purpose of this study was how we taught the use of benchmark strategy when comparing fraction for fifth-graders in Taiwan. 26 fifth graders from a public elementary in south Taiwan were selected to join this study. Results of this case study showed that students had a much progress on the use of benchmark strategy when comparing fraction…

  9. Roadmap on semiconductor-cell biointerfaces

    NASA Astrophysics Data System (ADS)

    Tian, Bozhi; Xu, Shuai; Rogers, John A.; Cestellos-Blanco, Stefano; Yang, Peidong; Carvalho-de-Souza, João L.; Bezanilla, Francisco; Liu, Jia; Bao, Zhenan; Hjort, Martin; Cao, Yuhong; Melosh, Nicholas; Lanzani, Guglielmo; Benfenati, Fabio; Galli, Giulia; Gygi, Francois; Kautz, Rylan; Gorodetsky, Alon A.; Kim, Samuel S.; Lu, Timothy K.; Anikeeva, Polina; Cifra, Michal; Krivosudský, Ondrej; Havelka, Daniel; Jiang, Yuanwen

    2018-05-01

    This roadmap outlines the role semiconductor-based materials play in understanding the complex biophysical dynamics at multiple length scales, as well as the design and implementation of next-generation electronic, optoelectronic, and mechanical devices for biointerfaces. The roadmap emphasizes the advantages of semiconductor building blocks in interfacing, monitoring, and manipulating the activity of biological components, and discusses the possibility of using active semiconductor-cell interfaces for discovering new signaling processes in the biological world.

  10. 77 FR 27079 - Certain Stilbenic Optical Brightening Agents From China and Taiwan

    Federal Register 2010, 2011, 2012, 2013, 2014

    2012-05-08

    ... industry in the United States is materially injured by reason of imports from China and Taiwan of certain... Optical Brightening Agents From China and Taiwan Determinations On the basis of the record \\1\\ developed... that imports of certain stilbenic optical brightening agents from China and Taiwan were being sold at...

  11. 76 FR 76437 - Certain Welded Stainless Steel Pipe From Korea and Taiwan

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-12-07

    ... Welded Stainless Steel Pipe From Korea and Taiwan Determination On the basis of the record \\1\\ developed... antidumping duty orders on certain welded stainless steel pipe from Korea and Taiwan would be likely to lead... 2011), entitled Certain Welded Stainless Steel Pipe from Korea and Taiwan, Investigation Nos. 731-TA...

  12. Reliability of Semiconductor Laser Packaging in Space Applications

    NASA Technical Reports Server (NTRS)

    Gontijo, Ivair; Qiu, Yueming; Shapiro, Andrew A.

    2008-01-01

    A typical set up used to perform lifetime tests of packaged, fiber pigtailed semiconductor lasers is described, as well as tests performed on a set of four pump lasers. It was found that two lasers failed after 3200, and 6100 hours under device specified bias conditions at elevated temperatures. Failure analysis of the lasers indicates imperfections and carbon contamination of the laser metallization, possibly from improperly cleaned photo resist. SEM imaging of the front facet of one of the lasers, although of poor quality due to the optical fiber charging effects, shows evidence of catastrophic damage at the facet. More stringent manufacturing controls with 100% visual inspection of laser chips are needed to prevent imperfect lasers from proceeding to packaging and ending up in space applications, where failure can result in the loss of a space flight mission.

  13. Vacuum-and-solvent-free fabrication of organic semiconductor layers for field-effect transistors.

    PubMed

    Matsushima, Toshinori; Sandanayaka, Atula S D; Esaki, Yu; Adachi, Chihaya

    2015-09-29

    We demonstrate that cold and hot isostatic pressing (CIP and HIP) is a novel, alternative method for organic semiconductor layer fabrication, where organic powder is compressed into a layer shape directly on a substrate with 200 MPa pressure. Spatial gaps between powder particles and the other particles, substrates, or electrodes are crushed after CIP and HIP, making it possible to operate organic field-effect transistors (OFETs) containing the compressed powder as the semiconductor. The CIP-compressed powder of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) had a hole mobility of (1.6 ± 0.4) × 10(-2) cm(2)/Vs. HIP of C8-BTBT powder increased the hole mobility to an amorphous silicon-like value (0.22 ± 0.07 cm(2)/Vs) because of the growth of the C8-BTBT crystallites and the improved continuity between the powder particles. The vacuum and solution processes are not involved in our CIP and HIP techniques, offering a possibility of manufacturing OFETs at low cost.

  14. Vacuum-and-solvent-free fabrication of organic semiconductor layers for field-effect transistors

    NASA Astrophysics Data System (ADS)

    Matsushima, Toshinori; Sandanayaka, Atula S. D.; Esaki, Yu; Adachi, Chihaya

    2015-09-01

    We demonstrate that cold and hot isostatic pressing (CIP and HIP) is a novel, alternative method for organic semiconductor layer fabrication, where organic powder is compressed into a layer shape directly on a substrate with 200 MPa pressure. Spatial gaps between powder particles and the other particles, substrates, or electrodes are crushed after CIP and HIP, making it possible to operate organic field-effect transistors (OFETs) containing the compressed powder as the semiconductor. The CIP-compressed powder of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) had a hole mobility of (1.6 ± 0.4) × 10-2 cm2/Vs. HIP of C8-BTBT powder increased the hole mobility to an amorphous silicon-like value (0.22 ± 0.07 cm2/Vs) because of the growth of the C8-BTBT crystallites and the improved continuity between the powder particles. The vacuum and solution processes are not involved in our CIP and HIP techniques, offering a possibility of manufacturing OFETs at low cost.

  15. Response of upper ocean cooling off northeastern Taiwan to typhoon passages

    NASA Astrophysics Data System (ADS)

    Zheng, Zhe-Wen; Zheng, Quanan; Gopalakrishnan, Ganesh; Kuo, Yi-Chun; Yeh, Ting-Kuang

    2017-07-01

    A comprehensive investigation of the typhoon induced upper ocean processes and responses off northeastern Taiwan was conducted. Using the Regional Ocean Modeling System, the upper ocean responses of all typhoons striking Taiwan between 2005 and 2013 were simulated. In addition to Kuroshio intrusion, the present study demonstrates another important mechanism of typhoon induced near-inertial currents over the continental shelf of East China Sea, which can also trigger a distinct cooling (through entrainment mixing) within this region. Results indicate that the processes of typhoon inducing distinct cooling off northeastern Taiwan are conditional phenomena (only ∼12% of typhoons passing Taiwan triggered extreme cooling there). Subsequently, by executing a series of sensitivity experiments and systematic analyses on the behaviors and background conditions of all those typhoon cases, key criteria determining the occurrences of cooling through both mechanisms were elucidated. Occurrences of cooling through the Kuroshio intrusion mechanism are determined mainly by the strength of the local wind over northeastern Taiwan. A distinct cooling triggered by enhanced near-inertial currents is shown to be associated with the process of wind-current resonance. Both processes of Kuroshio intrusion and enhanced near-inertial currents are dominated by wind forcing rather than upper oceanic conditions. Based on the recent findings on the possible dynamic linkage between sea surface temperature near northeast Taiwan and local weather systems, the results elucidated in this study lay the foundation for further improvement in the regional weather prediction surrounding northeast Taiwan.

  16. Procedure for pressure contact on high-power semiconductor devices free of thermal fatigue

    NASA Technical Reports Server (NTRS)

    Knobloch, J.

    1979-01-01

    To eliminate thermal fatigue, a procedure for manufacturing semiconductor power devices with pure pressure contact without solid binding was developed. Pressure contact without the use of a solid binding to avoid a limitation of the maximum surface in the contact was examined. A silicon wafer covered with a relatively thick metal layer is imbedded with the aid of a soft silver foil between two identically sized hard contact discs (molybdenum or tungsten) which are rotationally symmetrical. The advantages of this concept are shown for large diameters. The pressure contact was tested successfully in many devices in a large variety of applications.

  17. Management of Crohn's disease in Taiwan: consensus guideline of the Taiwan Society of Inflammatory Bowel Disease

    PubMed Central

    Wei, Shu-Chen; Chang, Ting-An; Chao, Te-Hsin; Chen, Jinn-Shiun; Chou, Jen-Wei; Chou, Yenn-Hwei; Chuang, Chiao-Hsiung; Hsu, Wen-Hung; Huang, Tien-Yu; Hsu, Tzu-Chi; Lin, Chun-Chi; Lin, Hung-Hsin; Lin, Jen-Kou; Lin, Wei-Chen; Ni, Yen-Hsuan; Shieh, Ming-Jium; Shih, I-Lun; Shun, Chia-Tung; Tsang, Yuk-Ming; Wang, Cheng-Yi; Wang, Horng-Yuan; Weng, Meng-Tzu; Wu, Deng-Chyang; Wu, Wen-Chieh; Yen, Hsu-Heng

    2017-01-01

    Crohn's disease (CD) is a chronic relapsing and remitting inflammatory disease of the gastrointestinal tract. CD is rare in Taiwan and other Asian countries, but its prevalence and incidence have been steadily increasing. A steering committee was established by the Taiwan Society of Inflammatory Bowel Disease to formulate statements on the diagnosis and management of CD taking into account currently available evidence and the expert opinion of the committee. Thorough clinical, endoscopic, and histological assessments are required for accurate diagnosis of CD. Computed tomography and magnetic resonance imaging are complementary to endoscopic evaluation for disease staging and detecting complications. The goals of CD management are to induce and maintain remission, reduce the risk of complications, and improve quality of life. Corticosteroids are the mainstay for inducing re-mission. Immunomodulating and biologic therapies should be used to maintain remission. Patients should be evaluated for hepatitis B virus and tuberculosis infection prior to treatment and receive regular surveillance for cancer. These consensus statements are based on current local evidence with consideration of factors, and could be serve as concise and practical guidelines for supporting clinicians in the management of patients with CD in Taiwan. PMID:28670226

  18. Management of ulcerative colitis in Taiwan: consensus guideline of the Taiwan Society of Inflammatory Bowel Disease

    PubMed Central

    Wei, Shu-Chen; Chang, Ting-An; Chao, Te-Hsin; Chen, Jinn-Shiun; Chou, Jen-Wei; Chou, Yenn-Hwei; Chuang, Chiao-Hsiung; Hsu, Wen-Hung; Huang, Tien-Yu; Hsu, Tzu-Chi; Lin, Chun-Chi; Lin, Hung-Hsin; Lin, Jen-Kou; Lin, Wei-Chen; Ni, Yen-Hsuan; Shieh, Ming-Jium; Shih, I-Lun; Shun, Chia-Tung; Tsang, Yuk-Ming; Wang, Cheng-Yi; Wang, Horng-Yuan; Weng, Meng-Tzu; Wu, Deng-Chyang; Wu, Wen-Chieh; Yen, Hsu-Heng

    2017-01-01

    Ulcerative colitis (UC) is an inflammatory bowel disease characterized by chronic mucosal inflammation of the colon, and the prevalence and incidence of UC have been steadily increasing in Taiwan. A steering committee was established by the Taiwan Society of Inflammatory Bowel Disease to formulate statements on the diagnosis and management of UC taking into account currently available evidence and the expert opinion of the committee. Accurate diagnosis of UC requires thorough clinical, endoscopic, and histological assessment and careful exclusion of differential diagnoses, particularly infectious colitis. The goals of UC therapy are to induce and maintain remission, reduce the risk of complications, and improve quality of life. As outlined in the recommended treatment algorithm, choice of treatment is dictated by severity, extent, and course of disease. Patients should be evaluated for hepatitis B virus and tuberculosis infection prior to immunosuppressive treatment, especially with steroids and biologic agents, and should be regularly monitored for reactivation of latent infection. These consensus statements are also based on current local evidence with consideration of factors, and could be serve as concise and practical guidelines for supporting clinicians in the management of UC in Taiwan. PMID:28670225

  19. Research on English Teaching and Learning: Taiwan (2004-2009)

    ERIC Educational Resources Information Center

    Chen, Suchiao; Tsai, Yachin

    2012-01-01

    This article analyzes research in second/foreign language teaching and learning conducted in Taiwan over the period 2004-2009. Representative articles published in local refereed journals and conference proceedings--not readily accessible outside Taiwan--are reviewed to reflect current trends in English teaching and learning. The main themes…

  20. The Moho discontinuity beneath Taiwan orogenic zone inferred from receiver function analysis

    NASA Astrophysics Data System (ADS)

    Chang, H.; Chen, C.; Liang, W.

    2013-12-01

    We determine the depth variations of the Moho discontinuity beneath Taiwan from receiver function analysis. Taiwan is a young (~6.5 Ma) orogenic zone as a consequence of oblique collision between the Philippine Sea Plate and the Eurasian Plate. In northeastern Taiwan, the Philippine Sea Plate subducts northwestward under the Eurasian Plate along the Ryukyu Trench; in southern Taiwan, the Eurasian Plate subducts eastward beneath the Philippine Sea Plate along the Manila Trench. Recent tomographic models of Taiwan reveal P-wave velocity variations of the lithospheric structure that provide important constraints on the orogenic processes in this region. However, the depth variations of the Moho discontinuity, a key observation for better understanding crustal deformation, remain elusive. In this study, we aim to delineate the Moho depth variations by analyzing seismic converted phases indicative of the presence of discontinuity structure. We analyze waveform data from teleseismic events recorded at the Broadband Array in Taiwan for Seismology (BATS). Preliminary results of receiver functions beneath BATS stations in eastern Taiwan show that more than one converted phase (P-to-S) are likely present in crustal depths, suggesting possible multiple crustal layering, which may complicate the detection of the Moho. We further carry out synthetic experiments to explore possible crustal structures that reconcile our observations.

  1. Indigenous Wildlife Rabies in Taiwan: Ferret Badgers, a Long Term Terrestrial Reservoir.

    PubMed

    Lan, Yu-Ching; Wen, Tzai-Hung; Chang, Chao-Chin; Liu, Hsin-Fu; Lee, Pei-Fen; Huang, Chung-Yuan; Chomel, Bruno B; Chen, Yi-Ming A

    2017-01-01

    The emerging disease of rabies was confirmed in Taiwan ferret badgers (FBs) and reported to the World Organization for Animal Health (OIE) on July 17, 2013. The spread of wildlife rabies can be related to neighborhood countries in Asia. The phylogenetic analysis was conducted by maximum likelihood (ML) methods and the Bayesian coalescent approach based on the glycoprotein (G) and nucleoprotein (N) genes. The phylogeographic and spatial temporal dynamics of viral transmission were determined by using SPREAD, QGIS. Therefore, the origin and the change with time of the viruses can be identified. Results showed the rabies virus of FB strains in Taiwan is a unique clade among other strains in Asia. According to the phylogeographic coalescent tree, three major genotypes of the FB rabies virus have circulated in three different geographical areas in Taiwan. Two genotypes have distributed into central and southern Taiwan between two ecological river barriers. The third genotype has been limited in southeastern Taiwan by the natural mountain barrier. The diversity of FB rabies viruses indicates that the biological profile of FBs could vary in different geographical areas in Taiwan. An enhanced surveillance system needs to be established near the currently identified natural barriers for early warnings of the rabies virus outbreak in Taiwan.

  2. Indigenous Wildlife Rabies in Taiwan: Ferret Badgers, a Long Term Terrestrial Reservoir

    PubMed Central

    Wen, Tzai-Hung; Liu, Hsin-Fu; Lee, Pei-Fen; Chomel, Bruno B.

    2017-01-01

    The emerging disease of rabies was confirmed in Taiwan ferret badgers (FBs) and reported to the World Organization for Animal Health (OIE) on July 17, 2013. The spread of wildlife rabies can be related to neighborhood countries in Asia. The phylogenetic analysis was conducted by maximum likelihood (ML) methods and the Bayesian coalescent approach based on the glycoprotein (G) and nucleoprotein (N) genes. The phylogeographic and spatial temporal dynamics of viral transmission were determined by using SPREAD, QGIS. Therefore, the origin and the change with time of the viruses can be identified. Results showed the rabies virus of FB strains in Taiwan is a unique clade among other strains in Asia. According to the phylogeographic coalescent tree, three major genotypes of the FB rabies virus have circulated in three different geographical areas in Taiwan. Two genotypes have distributed into central and southern Taiwan between two ecological river barriers. The third genotype has been limited in southeastern Taiwan by the natural mountain barrier. The diversity of FB rabies viruses indicates that the biological profile of FBs could vary in different geographical areas in Taiwan. An enhanced surveillance system needs to be established near the currently identified natural barriers for early warnings of the rabies virus outbreak in Taiwan. PMID:28497055

  3. Introduction to Semiconductor Devices

    NASA Astrophysics Data System (ADS)

    Brennan, Kevin F.

    2005-03-01

    This volume offers a solid foundation for understanding the most important devices used in the hottest areas of electronic engineering today, from semiconductor fundamentals to state-of-the-art semiconductor devices in the telecommunications and computing industries. Kevin Brennan describes future approaches to computing hardware and RF power amplifiers, and explains how emerging trends and system demands of computing and telecommunications systems influence the choice, design and operation of semiconductor devices. In addition, he covers MODFETs and MOSFETs, short channel effects, and the challenges faced by continuing miniaturization. His book is both an excellent senior/graduate text and a valuable reference for practicing engineers and researchers.

  4. Stockpile Model of Personal Protective Equipment in Taiwan.

    PubMed

    Chen, Yu-Ju; Chiang, Po-Jung; Cheng, Yu-Hsin; Huang, Chun-Wei; Kao, Hui-Yun; Chang, Chih-Kai; Huang, Hsun-Miao; Liu, Pei-Yin; Wang, Jen-Hsin; Chih, Yi-Chien; Chou, Shu-Mei; Yang, Chin-Hui; Chen, Chang-Hsun

    The Taiwan Centers for Disease Control (Taiwan CDC) has established a 3-tier personal protective equipment (PPE) stockpiling framework that could maintain a minimum stockpile for the surge demand of PPE in the early stage of a pandemic. However, PPE stockpiling efforts must contend with increasing storage fees and expiration problems. In 2011, the Taiwan CDC initiated a stockpile replacement model in order to optimize the PPE stockpiling efficiency, ensure a minimum stockpile, use the government's limited funds more effectively, and achieve the goal of sustainable management. This stockpile replacement model employs a first-in-first-out principle in which the oldest stock in the central government stockpile is regularly replaced and replenished with the same amount of new and qualified products, ensuring the availability and maintenance of the minimum stockpiles. In addition, a joint electronic procurement platform has been established for merchandising the replaced PPE to local health authorities and medical and other institutions for their routine or epidemic use. In this article, we describe the PPE stockpile model in Taiwan, including the 3-tier stockpiling framework, the operational model, the components of the replacement system, implementation outcomes, epidemic supports, and the challenges and prospects of this model.

  5. Tide-surge Interaction Intensified by the Taiwan Strait

    NASA Astrophysics Data System (ADS)

    Zhang, Wen-Zhou; Shi, Fengyan; Hong, Hua-Sheng; Shang, Shao-Ping; Kirby, James T.

    2010-06-01

    The Taiwan Strait is a long and wide shelf-channel where the hydrodynamics is extremely complex, being characterized by strong tides, and where storm surges frequently occur during the typhoon season. Obvious oscillations due to tide-surge interaction were observed by tide gauges along the northern Fujian coast, the west bank of the Taiwan Strait, during Typhoon Dan (1999). Numerical experiments indicate that nonlinear bottom friction (described by the quadratic formula) is a major factor to predict these oscillations while the nonlinear advective terms and the shallow water effect have little contribution. It is found that the tide-surge interaction in the northern portion of the Taiwan Strait is intensified by the strait. Simulations based on simplified topographies with and without the island of Taiwan show that, in the presence of the island, the channel effect strengthens tidal currents and tends to align the major axes of tidal ellipses along the channel direction. Storm-induced currents are also strengthened by the channel. The pattern of strong tidal currents and storm-induced currents along the channel direction enhances tide-surge interaction via the nonlinear bottom friction, resulting in the obvious oscillations along the northern Fujian coast.

  6. Worker exposure to methanol vapors during cleaning of semiconductor wafers in a manufacturing setting.

    PubMed

    Gaffney, Shannon; Moody, Emily; McKinley, Meg; Knutsen, Jeffrey; Madl, Amy; Paustenbach, Dennis

    2008-05-01

    An exposure simulation was conducted to characterize methanol exposure of workers who cleaned wafers in quality control departments within the semiconductor industry. Short-term (15 min) and long-term (2-4 hr) personal and area samples (at distances of 1 m and 3-6 m from the source) were collected during the 2-day simulation. On the first day, 45 mL of methanol were used per hour by a single worker washing wafers in a 102 m(3) room with a ventilation rate of about 10 air changes per hour (ACH). Virtually all methanol volatilized. To assess exposures under conditions associated with higher productivity, on the second day, two workers cleaned wafers simultaneously, together using methanol at over twice the rate of the first day (95 mL/hr). On this day, the ventilation rate was halved (5 ACH). Personal concentrations on the first day averaged 60 ppm (SD = 46 ppm) and ranged from 10-140 ppm. On the second day, personal concentrations for both workers averaged 118 ppm (SD = 50 ppm; range: 64-270 ppm). Area concentrations measured on the first day at 1 m from the source and throughout the balance of the room averaged 29 ppm (SD = 19 ppm; range: 4-83 ppm) and 18 ppm (SD = 12 ppm; range: 3-42 ppm), respectively. As expected, area concentrations measured on the second day were higher than the first and averaged 73 ppm (SD = 25 ppm; range: 27-140 ppm) at 1 meter and 48 ppm (SD = 13 ppm; range: 21-67 ppm) throughout the balance of the room. The results of this simulation suggest that the use of methanol to clean semiconductor wafers without the use of local exhaust ventilation and with relatively low room ventilation rates is unlikely to result in worker exposures exceeding the current ACGIH(R) threshold limit value of 200 ppm. This study also confirmed prior studies suggesting that when a relatively volatile chemical is located within arm's length (near field), breathing zone concentrations will be about two- to threefold greater than the room concentration when the air

  7. Particle dispersing system and method for testing semiconductor manufacturing equipment

    DOEpatents

    Chandrachood, Madhavi; Ghanayem, Steve G.; Cantwell, Nancy; Rader, Daniel J.; Geller, Anthony S.

    1998-01-01

    The system and method prepare a gas stream comprising particles at a known concentration using a particle disperser for moving particles from a reservoir of particles into a stream of flowing carrier gas. The electrostatic charges on the particles entrained in the carrier gas are then neutralized or otherwise altered, and the resulting particle-laden gas stream is then diluted to provide an acceptable particle concentration. The diluted gas stream is then split into a calibration stream and the desired output stream. The particles in the calibration stream are detected to provide an indication of the actual size distribution and concentration of particles in the output stream that is supplied to a process chamber being analyzed. Particles flowing out of the process chamber within a vacuum pumping system are detected, and the output particle size distribution and concentration are compared with the particle size distribution and concentration of the calibration stream in order to determine the particle transport characteristics of a process chamber, or to determine the number of particles lodged in the process chamber as a function of manufacturing process parameters such as pressure, flowrate, temperature, process chamber geometry, particle size, particle charge, and gas composition.

  8. New developments in power semiconductors

    NASA Technical Reports Server (NTRS)

    Sundberg, G. R.

    1983-01-01

    This paper represents an overview of some recent power semiconductor developments and spotlights new technologies that may have significant impact for aircraft electric secondary power. Primary emphasis will be on NASA-Lewis-supported developments in transistors, diodes, a new family of semiconductors, and solid-state remote power controllers. Several semiconductor companies that are moving into the power arena with devices rated at 400 V and 50 A and above are listed, with a brief look at a few devices.

  9. Overview of atomic layer etching in the semiconductor industry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article providesmore » defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.« less

  10. Avian Hepatitis E Virus in Chickens, Taiwan, 2013

    PubMed Central

    Hsu, Ingrid W.-Y.

    2014-01-01

    A previously unidentified strain of avian hepatitis E virus (aHEV) is now endemic among chickens in Taiwan. Analysis showed that the virus is 81.5%–86.5% similar to other aHEVs. In Taiwan, aHEV infection has been reported in chickens without aHEV exposure, suggesting transmission from asymptomatic cases or repeated introduction through an unknown common source(s). PMID:24378180

  11. Kindergarten Teachers' Experience with Reporting Child Abuse in Taiwan

    ERIC Educational Resources Information Center

    Feng, Jui-Ying; Huang, Tzu-Yi; Wang, Chi-Jen

    2010-01-01

    Objective: The objectives were to examine factors associated with reporting child abuse among kindergarten teachers in Taiwan based on the Theory of Planned Behavior (TPB). Method: A stratified quota sampling technique was used to randomly select kindergarten teachers in Taiwan. The Child Abuse Intention Report Scale, which includes demographics,…

  12. Inclusive Higher Education for Students with Disabilities in Taiwan

    ERIC Educational Resources Information Center

    Ho, Hua-Kuo

    2004-01-01

    The purpose of this paper was intended to present the current status of inclusive higher education for students with disabilities in Taiwan. Literature review, documentary analysis, and field observation were used to collect the needed information. The history for educating students with disabilities in higher education institutions in Taiwan has…

  13. Gender Barriers in Higher Education: The Case of Taiwan.

    ERIC Educational Resources Information Center

    Wang, Ru-jer

    2001-01-01

    Used data from a variety of sources to examine gender barriers to higher education in Taiwan. Findings show that barriers remain, that women still suffer disadvantages in access to higher education, even though the expansion of higher education in Taiwan has substantially benefitted females over the past few decades. (SLD)

  14. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    NASA Astrophysics Data System (ADS)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  15. Incidence of eye cancer in Taiwan: an 18-year review.

    PubMed

    Cheng, C-Y; Hsu, W-M

    2004-02-01

    To describe the incidence and histologic patterns of eye cancers in Chinese in Taiwan. Beginning in 1979, cases of cancer in Taiwan were reported to the Taiwan National Cancer Registry. Information on all Chinese patients diagnosed with eye malignancies under the International Classification of Disease, Ninth Revision, site 190, was retrieved for analysis from the data bank of the Taiwan National Cancer Registry. In all, 733 cases with primary eye cancers were identified from 1979 to 1996, an 18-year period. The average annual age-standardized incidence of eye cancers was 2.46 per million population (2.57 for male and 2.33 for female). For cases less than 15 years of age, the most common eye malignancy was retinoblastoma (86.0%), followed by rhabdomyosarcoma (3.9%) and lymphoma (2.8%). For cases 15 years of age or older, the most common eye malignancy was melanoma (28.6%), followed by squamous cell sarcoma (21.0%) and lymphoma (20.8%). The time trends of the incidence of eye cancers were relatively stable over the 18-year period in Taiwan. Retinobalstoma, melanoma, and lymphoma were the three most common eye cancers in this Chinese population.

  16. 2016 Guidelines of the Taiwan Heart Rhythm Society and the Taiwan Society of Cardiology for the management of atrial fibrillation.

    PubMed

    Chiang, Chern-En; Wu, Tsu-Juey; Ueng, Kwo-Chang; Chao, Tze-Fan; Chang, Kuan-Cheng; Wang, Chun-Chieh; Lin, Yenn-Jiang; Yin, Wei-Hsian; Kuo, Jen-Yuan; Lin, Wei-Shiang; Tsai, Chia-Ti; Liu, Yen-Bin; Lee, Kun-Tai; Lin, Li-Jen; Lin, Lian-Yu; Wang, Kang-Ling; Chen, Yi-Jen; Chen, Mien-Cheng; Cheng, Chen-Chuan; Wen, Ming-Shien; Chen, Wen-Jone; Chen, Jyh-Hong; Lai, Wen-Ter; Chiou, Chuen-Wang; Lin, Jiunn-Lee; Yeh, San-Jou; Chen, Shih-Ann

    2016-11-01

    Atrial fibrillation (AF) is the most common sustained arrhythmia. Both the incidence and prevalence of AF are increasing, and the burden of AF is becoming huge. Many innovative advances have emerged in the past decade for the diagnosis and management of AF, including a new scoring system for the prediction of stroke and bleeding events, the introduction of non-vitamin K antagonist oral anticoagulants and their special benefits in Asians, new rhythm- and rate-control concepts, optimal endpoints of rate control, upstream therapy, life-style modification to prevent AF recurrence, and new ablation techniques. The Taiwan Heart Rhythm Society and the Taiwan Society of Cardiology aimed to update the information and have appointed a jointed writing committee for new AF guidelines. The writing committee members comprehensively reviewed and summarized the literature, and completed the 2016 Guidelines of the Taiwan Heart Rhythm Society and the Taiwan Society of Cardiology for the Management of Atrial Fibrillation. This guideline presents the details of the updated recommendations, along with their background and rationale, focusing on data unique for Asians. The guidelines are not mandatory, and members of the writing committee fully realize that treatment of AF should be individualized. The physician's decision remains most important in AF management. Copyright © 2016. Published by Elsevier B.V.

  17. New Product Development for Green and Low-Carbon Products—A Case Study of Taiwan's TFT-LCD Manufacturer

    NASA Astrophysics Data System (ADS)

    Lin, Chun-Yu; Lee, Amy H. I.

    2011-11-01

    Green supply chain has become an important topic these days due to pollution, global warming, extreme climatic events, etc. A green product is manufactured with the goal of reducing the damage to the environment and limiting the use of energy and other resources at any stage of its life, including raw materials, manufacture, use, and disposal. Carbon footprint is a good measure of the impact that a product has on the environment, especially in climate change, in the entire lifetime of the product. Carbon footprint is directly linked to CO2 emission; thus, the reduction of CO2 emission must be considered in the product life cycle. Although more and more researchers are working on the green supply chain management in the past few years, few have incorporated CO2 emission or carbon footprint into the green supply chain system. Therefore, this research aims to propose an integrated model for facilitating the new product development (NPD) for green and low-carbon products. In this research, a systematic model based on quality function deployment (QFD) is constructed for developing green and low-carbon products in a TFT-LCD manufacturer. Literature review and interviews with experts are done first to collect the factors for developing and manufacturing green and low-carbon products. Fuzzy Delphi method (FDM) is applied next to extract the important factors, and fuzzy interpretive structural modeling (FISM) is used subsequently to understand the relationships among factors. A house of quality (HOQ) for product planning is built last. The results shall provide important information for a TFT-LCD firm in designing a new product.

  18. Semiconductor films on flexible iridium substrates

    DOEpatents

    Goyal, Amit

    2005-03-29

    A laminate semiconductor article includes a flexible substrate, an optional biaxially textured oxide buffer system on the flexible substrate, a biaxially textured Ir-based buffer layer on the substrate or the buffer system, and an epitaxial layer of a semiconductor. Ir can serve as a substrate with an epitaxial layer of a semiconductor thereon.

  19. Space programs in Taiwan

    NASA Astrophysics Data System (ADS)

    Lee, Lou-Chuang; Chang, Guey-Shin; Ting, Nan-Hong

    2013-10-01

    Taiwan's current and future space programs are briefly introduced in this paper. The National Space Organization (NSPO) in Taiwan has successfully carried out three satellite programs (FORMOSAT-1, 2, &3) since its establishment in 1991. FORMOSAT-1 is a scientific satellite performing three scientific experiments for measuring the density, velocity and temperature of ionospheric plasmas, taking the ocean color image, and conducting Ka-band communication experiments. Equipped with a 2m ground resolution remote sensing instrument, FORMOSAT-2 operates in a sun-synchronous orbit with revisit time equal to one day. This unique feature of the daily revisit capability is significantly useful for post disaster assessment and environmental monitoring. FORMOSAT-2 also carries a scientific payload "Imager of Sprites and Upper Atmospheric Lightning (ISUAL)". ISUAL provides the world's first long-term satellite observations on the lighting phenomenon in the earth's upper atmosphere. FORMOSAT-3 is a constellation of six micro-satellites to collect atmospheric and ionospheric data for weather prediction and for climate, ionosphere, and geodesy research. FORMOSAT-3 has demonstrated the ability to significantly increase the accuracy of weather forecasting by utilizing the GPS Radio Occultation (GPS-RO) technique. Currently, NSPO is pursuing the follow-on space missions of FORMOSAT-5 and FORMOSAT-7. FORMOSAT-5 will be the first to utilize a CMOS detector on a high-resolution earth-observation camera. FORMOSAT-7 is a joint mission of Taiwan/US to deploy a 12-satellite constellation operational system to provide dense and timely GNSS RO data to the global communities for real-time weather forecast as well as space science research.

  20. Charge regulation at semiconductor-electrolyte interfaces.

    PubMed

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2015-07-01

    The interface between a semiconductor material and an electrolyte solution has interesting and complex electrostatic properties. Its behavior will depend on the density of mobile charge carriers that are present in both phases as well as on the surface chemistry at the interface through local charge regulation. The latter is driven by chemical equilibria involving the immobile surface groups and the potential determining ions in the electrolyte solution. All these lead to an electrostatic potential distribution that propagate such that the electrolyte and the semiconductor are dependent on each other. Hence, any variation in the charge density in one phase will lead to a response in the other. This has significant implications on the physical properties of single semiconductor-electrolyte interfaces and on the electrostatic interactions between semiconductor particles suspended in electrolyte solutions. The present paper expands on our previous publication (Fleharty et al., 2014) and offers new results on the electrostatics of single semiconductor interfaces as well as on the interaction of charged semiconductor colloids suspended in electrolyte solution. Copyright © 2014 Elsevier Inc. All rights reserved.

  1. Pyogenic Liver Abscess as Endemic Disease, Taiwan

    PubMed Central

    Tsai, Feng-Chiao; Huang, Yu-Tsung; Chang, Luan-Yin

    2008-01-01

    Pyogenic liver abscess has become a health problem in Taiwanese society. However, the extent of this problem has remained unclear because of the lack of a population-based study. We therefore performed a nationwide analysis of pyogenic liver abscess in Taiwan from 1996 through 2004. We analyzed 29,703 cases from the Taiwan National Health Insurance database and 506 cases from National Taiwan University Hospital. Our analysis showed that the annual incidence of pyogenic liver abscess increased steadily from 11.15/100,000 population in 1996 to 17.59/100,000 in 2004. Diabetes, malignancy, renal disease, and pneumonia were associated with a higher risk for the disease. By contrast, death due to pyogenic liver abscess decreased over time, although population-based abscess-related death increased slightly. Renal disease, malignancy, pneumonia, and heart disease correlated with higher death rates; Klebsiella pneumoniae infection and therapeutic procedures were related to lower death rates. Diabetes did not significantly change death rates for the 506 patients from the hospital. PMID:18826824

  2. Hydrogen Sensors Using Nitride-Based Semiconductor Diodes: The Role of Metal/Semiconductor Interfaces

    PubMed Central

    Irokawa, Yoshihiro

    2011-01-01

    In this paper, I review my recent results in investigating hydrogen sensors using nitride-based semiconductor diodes, focusing on the interaction mechanism of hydrogen with the devices. Firstly, effects of interfacial modification in the devices on hydrogen detection sensitivity are discussed. Surface defects of GaN under Schottky electrodes do not play a critical role in hydrogen sensing characteristics. However, dielectric layers inserted in metal/semiconductor interfaces are found to cause dramatic changes in hydrogen sensing performance, implying that chemical selectivity to hydrogen could be realized. The capacitance-voltage (C–V) characteristics reveal that the work function change in the Schottky metal is not responsible mechanism for hydrogen sensitivity. The interface between the metal and the semiconductor plays a critical role in the interaction of hydrogen with semiconductor devises. Secondly, low-frequency C–V characterization is employed to investigate the interaction mechanism of hydrogen with diodes. As a result, it is suggested that the formation of a metal/semiconductor interfacial polarization could be attributed to hydrogen-related dipoles. In addition, using low-frequency C–V characterization leads to clear detection of 100 ppm hydrogen even at room temperature where it is hard to detect hydrogen by using conventional current-voltage (I–V) characterization, suggesting that low-frequency C–V method would be effective in detecting very low hydrogen concentrations. PMID:22346597

  3. Method for removing semiconductor layers from salt substrates

    DOEpatents

    Shuskus, Alexander J.; Cowher, Melvyn E.

    1985-08-27

    A method is described for removing a CVD semiconductor layer from an alkali halide salt substrate following the deposition of the semiconductor layer. The semiconductor-substrate combination is supported on a material such as tungsten which is readily wet by the molten alkali halide. The temperature of the semiconductor-substrate combination is raised to a temperature greater than the melting temperature of the substrate but less than the temperature of the semiconductor and the substrate is melted and removed from the semiconductor by capillary action of the wettable support.

  4. The Buddha and the Computer: Career Guidance in Taiwan.

    ERIC Educational Resources Information Center

    Katz, Martin R.

    Career decision making in Taiwan was examined in the context of a society undergoing dynamic change as modern entrepreneurial values are accommodated in a cherished traditional culture. Especially during the past 20 years, the economy of Taiwan has changed from agriculture to technology. At the same time, the educational needs of students have…

  5. Higher Education Research Community in Taiwan: An Emerging Field

    ERIC Educational Resources Information Center

    Chan, Sheng-Ju; Chan, Ying

    2015-01-01

    This paper aims to explore the evolution and characteristics of the higher education research community in Taiwan. In echoing the development of the East Asian region, Taiwan has made substantial progress during the past two decades. The massification of higher education itself has played a major role in promoting the academic differentiation or…

  6. Teacher Preparation for Early Childhood: Special Education in Taiwan

    ERIC Educational Resources Information Center

    Ho, Hua-Kuo

    2014-01-01

    The purpose of this paper is intended to present the current model of teacher preparation for early childhood special education in Taiwan. Documentary analysis was conducted in the study to collect and analyze the obtained data. The main features of teacher preparation policies for early childhood special education in Taiwan could be summarized…

  7. Typhoon induced summer cold shock advected by Kuroshio off eastern Taiwan

    NASA Astrophysics Data System (ADS)

    Kuo, Yi-Chun; Zheng, Zhe-Wen; Zheng, Quanan; Gopalakrishnan, Ganesh; Lee, Chia-Ying; Chern, Shi-We; Chao, Yan-Hao

    2017-01-01

    In this study, we used satellite observations, in-situ measurements, and numerical modelling to investigate an extreme temperature change triggered by a typhoon in the ocean near the Kuroshio region off eastern Taiwan. With the westward passage of Typhoon Morakot in 2009 through Taiwan, a distinct cool wake was generated at the southeastern corner of Taiwan (CWSET) and moved towards the downstream Kuroshio region; it involved a precipitous cooling of at least 4 °C within 10-20 km of the coast. Rapid and drastic temperature drops triggered by the CWSET and advected by the strong conveyor belt effect of the Kuroshio Current are highly probable sources of cold shocks in summer. We clarified the mechanism that generated the CWSET through a series of sensitivity experiments using the Regional Oceanic Modeling System. The cold shock was mainly triggered by local wind stress associated with the typhoon. In addition, the Kuroshio Current was demonstrated to have played a crucial role in both the generation of upwelling off the southeastern coast of Taiwan during the passage of the typhoon and the transporting of this impact downstream. This process was verified through a systematic analysis of all typhoons moving westward through Taiwan from 2005 to 2013. Cold-shock stress is thought to be linked with naturally occurring 'fish kills', and obtaining a more thorough understanding of the CWSET will be helpful for protecting aquaculture off the eastern coast of Taiwan from the impacts of cold shocks triggered by typhoons moving westward through Taiwan in summer.

  8. Pulmonary function, respiratory symptoms, and dust exposures among workers engaged in early manufacturing processes of tea: a cohort study.

    PubMed

    Shieh, Tzong-Shiun; Chung, Jui-Jung; Wang, Chung-Jing; Tsai, Perng-Jy; Kuo, Yau-Chang; Guo, How-Ran

    2012-02-13

    To evaluate pulmonary function and respiratory symptoms in workers engaged in the early manufacturing processes of tea and to identify the associated factors, we conducted a study in a tea production area in Taiwan. We recruited tea workers who engaged in the early manufacturing process in the Mountain Ali area in Taiwan and a comparison group of local office workers who were matched for age, gender, and smoking habits. We performed questionnaire interviews, pulmonary function tests, skin prick tests, and measurement of specific IgE for tea on the participants and assessed tea dust exposures in the tea factories. The 91 participating tea workers had higher prevalence of respiratory symptoms than the comparison group (32 participants). Among tea workers, ball-rolling workers had the highest prevalence of symptoms and the highest exposures of inhalable dusts. At baseline, tea workers had similar pulmonary functions as the comparison group, but compared to the other tea workers ball-rolling workers had a lower ratio of the 1-second forced expiratory volume to forced vital capacity (FEV1/FVC) and a lower maximal mid-expiratory flow rate expressed as% of the predicted value--MMF (%pred). A total of 58 tea workers participated in the on-site investigation and the cross-shift lung function measurements. We found ball-rolling yielded the highest inhalable dust level, panning yielded the highest respirable dust level, and withering yielded the lowest levels of both dusts. Ball-rolling also yielded the highest coarse fraction (defined as inhalable dusts minus respirable dusts), which represented exposures from nose to tracheobronchial tract. During the shift, we observed significant declines in pulmonary function, especially in ball-rolling workers. Multiple regressions showed that age, height, work tasks, coarse fraction, and number of months working in tea manufacturing each year were independent predictors of certain pulmonary function parameters in tea workers. Tea

  9. Thiophene-Based Organic Semiconductors.

    PubMed

    Turkoglu, Gulsen; Cinar, M Emin; Ozturk, Turan

    2017-10-24

    Thiophene-based π-conjugated organic small molecules and polymers are the research subject of significant current interest owing to their potential use as organic semiconductors in material chemistry. Despite simple and similar molecular structures, the hitherto reported properties of thiophene-based organic semiconductors are rather diverse. Design of high performance organic semiconducting materials requires a thorough understanding of inter- and intra-molecular interactions, solid-state packing, and the influence of both factors on the charge carrier transport. In this chapter, thiophene-based organic semiconductors, which are classified in terms of their chemical structures and their structure-property relationships, are addressed for the potential applications as organic photovoltaics (OPVs), organic field-effect transistors (OFETs) and organic light emitting diodes (OLEDs).

  10. Seismic Migration Imaging of the Crust and Upper Mantle Discontinuity Structure beneath Southern Taiwan

    NASA Astrophysics Data System (ADS)

    Liu, Y.-S.; Kuo, B.-Y.

    2009-04-01

    Taiwan is located in the convergent plate boundary zone where the Philippine Sea plate has obliquely collided on the Asian continental margin, initiating the arc-continent collision and subsequent mountain-building in Taiwan. Receiver function has been a powerful tool to image seismic velocity discontinuity structure in the crust and upper mantle which can help illuminate the deep dynamic process of active Taiwan orogeny. In this study, we adopt backprojection migration processing of teleseismic receiver functions to investigate the crust and upper mantle discontinuities beneath southern Taiwan, using the data from Southern Taiwan Transect Seismic Array (STTA), broadband stations of Central Weather Bureau (CWB), Broadband Array in Taiwan for Seismology (BATS), and Taiwan Integrated Geodynamics Research (TAIGER). This composite east-west trending linear array has the aperture of about 150 km with the station spacing of ~5-10 km. Superior to the common midpoint (CMP) stack approach, the migration can properly image the dipping, curved, or laterally-varying topography of discontinuous interfaces which very likely exist under the complicated tectonic setting of Taiwan. We first conduct synthetic experiments to test the depth and lateral resolution of migration images based on the WKBJ synthetic waveforms calculated from available source and receiver distributions. We will next construct the 2-D migration image under the array to reveal the topographic variation of the Moho and lithosphere discontinuities beneath southern Taiwan.

  11. Manipulating semiconductor colloidal stability through doping.

    PubMed

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2014-10-10

    The interface between a doped semiconductor material and electrolyte solution is of considerable fundamental interest, and is relevant to systems of practical importance. Both adjacent domains contain mobile charges, which respond to potential variations. This is exploited to design electronic and optoelectronic sensors, and other enabling semiconductor colloidal materials. We show that the charge mobility in both phases leads to a new type of interaction between semiconductor colloids suspended in aqueous electrolyte solutions. This interaction is due to the electrostatic response of the semiconductor interior to disturbances in the external field upon the approach of two particles. The electrostatic repulsion between two charged colloids is reduced from the one governed by the charged groups present at the particles surfaces. This type of interaction is unique to semiconductor particles and may have a substantial effect on the suspension dynamics and stability.

  12. Semiconductor Laser Low Frequency Noise Characterization

    NASA Technical Reports Server (NTRS)

    Maleki, Lute; Logan, Ronald T.

    1996-01-01

    This work summarizes the efforts in identifying the fundamental noise limit in semiconductor optical sources (lasers) to determine the source of 1/F noise and it's associated behavior. In addition, the study also addresses the effects of this 1/F noise on RF phased arrays. The study showed that the 1/F noise in semiconductor lasers has an ultimate physical limit based upon similar factors to fundamental noise generated in other semiconductor and solid state devices. The study also showed that both additive and multiplicative noise can be a significant detriment to the performance of RF phased arrays especially in regard to very low sidelobe performance and ultimate beam steering accuracy. The final result is that a noise power related term must be included in a complete analysis of the noise spectrum of any semiconductor device including semiconductor lasers.

  13. Separation or Unification for Taiwan: An Economic Comparison.

    DTIC Science & Technology

    1996-09-01

    Table 11-9. Average Size of Taiwan’s FDI in SE Asia (Cumulative through 1993) Host Country $M per Project Indonesia 13.50 Vietnam 13.09 Malaysia ...leaders. By April 1996, Taiwan had signed investment protection agreements with Indonesia, Malaysia , the Philippines, Singapore, and Vietnam and...and Surabaya, Indonesia; Penang, Malaysia ; Laos; and Subic Bay, the Philippines. See Chen Hurng-yu (1994), 128. The first stage of the Subic Bay

  14. Establishment of Vespa bicolor in Taiwan (Hymenoptera: Vespidae)

    PubMed Central

    Sung, I-Hsin; Lu, Sheng-Shan; Chao, Jung-Tai; Yeh, Wen-Chi; Lee, Wei-Jie

    2014-01-01

    Abstract The establishment of a hornet, Vespa bicolor F., in Taiwan was confirmed based on successful field collection of adults of both sexes and two subterranean colonies. Information on nesting habitat, nest measurement, and colony composition of this species are provided in this article. V. bicolor is the ninth hornet species ever recorded from Taiwan. Possible pathway for the introduction of this alien species is also discussed. PMID:25434034

  15. Transfusion-acquired AIDS in Taiwan.

    PubMed

    Yao, C; Wang, W W; Chung, Y M; Su, Y L; Liu, C Y; Chen, Y M

    1996-01-01

    Human immunodeficiency virus type 1 (HIV-1) can be transmitted through blood transfusion. The first transfusion-acquired immunodeficiency syndrome (AIDS) patient in Taiwan was a 46-year-old woman who received two units of whole blood during a hysterectomy at a provincial hospital in 1985. In 1991, she experienced a herpes zoster infection. In March 1993, she had extensive herpetic gingivostomatitis and another herpes zoster attack, and was treated at the same hospital. Two months later, she had oral candidiasis and was treated at a medical center. She was not tested for HIV-1 infection until she developed Pneumocystis carinii pneumonia in June 1993. In February 1994, and developed cytomegalovirus retinitis and died 6 months later. Donor blood given to the patients during the hysterectomy was HIV-1 positive. The donor's HIV infection was discovered in 1991 and he died of AIDS in 1993. As blood centers in Taiwan did not start screening for HIV-1 until January 1988, it is urgently recommended that any individual who received a blood transfusion between 1984 and 1987 in Taiwan and who currently experiences repeated episodes of opportunistic infections have an HIV-1 blood test. The receipt of a blood transfusion between 1984 and 1987 should be listed by the Department of Health as an indication for HIV-1 screening.

  16. Semiconductor devices having a recessed electrode structure

    DOEpatents

    Palacios, Tomas Apostol; Lu, Bin; Matioli, Elison de Nazareth

    2015-05-26

    An electrode structure is described in which conductive regions are recessed into a semiconductor region. Trenches may be formed in a semiconductor region, such that conductive regions can be formed in the trenches. The electrode structure may be used in semiconductor devices such as field effect transistors or diodes. Nitride-based power semiconductor devices are described including such an electrode structure, which can reduce leakage current and otherwise improve performance.

  17. Diode having trenches in a semiconductor region

    DOEpatents

    Palacios, Tomas Apostol; Lu, Bin; Matioli, Elison de Nazareth

    2016-03-22

    An electrode structure is described in which conductive regions are recessed into a semiconductor region. Trenches may be formed in a semiconductor region, such that conductive regions can be formed in the trenches. The electrode structure may be used in semiconductor devices such as field effect transistors or diodes. Nitride-based power semiconductor devices are described including such an electrode structure, which can reduce leakage current and otherwise improve performance.

  18. A zonation technique for landslide susceptibility in southern Taiwan

    NASA Astrophysics Data System (ADS)

    Chiang, Jie-Lun; Tian, Yu-Qing; Chen, Yie-Ruey; Tsai, Kuang-Jung

    2016-04-01

    In recent years, global climate changes violently, extreme rainfall events occur frequently and also cause massive sediment related disasters in Taiwan. The disaster seriously hit the regional economic development and national infrastructures. For example, in August, 2009, the typhoon Morakot brought massive rainfall especially in the mountains in Chiayi County and Kaohsiung County in which the cumulative maximum rainfall was up to 2900 mm; meanwhile, the cumulative maximum rainfall was over 1500m.m. in Nantou County, Tainan County and Pingtung County. The typhoon caused severe damage in southern Taiwan. The study will search for the influence on the sediment hazards caused by the extreme rainfall and hydrological environmental changes focusing on southern Taiwan (including Chiayi, Tainan, Kaohsiung and Pingtung). The instability index and kriging theories are applied to analyze the factors of landslide to determine the susceptibility in southern Taiwan. We collected the landslide records during the period year, 2007~2013 and analyzed the instability factors including elevation, slope, aspect, soil, and geology. Among these factors, slope got the highest weight. The steeper the slope is, the more the landslides occur. As for the factor of aspect, the highest probability falls on the Southwest. However, this factor has the lowest weight among all the factors. Likewise, Darkish colluvial soil holds the highest probability of collapses among all the soils. Miocene middle Ruifang group and its equivalents have the highest probability of collapses among all the geologies. In this study, Kriging was used to establish the susceptibility map in southern Taiwan. The instability index above 4.21 can correspond to those landslide records. The potential landslide area in southern Taiwan, where collapses more likely occur, belongs to high level and medium-high level; the area is 5.12% and 17.81% respectively.

  19. Characteristics and management of infectious industrial waste in Taiwan

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang, M.-C.; Lin, Jim Juimin

    Infectious industrial waste management in Taiwan is based on the specific waste production unit. In other countries, management is based simply on whether the producer may lead to infectious disease. Thus, Taiwan has a more detailed classification of infectious waste. The advantage of this classification is that it is easy to identify the sources, while the disadvantage lies in the fact that it is not flexible and hence increases cost. This study presents an overview of current management practices for handling infectious industrial waste in Taiwan, and addresses the current waste disposal methods. The number of small clinics in Taiwanmore » increased from 18,183 to 18,877 between 2003 and 2005. Analysis of the data between 2003 and 2005 showed that the majority of medical waste was general industrial waste, which accounted for 76.9%-79.4% of total medical waste. Infectious industrial waste accounted for 19.3%-21.9% of total medical waste. After the SARS event in Taiwan, the amount of infectious waste reached 19,350 tons in 2004, an increase over the previous year of 4000 tons. Waste minimization was a common consideration for all types of waste treatment. In this study, we summarize the percentage of plastic waste in flammable infectious industrial waste generated by medical units, which, in Taiwan was about 30%. The EPA and Taiwan Department of Health have actively promoted different recycling and waste reduction measures. However, the wide adoption of disposable materials made recycling and waste reduction difficult for some hospitals. It has been suggested that enhancing the education of and promoting communication between medical units and recycling industries must be implemented to prevent recyclable waste from entering the incinerator.« less

  20. [The parenting practices of transnational marriage mothers in Taiwan].

    PubMed

    Chuang, Li-Yu; Shu, Bih-Ching; Huang, Chiung-Chen

    2013-02-01

    Childhood experience is a cornerstone of personality development. A child's cognitive function, self-concept, and behavioral development relate significantly to parental attitudes as well as to the way they were treated during childhood. The literature suggests a significant association between parenting practices and the mental health of the parents, temperament of the child, and socio-cultural factors. Raising children is typically central to the life of transnational marriage women living in Taiwan. They view parenting children as a life transforming experience. However, they must invest more effort than local mothers to survive in Taiwan. Thus, it is worth investigating the parenting practices of this significant subset of Taiwan's population. This paper applied parenting concepts to describe the condition and possible problems of immigrant women in parenting children. Based on study results, we summarize transnational marriage and its impact on parenting practices. The authors hope this paper provides information useful to identifying parenting difficulties faced by immigrant mothers so that healthcare professionals can provide relevant information and assistance to improve overall parenting practices and benefit the development of Taiwan's youngest generation.

  1. From subduction to collision: results of French POP2 program on Taiwan-Philippine festoon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blanchet, R.; Stephan, J.F.; Rangin, C.

    1986-07-01

    A sea-beam, seismic, magnetic, and gravimetric survey was conducted with the R/V Jean-Charcot in three key regions off the Taiwan-Philippine festoon in the western Pacific: (1) Ryukyu active margin and its junction with Taiwan; (2) northern part of the Manila Trench and its junction with the Taiwan tectonic prism; and (3) southern termination of Manila Trench in front of Mindoro Island. Transitions between active subduction along the Manila Trench and collision of Taiwan and Mindoro, and relations between active subduction and extension in the Okinawa-Ryukyu and the northeastern Taiwan systems are particularly studied.

  2. Flat-plate solar array project: Experimental process system development unit for producing semiconductor-grade silicon using the silane-to-silicon process

    NASA Technical Reports Server (NTRS)

    1983-01-01

    The process technology for the manufacture of semiconductor-grade silicon in a large commercial plant by 1986, at a price less than $14 per kilogram of silicon based on 1975 dollars is discussed. The engineering design, installation, checkout, and operation of an Experimental Process System Development unit was discussed. Quality control of scaling-up the process and an economic analysis of product and production costs are discussed.

  3. Climate change impacts in Zhuoshui watershed, Taiwan

    NASA Astrophysics Data System (ADS)

    Chao, Yi-Chiung; Liu, Pei-Ling; Cheng, Chao-Tzuen; Li, Hsin-Chi; Wu, Tingyeh; Chen, Wei-Bo; Shih, Hung-Ju

    2017-04-01

    There are 5.3 typhoons hit Taiwan per year on average in last decade. Typhoon Morakot in 2009, the most severe typhoon, causes huge damage in Taiwan, including 677 casualty and roughly NT 110 billion (3.3 billion USD) in economic loss. Some researches documented that typhoon frequency will decrease but increase in intensity in western North Pacific region. It is usually preferred to use high resolution dynamical model to get better projection of extreme events; because coarse resolution models cannot simulate intense extreme events. Under that consideration, dynamical downscaling climate data was chosen to describe typhoon satisfactorily. One of the aims for Taiwan Climate Change Projection and Information Platform (TCCIP) is to demonstrate the linkage between climate change data and watershed impact models. The purpose is to understand relative disasters induced by extreme rainfall (typhoons) under climate change in watersheds including landslides, debris flows, channel erosion and deposition, floods, and economic loss. The study applied dynamic downscaling approach to release climate change projected typhoon events under RCP 8.5, the worst-case scenario. The Transient Rainfall Infiltration and Grid-Based Regional Slope-Stability (TRIGRS) and FLO-2D models, then, were used to simulate hillslope disaster impacts in the upstream of Zhuoshui River. CCHE1D model was used to elevate the sediment erosion or deposition in channel. FVCOM model was used to asses a flood impact in urban area in the downstream. Finally, whole potential loss associate with these typhoon events was evaluated by the Taiwan Typhoon Loss Assessment System (TLAS) under climate change scenario. Results showed that the total loss will increase roughly by NT 49.7 billion (1.6 billion USD) in future in Zhuoshui watershed in Taiwan. The results of this research could help to understand future impact; however model bias still exists. Because typhoon track is a critical factor to consider regional

  4. Influence of Asian dust storms on air quality in Taiwan.

    PubMed

    Liu, Chung-Ming; Young, Chea-Yuan; Lee, Yen-Chih

    2006-09-15

    In each year, dust storms triggered by cold air masses passing through northern China and Mongolia enhance the PM10 concentration over Taiwan region during winter and spring. On average, there are four to five dust events and 6.1 dust days in a year in Taiwan. Each event lasts for 1 day or even longer. A procedure to identify a dust event is rationalized and exercised on data collected during 1994-2005. Also, a ranking method named as the dust intensity rank (DIR) is developed to distinguish the intensity of each event affecting the local air quality. About 86% of dust days belong to ranks 1 and 2. In general, poorer air quality is associated with higher ranks. Ranks 4 and 5 correspond to a PSI (Pollution Standard Index) larger than 100. Linking DIR with the popular PSI is useful for both the public and the official forecasting system. It is also useful for inter-comparison between dust influences on air quality at different downstream regions in Taiwan. Composite analyses of the temporal and spatial variation of the hourly PM10 level indicate that dust particles usually arrive 12 h before the time of the peak PM10 concentration and last for 36 h at northern Taiwan, while the time of the peak concentration at eastern or western Taiwan, due to the evolution of the synoptic weather system, is about 3-12 h later. It is noted that the increase of PM10 level at the western side of Taiwan results from a mixture of upstream Asian dust inputs and local pollutants.

  5. A Re-Examination of the Suicide Rates in Taiwan

    ERIC Educational Resources Information Center

    Chuang, Hwei-Lin; Huang, Wei-Chiao

    2007-01-01

    This paper examines the suicide rates of 23 cities and counties in Taiwan from 1983 to 2001. We found that a combination of economic and social variables can significantly account for the tremendous variations in suicide rates across Taiwan's cities and counties over the last two decades. The level of income per capita in a region appears as the…

  6. Plate Tectonics and Taiwan Orogeny based on TAIGER Experiments

    NASA Astrophysics Data System (ADS)

    Wu, F. T.; Kuochen, H.; McIntosh, K. D.

    2014-12-01

    Plate tectonics framework is usually complex in a collision zone, where continental lithosphere is involved. In the young Taiwan orogeny, with geologic understanding and large new geodetic and subsurface datasets now available an environment has been created for testing tectonic hypotheses regarding collision and orogeny. Against the background of the commonly accepted view of Taiwan as a southward propagating, self-similar 2-D orogen, a fully 3-D structure is envisaged. Along the whole length of the island the convergence of the Eurasian plate (EUP) the Philippine Sea plate (PSP) takes shape with different plate configurations. In northern Taiwan the convergence occurs with simultaneous collision of the oceanic PSP with continental EUP and the northward subduction of the PSP; in the south, EUP, in the guise of the South China Sea rifted Eurasian continent, subducts toward the east; in central Taiwan collision of oceanic PSP with continental EUP dominates. When relocated seismicity and focal mechanisms are superposed on subsurface P and Vp/Vs velocity images the configurations and the kinematics of the PSP and EUP collision and subduction become clear. While in northern Taiwan the subduction/collision explains well the high peaks and their dwindling (accompanied by crustal thinning) toward the north. In the south, mountains rise above the east-dipping EUP subduction zone as the Eurasian continental shelf veers toward the southwest, divergent from the trend of the Luzon Arc - calling into question the frequently cited arc-continent collision model of Taiwan orogeny. High velocity anomaly and Benioff seismicity coexist in the south. Going north toward Central Taiwan the high velocity anomaly persists for another 150 km or so, but it becomes seismically quiescent. Above the quiescent section the PSP and EUP collide to build the main part of the Central Range and its parallel neighbor the eastern Coastal Range. Key implications regarding orogeny include: 1) Significant

  7. A Sketch of the Taiwan Zebrafish Core Facility.

    PubMed

    You, May-Su; Jiang, Yun-Jin; Yuh, Chiou-Hwa; Wang, Chien-Ming; Tang, Chih-Hao; Chuang, Yung-Jen; Lin, Bo-Hung; Wu, Jen-Leih; Hwang, Sheng-Ping L

    2016-07-01

    In the past three decades, the number of zebrafish laboratories has significantly increased in Taiwan. The Taiwan Zebrafish Core Facility (TZCF), a government-funded core facility, was launched to serve this growing community. The Core Facility was built on two sites, one located at the National Health Research Institutes (NHRI, called Taiwan Zebrafish Core Facility at NHRI or TZeNH) and the other is located at the Academia Sinica (Taiwan Zebrafish Core Facility at AS a.k.a. TZCAS). The total surface area of the TZCF is about 180 m(2) encompassing 2880 fish tanks. Each site has a separate quarantine room and centralized water recirculating systems, monitoring key water parameters. To prevent diseases, three main strategies have been implemented: (1) imported fish must be quarantined; (2) only bleached embryos are introduced into the main facilities; and (3) working practices were implemented to minimize pathogen transfer between stocks and facilities. Currently, there is no health program in place; however, a fourth measure for the health program, specific regular pathogen tests, is being planned. In March 2015, the TZCF at NHRI has been AAALAC accredited. It is our goal to ensure that we provide "disease-free" fish and embryos to the Taiwanese research community.

  8. Stockpile Model of Personal Protective Equipment in Taiwan

    PubMed Central

    Chen, Yu-Ju; Cheng, Yu-Hsin; Huang, Chun-Wei; Kao, Hui-Yun; Chang, Chih-Kai; Huang, Hsun-Miao; Liu, Pei-Yin; Wang, Jen-Hsin; Chih, Yi-Chien; Chou, Shu-Mei; Yang, Chin-Hui; Chen, Chang-Hsun

    2017-01-01

    The Taiwan Centers for Disease Control (Taiwan CDC) has established a 3-tier personal protective equipment (PPE) stockpiling framework that could maintain a minimum stockpile for the surge demand of PPE in the early stage of a pandemic. However, PPE stockpiling efforts must contend with increasing storage fees and expiration problems. In 2011, the Taiwan CDC initiated a stockpile replacement model in order to optimize the PPE stockpiling efficiency, ensure a minimum stockpile, use the government's limited funds more effectively, and achieve the goal of sustainable management. This stockpile replacement model employs a first-in-first-out principle in which the oldest stock in the central government stockpile is regularly replaced and replenished with the same amount of new and qualified products, ensuring the availability and maintenance of the minimum stockpiles. In addition, a joint electronic procurement platform has been established for merchandising the replaced PPE to local health authorities and medical and other institutions for their routine or epidemic use. In this article, we describe the PPE stockpile model in Taiwan, including the 3-tier stockpiling framework, the operational model, the components of the replacement system, implementation outcomes, epidemic supports, and the challenges and prospects of this model. PMID:28418743

  9. Geographic Variation in Cancer Incidence among Children and Adolescents in Taiwan (1995-2009).

    PubMed

    Hung, Giun-Yi; Horng, Jiun-Lin; Yen, Hsiu-Ju; Lee, Chih-Ying; Lee, Yu-Sheng

    2015-01-01

    Evidence from our recent study suggested that the overall trend for cancer incidence in children and adolescents has been increasing in Taiwan. To analyze geographic variations in this trend, cancer frequencies and incidence rates of disease groups were quantified according to geographic areas among 12,633 patients aged <20 years during 1995-2009 by using the population-based Taiwan Cancer Registry. Three geographic levels were defined, namely county or city, region (Northern, Central, Southern, and Eastern Taiwan), and local administrative area (special municipality, provincial city, county-administered city, township, and aboriginal area). Of the regions, Northern Taiwan had the highest incidence rate at 139.6 per million person-years, followed by Central (132.8), Southern (131.8), and Eastern (128.4) Taiwan. Significantly higher standardized rate ratios (SRRs) were observed in Northern Taiwan (SRR = 1.06, 95% confidence interval [CI] = 1.02-1.10) and at the township level (SRR = 1.07, 95% CI = 1.03-1.11). Of the cities or counties, New Taipei City yielded the highest SRR (1.08), followed by Taipei City (SRR = 1.07). A comparison of the rates in the four regions and the remainder of Taiwan according to cancer type revealed that only the rate of neuroblastomas in Eastern Taiwan was significantly low. Trend analysis showed that the most significant increase in incidence rate was observed at the township level, with an annual percent change of 1.8% during the 15-year study period. The high rate of childhood cancer in Northern Taiwan and at the township level deserves further attention. The potential impacts of environmental factors on the upward trend of childhood cancer incidence rate in townships warrant further investigation.

  10. Impact of Excellence Programs on Taiwan Higher Education in Terms of Quality Assurance and Academic Excellence, Examining the Conflicting Role of Taiwan's Accrediting Agencies

    ERIC Educational Resources Information Center

    Hou, Angela Yung-chi

    2012-01-01

    Higher Education Evaluation & Accreditation Council of Taiwan (HEEACT) was established in 2005 and began to accredit 76 four-year comprehensive universities and colleges in Taiwan in 2006. Commissioned officially with a dual mission, HEEACT has been encouraged to conduct various ranking research projects, including global and national ones…

  11. [The internationalization of the nursing profession in Taiwan].

    PubMed

    Chuang, Hsiao-Ling; Wang, Cheng-Ching; Kuo, Pi-Chao

    2011-06-01

    Globalization, nursing manpower migration, and the multinational nature of the medical industry have increased the level of internationalization in Taiwan's nursing profession. In nursing practice, competencies for the general nursing list (Taiwan version) and ICNP (International Classification for Nursing Practice) have been clinically tested and revised. In academic nursing, significant effort is invested toward achieving the three general objectives of internationalized teaching, internationalized campuses, and international academic exchanges. We should further test and revise Taiwan's competencies for the general nursing list, and the ICNP should be continually tested and revised. Additionally, nursing personnel should strengthen foreign language competencies, appreciate different nursing practice cultures, participate in international exchange activities, and place increasing emphasis on international cooperation in research and nursing education accreditation. Such should further enhance and strengthen international cooperation, which should further encourage internationalization in the domestic nursing profession.

  12. Electron gas grid semiconductor radiation detectors

    DOEpatents

    Lee, Edwin Y.; James, Ralph B.

    2002-01-01

    An electron gas grid semiconductor radiation detector (EGGSRAD) useful for gamma-ray and x-ray spectrometers and imaging systems is described. The radiation detector employs doping of the semiconductor and variation of the semiconductor detector material to form a two-dimensional electron gas, and to allow transistor action within the detector. This radiation detector provides superior energy resolution and radiation detection sensitivity over the conventional semiconductor radiation detector and the "electron-only" semiconductor radiation detectors which utilize a grid electrode near the anode. In a first embodiment, the EGGSRAD incorporates delta-doped layers adjacent the anode which produce an internal free electron grid well to which an external grid electrode can be attached. In a second embodiment, a quantum well is formed between two of the delta-doped layers, and the quantum well forms the internal free electron gas grid to which an external grid electrode can be attached. Two other embodiments which are similar to the first and second embodiment involve a graded bandgap formed by changing the composition of the semiconductor material near the first and last of the delta-doped layers to increase or decrease the conduction band energy adjacent to the delta-doped layers.

  13. Geotransects in the Taiwan region

    NASA Astrophysics Data System (ADS)

    Yen, T. P.; Yeh, Yih-Hsing

    The Republic of China National Committee for the Inter-Union Commission on the Lithosphere organized an ad hoc working group in 1988 for compilation and construction of geotransects in the Taiwan region, a region considered by the scientific world as a classic example of collision and subduction of plates.Three geotransects have been constructed, with insufficient data: geotransect N along latitude 24°30‧N, geotransect M along latitude 23°30‧, and geotransect S along latitude 22°30‧N. The data used in the constructions are mainly scattered in publications on the geology and geophysics of Taiwan. The purpose of the study is to promote further investigation of the mechanism of plate motion in terms of geology and geophysics, to improve interpretation of the geotectonics of the region.

  14. Interdisciplinary, interinstitutional and international collaboration of family medicine researchers in Taiwan.

    PubMed

    Lin, Yi-Hsuan; Tseng, Yen-Han; Chang, Hsiao-Ting; Lin, Ming-Hwai; Tseng, Yen-Chiang; Chen, Tzeng-Ji; Hwang, Shinn-Jang

    2015-01-01

    The family medicine researches flourished worldwide in the past decade. However, the collaborative patterns of family medicine publications had not been reported. Our study analyzed the collaborative activity of family medicine researchers in Taiwan. We focused on the types of collaboration among disciplines, institutions and countries. We searched "family medicine" AND "Taiwan" in address field from Web of Science and documented the disciplines, institutions and countries of all authors. We analyzed the collaborative patterns of family medicine researchers in Taiwan from 2010 to 2014. The journal's impact factor of each article in the same publication year was also retrieved. Among 1,217 articles from 2010 to 2014, interdisciplinary collaboration existed in 1,185 (97.3%) articles, interinstitutional in 1,012 (83.2%) and international in 142 (11.7%). Public health was the most common collaborative discipline. All international researches were also interdisciplinary and interinstitutional. The United States (75 articles), the United Kingdom (21) and the People's Republic of China (20) were the top three countries with which family medicine researchers in Taiwan had collaborated. We found a high degree of interdisciplinary and interinstitutional collaboration of family medicine researches in Taiwan. However, the collaboration of family medicine researchers in Taiwan with family medicine colleagues of other domestic or foreign institutions was insufficient. The future direction of family medicine studies could focus on the promotion of communication among family medicine researchers.

  15. Extrusional Tectonics over Plate Corner: an Example in Northern Taiwan

    NASA Astrophysics Data System (ADS)

    Lu, Chia-Yu; Lee, Jian-Cheng; Li, Zhinuo; Lee, Ching-An; Yeh, Chia-Hung

    2016-04-01

    In northern Taiwan, contraction, transcurrent shearing, block rotation and extension are four essential tectonic deformation mechanisms involved in the progressive deformation of this arcuate collision mountain belt. The neotectonic evolution of the Taiwan mountain belt is mainly controlled not only by the oblique convergence between the Eurasian plate and the Philippine Sea plate but also the corner shape of the plate boundary. Based on field observations and analyses, and taking geophysical data (mostly GPS) and experimental modelling into account, we interpret the curved belt of northern Taiwan as a result of of contractional deformation (with compression, thrust-sheet stacking & folding, back thrust duplex & back folding) that induced vertical extrusion, combined with increasing transcurrent & rotational deformation (with transcurrent faulting, bookshelf-type strike-slip faulting and block rotation) that induced transcurrent/rotational extrusion and extension deformation which in turn induced extensional extrusion. As a consequence, a special type of extrusional folds was formed in association with contractional, transcurrent & rotational and extensional extrusions subsequently. The extrusional tectonics in northern Taiwan reflect a single, albeit complicated, regional pattern of deformation. The crescent-shaped mountain belt of Northeastern Taiwan develops in response to oblique indentation by an asymmetric wedge indenter, retreat of Ryukyu trench and opening of the Okinawa trough.

  16. Extrusional Tectonics at Plate Corner: an Example in Northern Taiwan

    NASA Astrophysics Data System (ADS)

    Lu, C. Y.; Lee, J. C.; Li, Z.; Yeh, C. H.; Lee, C. A.

    2015-12-01

    In northern Taiwan, contraction, transcurrent shearing, block rotation and extension are four essential tectonic deformation mechanisms involved in the progressive deformation of this arcuate collision mountain belt. The neotectonic evolution of the Taiwan mountain belt is mainly controlled not only by the oblique convergence between the Eurasian plate and the Philippine Sea plate but also the corner shape of the plate boundary. Based on field observations and analyses, and taking geophysical data (mostly GPS) and experimental modelling into account, we interpret the curved belt of northern Taiwan as a result of of contractional deformation (with compression, thrust-sheet stacking & folding, back thrust duplex & back folding) that induced vertical extrusion, combined with increasing transcurrent & rotational deformation (with transcurrent faulting, bookshelf-type strike-slip faulting and block rotation) that induced transcurrent/rotational extrusion and extension deformation which in turn induced extensional extrusion. As a consequence, a special type of extrusional folds was formed in association with contractional, transcurrent & rotational and extensional extrusions subsequently. The extrusional tectonics in northern Taiwan reflect a single, albeit complicated, regional pattern of deformation. The crescent-shaped mountain belt of Northeastern Taiwan develops in response to oblique indentation by an asymmetric wedge indenter and opening of the Okinawa trough at plate corner.

  17. Taiwan's Travel and Border Health Measures in Response to Zika.

    PubMed

    Ho, Li-Li; Tsai, Yu-Hui; Lee, Wang-Ping; Liao, Szu-Tsai; Wu, Li-Gin; Wu, Yi-Chun

    Zika virus has recently emerged as a worldwide public health concern. Travel and border health measures stand as one of the main strategies and frontline defenses in responding to international epidemics. As of October 31, 2016, Taiwan has reported 13 imported cases, 5 of which were detected through routine entry screening and active monitoring at international airports. This article shares Taiwan's disease surveillance activities at designated points of entry and travel and border health measures in response to Zika. The Taiwan government collaborates with its tourism industry to disseminate information about precautionary measures and encourages tour guides to report suspected individuals or events to activate early response measures. Taiwan also engages in vector control activities at points of entry, including targeting aircraft from countries where vector-borne diseases are endemic, implementing mosquito sweep measures, and collecting vector surveillance data. In future emerging and reemerging disease events, entry surveillance at designated points of entry may enable early detection of diseases of international origin and more rapid activation of public health preparedness activities and international collaboration. Taiwan will continue to maximize border and travel health measures in compliance with IHR (2005) requirements, which rely on continued risk assessment, practical implementation activities, and engagement with all stakeholders.

  18. Taiwan's Travel and Border Health Measures in Response to Zika

    PubMed Central

    Ho, Li-Li; Tsai, Yu-Hui; Lee, Wang-Ping; Liao, Szu-Tsai; Wu, Li-Gin

    2017-01-01

    Zika virus has recently emerged as a worldwide public health concern. Travel and border health measures stand as one of the main strategies and frontline defenses in responding to international epidemics. As of October 31, 2016, Taiwan has reported 13 imported cases, 5 of which were detected through routine entry screening and active monitoring at international airports. This article shares Taiwan's disease surveillance activities at designated points of entry and travel and border health measures in response to Zika. The Taiwan government collaborates with its tourism industry to disseminate information about precautionary measures and encourages tour guides to report suspected individuals or events to activate early response measures. Taiwan also engages in vector control activities at points of entry, including targeting aircraft from countries where vector-borne diseases are endemic, implementing mosquito sweep measures, and collecting vector surveillance data. In future emerging and reemerging disease events, entry surveillance at designated points of entry may enable early detection of diseases of international origin and more rapid activation of public health preparedness activities and international collaboration. Taiwan will continue to maximize border and travel health measures in compliance with IHR (2005) requirements, which rely on continued risk assessment, practical implementation activities, and engagement with all stakeholders. PMID:28418744

  19. Empirical Site Amplification Factors Incorporating Soil Nonlinearity in Taiwan

    NASA Astrophysics Data System (ADS)

    Kuo, C. H.; Chung, C. H.; Che-Min, L.; Huang, J. Y.; Wen, K. L.

    2017-12-01

    Characteristics of site amplifications caused by both crustal and subduction earthquakes are important in Taiwan. For example, seismic waves were amplified and led to significant building damages in the Taipei Basin by the 1986 Hualien offshore (subduction interface) and the 1999 Chi-Chi earthquakes (crustal), for which the epicentral distances were about 100 km. To understand local site amplifications in Taiwan, empirical site amplification factors for horizontal ground motions are studied using recently constructed strong motion and site databases for the free-field TSMIP stations in Taiwan. Records of large magnitude earthquakes of ML larger than six from 1994 to 2014 were selected for this study. Site amplification factors at site conditions with Vs30 of 120 m/s to 1500 m/s and base accelerations up to 0.7g were inferred from intensity ratios of station pairs within specific distances. The reference site condition is assumed as Vs30 of 760 m/s (B/C boundary). Preliminary results indicate: 1. Soil nonlinearity is more obviously at short periods (PGA, Sa0.3) than long periods (PGV, Sa1.0). 2. Soil nonlinearity is significant for stations belong to site classes of B, C, D, and E in Taiwan. 3. Effect of station-pair distance is seen at short periods (PGA and Sa0.3). 4. No significant different is found in site amplifications of crustal and subduction earthquakes. The result could be a reference for the Fa and Fv in Taiwan's building code.

  20. Population Structure and Historical Demography of the Oriental River Prawn (Macrobrachium nipponense) in Taiwan

    PubMed Central

    Chu, Ta-Jen; Wang, Daryi; Lee, Ying-Chou; Tzeng, Tzong-Der

    2015-01-01

    The oriental river prawn (Macrobrachium nipponense) is a non-obligatory amphidromous prawn, and it has a wide distribution covering almost the entire Taiwan. Mitochondrial DNA fragment sequences of the cytochrome oxidase subunit I (COI) and 16S rRNA were combined and used to elucidate the population structure and historical demography of oriental river prawn in Taiwan. A total of 202 individuals from six reservoirs and three estuaries were separately collected. Nucleotide diversity (π) of all populations was 0.01217, with values ranging from 0.00188 (Shihmen Reservoir, SMR, northern Taiwan) to 0.01425 (Mingte Reservoir, MTR, west-central Taiwan). All 76 haplotypes were divided into 2 lineages: lineage A included individuals from all sampling areas except SMR, and lineage B included specimens from all sampling locations except Chengching Lake Reservoir (CLR) and Liyu Lake Reservoir (LLR). All F ST values among nine populations were significantly different except the one between Jhonggang River Estuary (JGE, west-central Taiwan) and Kaoping River Estuary (KPE, southern Taiwan). UPGMA tree of nine populations showed two main groups: the first group included the SMR and Tamsui River Estuary (TSE) (both located northern Taiwan), and the second one included the other seven populations (west-central, southern and eastern Taiwan). Demographic analyses implied a population expansion occurred during the recent history of the species. The dispersal route of this species might be from China to west-central and west-southern Taiwan, and then the part individuals belonging to lineage A and B dispersed southerly and northerly, respectively. And then part individuals in west-central Taiwan fell back to and stay at estuaries as the sea level rose about 18,000 years ago. PMID:26716687

  1. Population Structure and Historical Demography of the Oriental River Prawn (Macrobrachium nipponense) in Taiwan.

    PubMed

    Chen, Po-Cheng; Shih, Chun-Han; Chu, Ta-Jen; Wang, Daryi; Lee, Ying-Chou; Tzeng, Tzong-Der

    2015-01-01

    The oriental river prawn (Macrobrachium nipponense) is a non-obligatory amphidromous prawn, and it has a wide distribution covering almost the entire Taiwan. Mitochondrial DNA fragment sequences of the cytochrome oxidase subunit I (COI) and 16S rRNA were combined and used to elucidate the population structure and historical demography of oriental river prawn in Taiwan. A total of 202 individuals from six reservoirs and three estuaries were separately collected. Nucleotide diversity (π) of all populations was 0.01217, with values ranging from 0.00188 (Shihmen Reservoir, SMR, northern Taiwan) to 0.01425 (Mingte Reservoir, MTR, west-central Taiwan). All 76 haplotypes were divided into 2 lineages: lineage A included individuals from all sampling areas except SMR, and lineage B included specimens from all sampling locations except Chengching Lake Reservoir (CLR) and Liyu Lake Reservoir (LLR). All FST values among nine populations were significantly different except the one between Jhonggang River Estuary (JGE, west-central Taiwan) and Kaoping River Estuary (KPE, southern Taiwan). UPGMA tree of nine populations showed two main groups: the first group included the SMR and Tamsui River Estuary (TSE) (both located northern Taiwan), and the second one included the other seven populations (west-central, southern and eastern Taiwan). Demographic analyses implied a population expansion occurred during the recent history of the species. The dispersal route of this species might be from China to west-central and west-southern Taiwan, and then the part individuals belonging to lineage A and B dispersed southerly and northerly, respectively. And then part individuals in west-central Taiwan fell back to and stay at estuaries as the sea level rose about 18,000 years ago.

  2. Establishment of a Taiwan Marine cable hosted observatory (Ma-Cho project)

    NASA Astrophysics Data System (ADS)

    Lee, C.; Hsu, S.; Shin, T.

    2006-12-01

    Taiwan is located in a junction corner between the Philippine Sea Plate and Eurasian Plate. Because of the active convergence, numerous earthquakes have occurred in and around Taiwan. On average, there are about two earthquakes greater than magnitude 6 each year and 80% of earthquakes occurred in the offshore area. Because of the subduction of Philippine Sea Plate beneath the western end of the Ryukyu Arc and northern Taiwan, both the tectonics and seismic activity are intensive. The 2004 Sumatra earthquake has induced giant tsunami attacking coastal countries of South Asia. Due to a similar geodynamic context, the Sumatra event has aroused the attention of Taiwan government. Soon, specialists from Taiwan earth scientists and ocean engineers have teamed up to discuss the potential and mitigation of natural hazards from the western end of the Ryukyu subduction zone. The constructing a submarine cable observatory off eastern Taiwan (Ma-Cho project) was suggested. Ma-Cho means a sea goddess who protects people at sea. The purpose of Ma-Cho project has several folds. Firstly, the extension of seismic stations on land to offshore area can increase the resolution of earthquake locating. Secondly, the extension of seismic stations may obtain tens of second before the destructing seismic waves arrive on land or tens of minute before the arrival of giant tsunami, which is helpful for earthquake or tsunami warning. Thirdly, the seafloor scientific station can monitor the active volcanoes in the Okinawa Trough, which is directly adjacent to the Ilan plain in northeastern Taiwan. Fourthly, the seafloor observatory can be used to continuously study the Kurosho current, off eastern Taiwan. The Ma- Cho project has been granted for the first year. From 2007, we will start with a submarine route survey and a construction of the submarine cable land station. The main submarine cable frame and the connection of scientific instruments to cable nodes will be finished in 2009.

  3. Disease burden and related medical costs of rotavirus infections in Taiwan

    PubMed Central

    Lu, Chun-Yi; Lauderdale, Tsai-Ling; Fang, Yin-Hua; Wang, Chung-Yi; Ho, Yu-Huai; Hung, Che-Lun; Chang, Luan-Yin; Lee, Chin-Yun; Huang, Li-Min

    2006-01-01

    Background The disease burden and associated medical costs of rotavirus infections in inpatient and outpatient sectors in Taiwan were examined in anticipation of the availability of new rotavirus vaccines. Methods The yearly national case number and medical costs for all for inpatients and outpatients with acute gastroenteritis (AGE) were extracted from the Bureau of National Health Insurance database in Taiwan according to ICD-9-CM codes. A retrospective study was also performed using records of children with AGE seen at three hospitals in Taiwan in 2001 to identify laboratory confirmed rotavirus infection cases. The annual incidence and related medical costs of AGE due to rotavirus infection were then estimated. Results Children <5 years old comprised 83.6% of inpatient and 62.0% of outpatient pediatric AGE cases in Taiwan in 2001. Rotavirus was the most common agent detected among AGE patients in this age group in the three hospitals, and was detected in 32.9% (221/672) of inpatient and 24% (23/96) of outpatient stool specimens tested for microbial etiologies. An estimated 277,400 to 624,892 cases of rotavirus infections sought medical care in Taiwan in 2001, equaling one in 2 to 5 children <5 years old required medical care due to rotavirus infection. The incidence of hospitalization due to rotavirus infections was 1,528–1,997/100,000 for children <5 years old. The total associated medical costs due to rotavirus infection were estimated at US $10–16 millions in Taiwan in 2001. Although the per-capita medical cost of rotavirus infection was lower in Taiwan than in the United States or Hong Kong, the personal economic burden was similar among the three places when normalized for gross national incomes per capita. Conclusion Infections caused by rotavirus constitute an important human and economic burden among young children in Taiwan. A safe and effective vaccine is urgently needed. PMID:17173677

  4. Comparison study of postdischarge care provided to suicide patients by family members in East and South Taiwan.

    PubMed

    Sun, Fan-Ko; Ko, Chen-Ju; Chang, Shing-Ling; Chiang, Chun-Ying

    2012-03-01

    Care provided by the families of those who have attempted suicide affects their healing and recovery process. Data on care provided by families to suicidal individuals in different areas of Taiwan are extremely limited. This study explored care provided by families living in eastern and southern Taiwan to relatives admitted to hospitals and subsequently discharged after a suicide attempt. The study used a grounded theory approach. Interviews were conducted in two distinct geographical areas in 3 years and included two sample groups. Group 1 comprised suicidal participants (n = 15) and family caregivers (n = 15) in East Taiwan. Group 2 comprised suicidal participants (n = 15) and family caregivers (n = 15) in South Taiwan. Data were analyzed using open, axial, and selective coding. The East Taiwan group was less prosperous than the South Taiwan group. Suicide attempt methods were more lethal in eastern than in southern Taiwan. Alcohol and family violence were more important factors in suicides in eastern than in southern Taiwan. Consequently, families in East Taiwan focused less on protecting the safety of suicidal family members than families in South Taiwan. Participants in East Taiwan received less support from their families than those in South Taiwan. CONCLUSIONS/IMPLICATION FOR PRACTICE: Suicidal participants in East Taiwan received less care from family caregivers than did participants in South Taiwan. However, all families require education to provide optimal care for suicidal relatives. Study results may help promote more appropriate education for clinical nursing professionals with a responsibility to care for suicidal patients.

  5. Assessment of Industrial Antimony Exposure and Immunologic Function for Workers in Taiwan.

    PubMed

    Wu, Chin-Ching; Chen, Yi-Chun

    2017-06-26

    This study investigated antimony exposure among employees in industries in Taiwan and evaluated whether their immunologic markers were associated with antimony exposure. We recruited 91 male workers and 42 male office administrators from 2 glass manufacturing plants, 1 antimony trioxide manufacturing plants, and 2 engineering plastic manufacturing plants. Air samples were collected at worksites and administrative offices, and each participant provided specimens of urine, blood, and hair to assay antimony levels. We also determined white blood cells, lymphocyte, and monocyte, IgA, IgE, and IgG in blood specimens. The mean antimony concentration in the air measured at worksites was much higher in the antimony trioxide plant (2.51 ± 0.57 mg/m³) than in plastic plants (0.21 ± 0.06 mg/m³) and glass plants (0.14 ± 0.01 mg/m³). Antimony levels in blood, urine, and hair measured for participants were correlated with worksites and were higher in workers than in administrators. The mean serum IgG, IgA, and IgE levels were lower in workers than in administrators ( p < 0.001). Serum IgA and IgE levels in participants were negatively associated with antimony levels in air samples of workplaces, and in blood, urine, and hairs of participants. Serum IgG and IgE of all participants were also negatively associated with antimony levels in their hairs. In conclusion, the antimony exposure is greater for workers employed in the five industrial plants than for administrators. This study suggests serum IgG, IgA, and IgE levels are negatively associated with antimony exposure.

  6. Assessment of Industrial Antimony Exposure and Immunologic Function for Workers in Taiwan

    PubMed Central

    Wu, Chin-Ching; Chen, Yi-Chun

    2017-01-01

    This study investigated antimony exposure among employees in industries in Taiwan and evaluated whether their immunologic markers were associated with antimony exposure. We recruited 91 male workers and 42 male office administrators from 2 glass manufacturing plants, 1 antimony trioxide manufacturing plants, and 2 engineering plastic manufacturing plants. Air samples were collected at worksites and administrative offices, and each participant provided specimens of urine, blood, and hair to assay antimony levels. We also determined white blood cells, lymphocyte, and monocyte, IgA, IgE, and IgG in blood specimens. The mean antimony concentration in the air measured at worksites was much higher in the antimony trioxide plant (2.51 ± 0.57 mg/m3) than in plastic plants (0.21 ± 0.06 mg/m3) and glass plants (0.14 ± 0.01 mg/m3). Antimony levels in blood, urine, and hair measured for participants were correlated with worksites and were higher in workers than in administrators. The mean serum IgG, IgA, and IgE levels were lower in workers than in administrators (p < 0.001). Serum IgA and IgE levels in participants were negatively associated with antimony levels in air samples of workplaces, and in blood, urine, and hairs of participants. Serum IgG and IgE of all participants were also negatively associated with antimony levels in their hairs. In conclusion, the antimony exposure is greater for workers employed in the five industrial plants than for administrators. This study suggests serum IgG, IgA, and IgE levels are negatively associated with antimony exposure. PMID:28672853

  7. Hospital-Owned Apps in Taiwan: Nationwide Survey

    PubMed Central

    Liu, Hao-Yen; Sun, Ying-Chou; Fen, Jun-Jeng; Chen, Tzeng-Ji; Chou, Li-Fang; Hwang, Shinn-Jang

    2018-01-01

    Background Over the last decade, the use of mobile phone apps in the health care industry has grown rapidly. Owing to the high penetration rate of Internet use in Taiwan, hospitals are eager to provide their own apps to improve the accessibility of medical care for patients. Objective The aims of this study were to provide an overview of the currently available hospital-owned apps in Taiwan and to conduct a cross-hospital comparison of app features. Methods In May 2017, the availability of apps from all 414 hospitals in Taiwan was surveyed from the hospital home pages and the Google Play app store. The features of the downloaded apps were then examined in detail and, for each app, the release date of the last update, download frequency, and rating score were obtained from Google Play. Results Among all the 414 hospitals in Taiwan, 150 (36.2%) owned Android apps that had been made available for public use, including 95% (18/19) of the academic medical centers, 77% (63/82) of the regional hospitals, and 22.0% (69/313) of the local community hospitals. Among the 13 different functionalities made available by the various hospital-owned apps, the most common were the doctor search (100%, 150/150), real-time queue monitoring (100%, 150/150), and online appointment scheduling (94.7%, 142/150) functionalities. The majority of apps (57.3%, 86/150) had a rating greater than 4 out of 5, 49.3% (74/150) had been updated at some point in 2017, and 36.0% (54/150) had been downloaded 10,000 to 50,000 times. Conclusions More than one-third of the hospitals owned apps intended to increase patient access to health care. The most common app features might reflect the health care situation in Taiwan, where the overcrowded outpatient departments of hospitals operate in an open-access mode without any strict referral system. Further research should focus on the effectiveness and safety of these apps. PMID:29339347

  8. Making Single-Source Precursors of Ternary Semiconductors

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius; Banger, Kulbindre K.

    2007-01-01

    A synthesis route has been developed for the commercial manufacture of single- source precursors of chalcopyrite semiconductor absorber layers of thin-film solar photovoltaic cells. A closely related class of single-source precursors of these semiconductors, and their synthesis routes, were reported in "Improved Single-Source Precursors for Solar-Cell Absorbers" (LEW-17445-1), NASA Tech Briefs, Vol. 31, No. 6 (June 2007), page 56. The present synthesis route is better suited to commercialization because it is simpler and involves the use of commercially available agents, yet offers the flexibility needed for synthesis of a variety of precursors. A single-source precursor of the type of interest here is denoted by the general formula L2M'(mu-ER)2M(ER)2, where L signifies a Lewis base; M signifies Al, In, or Ga; M' signifies Ag or Cu; R signifies an alkyl, aryl, silyl, or perfluorocarbon group; E signifies O, S, Se, or Te; and mu signifies a bridging ligand. This compound can be synthesized in a "one-pot" procedure from ingredients that are readily available from almost any chemical supplier. In a demonstration, the following synthesis was performed: Under anaerobic conditions, InCl3 was reacted with sodium ethanethiolate in methanol in a 1:4 molar ratio to afford the ionic stable intermediate compound Na+[In(SEt)4]- (where Et signifies ethyl group). After approximately 15 minutes, a heterogeneous solution of CuCl and the Lewis base PPh3 (where Ph signifies phenyl) in a 1:2 ratio in a mixture of CH3CN and CH2Cl2 was added directly to the freshly prepared Na+[In(SEt)4]-. After 24 hours, the reaction was essentially complete. The methanolic solution was concentrated, then the product was extracted with CH2Cl2, then the product was washed with dry ether and pentane. The product in its final form was a creamy white solid. Spectroscopic and elemental analysis confirmed that the product was (PPh3)2Cu(mu-SEt)2In(mu-SEt)2, which is known to be a precursor of the ternary

  9. An updated investigation of cancer incidence and mortality at a Scottish semiconductor manufacturing facility with case-control and case-only studies of selected cancers.

    PubMed

    Darnton, Andrew; Miller, Brian G; Maccalman, Laura; Galea, Karen S; Wilkinson, Sam; Cherrie, John W; Shafrir, Amy; McElvenny, Damien; Osman, John

    2012-10-01

    An earlier investigation raised concern that some cancer cases might be linked to work at a semiconductor manufacturing plant. The aim of this study was to describe an update of the cancer incidence and mortality of these workers and assess whether workplace exposures contributed to any increased risk of selected cancers. Standardised mortality ratios and standardised incidence ratios were calculated for cancer site groups of a priori interest in a cohort previously flagged against the National Health Service Central Register, with follow-up extended to the 2007 for deaths and 2006 for cancer registrations. Cases of female breast cancer, lung and stomach cancer, and male brain cancer, and a random sample of control subjects individually age-matched to the breast cancer cases, were identified from within the cohort dataset and invited to participate via general practitioners. Exposures were estimated using a job exposure matrix developed from a historical hygiene assessment and assigned to job histories obtained from personal interview of subjects (or proxies). Though the findings were uncertain, there were no excesses of mortality or cancer incidence, either overall or for specific cancer sites, suggestive of a workplace effect. Logistic regression analyses comparing 20 cases of breast cancer with 83 matched controls showed no consistent evidence of any relationship with occupational exposures. Assessment of commonalities of workplace exposures among case sets for other cancer types was limited by the small numbers. These results do not support earlier concerns about occupational cancer risks among this cohort.

  10. A convenient method of manufacturing liquid-gated MoS2 field effect transistors

    NASA Astrophysics Data System (ADS)

    Lin, Kabin; Yuan, Zhishan; Yu, Yu; Li, Kun; Li, Zhongwu; Sha, Jingjie; Li, Tie; Chen, Yunfei

    2017-10-01

    In this paper, we present a simple and convenient method of manufacturing liquid-gated MoS2 field effect transistors (FETs). A Si3N4 chip is firstly fabricated by the semiconductor manufacturing process, then the mechanical exfoliation MoS2 is transferred onto the Si3N4 chip and is connected with the gold electrodes by depositing platinum to construct the MoS2 FETs. The liquid-gated is formed by injecting 0.1 M NaCl solution into reservoir to contact the back side of the Si3N4. Our measured results show that the contact properties between MoS2 and electrodes are in well condition and the liquid-gated MoS2 FETs have a high mobility that can reach up to 109 cm2 V-1 s-1.

  11. Contact Us

    Science.gov Websites

    SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing (ERC ) ** Bringing Sustainability to Semiconductor Manufacturing ** A multi-university research center leading the way to environmentally friendly semiconductor manufacturing, sponsored by the Semiconductor Research

  12. ERC Membership/Boards

    Science.gov Websites

    SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing (ERC ) ** Bringing Sustainability to Semiconductor Manufacturing ** A multi-university research center leading the way to environmentally friendly semiconductor manufacturing, sponsored by the Semiconductor Research

  13. Risk and Outbreak Communication: Lessons from Taiwan's Experiences in the Post-SARS Era.

    PubMed

    Hsu, Yu-Chen; Chen, Yu-Ling; Wei, Han-Ning; Yang, Yu-Wen; Chen, Ying-Hwei

    In addition to the impact of a disease itself, public reaction could be considered another outbreak to be controlled during an epidemic. Taiwan's experience with SARS in 2003 highlighted the critical role played by the media during crisis communication. After the SARS outbreak, Taiwan's Centers for Disease Control (Taiwan CDC) followed the WHO outbreak communication guidelines on trust, early announcements, transparency, informing the public, and planning, in order to reform its risk communication systems. This article describes the risk communication framework in Taiwan, which has been used to respond to the 2009-2016 influenza epidemics, Ebola in West Africa (2014-16), and MERS-CoV in South Korea (2015) during the post-SARS era. Many communication strategies, ranging from traditional media to social and new media, have been implemented to improve transparency in public communication and promote civic engagement. Taiwan CDC will continue to maintain the strengths of its risk communication systems and resolve challenges as they emerge through active evaluation and monitoring of public opinion to advance Taiwan's capacity in outbreak communication and control. Moreover, Taiwan CDC will continue to implement the IHR (2005) and to promote a global community working together to fight shared risks and to reach the goal of "One World, One Health."

  14. Risk and Outbreak Communication: Lessons from Taiwan's Experiences in the Post-SARS Era

    PubMed Central

    Chen, Yu-Ling; Wei, Han-Ning; Yang, Yu-Wen; Chen, Ying-Hwei

    2017-01-01

    In addition to the impact of a disease itself, public reaction could be considered another outbreak to be controlled during an epidemic. Taiwan's experience with SARS in 2003 highlighted the critical role played by the media during crisis communication. After the SARS outbreak, Taiwan's Centers for Disease Control (Taiwan CDC) followed the WHO outbreak communication guidelines on trust, early announcements, transparency, informing the public, and planning, in order to reform its risk communication systems. This article describes the risk communication framework in Taiwan, which has been used to respond to the 2009-2016 influenza epidemics, Ebola in West Africa (2014-16), and MERS-CoV in South Korea (2015) during the post-SARS era. Many communication strategies, ranging from traditional media to social and new media, have been implemented to improve transparency in public communication and promote civic engagement. Taiwan CDC will continue to maintain the strengths of its risk communication systems and resolve challenges as they emerge through active evaluation and monitoring of public opinion to advance Taiwan's capacity in outbreak communication and control. Moreover, Taiwan CDC will continue to implement the IHR (2005) and to promote a global community working together to fight shared risks and to reach the goal of “One World, One Health.” PMID:28418746

  15. SWOT Analysis on Educational Systems on the Two Sides of the Taiwan Strait

    ERIC Educational Resources Information Center

    Wang, I-Ming; Shieh, Chich-Jen

    2005-01-01

    It was an important, historic phenomenon for Taiwan to separate from mainland China in 1949. Mainland China and Taiwan were originally a unified country, using the same language and same alphabet characters. Now there are still similarities in many aspects; the differences are primarily governmental. Taiwan has become more Westernized and more…

  16. EDITORIAL: Semiconductor lasers: the first fifty years Semiconductor lasers: the first fifty years

    NASA Astrophysics Data System (ADS)

    Calvez, S.; Adams, M. J.

    2012-09-01

    Anniversaries call for celebrations. Since it is now fifty years since the first semiconductor lasers were reported, it is highly appropriate to celebrate this anniversary with a Special Issue dedicated to the topic. The semiconductor laser now has a major effect on our daily lives since it has been a key enabler in the development of optical fibre communications (and hence the internet and e-mail), optical storage (CDs, DVDs, etc) and barcode scanners. In the early 1960s it was impossible for most people (with the exception of very few visionaries) to foresee any of these future developments, and the first applications identified were for military purposes (range-finders, target markers, etc). Of course, many of the subsequent laser applications were made possible by developments in semiconductor materials, in the associated growth and fabrication technology, and in the increased understanding of the underlying fundamental physics. These developments continue today, so that the subject of semiconductor lasers, although mature, is in good health and continues to grow. Hence, we can be confident that the pervasive influence of semiconductor lasers will continue to develop as optoelectronics technology makes further advances into other sectors such as healthcare, security and a whole host of applications based on the global imperatives to reduce energy consumption, minimise environmental impact and conserve resources. The papers in this Special Issue are intended to tell some of the story of the last fifty years of laser development as well as to provide evidence of the current state of semiconductor laser research. Hence, there are a number of papers where the early developments are recalled by authors who played prominent parts in the story, followed by a selection of papers from authors who are active in today's exciting research. The twenty-fifth anniversary of the semiconductor laser was celebrated by the publication of a number of papers dealing with the early

  17. EDITORIAL: The 24th Nordic Semiconductor Meeting The 24th Nordic Semiconductor Meeting

    NASA Astrophysics Data System (ADS)

    Páll Gunnlaugsson, Haraldur; Nylandsted Larsen, Arne; Uhrenfeldt, Christian

    2012-03-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a Topical Issue of Physica Scripta. All of the papers in this Topical Issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This 24th meeting of the Nordic Semiconductor community, NSM 2011, was held at Fuglsøcentret, close to Aarhus, Denmark, 19-22 June 2011. Support was provided by the Carlsberg Foundation, Danfysik and the semiconductor group at Aarhus University. Over 30 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The aim of the meeting was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. The 25th Nordic Semiconductor Meeting will be organized in June 2013 in Finland, chaired by Dr Filip Tuomisto, Aalto University. A Nordic Summer School on Semiconductor Science will be organized in connection with the conference (just before), chaired by Dr Jonatan Slotte, Aalto University. Information on these events can be found at physics.aalto.fi/nsm2013. List of participants Søren Vejling

  18. Delineation of typhoon-induced shoreline changes in Taiwan

    NASA Astrophysics Data System (ADS)

    Lin, Yun-Bin; Chiang, Jie-Lun

    2010-05-01

    Taiwan, an island country located at the southwestern Pacific Ocean, has a coast line of 1,355 km long. And only 55% proportion of the coast line remains natural. The maximum daily accumulated rainfall over 1000 mm brought by the typhoon Mindulle in 2004 generated huge disaster, including a broad flood-prone area and a sick sedimentation, in the littoral zones of the low-latitude part of Taiwan. The event resulted in the official definition of the coastal area, which is a 9 km wide belt area surrounding Taiwan island and is composed of one third land area and two third sea area. And human constructions are restricted in the proposed coast area to prevent or reduce the possible disaster in the future. Not only the sea level rising induced by the global climate warming may seriously affect the littoral zones, but also the extreme climate accompanying with the global climate warming, such as typhoons and storms, can heavily disturb the coastal environment in Taiwan. In the storm area, the wave and the storm surge may induce the coast erosion. But even being outside the storm area, the coastal environment is still regularly influenced by the sediment transportation triggered by the storm in the Cainozoic zones in the central part of Taiwan. Therefore, the continuous and regular monitoring of shoreline changes is essential for the disaster management in Taiwan. The two dimensional Morlet wavelet analysis is used to detect edges on synthetic aperture radar (SAR) images. And a block tracing algorithm and an active contour model are integrated for the final shorelines auto-delineation in the study. The SAR image that is climate unaffected and is free of visible light can provide reliable information. The Morlet wavelet function has the smallest window size and is directional. Therefore, the Morlet wavelet function is more flexible and efficient in extracting specific information from image signals. The shoreline changes induced by the typhoon Mindulle were studied. The

  19. Completeness and timeliness of tuberculosis notification in Taiwan

    PubMed Central

    2011-01-01

    Tuberculosis (TB) is a notifiable disease by the Communicable Disease Control Law in Taiwan. Several measures have been undertaken to improve reporting of TB but the completeness and timeliness of TB notification in Taiwan has not yet been systemically evaluated. Methods To assess completeness and timeliness of TB notification, potential TB cases diagnosed by health care facilities in the year 2005-2007 were identified using the reimbursement database of national health insurance (NHI), which has 99% population coverage in Taiwan. Potential TB patients required notification were defined as those who have TB-related ICD-9 codes (010-018) in the NHI reimbursement database in 2005-2007, who were not diagnosed with TB in previous year, and who have been prescribed with 2 or more types of anti-TB drugs. Each potential TB case was matched to the national TB registry maintained at Taiwan Centers for Disease Control (CDC) by using national identity number or, if non-citizen, passport number to determine whether the patients had been notified to local public health authorities and Taiwan CDC. The difference in the number of days between date of anti-tuberculosis treatment and date of notification was calculated to determine the timeliness of TB reporting. Results Of the 57,405 TB patients who were prescribed with 2 or more anti-tuberculosis drugs, 55,291 (96.3%) were notified to National TB Registry and 2,114 (3.7%) were not. Of the 55,291 notified cases, 45,250 (81.8%) were notified within 7 days of anti-tuberculosis treatment (timely reporting) and 10,041(18.2%) after 7 days (delayed reporting). Factors significantly associated with failure of notification are younger age, previously notified cases, foreigner, those who visited clinics and those who visited health care facilities only once or twice in 6 months. Conclusion A small proportion of TB cases were not notified and a substantial proportion of notified TB cases had delayed reporting, findings with implication for

  20. NASA Sees a Wider-Eyed Typhoon Soudelor Near Taiwan

    NASA Image and Video Library

    2017-12-08

    The MODIS instrument aboard NASA's Aqua satellite flew over Typhoon Soudelor on Aug. 7, 2015, at 4:40 UTC (12:40 a.m. EDT) as it was approaching Taiwan. Credits: NASA Goddard's MODIS Rapid Response Team Clouds in Typhoon Soudelor's western quadrant were already spreading over Taiwan early on August 7 when NASA's Aqua satellite passed overhead. Soudelor is expected to make landfall and cross central Taiwan today and make a second landfall in eastern China. NASA satellite imagery revealed that Soudelor's eye "opened" five more miles since August 4. On Aug. 7 at 4:40 UTC (12:40 a.m. EDT) the Moderate Resolution Imaging Spectroradiometer or MODIS instrument aboard NASA's Aqua satellite captured a visible-light image of Typhoon Soudelor as its western quadrant began brushing eastern Taiwan. The MODIS image showed Soudelor's 17-nautical-mile-wide eye and thick bands of powerful thunderstorms surrounded the storm and spiraled into the center. Just three days before, the eye was 5 nautical miles smaller when the storm was more intense. On Aug. 4 at 4:10 UTC (12:10 a.m. EDT) Aqua's MODIS image showed the eye was 12-nautical-mile-wide eye. At 1500 UTC (11 a.m. EDT) on August 7, 2015, the Joint Typhoon Warning Center (JTWC) noted that Typhoon Soudelor's maximum sustained winds increased from 90 knots (103.6 mph/166.7 kph) to 105 knots (120.8 mph / 194.5 kph). It was centered near 23.1 North latitude and 123.2 East longitude, about 183 nautical miles (210.6 miles/338.9 km) southeast of Taipei, Taiwan. It was moving to the west-northwest at 10 knots (11.5 mph/18.5 kph). For warnings and watches for Taiwan, visit the Central Weather Bureau website: www.cwb.gov.tw/eng/. For warnings in China, visit the China Meteorological Administration website: www.cma.gov.cn/en. Soudelor's final landfall is expected in eastern China on Saturday, August 8. Clouds in Typhoon Soudelor's western quadrant were already spreading over Taiwan early on August 7 when NASA's Aqua satellite passed

  1. Characteristics of Heavy Summer Rainfall in Southwestern Taiwan in Relation to Orographic Effects

    NASA Technical Reports Server (NTRS)

    Chen, Ching-Sen; Chen, Wan-Chin; Tao, Wei-Kuo

    2004-01-01

    On the windward side of southwestern Taiwan, about a quarter to a half of all rainfall during mid-July through August from 1994 to 2000 came from convective systems embedded in the southwesterly monsoon flow. k this study, the causes of two heavy rainfall events (daily rainfall exceeding 100 mm day over at least three rainfall stations) observed over the slopes and/or lowlands of southwestern Taiwan were examined. Data from European Center for Medium-Range Weather Forecasts /Tropical Ocean- Global Atmosphere (EC/TOGA) analyses, the rainfall stations of the Automatic Rainfall and Meteorological Telemetry System (ARMTS) and the conventional surface stations over Taiwan, and the simulation results from a regional-scale numerical model were used to accomplish the objectives. In one event (393 mm day on 9 August 1999), heavy rainfall was observed over the windward slopes of southern Taiwan in a potentially unstable environment with very humid air around 850 hPa. The extreme accumulation was simulated and attributed to orographic lifting effects. No preexisting convection drifted in from the Taiwan Strait into western Taiwan.

  2. 46 CFR 183.360 - Semiconductor rectifier systems.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 46 Shipping 7 2011-10-01 2011-10-01 false Semiconductor rectifier systems. 183.360 Section 183.360... TONS) ELECTRICAL INSTALLATION Power Sources and Distribution Systems § 183.360 Semiconductor rectifier systems. (a) Each semiconductor rectifier system must have an adequate heat removal system that prevents...

  3. 46 CFR 183.360 - Semiconductor rectifier systems.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 46 Shipping 7 2010-10-01 2010-10-01 false Semiconductor rectifier systems. 183.360 Section 183.360... TONS) ELECTRICAL INSTALLATION Power Sources and Distribution Systems § 183.360 Semiconductor rectifier systems. (a) Each semiconductor rectifier system must have an adequate heat removal system that prevents...

  4. GIS Adoption among Senior High School Geography Teachers in Taiwan

    ERIC Educational Resources Information Center

    Lay, Jinn-Guey; Chen, Yu-Wen; Chi, Yu-Lin

    2013-01-01

    This article explores the adoption of geographic information system (GIS) knowledge and skills through in-service training for high school geography teachers in Taiwan. Through statistical analysis of primary data collected from a census of Taiwan's high school geography teachers, it explores what motivates these teachers to undertake GIS…

  5. Taiwan-U.S. Relations: Developments and Policy Implications

    DTIC Science & Technology

    2009-04-02

    government, led by Chiang Kai -shek and his Kuomintang (KMT) party, fled mainland China and moved to Taiwan, an island off the southern Chinese...system in which Chiang Kai -shek’s authoritarian Nationalist Party (KMT) ruled under martial law.7 The KMT permitted no political opposition and held no...19 Hsu, Jenny, “’Taipei’ gets direct link to WHO unit,” Taipei Times, January 23, 2009, p. 1. 20 Xie Yu , “Taiwan put under WHO

  6. Taiwan-U.S. Relations: Developments and Policy Implications

    DTIC Science & Technology

    2009-04-14

    Chinese government, led by Chiang Kai -shek and his Kuomintang (KMT) party, fled mainland China and moved to Taiwan, an island off the southern Chinese...system in which Chiang Kai -shek’s authoritarian Nationalist Party (KMT) ruled under martial law.7 The KMT permitted no political opposition and held...19 Hsu, Jenny, “’Taipei’ gets direct link to WHO unit,” Taipei Times, January 23, 2009, p. 1. 20 Xie Yu , “Taiwan put under WHO

  7. Room-temperature semiconductor heterostructure refrigeration

    NASA Astrophysics Data System (ADS)

    Chao, K. A.; Larsson, Magnus; Mal'shukov, A. G.

    2005-07-01

    With the proper design of semiconductor tunneling barrier structures, we can inject low-energy electrons via resonant tunneling, and take out high-energy electrons via a thermionic process. This is the operation principle of our semiconductor heterostructure refrigerator (SHR) without the need of applying a temperature gradient across the device. Even for the bad thermoelectric material AlGaAs, our calculation shows that at room temperature, the SHR can easily lower the temperature by 5-7K. Such devices can be fabricated with the present semiconductor technology. Besides its use as a kitchen refrigerator, the SHR can efficiently cool microelectronic devices.

  8. Technology-design-manufacturing co-optimization for advanced mobile SoCs

    NASA Astrophysics Data System (ADS)

    Yang, Da; Gan, Chock; Chidambaram, P. R.; Nallapadi, Giri; Zhu, John; Song, S. C.; Xu, Jeff; Yeap, Geoffrey

    2014-03-01

    How to maintain the Moore's Law scaling beyond the 193 immersion resolution limit is the key question semiconductor industry needs to answer in the near future. Process complexity will undoubtfully increase for 14nm node and beyond, which brings both challenges and opportunities for technology development. A vertically integrated design-technologymanufacturing co-optimization flow is desired to better address the complicated issues new process changes bring. In recent years smart mobile wireless devices have been the fastest growing consumer electronics market. Advanced mobile devices such as smartphones are complex systems with the overriding objective of providing the best userexperience value by harnessing all the technology innovations. Most critical system drivers are better system performance/power efficiency, cost effectiveness, and smaller form factors, which, in turns, drive the need of system design and solution with More-than-Moore innovations. Mobile system-on-chips (SoCs) has become the leading driver for semiconductor technology definition and manufacturing. Here we highlight how the co-optimization strategy influenced architecture, device/circuit, process technology and package, in the face of growing process cost/complexity and variability as well as design rule restrictions.

  9. The Keelung Submarine volcanoes and gas plumes in the nearshore of northern Taiwan

    NASA Astrophysics Data System (ADS)

    Huang, J. C.; Tsia, C. H.; Hsu, S. K.; Lin, S. S.

    2016-12-01

    Taiwan is located in the collision zone between Philippine Sea Plate and Eurasian Plate. The Philippine Sea Plate subducts northward beneath the Ryukyu arc system while the Eurasian Plate subducts eastward beneath the Luzon arc system. The Taiwan mountain building started at 9 My ago and the most active collision has migrated to middle Taiwan. In consequence, the northern Taiwan has changed its stress pattern from forms a series of thrust faults to normal faults. The stress pattern change has probably induced the post-collisional extension and volcanism in and off northern Taiwan. Under such a tectonic environment, the volcanism and gas plumes are widespread in northern Taiwan and its offshore area. Among the volcanoes of the northern Taiwan volcanic zone, the Tatun Volcano Group is the most obvious one. In this study, we use sub-bottom profiler, EK500 echo sounder, and multibeam echo sounder to study the geophysical structure of a submarine volcano in the nearshore of northern Taiwan. We have analyzed the shallow structures and identified the locations of the gas plumes. The identification of the gas plumes can help us understand the nature of the submarine volcano. Our results show that the gas plumes appear near the Kanchiao Fault and Keelung islet. Some intrusive volcanoes can be observed in the subbottom profiler data. Finally, according to the observations, we found that the Keelung Submarine Volcano is still active. We need the monitor of the active Keelung Submarine Volcano to avoid the volcanic hazard. Additionally, we need to pay attention to the earthquakes related to the Keelung Submarine Volcano.

  10. 40 CFR 63.7182 - What parts of my facility does this subpart cover?

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... CATEGORIES (CONTINUED) National Emission Standards for Hazardous Air Pollutants for Semiconductor... manufactures semiconductors. (b) An affected source subject to this subpart is the collection of all semiconductor manufacturing process units used to manufacture p-type and n-type semiconductors and active solid...

  11. 46 CFR 129.360 - Semiconductor-rectifier systems.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 46 Shipping 4 2011-10-01 2011-10-01 false Semiconductor-rectifier systems. 129.360 Section 129.360... INSTALLATIONS Power Sources and Distribution Systems § 129.360 Semiconductor-rectifier systems. (a) Each semiconductor-rectifier system must have an adequate heat-removal system to prevent overheating. (b) If a...

  12. 46 CFR 120.360 - Semiconductor rectifier systems.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 46 Shipping 4 2011-10-01 2011-10-01 false Semiconductor rectifier systems. 120.360 Section 120.360... INSTALLATION Power Sources and Distribution Systems § 120.360 Semiconductor rectifier systems. (a) Each semiconductor rectifier system must have an adequate heat removal system that prevents overheating. (b) Where a...

  13. 46 CFR 129.360 - Semiconductor-rectifier systems.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 46 Shipping 4 2010-10-01 2010-10-01 false Semiconductor-rectifier systems. 129.360 Section 129.360... INSTALLATIONS Power Sources and Distribution Systems § 129.360 Semiconductor-rectifier systems. (a) Each semiconductor-rectifier system must have an adequate heat-removal system to prevent overheating. (b) If a...

  14. 46 CFR 120.360 - Semiconductor rectifier systems.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 46 Shipping 4 2010-10-01 2010-10-01 false Semiconductor rectifier systems. 120.360 Section 120.360... INSTALLATION Power Sources and Distribution Systems § 120.360 Semiconductor rectifier systems. (a) Each semiconductor rectifier system must have an adequate heat removal system that prevents overheating. (b) Where a...

  15. Two-dimensional seismic velocity models of southern Taiwan from TAIGER transects

    NASA Astrophysics Data System (ADS)

    McIntosh, K. D.; Kuochen, H.; Van Avendonk, H. J.; Lavier, L. L.; Wu, F. T.; Okaya, D. A.

    2013-12-01

    We use a broad combination of wide-angle seismic data sets to develop high-resolution crustal-scale, two-dimensional, velocity models across southern Taiwan and the adjacent Huatung Basin. The data were recorded primarily during the TAIGER project and include records of thousands of marine airgun shots, several land explosive sources, and ~90 Earthquakes. Both airgun sources and earthquake data were recorded by dense land arrays, and ocean bottom seismographs (OBS) recorded airgun sources east of Taiwan. This combination of data sets enables us to develop a high-resolution upper- to mid-crustal model defined by marine and explosive sources, while also constraining the full crustal structure - with depths approaching 50 km - by using the earthquake and explosive sources. These data and the resulting models are particularly important for understanding the development of arc-continent collision in Taiwan. McIntosh et al. (2013) have shown that highly extended continental crust of the northeastern South China Sea rifted margin is underthrust at the Manila trench southwest of Taiwan but then is structurally underplated to the accretionary prism. This process of basement accretion is confirmed in the southern Central Range of Taiwan where basement outcrops can be directly linked to high seismic velocities measured in the accretionary prism well south of the continental shelf, even south of Taiwan. These observations indicate that the southern Central Range begins to grow well before there is any direct interaction between the North Luzon arc and the Eurasian continent. Our transects provide information on how the accreted mass behaves as it approaches the continental shelf and on deformation of the arc and forearc as this occurs. We suggest that arc-continent collision in Taiwan actually develops as arc-prism-continent collision.

  16. New Genotypes of Orientia tsutsugamushi Isolated from Humans in Eastern Taiwan

    PubMed Central

    Lin, Chin-Hui; Chen, Tren-Yi; Chen, Li-Kuang

    2012-01-01

    Scrub typhus, an acute febrile illness, is caused by the obligate intracellular bacterium Orientia tsutsugamushi. In our study, O. tsutsugamushi was rapidly detected and typed by polymerase chain reaction (PCR) and restriction fragment length polymorphism (RFLP) analysis of the 56-kDa type-specific antigen (TSA) gene. To investigate the genotypes of clinical variants of O. tsutsugamushi, we collected 3223 blood samples from eastern Taiwanese patients with suspected scrub typhus from 2002 to 2008. In total, 505 samples were found to be positive for scrub typhus infection by PCR, and bacteria were isolated from 282 of them. Four prototype genotype strains (Karp, Kato, Kawasaki and Gilliam) and eleven different Taiwanese genotype isolates (Taiwan-A, -B, -C, -D, -E, -G, -H, -J, -N, -O and -P) were identified by RPLF analysis. Taiwan-H, the major genotype in eastern Taiwan, exhibited prevalence and isolation rates of 47.3% (239/505) and 42.6% (120/282), respectively. We also assessed the genetic relatedness of the 56-kDa TSA gene among eight Taiwan-H isolates, thirteen other Taiwanese isolates and 104 DNA sequences deposited in the GenBank database using MEGA version 5.0 and PHYLIP version 3.66. We found that the Taiwan-H isolates formed into a new cluster, which was designated the Taiwan Gilliam-variant (TG-v) cluster to distinguish it from the Japanese Gilliam-variant (JG-v) cluster. According to Simplot analysis, TG-v is a new recombinant strain among Gilliam, Ikeda and Kato. Moreover, the Gilliam-Kawasaki cluster had the highest percentage of RFLP cases and was the most frequently isolated type in eastern Taiwan (50.1%, 253/505; 44.0%, 124/282). These findings shed light on the genetic evolution of O. tsutsugamushi into different strains and may be useful in vaccine development and epidemic disease control in the future. PMID:23071693

  17. Effects of fluorine contamination on spin-on dielectric thickness in semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Kim, Hyoung-ryeun; Hong, Soonsang; Kim, Samyoung; Oh, Changyeol; Hwang, Sung Min

    2018-03-01

    In the recent semiconductor industry, as the device shrinks, spin-on dielectric (SOD) has been adopted as a widely used material because of its excellent gap-fill, efficient throughput on mass production. SOD film must be uniformly thin, homogeneous and free of particle defects because it has been perfectly perserved after chemical-mechanical polishing (CMP) and etching process. Spin coating is one of the most common techniques for applying SOD thin films to substrates. In spin coating process, the film thickness and uniformity are strong function of the solution viscosity, the final spin speed and the surface properties. Especially, airborne molecular contaminants (AMCs), such as HF, HCl and NH3, are known to change to surface wetting characteristics. In this work, we study the SOD film thickness as a function of fluorine contamination on the wafer surface. To examine the effects of airborne molecular contamination, the wafers are directly exposed to HF fume followed by SOD coating. It appears that the film thickness decreases by higher contact angle on the wafer surface due to fluorine contamination. The thickness of the SOD film decreased with increasing fluorine contamination on the wafer surface. It means that the wafer surface with more hydrophobic property generates less hydrogen bonding with the functional group of Si-NH in polysilazane(PSZ)-SOD film. Therefore, the wetting properties of silicon wafer surfaces can be degraded by inorganic contamination in SOD coating process.

  18. [Japanese who affected modern medicine in Taiwan: obstetrics and gynecology].

    PubMed

    Wang, Ming-Tung

    2009-12-01

    This text describes the leaders who established the modem obstetrics and gynecology for Taiwan. during the Japan-colonizing period (1895-1945). These leaders are Mr. Kawasoye, M., Mr. Mukae K., and Mr. Magara M. The lives of these leaders were different, but they all strongly contributed to the development of modem obstetrics and gynecology in Taiwan. With regard to the passage of time, Mr. Kawasoye contributed the initial efforts, Mr. Mukae worked during the flourishing period of the clinic; and Mr. Magara worked during the mature period, emphasizing research. These three periods are closely correlated with the course of the development of modem obstetrics and gynecology in Taiwan.

  19. Operations: A Comparative Study of the United States and Taiwan

    ERIC Educational Resources Information Center

    Lin, Cheng-Yao; Becker, Jerry; Byun, Mi-Ran; Yang, Der-Ching; Huang, Tsai-Wei

    2013-01-01

    This study examined (a) the differences in preservice teachers’ procedural knowledge in four areas of fraction operations in Taiwan and the United States, (b) the differences in preservice teachers’ conceptual knowledge in four areas of fraction operations in Taiwan and the United States, and (c) correlation in preservice teachers’ conceptual…

  20. Method of producing strained-layer semiconductor devices via subsurface-patterning

    DOEpatents

    Dodson, Brian W.

    1993-01-01

    A method is described for patterning subsurface features in a semiconductor device, wherein the semiconductor device includes an internal strained layer. The method comprises creating a pattern of semiconductor material over the semiconductor device, the semiconductor material having a predetermined thickness which stabilizes areas of the strained semiconductor layer that lie beneath the pattern. Subsequently, a heating step is applied to the semiconductor device to cause a relaxation in areas of the strained layer which do not lie beneath the semiconductor material pattern, whereby dislocations result in the relaxed areas and impair electrical transport therethrough.

  1. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  2. Electron beam pumped semiconductor laser

    NASA Technical Reports Server (NTRS)

    Hug, William F. (Inventor); Reid, Ray D. (Inventor)

    2009-01-01

    Electron-beam-pumped semiconductor ultra-violet optical sources (ESUVOSs) are disclosed that use ballistic electron pumped wide bandgap semiconductor materials. The sources may produce incoherent radiation and take the form of electron-beam-pumped light emitting triodes (ELETs). The sources may produce coherent radiation and take the form of electron-beam-pumped laser triodes (ELTs). The ELTs may take the form of electron-beam-pumped vertical cavity surface emitting lasers (EVCSEL) or edge emitting electron-beam-pumped lasers (EEELs). The semiconductor medium may take the form of an aluminum gallium nitride alloy that has a mole fraction of aluminum selected to give a desired emission wavelength, diamond, or diamond-like carbon (DLC). The sources may be produced from discrete components that are assembled after their individual formation or they may be produced using batch MEMS-type or semiconductor-type processing techniques to build them up in a whole or partial monolithic manner, or combination thereof.

  3. Comparison of electrocardiographic findings and associated risk factors between Taiwan Chinese and US White adults.

    PubMed

    Wu, Chih-Cheng; Yeh, Wen-Ting; Crow, Richard S; Bai, Chyi-Huey; Pan, Wen-Harn

    2008-08-18

    Electrocardiographic (ECG) findings are known to differ by race, however, systematic comparisons of findings between eastern and western countries are rare. To compare the ECG findings and associated coronary heart disease (CHD) risk factors between Taiwan Chinese and US White adults aged >or=40 years. We compared the prevalence rate of Minnesota Code criteria based ECG findings and associated CHD risk factors by using data from the third National Health and Nutrition Examination Survey (NHANES III) and the Nutrition and Health Survey in Taiwan (NAHSIT, 1993-1996). Examining all the ECG findings collectively, we observed a higher prevalence of major Minnesota Code findings in Taiwan Chinese women than in US White women (15.0% vs. 10.5%), particularly ST segment depression (5.4% vs. 2.4%) and T wave abnormalities (10.8% vs. 4.8%). The prevalence of major Minnesota Code findings was similar in both Taiwan Chinese and US White men (22.7% vs. 19.6%). Taiwan Chinese men had a higher prevalence of ST segment elevation (13.7% vs. 0.9%). Taiwan Chinese also had a higher prevalence of left ventricular hypertrophy with repolarization change than US Whites in both sexes (2.7% vs. 1.4% for men, 4.3% vs. 1.3% for women). Taiwan Chinese had more favorable CHD risk factor profiles than US Whites, including lipid profile, obesity, central obesity, and smoking status. The prevalence of hypertension was similar between the two groups, however, a lower percentage of Taiwan Chinese received treatment. Taiwan Chinese men had a lower prevalence of diabetes mellitus than US White men, whereas Taiwan Chinese women had a higher prevalence than US White women. These results suggest that substantial differences in ECG findings exist between Taiwan Chinese and US Whites which cannot be entirely explained by CHD risk factors alone.

  4. A numerical study of the acid rain in northern Taiwan in winter season

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Ching-Sen; Deng, Zen-Sing

    1996-12-31

    Two-thirds of the land mass of Taiwan island is covered by mountains. In winter precipitation could occur in northern Taiwan when the prevailing wind was from northeastern direction. In northern Taiwan the acid rain (pH value less than 5.0) in winter time could contribute about 30 rain in the whole year. A three-dimensional numerical model with terrain following coordinated system was used to simulate the precipitation system and the characteristics of acid rain. A smooth terrain was assumed in the model. A mean sounding was used to initialize the numerical model when acid rain occurred in northern Taiwan during wintermore » time from 1991 to 1993. Investigations of the effect of pollutions from abroad on the acid rain in northern Taiwan in winter are considered for the future.« less

  5. A Comprehensive View Of Taiwan Orogeny From TAIGER Perspective

    NASA Astrophysics Data System (ADS)

    Wu, F. T.; Kuochen, H.; McIntosh, K. D.; Okaya, D. A.; Lavier, L. L.

    2012-12-01

    Arc-continent collision is one of the basic mechanisms for building continental masses. Taiwan is young and very active. Based on known geology a multi-disciplinary geophysical experiment was designed to image the orogeny in action. Logistics for R/V Langseth, OBS and PASSCAL instruments was complex; nevertheless the field works were completed within the project period. The resulting dataset allows us to map the structures of the shallow crust and the upper mantle. The amount of data gathered is large; some key observations and current interpretations are: (I) Observation: Crustal roots on both Eurasian and Philippine Sea plates, with a high velocity rise in between. Interpretation: Deformation throughout lithosphere on both sides of the initial suture; shortening of lithosphere near plate boundary produce high velocity rise. (II) Observation: Upper mantle high velocity anomaly coincides with a steep east-dippping Wadati-Benioff seismicity in southern Taiwan; the anomaly continues part of the way to central Taiwan but it is aseismic; under northern Taiwan the anomaly is very weak and disorganized. Interpretation: Active subduction in the south (up to 22.8°N) and may be eclogitization in the lower crust and delamination in central Taiwan. (III) Observation: Low Vp/Vs, low resistivity in the core of Central Range. Interp: dry, felsic rocks at relatively high temper (up to 750OC). (IV) Obs: Strong SKS splitting (~2 sec) with trend-parallel fast axis. Interp: Shearing throughout uppermost mantle. Preliminary 2-D geodynamic modeling produces the primary observed features from simple initial model of an arc impinging on continental margin.

  6. Stress tensor analysis in the Taiwan area from focal mechanisms of earthquakes

    NASA Astrophysics Data System (ADS)

    Yih-Hsiung, Yeh; Eric, Barrier; Cheng-Horng Lin; Jacques, Angelier

    1991-12-01

    We produce a map of the stress pattern in and around Taiwan based on 200 earthquake focal mechanism solutions. These solutions were determined by using data from Taiwan Telemetered Seismographic Network, microearthquake surveys and WWSSN. The stresses are derived through a minimization of angles between the slip vector and the shear stress on each nodal plane considered as a fault, employing appropriate weighting factors. The whole set of focal mechanisms is divided into several groups, mainly according to apparent clustering of the event locations. The results show that the direction of maximum principal stress in Taiwan area is nearly horizontal and SE-NW on average. This is in good agreement with the direction of relative motion between the Philippine Sea plate and the Eurasian plate. In western Taiwan, the fan-shaped distribution of the maximum principal stress is consistent with the direction of Philippine Sea-Eurasian plate convergence through a simple model of viscous material indented by a rigid wedge. In the northeastern part of Taiwan, a nearly horizontal minimum principal stress oriented N-S is found for shallow depths; it occurs in a region of low seismic velocities, probably related to the back-arc activity of the Okinawa Trough. Down-dip compressional and down-dip extensional stresses have been identified in different depth ranges within the subducting slab of the Philippine Sea plate in the northern Taiwan; this may reflect the slab characteristics in this area. A complex stress pattern prevails in the Hualien area, at the junction between the Ryukyu subduction system and the Taiwan collision zone.

  7. Controlling the stoichiometry and doping of semiconductor materials

    DOEpatents

    Albin, David; Burst, James; Metzger, Wyatt; Duenow, Joel; Farrell, Stuart; Colegrove, Eric

    2016-08-16

    Methods for treating a semiconductor material are provided. According to an aspect of the invention, the method includes annealing the semiconductor material in the presence of a compound that includes a first element and a second element. The first element provides an overpressure to achieve a desired stoichiometry of the semiconductor material, and the second element provides a dopant to the semiconductor material.

  8. Vacuum-and-solvent-free fabrication of organic semiconductor layers for field-effect transistors

    PubMed Central

    Matsushima, Toshinori; Sandanayaka, Atula S. D.; Esaki, Yu; Adachi, Chihaya

    2015-01-01

    We demonstrate that cold and hot isostatic pressing (CIP and HIP) is a novel, alternative method for organic semiconductor layer fabrication, where organic powder is compressed into a layer shape directly on a substrate with 200 MPa pressure. Spatial gaps between powder particles and the other particles, substrates, or electrodes are crushed after CIP and HIP, making it possible to operate organic field-effect transistors (OFETs) containing the compressed powder as the semiconductor. The CIP-compressed powder of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) had a hole mobility of (1.6 ± 0.4) × 10–2 cm2/Vs. HIP of C8-BTBT powder increased the hole mobility to an amorphous silicon-like value (0.22 ± 0.07 cm2/Vs) because of the growth of the C8-BTBT crystallites and the improved continuity between the powder particles. The vacuum and solution processes are not involved in our CIP and HIP techniques, offering a possibility of manufacturing OFETs at low cost. PMID:26416434

  9. 78 FR 72629 - Certain Stilbenic Optical Brightening Agents From Taiwan: Rescission of Antidumping Duty...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-12-03

    ... Brightening Agents From Taiwan: Rescission of Antidumping Duty Administrative Review; 2011-2013 AGENCY... the antidumping duty order on certain stilbenic optical brightening agents (OBAs) from Taiwan for the... antidumping duty order on OBAs from Taiwan for the period of review November 3, 2011, through April 30, 2013...

  10. The impact of the cigarette market opening in Taiwan

    PubMed Central

    Wen, C; Cheng, T; Eriksen, M; Tsai, S; Hsu, C

    2005-01-01

    Objective: To assess the effect of the opening of the Taiwanese cigarette market on cigarette consumption, changes in market share, and the effects on tobacco control efforts. Methods: With the use of key word "Taiwan", the Legacy Tobacco Documents Library of the University of California, San Francisco, was searched for internal documents related to smuggling activities, promotion of light cigarettes, and market share analyses in Taiwan. Age adjusted smoking rates and cigarette and betel quid consumption before and after market opening were compared. Results: By 2000, the market share of imported cigarettes increased from less than 2% in 1986 to nearly 50%, and per capita cigarette consumption increased 15% following market opening. Because of the sharp increase in smuggling, with contraband cigarettes being as popular as legal imports, and the rapid proliferation of retail outlets, such as betel quid stalls, the market penetration by foreign tobacco companies was greater in Taiwan than among the other Super 301 Asian countries. Aggressive cigarette marketing strategies were associated with a 6% increase in adult male smoking prevalence, and with a 13% increase in the youth rate, within three years after market opening. The market opening also had an incidental effect on increasing the popularity of betel quid. Betel quid chewing has since become a major public health problem in Taiwan. Conclusion: The opening of the cigarette market in 1987 had a long lasting impact on Taiwan. It increased smoking prevalence and the market has become dominated by foreign companies. The seriousness of smuggling and its associated loss of revenue by the government, the extent of increased youth smoking and its associated future health care costs, and the increased use of betel quid and the associated doubling of oral cancer mortality rates each pose significant problems to Taiwan. However, the market opening galvanised anti-smoking sentiment and forced the government to initiate and

  11. The impact of the cigarette market opening in Taiwan.

    PubMed

    Wen, C P; Cheng, T Y; Eriksen, M P; Tsai, S P; Hsu, C C

    2005-06-01

    To assess the effect of the opening of the Taiwanese cigarette market on cigarette consumption, changes in market share, and the effects on tobacco control efforts. With the use of key word "Taiwan", the Legacy Tobacco Documents Library of the University of California, San Francisco, was searched for internal documents related to smuggling activities, promotion of light cigarettes, and market share analyses in Taiwan. Age adjusted smoking rates and cigarette and betel quid consumption before and after market opening were compared. By 2000, the market share of imported cigarettes increased from less than 2% in 1986 to nearly 50%, and per capita cigarette consumption increased 15% following market opening. Because of the sharp increase in smuggling, with contraband cigarettes being as popular as legal imports, and the rapid proliferation of retail outlets, such as betel quid stalls, the market penetration by foreign tobacco companies was greater in Taiwan than among the other Super 301 Asian countries. Aggressive cigarette marketing strategies were associated with a 6% increase in adult male smoking prevalence, and with a 13% increase in the youth rate, within three years after market opening. The market opening also had an incidental effect on increasing the popularity of betel quid. Betel quid chewing has since become a major public health problem in Taiwan. The opening of the cigarette market in 1987 had a long lasting impact on Taiwan. It increased smoking prevalence and the market has become dominated by foreign companies. The seriousness of smuggling and its associated loss of revenue by the government, the extent of increased youth smoking and its associated future health care costs, and the increased use of betel quid and the associated doubling of oral cancer mortality rates each pose significant problems to Taiwan. However, the market opening galvanised anti-smoking sentiment and forced the government to initiate and intensify a series of tobacco control

  12. Reflection technique for thermal mapping of semiconductors

    DOEpatents

    Walter, Martin J.

    1989-06-20

    Semiconductors may be optically tested for their temperatures by illuminating them with tunable monochromatic electromagnetic radiation and observing the light reflected off of them. A transition point will occur when the wavelength of the light corresponds with the actual band gap energy of the semiconductor. At the transition point, the image of the semiconductor will appreciably darken as the light is transmitted through it, rather than being reflected off of it. The wavelength of the light at the transition point corresponds to the actual band gap energy and the actual temperature of the semiconductor.

  13. Establishment of Vespa bicolor in Taiwan (Hymenoptera: Vespidae).

    PubMed

    Sung, I-Hsin; Lu, Sheng-Shan; Chao, Jung-Tai; Yeh, Wen-Chi; Lee, Wei-Jie

    2014-01-01

    The establishment of a hornet, Vespa bicolor F., in Taiwan was confirmed based on successful field collection of adults of both sexes and two subterranean colonies. Information on nesting habitat, nest measurement, and colony composition of this species are provided in this article. V. bicolor is the ninth hornet species ever recorded from Taiwan. Possible pathway for the introduction of this alien species is also discussed. © The Author 2014. Published by Oxford University Press on behalf of the Entomological Society of America.

  14. Negotiating for Change: Women's Movements and Education Reform in Taiwan

    ERIC Educational Resources Information Center

    Lee, Shu-Ching

    2011-01-01

    The dramatic changes during the past 20 years in Taiwan offer a good example of how gender policy in education is facilitated by a combination of interrelated economic, political and social forces. Taiwan's policy on gender education emerged from the interaction of state, education, academic and non-academic feminist positions in reforms. This…

  15. Watershed management for disaster mitigation and sustainable development in Taiwan

    Treesearch

    J. D. Cheng; H. K. Hsu; Way Jane Ho; T. C. Chen

    2000-01-01

    Heavy torrential rains during the typhoon season, steep topography, young and weak geologic formations, erodible soils and improper land uses are factors contributing to disasters associated with erosion, landslides, debris flows, and floods in Taiwan. With steady public and government support over the past 5 decades, Taiwan's watershed management program in which...

  16. Narrow band gap amorphous silicon semiconductors

    DOEpatents

    Madan, A.; Mahan, A.H.

    1985-01-10

    Disclosed is a narrow band gap amorphous silicon semiconductor comprising an alloy of amorphous silicon and a band gap narrowing element selected from the group consisting of Sn, Ge, and Pb, with an electron donor dopant selected from the group consisting of P, As, Sb, Bi and N. The process for producing the narrow band gap amorphous silicon semiconductor comprises the steps of forming an alloy comprising amorphous silicon and at least one of the aforesaid band gap narrowing elements in amount sufficient to narrow the band gap of the silicon semiconductor alloy below that of amorphous silicon, and also utilizing sufficient amounts of the aforesaid electron donor dopant to maintain the amorphous silicon alloy as an n-type semiconductor.

  17. Recovery and disposal of discarded tires in the Taiwan area.

    PubMed

    Hwang, J S; Roam, G D

    1994-12-01

    Urbanization and industrialization has resulted in a vast amount of artificial water containers in Taiwan, especially discarded automobile tires. 3.5 million automobile tires and several million motorcycle tires are discarded annually. The discarded tires contaminate the environment and also become a substantial number of breeding sites for the dengue vector mosquitoes. In order to establish a sound system for the recovery and disposal of discarded tires and to control dengue fever through source reduction, it has been emphasized that users must pay for their waste. It is necessary to recover and properly dispose of these discarded tired. The commercial firms which sell or manufacture tires are therefore advised to cooperate with the Environmental Protection Administration of the Executive Yuan, R.O.C. and follow the "Regulations of Recovery and Disposal of Discarded Tires". They are requested to establish foundations for the recovery of discarded tires. Those who are willing to join should prepay a deposit or related charge by the size of tire, which is imported or locally manufactured. The foundation utilizes the deposits for the recovery and disposal of discarded tires. From 1991 to 1993 the commercial tire firms had already achieved the 80% recovery rates declared by the authorities concerned. Some of the tires, after having been recovered, were recycled in the original form and the rest were cut into small pieces for recycling after physical treatment. It should be mentioned that the Department of Environmental Protection of Kaohsiung City has collected 80 thousand discarded automobile tires to be used as ocean jetty.(ABSTRACT TRUNCATED AT 250 WORDS)

  18. Plasmonics based micro/nano manufacturing

    NASA Astrophysics Data System (ADS)

    Garner, Quincy

    Since the advent of the Information Age, there has been an ever growing demand to continually shrink and reduce the cost of semiconductor products. To meet this demand, a great amount of research has been done to improve our current micro/nano manufacturing processes and develop the next generation of semiconductor fabrication techniques. High throughput, low cost, smaller features, high repeatability, and the simplification of the manufacturing processes are all targets that researchers continually strive for. To this day, there are no perfect systems capable of simultaneously achieving all of these targets. For this reason, much research time is spent improving and developing new techniques in hopes of developing a system that will incorporate all of these targets. While there are numerous techniques being investigated and developed every year, one of the most promising areas of research that may one day be capable of achieving our desired targets is plasmonics. Plasmonics, or the study of the free electron oscillations in metals, is the driving phenomena in the applications reported in this paper. In chapter 2, the formation of ordered gold nanoparticles on a silicon substrate through the use of energetic surface plasmons is reported. Utilizing a gold/alumina nano-hole antenna and 1064 nm Nd:YAG laser system, semi-periodic gold nanoparticles were deposited onto the surface of a silicon substrate. The novel technique is simpler, faster, and safer than any known gold nanoparticle deposition technique reported in literature. The implementation of this technique has potential wide-ranging applications in photovoltaic cells, medical products, and many others. In chapter 3, a low cost lithography technique utilizing surface plasmons is reported. In this technique, a plasmonic photomask is created by coating a pre-made porous alumina membrane with a thin aluminum layer. A coherent, 337 nm UV laser source is used to expose the photomask and excite surface plasmons along

  19. Semiconductor switch geometry with electric field shaping

    DOEpatents

    Booth, R.; Pocha, M.D.

    1994-08-23

    An optoelectric switch is disclosed that utilizes a cylindrically shaped and contoured GaAs medium or other optically active semiconductor medium to couple two cylindrically shaped metal conductors with flat and flared termination points each having an ovoid prominence centrally extending there from. Coupling the truncated ovoid prominence of each conductor with the cylindrically shaped optically active semiconductor causes the semiconductor to cylindrically taper to a triple junction circular line at the base of each prominence where the metal conductor conjoins with the semiconductor and a third medium such as epoxy or air. Tapering the semiconductor at the triple junction inhibits carrier formation and injection at the triple junction and thereby enables greater current carrying capacity through and greater sensitivity of the bulk area of the optically active medium. 10 figs.

  20. Semiconductor switch geometry with electric field shaping

    DOEpatents

    Booth, Rex; Pocha, Michael D.

    1994-01-01

    An optoelectric switch is disclosed that utilizes a cylindrically shaped and contoured GaAs medium or other optically active semiconductor medium to couple two cylindrically shaped metal conductors with flat and flared termination points each having an ovoid prominence centrally extending there from. Coupling the truncated ovoid prominence of each conductor with the cylindrically shaped optically active semiconductor causes the semiconductor to cylindrically taper to a triple junction circular line at the base of each prominence where the metal conductor conjoins with the semiconductor and a third medium such as epoxy or air. Tapering the semiconductor at the triple junction inhibits carrier formation and injection at the triple junction and thereby enables greater current carrying capacity through and greater sensitivity of the bulk area of the optically active medium.

  1. Plasma Properties of an Exploding Semiconductor Igniter

    NASA Astrophysics Data System (ADS)

    McGuirk, J. S.; Thomas, K. A.; Shaffer, E.; Malone, A. L.; Baginski, T.; Baginski, M. E.

    1997-11-01

    Requirements by the automotive industry for low-cost, pyrotechnic igniters for automotive airbags have led to the development of several semiconductor devices. The properties of the plasma produced by the vaporization of an exploding semiconductor are necessary in order to minimize the electrical energy requirements. This work considers two silicon-based semiconductor devices: the semiconductor bridge (SCB) and the semiconductor junction igniter both consisting of etched silicon with vapor deposited aluminum structures. Electrical current passing through the device heats a narrow junction region to the point of vaporization creating an aluminum and silicon low-temperature plasma. This work will investigate the electrical characteristics of both devices and infer the plasma properties. Furthermore optical spectral measurements will be taken of the exploding devices to estimate the temperature and density of the plasma.

  2. China/Taiwan: Evolution of the One China Policy - Key Statements from Washington, Beijing, and Taipei

    DTIC Science & Technology

    2007-07-09

    48 PRC Premier Li Peng Warns Taiwan . . . . . . . . . . . . . . . . . . . . . . . . . 48 Mainland-Taiwan “Koo-Wang...and March 1993, PRC President Jiang Zemin and Premier Li Peng began to warn of having to use “drastic” or “resolute” measures to prevent Taiwan...commitment in its referral to Quemoy and Matsu.” (Wolff and Simon, p. 282-283.) 95 “Ye Jianying Explains Policy Concerning Return of Taiwan to Motherland and

  3. Semiconductor Lasers and Their Application in Optical Fiber Communication.

    ERIC Educational Resources Information Center

    Agrawal, Govind P.

    1985-01-01

    Working principles and operating characteristics of the extremely compact and highly efficient semiconductor lasers are explained. Topics include: the p-n junction; Fabry-Perot cavity; heterostructure semiconductor lasers; materials; emission characteristics; and single-frequency semiconductor lasers. Applications for semiconductor lasers include…

  4. Comparison of illegal drug use pattern in Taiwan and Korea from 2006 to 2014.

    PubMed

    Feng, Ling-Yi; Yu, Wen-Jing; Chang, Wei-Ting; Han, Eunyoung; Chung, Heesun; Li, Jih-Heng

    2016-09-23

    Illegal drug use has long been a global concern. Taiwan and Korea are geographically adjacent and both countries have experienced the illegal use problems of methamphetamine, a predominant prototype of New Psychoactive Substances (NPS). NPS, a term coined by the United Nations Office on Drugs and Crime (UNODC) in recent years, have not been scrutinized for their safety and may become a new threat to public health and security worldwide. To conduct evidence-based drug policy, it is imperative to estimate the trend and pattern of illegal drug use. Therefore, this study aims to analyze and compare the current status of drug-related seizures, arrests and illegal drug use, with a focus on methamphetamine and NPS, between Taiwan and Korea. Data of illegal drug (including NPS)-related seizures and arrests were collected via anti-drug related agencies of both countries from 2006 through 2014.Since listing of NPS as controlled substances was a result of NPS abuse liability through official evaluation, the items of controlled NPS were used as an indicator of emerging use. These data obtained from Taiwan and Korea was then compared. The results showed that while methamphetamine remained as a predominant drug in both Taiwan and Korea for decades, different illegal drug use patterns have been observed in these two countries. In Taiwan, the major illegal drugs were methamphetamine, heroin, and ketamine, whereas in Korea those were methamphetamine and cannabis. By comparison of per capita illicit drug seizures, the illegal drug use situation in Taiwan was at a higher stake than that in Korea. In terms of NPS use, ketamine has been a major drug in Taiwan, but it was seldom found in Korea. Besides ketamine, the major type of NPS was synthetic cathinones in Taiwan whereas it was synthetic cannabinoids and phenethylamines in Korea. The difference in the numbers of controlled NPS items between Taiwan (23) and Korea (93) may be due to the implementation of temporary control on NPS in

  5. Legionella prevalence in wastewater treatment plants of Taiwan.

    PubMed

    Huang, S W; Hsu, B M; Ma, P H; Chien, K T

    2009-01-01

    Legionella is a bacterium that is ubiquitous in natural and artificial aquatic environments. Some species of Legionella are recognized as opportunistic potential human pathogens. We investigated the distribution of Legionella at seventeen WWTPs throughout Taiwan. Legionella were detected in 10 of the 17 WWTPs (58.8%) and 25 of 41 samples (61.0%). In the integrated, hospital, industrial and domestic wastewater systems were 13/18 (72.2%), 7/12 (58.3%), 2/7 (28.6%) and 3/4 (75.0%) of the samples were positive for Legionella, respectively. The most frequently encountered species were L. donaldsonii and uncultured L. spp., which were both found in 10 samples (24.4% of all samples), then followed by L. lytica (4.9%) and L. pneumophila (4.9%). L. anisa was detected in one sample (2.4%). The results of this survey confirm that Legionella are ubiquitous in WWTPs in Taiwan. Therefore, long-term investigations should be conducted to evaluate the overall occurrence of Legionella in WWTPs in Taiwan.

  6. Temporal trend and nationwide utility for hysterectomies in Taiwan, 1997-2010.

    PubMed

    Huang, Wei-Yi; Huang, Kuo-How; Chang, Wen-Chun; Wu, Shiao-Chi

    2016-10-01

    This study investigates the nationwide utilization and temporal trend of hysterectomies in Taiwan. The present study used the National Health Insurance Research Database that included claims of nearly the entire population in Taiwan since the inception of the National Health Insurance program in 1995. We analyzed age-adjusted rates of hysterectomies in Taiwan from 1997 through to 2010 and compared with the rates in 16 countries of the Organization for Economic Co-operation and Development. We also examined the utilization of various types of hysterectomies in Taiwan during this period. There was a cross-country variation in the age-standardized rate of hysterectomy: 105 per 100,000 females in Spain, 156 per 100,000 females in Taiwan, 179 per 100,000 females in 16 Organization for Economic Co-operation and Development countries, and 325 per 100,000 females in the United States in 2008. The trend of the age-standardized rate of hysterectomy in Taiwan declined from 222.3 per 100,000 women in 1998 to 145.2 per 100,000 women in 2010. The most common type of hysterectomy during this period was total abdominal hysterectomy (51.2%). Subtotal hysterectomies increased by 117% (r 2 =0.89; p<0.01), from 672 in 1997 to 1458 in 2010; however, total hysterectomies decreased by 3.5% (r 2 =0.43; p=0.01), from 20,966 in 1997 to 20,230 in 2010. Laparoscopically assisted procedures (laparoscopic supracervical hysterectomy and laparoscopic hysterectomy) increased 4.98-fold (r 2 =0.23; p=0.09), from 1453 in 1997 to 8684 in 2010. By contrast, the proportion of conventional open hysterectomies (total abdominal hysterectomy, and subtotal or supracervical abdominal hysterectomy) decreased by 36.5% (r 2 =0.59; p<0.01), from 17,327 in 1997 to 10,994 in 2010. The proportion of vaginal hysterectomies decreased by 29.7% (r 2 =0.72; p<0.01), from 2858 in 1997 to 2010 in 2010. As in most Western countries, hysterectomy rates in Taiwan declined by year. There was a marked shift in the types of

  7. Introgression between cultivars and wild populations of Momordica charantia L. (Cucurbitaceae) in Taiwan.

    PubMed

    Liao, Pei-Chun; Tsai, Chi-Chu; Chou, Chang-Hung; Chiang, Yu-Chung

    2012-01-01

    The landrace strains of Momordica charantia are widely cultivated vegetables throughout the tropics and subtropics, but not in Taiwan, a continental island in Southeast Asia, until a few hundred years ago. In contrast, the related wild populations with smaller fruit sizes are native to Taiwan. Because of the introduction of cultivars for agricultural purposes, these two accessions currently exhibit a sympatric or parapatric distribution in Taiwan. In this study, the cultivars and wild samples from Taiwan, India, and Korea were collected for testing of their hybridization and evolutionary patterns. The cpDNA marker showed a clear distinction between accessions of cultivars and wild populations of Taiwan and a long divergence time. In contrast, an analysis of eight selectively neutral nuclear microsatellite loci did not reveal a difference between the genetic structures of these two accessions. A relatively short divergence time and frequent but asymmetric gene flows were estimated based on the isolation-with-migration model. Historical and current introgression from cultivars to wild populations of Taiwan was also inferred using MIGRATE-n and BayesAss analyses. Our results showed that these two accessions shared abundant common ancestral polymorphisms, and the timing of the divergence and colonization of the Taiwanese wild populations is consistent with the geohistory of the Taiwan Strait land bridge of the Last Glacial Maximum (LGM). Long-term and recurrent introgression between accessions indicated the asymmetric capacity to receive foreign genes from other accessions. The modern introduction of cultivars of M. charantia during the colonization of Taiwan by the Han Chinese ethnic group enhanced the rate of gene replacement in the native populations and resulted in the loss of native genes.

  8. Introgression Between Cultivars and Wild Populations of Momordica charantia L. (Cucurbitaceae) in Taiwan

    PubMed Central

    Liao, Pei-Chun; Tsai, Chi-Chu; Chou, Chang-Hung; Chiang, Yu-Chung

    2012-01-01

    The landrace strains of Momordica charantia are widely cultivated vegetables throughout the tropics and subtropics, but not in Taiwan, a continental island in Southeast Asia, until a few hundred years ago. In contrast, the related wild populations with smaller fruit sizes are native to Taiwan. Because of the introduction of cultivars for agricultural purposes, these two accessions currently exhibit a sympatric or parapatric distribution in Taiwan. In this study, the cultivars and wild samples from Taiwan, India, and Korea were collected for testing of their hybridization and evolutionary patterns. The cpDNA marker showed a clear distinction between accessions of cultivars and wild populations of Taiwan and a long divergence time. In contrast, an analysis of eight selectively neutral nuclear microsatellite loci did not reveal a difference between the genetic structures of these two accessions. A relatively short divergence time and frequent but asymmetric gene flows were estimated based on the isolation-with-migration model. Historical and current introgression from cultivars to wild populations of Taiwan was also inferred using MIGRATE-n and BayesAss analyses. Our results showed that these two accessions shared abundant common ancestral polymorphisms, and the timing of the divergence and colonization of the Taiwanese wild populations is consistent with the geohistory of the Taiwan Strait land bridge of the Last Glacial Maximum (LGM). Long-term and recurrent introgression between accessions indicated the asymmetric capacity to receive foreign genes from other accessions. The modern introduction of cultivars of M. charantia during the colonization of Taiwan by the Han Chinese ethnic group enhanced the rate of gene replacement in the native populations and resulted in the loss of native genes. PMID:22754378

  9. MM&T Program to Establish Production Techniques for the Automatic Detection and Qualification of Trace Elements Present in the Production of Microwave Semiconductors.

    DTIC Science & Technology

    1981-03-01

    lots. A single store of partially processed devices may serve as a source for several different product lines. Because the manufacture of microwave...matrix, or react chem- ically with some of the semiconductor materials. In some cases these element impurities may migrate to an interface inducing... different viscosity, the background intensity varied independently of the signal, a significant error could be introduced. A more effec- tive method

  10. The Formation of Gender Education Policies in Taiwan, 1995-1999

    ERIC Educational Resources Information Center

    Hsiao-Chin, Hsieh; Shu-Ching, Lee

    2014-01-01

    This article discusses the formation of gender equity education policies in Taiwan between 1995 and 1999. The first part of the article presents a general description of Taiwan's women's movement, the education reform movement, and the development of women's/gender studies after the lifting of martial law in 1987. The second part of the article…

  11. Social Context, Parental Exogamy and Hakka Language Retention in Taiwan

    ERIC Educational Resources Information Center

    Jan, Jie-Sheng; Kuan, Ping-Yin; Lomeli, Arlett

    2016-01-01

    The Hakka people, the largest ethno-linguistic minority group in Taiwan, have found their ethnic language retention diminishing. Using the data collected by the Taiwan Education Panel Survey and Beyond in 2010, we are the first to study its reason for decrease. Results indicate that out-marriage amongst Hakka people and losing ethnic concentration…

  12. Overview of Taiwan's indigenous ethnopharmacology in the perspective of traditional knowledge protection.

    PubMed

    Guo, Jing-jing; Pan, Wei; Chen, Mei-wan; Wang, Chun-ming; Wang, Yi-tao

    2015-12-01

    Ethnopharmacology, the study of ethnic use of drugs, opens up the crucial gateway to understanding and promoting traditional medicine in the new age. Taiwan is a unique region where traditional medicine and herbal therapeutics have been benefiting its people of multiple races for centuries. This article overviews Taiwan's indigenous traditional medicine and the emerging status of ethnopharmacology study, and outlines the global scenario of the inheritance and development of traditional medicine. In such a scope of knowledge protection, this article particularly highlights the challenges with bioprospecting and biopiracy, and summarizes the current measures for protection of traditional knowledge in Taiwan. Finally, based upon these analyses, we propose rational strategies for promoting Taiwan's ethnopharmacology, from multiple angles of resource, economy, policy and law. We conclude that four measures, namely (1) protecting the natural environment of biodiversity, (2) avoiding unnecessary conflicts caused by bioprospecting and biopiracy, (3) strengthening the international collaboration, and (4) upgrading the legal system of traditional intelligence, would be the right paths for Taiwan to protect its invaluable heritage of traditional medicine and the knowledge of ethnopharmacology therein.

  13. On summer stratification and tidal mixing in the Taiwan Strait

    NASA Astrophysics Data System (ADS)

    Zhu, Jia; Hu, Jianyu; Liu, Zhiyu

    2013-06-01

    On continental shelves, a front that separates the sea into well-mixed and stratified zones is usually formed in warm seasons due to spatial variations of tidal mixing. In this paper, using eight years of in situ hydrographic observations, satellite images of sea surface temperature (SST) and chlorophyll- a (Chl- a) concentration, and results of a tidal model, we investigate summer stratification in the Taiwan Strait and its dependence on tidal mixing, upwelling, and river diluted water plumes. In most regions of the strait the dominant role of tidal mixing in determining the thermohaline structure is confirmed by the correlation between the two; there are some regions, however, where thermohaline structure varies in different ways owing to significant influences of upwelling and river diluted water plumes. The well-mixed regions are mainly distributed on the Taiwan Bank and in the offshore regions off the Dongshan Island, Nanao Island, and Pingtan Island, while the northern and central Taiwan Strait and the region south of the Taiwan Bank are stratified. The critical Simpson-Hunter parameter for the region is estimated to be 1.78.

  14. Fabrication of Circuit QED Quantum Processors, Part 2: Advanced Semiconductor Manufacturing Perspectives

    NASA Astrophysics Data System (ADS)

    Michalak, D. J.; Bruno, A.; Caudillo, R.; Elsherbini, A. A.; Falcon, J. A.; Nam, Y. S.; Poletto, S.; Roberts, J.; Thomas, N. K.; Yoscovits, Z. R.; Dicarlo, L.; Clarke, J. S.

    Experimental quantum computing is rapidly approaching the integration of sufficient numbers of quantum bits for interesting applications, but many challenges still remain. These challenges include: realization of an extensible design for large array scale up, sufficient material process control, and discovery of integration schemes compatible with industrial 300 mm fabrication. We present recent developments in extensible circuits with vertical delivery. Toward the goal of developing a high-volume manufacturing process, we will present recent results on a new Josephson junction process that is compatible with current tooling. We will then present the improvements in NbTiN material uniformity that typical 300 mm fabrication tooling can provide. While initial results on few-qubit systems are encouraging, advanced processing control is expected to deliver the improvements in qubit uniformity, coherence time, and control required for larger systems. Research funded by Intel Corporation.

  15. Contamination-Free Manufacturing: Tool Component Qualification, Verification and Correlation with Wafers

    NASA Astrophysics Data System (ADS)

    Tan, Samantha H.; Chen, Ning; Liu, Shi; Wang, Kefei

    2003-09-01

    As part of the semiconductor industry "contamination-free manufacturing" effort, significant emphasis has been placed on reducing potential sources of contamination from process equipment and process equipment components. Process tools contain process chambers and components that are exposed to the process environment or process chemistry and in some cases are in direct contact with production wafers. Any contamination from these sources must be controlled or eliminated in order to maintain high process yields, device performance, and device reliability. This paper discusses new nondestructive analytical methods for quantitative measurement of the cleanliness of metal, quartz, polysilicon and ceramic components that are used in process equipment tools. The goal of these new procedures is to measure the effectiveness of cleaning procedures and to verify whether a tool component part is sufficiently clean for installation and subsequent routine use in the manufacturing line. These procedures provide a reliable "qualification method" for tool component certification and also provide a routine quality control method for reliable operation of cleaning facilities. Cost advantages to wafer manufacturing include higher yields due to improved process cleanliness and elimination of yield loss and downtime resulting from the installation of "bad" components in process tools. We also discuss a representative example of wafer contamination having been linked to a specific process tool component.

  16. Aboriginal fractions: enumerating identity in Taiwan.

    PubMed

    Liu, Jennifer A

    2012-01-01

    Notions of identity in Taiwan are configured in relation to numbers. I examine the polyvalent capacities of enumerative technologies in both the production of ethnic identities and claims to political representation and justice. By critically historicizing the manner in which Aborigines in Taiwan have been, and continue to be, constructed as objects and subjects of scientific knowledge production through technologies of measuring, I examine the genetic claim made by some Taiwanese to be "fractionally" Aboriginal. Numbers and techniques of measuring are used ostensibly to know the Aborigines, but they are also used to construct a genetically unique Taiwanese identity and to incorporate the Aborigines within projects of democratic governance. Technologies of enumeration thus serve within multiple, and sometimes contradictory, projects of representation and knowledge production.

  17. Perceptions of Clostridium difficile infections among infection control professionals in Taiwan.

    PubMed

    Hung, Yuan-Pin; Lee, Jen-Chieh; Lin, Hsiao-Ju; Chiu, Chun-Wei; Wu, Jia-Ling; Liu, Hsiao-Chieh; Huang, I-Hsiu; Tsai, Pei-Jane; Ko, Wen-Chien

    2017-08-01

    High Clostridium difficile colonization and infection rates among hospitalized patients had been noted in Taiwan. Nevertheless, the cognition about clinical diagnosis and management of CDI among infection control professionals in Taiwan is not clear. A 24-item survey questionnaire about the diagnosis, therapy, or infection control policies toward CDI was distributed in the annual meeting of the Infectious Disease Society of Taiwan (IDST) in October 2015 and Infectious Control Society of Taiwan (ICST) in April 2016. Totally 441 individuals responded to the survey, and 280 (63.5%) participants would routinely monitor the prevalence of CDI and 347 (78.7%) reported the formulation of infection control policies of CDI in their hospital, including contact precaution (75.7%), wearing gloves (88.9%) or dressing (80.0%) at patient care, single room isolation (49.7%), preference of soap or disinfectant-based sanitizer (83.2%) and avoidance of alcohol-based sanitizer (63.3%), and environmental disinfection with 1000 ppm bleach (87.1%). For the timing of contact precaution discontinuation isolation for CDI patients, most (39.9%) participants suggested the time point of the absence of C. difficile toxin in feces. To treat mild CDI, most (61.9%) participants preferred oral metronidazole, and for severe CDI 26.1% would prescribe oral vancomycin as the drug of choice. There were substantial gaps in infection control polices and therapeutic choices for CDI between international guidelines and the perceptions of medical professionals in Taiwan. Professional education program and the setup of guideline for CDI should be considered in Taiwan. Copyright © 2017. Published by Elsevier B.V.

  18. Crustal gravitational potential energy change at the convergent plate boundary near Taiwan

    NASA Astrophysics Data System (ADS)

    Lo, C.; Hsu, S.

    2003-12-01

    The Taiwan orogen has formed due to the convergence between the Philippine Sea plate and Eurasian plate. Numerous earthquakes are occurring along the active convergent plate boundary in eastern Taiwan. To the northeast, the Philippine Sea plates is subducting northwards beneath the Ryukyu Arc. To the south, the Eurasian plate is subducting eastwards beneath the Luzon Arc. The plate interaction has caused crustal deformation and produced earthquakes. The earthquakes have caused radial permanent displacement of the crust and have altered the crustal gravitational potential energy. Here we use the earthquake source mechanisms, determined by the Broadband Array in Taiwan for Seismology (BATs) from 1995 to 2003, to calculate the crustal gravitational potential energy (GPE) change and discuss their tectonic implication along the convergent plate boundary. In Ilan Plain, the westernmost Okinawa Trough, it shows a crustal GPE loss. It is related to the crustal subsidence because of the backarc extension of the Okinawa Trough. In contrast, due to the Philippine Sea plate subucting northwards beneath Eurasian Plate, the Ryukyu convergent boundary shows systematic crustal GPE gain. Near Taiwan, the crustal GPE change is gained, indicating the collisional convergence of the Luzon Arc. To the south of Taiwan, along the Luzon Arc the crustal GPE is also gain, representing the initial uplifting of the Taiwan mountain belt.

  19. Optical devices featuring textured semiconductor layers

    DOEpatents

    Moustakas, Theodore D [Dover, MA; Cabalu, Jasper S [Cary, NC

    2011-10-11

    A semiconductor sensor, solar cell or emitter, or a precursor therefor, has a substrate and one or more textured semiconductor layers deposited onto the substrate. The textured layers enhance light extraction or absorption. Texturing in the region of multiple quantum wells greatly enhances internal quantum efficiency if the semiconductor is polar and the quantum wells are grown along the polar direction. Electroluminescence of LEDs of the invention is dichromatic, and results in variable color LEDs, including white LEDs, without the use of phosphor.

  20. Optical devices featuring textured semiconductor layers

    DOEpatents

    Moustakas, Theodore D [Dover, MA; Cabalu, Jasper S [Cary, NC

    2012-08-07

    A semiconductor sensor, solar cell or emitter, or a precursor therefor, has a substrate and one or more textured semiconductor layers deposited onto the substrate. The textured layers enhance light extraction or absorption. Texturing in the region of multiple quantum wells greatly enhances internal quantum efficiency if the semiconductor is polar and the quantum wells are grown along the polar direction. Electroluminescence of LEDs of the invention is dichromatic, and results in variable color LEDs, including white LEDs, without the use of phosphor.