Sample records for total soc stocks

  1. Contrasting effects of deep ploughing of croplands and forests on SOC stocks and SOC bioavailability

    NASA Astrophysics Data System (ADS)

    Alcántara, Viridiana; Don, Axel; Vesterdal, Lars; Well, Reinhard; Nieder, Rolf

    2016-04-01

    Subsoils are essential within the global C cycle since they have a high soil organic carbon (SOC) storage capacity due to a high SOC saturation deficit. However, measures for enhancing SOC stocks commonly focus on topsoils. We assessed the long-term stability of topsoil SOC buried in cropland and forest subsoils by deep ploughing. Deep ploughing was promoted until the 1970s for breaking up hardpan and improving soil structure to optimize crop growth conditions. In forests deep ploughing is performed as a site preparation measure for afforestation of sandy soil aiming at increasing water availability in deeper layers and decreasing weed competition by burial of seeds. An effect of deep ploughing was the translocation of topsoil SOC into subsoils, with a concomitant mixing of SOC-poor subsoil material into the "new" topsoil horizon. Deep ploughed croplands and forests represent unique long-term "in-situ incubations" of SOC-rich material in subsoils in order to assess the effect of soil depth on SOC turnover. In this study, we sampled soil from five loamy and five sandy cropland sites as well as from five sandy forest sites, which were ploughed to 55-127 cm depth 25 to 53 years ago. Adjacent, equally managed but conventionally ploughed or not ploughed (forests) subplots were sampled as reference. On average 45 years after the deep ploughing operation, at the cropland sites, the deep ploughed soils contained 42±13 Mg ha-1 more SOC than the reference subplots down to 100 cm depth. On the contrary, at the forest sites, the SOC stocks of the deep ploughed soils contained 18±9 Mg ha-1 less SOC compared to the reference soils on average 38 years deep ploughing. These contrasting results can be explained, on the one hand, by the slower SOC accumulation in the newly formed topsoils of the deep ploughed forest soil (on average 48% lower SOC stocks in topsoil) compared to the croplands (on average 15% lower SOC stocks in topsoil). On the other hand, the buried topsoils at the

  2. Changes in SOC stocks and fractions after natural afforestation of alpine grasslands

    NASA Astrophysics Data System (ADS)

    Guidi, Claudia; Rodeghiero, Mirco; Vesterdal, Lars; Gianelle, Damiano

    2013-04-01

    Land use changes are considered one of the major driving forces of global carbon fluxes and can induce significant alterations of soil organic carbon stocks. In the European Alps, the dominant form of land use change is represented by the abandonment of marginal mountain grasslands and their invasion by tree species, i.e. a transition from grassland to forest. While an increase in live and dead aboveground biomass is commonly reported, the impact on soil organic carbon (SOC) is still unclear. The main objective of the current study was to quantify the effect of abandonment and forest regrowth of mountain grassland on SOC, considering both SOC stocks and its physically separated fractions. The study area is located in a pre-alpine area of the Trentino region (Italy), with an elevation of about 1150 m. We compared four land uses representing a transition from grassland to forest: I) managed grassland; II) grassland abandoned 10 years ago; III) natural afforested grassland abandoned after 1973; IV) reference forest, already present in 1861. The afforested area and the reference forest are both dominated by Norway spruce (Picea abies) and beech (Fagus sylvatica). For each land use intensity three sampling areas were selected. In each area we collected eight soil cores to a depth of 30 cm, dividing the soil core in 4 depth increments. To assess changes in SOC stocks, we measured bulk density, stoniness, root biomass and organic carbon content. Mineral SOC stocks were calculated using both an equivalent depth and an equivalent mass approach. Changes in SOC fractions were assessed using aggregate size fractionation (Cambardella and Elliott, 1993) and size-density fractionation procedures. Preliminary results show higher soil C concentrations in forest sites compared to grassland. This can be attributed to higher C inputs and lower mineralization rates due to a higher degree of soil aggregation and protection of soil organic matter, but also to the higher stoniness

  3. Crop residue incorporation for increasing SOC stock. Is it worth it?

    NASA Astrophysics Data System (ADS)

    Pituello, Chiara; Berti, Antonio; Morari, Francesco

    2015-04-01

    In the last fifty years, soil organic carbon (SOC) in North-Eastern Italy decreased at rates ranging from 0.02 to 0.58 t ha/year as a consequence of the intensification and simplification of cropping systems. Most recently, the removal of crop residue for bioenergy production raises concerns about its potential impact on SOC evolution. Crop residue incorporation has been included in the Recommended Management Practices (RMPs) for climate change mitigation, however, several doubts still remain on its actual effectiveness. Indeed, long term effects of residue incorporation on SOC stocks have been studied by many authors with apparently contrasting findings. Thus, given the pivotal role played by SOC on ecosystem services, investigating the effects of residues incorporation on soil quality constitutes a key step towards understanding soil processes and will help establish a rationale bioenergy production policy. For this purpose, soil samples were taken from a long-term field experiment started in 1970, with three types of soil: sand, silt-loam and clay. The experiment design adopted implied a crop rotation including maize, wheat, and potatoes with only two types of residues management: incorporation and removal. The levels of nitrogen application were six (0, 50, 100, 200, 300, 400 kg ha-1) with a factorial combination with the residues management. Residue incorporation affected significantly the carbon stock within the profile (0-70cm), with an average increase in carbon content from 60 to 67 t C ha-1 in 42 years (0.16 t C ha-1 y-1). In clay and silt-loam soils the C stock varied within the whole profile, with an increase in the upper layer (0-20 cm) ranging from 29% (silt-loam) to 60% (clay soil) of the total increment. Conversely, in sand soil the effect was found only in the upper horizon, where the incorporation of residues increased SOC of only 1.9 t ha-1. This indicates that in sand soil the increase of C is mainly attributable to the direct effect of residues

  4. Impact of vegetation types on soil organic carbon stocks SOC-S in Mediterranean natural areas

    NASA Astrophysics Data System (ADS)

    Parras-Alcántara, Luis; Lozano-García, Beatriz; Cantudo-Pérez, Marta

    2015-04-01

    with different vegetation types (Quercus suber, Quercus ilex, Quercus faginea, Pinus pinaster and Pinus pinea) in The Cardeña-Montoro Natural Park, a nature reserve that consists of a 38,449 ha forested area in southern Spain. Sixty-eight sampling points were selected in the study zone. Each sampling point was analyzed as soil control section with different depth increments (0-25, 25-50, 50-75 and 75-100 cm). The studied soils were classified as Cambisols and the major goal of this research was to study the SOCS variability at regional scale. The total SOCS in The Cardeña-Montoro Natural Park was higher in MEOW with olive grove (111,69 Mg ha-1) and lower in MEOW with Quercus faginea (93,57 Mg ha-1). However, when the top soil (superficial section control) was analyzed, the SOCS was the highest in MEOW with olive grove (70,12 Mg-1) and the lowest in MEOW with Pinus (47,82 Mg ha-1). This research is a preliminary assessment for modeling SOCS at the regional level in Mediterranean natural areas. References Hontoria, C., Rodríguez-Murillo, J., and Saa, A.: Contenido de carbono orgánico en el suelo y factores de control en la España Peninsular, Edafología, 11, 149-155, 2004. Parras-Alcántara, L., Díaz-Jaimes, L., and Lozano-García, B: Organic farming affects C and N in soils under olive groves in Mediterranean areas, Land Degrad. Develop., in press, available online: in Wiley Online Library (wileyonlinelibrary.com), http://dx.doi.org/10.1002/ldr.2231, 2013. Parras-Alcántara, L., Díaz-Jaimes, L., Lozano-García, B., Fernández Rebollo, P., Moreno Elcure, F., Carbonero Muñoz, M.D.: Organic farming has little effect on carbon stock in a Mediterranean dehesa (southern Spain). Catena 113 (2014) 9-17. http://dx.doi.org/10.1016/j.catena.2013.09.002 Parras-Alcántara, L., Díaz-Jaimes, L., and Lozano-García, B.: Management effects on soil organic carbon stock in Mediterranean open rangelands -- treeless grasslands, Land Degrad. Develop., in press, available online: in

  5. Global distribution of soil organic carbon - Part 1: Masses and frequency distributions of SOC stocks for the tropics, permafrost regions, wetlands, and the world

    NASA Astrophysics Data System (ADS)

    Köchy, M.; Hiederer, R.; Freibauer, A.

    2015-04-01

    The global soil organic carbon (SOC) mass is relevant for the carbon cycle budget and thus atmospheric carbon concentrations. We review current estimates of SOC stocks and mass (stock × area) in wetlands, permafrost and tropical regions and the world in the upper 1 m of soil. The Harmonized World Soil Database (HWSD) v.1.2 provides one of the most recent and coherent global data sets of SOC, giving a total mass of 2476 Pg when using the original values for bulk density. Adjusting the HWSD's bulk density (BD) of soil high in organic carbon results in a mass of 1230 Pg, and additionally setting the BD of Histosols to 0.1 g cm-3 (typical of peat soils), results in a mass of 1062 Pg. The uncertainty in BD of Histosols alone introduces a range of -56 to +180 Pg C into the estimate of global SOC mass in the top 1 m, larger than estimates of global soil respiration. We report the spatial distribution of SOC stocks per 0.5 arcminutes; the areal masses of SOC; and the quantiles of SOC stocks by continents, wetland types, and permafrost types. Depending on the definition of "wetland", wetland soils contain between 82 and 158 Pg SOC. With more detailed estimates for permafrost from the Northern Circumpolar Soil Carbon Database (496 Pg SOC) and tropical peatland carbon incorporated, global soils contain 1325 Pg SOC in the upper 1 m, including 421 Pg in tropical soils, whereof 40 Pg occurs in tropical wetlands. Global SOC amounts to just under 3000 Pg when estimates for deeper soil layers are included. Variability in estimates is due to variation in definitions of soil units, differences in soil property databases, scarcity of information about soil carbon at depths > 1 m in peatlands, and variation in definitions of "peatland".

  6. A Bayesian Belief Network framework to predict SOC stock change: the Veneto region (Italy) case study

    NASA Astrophysics Data System (ADS)

    Dal Ferro, Nicola; Quinn, Claire Helen; Morari, Francesco

    2017-04-01

    A key challenge for soil scientists is predicting agricultural management scenarios that combine crop productions with high standards of environmental quality. In this context, reversing the soil organic carbon (SOC) decline in croplands is required for maintaining soil fertility and contributing to mitigate GHGs emissions. Bayesian belief networks (BBN) are probabilistic models able to accommodate uncertainty and variability in the predictions of the impacts of management and environmental changes. By linking multiple qualitative and quantitative variables in a cause-and-effect relationships, BBNs can be used as a decision support system at different spatial scales to find best management strategies in the agroecosystems. In this work we built a BBN to model SOC dynamics (0-30 cm layer) in the low-lying plain of Veneto region, north-eastern Italy, and define best practices leading to SOC accumulation and GHGs (CO2-equivalent) emissions reduction. Regional pedo-climatic, land use and management information were combined with experimental and modelled data on soil C dynamics as natural and anthropic key drivers affecting SOC stock change. Moreover, utility nodes were introduced to determine optimal decisions for mitigating GHGs emissions from croplands considering also three different IPCC climate scenarios. The network was finally validated with real field data in terms of SOC stock change. Results showed that the BBN was able to model real SOC stock changes, since validation slightly overestimated SOC reduction (+5%) at the expenses of its accumulation. At regional level, probability distributions showed 50% of SOC loss, while only 17% of accumulation. However, the greatest losses (34%) were associated with low reduction rates (100-500 kg C ha-1 y-1), followed by 33% of stabilized conditions (-100 < SOC < 100 kg ha-1 y-1). Land use management (especially tillage operations and soil cover) played a primary role to affect SOC stock change, while climate conditions

  7. Global distribution of soil organic carbon, based on the Harmonized World Soil Database - Part 1: Masses and frequency distribution of SOC stocks for the tropics, permafrost regions, wetlands, and the world

    NASA Astrophysics Data System (ADS)

    Köchy, M.; Hiederer, R.; Freibauer, A.

    2014-09-01

    The global soil organic carbon (SOC) mass is relevant for the carbon cycle budget. We review current estimates of soil organic carbon stocks (mass/area) and mass (stock × area) in wetlands, permafrost and tropical regions and the world in the upper 1 m of soil. The Harmonized World Soil Database (HWSD) v.1.2 provides one of the most recent and coherent global data sets of SOC, giving a total mass of 2476 Pg. Correcting the HWSD's bulk density of organic soils, especially Histosols, results in a mass of 1062 Pg. The uncertainty of bulk density of Histosols alone introduces a range of -56 to +180 Pg for the estimate of global SOC in the top 1 m, larger than estimates of global soil respiration. We report the spatial distribution of SOC stocks per 0.5 arc minutes, the areal masses of SOC and the quantiles of SOC stocks by continents, wetland types, and permafrost types. Depending on the definition of "wetland", wetland soils contain between 82 and 158 Pg SOC. Incorporating more detailed estimates for permafrost from the Northern Circumpolar Soil Carbon Data Base (496 Pg SOC) and tropical peatland carbon, global soils contain 1324 Pg SOC in the upper 1 m including 421 Pg in tropical soils, whereof 40 Pg occur in tropical wetlands. Global SOC amounts to just under 3000 Pg when estimates for deeper soil layers are included. Variability in estimates is due to variation in definitions of soil units, differences in soil property databases, scarcity of information about soil carbon at depths > 1 m in peatlands, and variation in definitions of "peatland".

  8. Are soils of Iowa USA currently a carbon sink or source? Simulated changes in SOC stock from 1972 to 2007

    USGS Publications Warehouse

    Liu, Shuguang; Tan, Z.; Li, Z.; Zhao, S.; Yuan, W.

    2011-01-01

    Upscaling the spatial and temporal changes in carbon (C) stocks and fluxes from sites to regions is a critical and challenging step toward improving our understanding of the dynamics of C sources and sinks over large areas. This study simulated soil organic C (SOC) dynamics within 0–100 cm depth of soils across the state of Iowa in the USA from 1972 to 2007 using the General Ensemble biogeochemical Modeling System (GEMS). The model outputs with variation coefficient were analyzed and assembled from simulation unit to the state scale based upon major land use types at annual step. Results from this study indicate that soils (within a depth of 0–100 cm) in Iowa had been a SOC source at a rate of 190 ± 380 kg C ha−1 yr−1. This was likely caused by the installation of a massive drainage system which led to the release of SOC from deep soil layers previously protected under poor drainage conditions. The annual crop rotation was another major force driving SOC variation and resulted in spatial variability of annual budgets in all croplands. Annual rate of change of SOC stocks in all land types depended significantly on the baseline SOC levels; soils with higher SOC levels tended to be C sources, and those with lower levels tended to be C sinks. Management practices (e.g., conservation tillage and residue management practices) slowed down the C emissions from Iowa soils, but could not reverse the general trend of net SOC loss in view of the entire state due mainly to a high level of baseline SOC stocks.

  9. SOC in Different Land Use Types: does Geomorphic Position Matter?

    NASA Astrophysics Data System (ADS)

    Hu, Y.; Sun, Q.; Wang, R.; Wang, Z.; Guo, S.

    2016-12-01

    Substantial research has been devoted to land use conversion induced changes of soil organic carbon (SOC) and total nitrogen (TN). However, most of the studies were based on local field plots, featuring single predominant landform (ex. rolling topography in Denmark, or Great Plain in the US). For regions integrated from complex landforms such as the Chinese Loess Plateau where tableland, sloping land and gullies are closely networked and each collectively covers a third of the area, the effects of land use changes to SOC and TN could spatially differ among geomorphic positions. So far, local farming management and policies on the Loess Plateau have often been guided by reports from single landform. To fully understand the potential effects of land use conversions to regional C and N cycling, a systematic investigation is highly in need to identify the relative contributions from different geomorphic positions. In this study on the Chinese Loess Plateau, soil profiles deep to 200 cm on three geomorphic positions (tableland, sloping land and gullies) were investigated, each having four types of land use (cropland, orchard, grassland, and woodland). The SOC and total N were measured and compared every layer of 20 cm. Our results show that: 1) the SOC stocks and TN in the surface soil (0-20 cm) was most enriched in downslope gullies and least stored in the summit tableland, demonstrating the erosional redistribution of SOC and TN along hillslopes. 2) After converting from cropland to grassland or woodland, the SOC stocks and TN in soil layer < 60 cm were significantly increased by 17-57% and 40-49%, demonstrating the benefits of land use conversion on SOC sequestration and N cycling. 3) More specifically, such positive increase of SOC stocks was more pronounced in the gullies than on the sloping land. 4) While the SOC stocks and TN in deep layers > 60 cm were more enriched on the tableland, the effects of land use conversions did not differ among the three geomorphic

  10. Longevity of contributions to SOC stocks from roots and aboveground plant litter below a Miscanthus plantation

    NASA Astrophysics Data System (ADS)

    Robertson, Andrew; Smith, Pete; Davies, Christian; Bottoms, Emily; McNamara, Niall

    2013-04-01

    Miscanthus is a lignocellulosic crop that uses the Hatch-Slack (C4) photosynthetic pathway as opposed to most C3 vegetation native to the UK. Miscanthus can be grown for a number of practical end-uses but recently interest has increased in its viability as a bioenergy crop; both providing a renewable source of energy and helping to limit climate change by improving the carbon (C) budgets associated with energy generation. Recent studies have shown that Miscanthus plantations may increase stocks of soil organic carbon (SOC), however the longevity and origin of this 'new' SOC must be assessed. Consequently, we combined an input manipulation experiment with physio-chemical soil fractionation to quantify new SOC and CO2 emissions from Miscanthus roots, decomposing plant litter and soil individually. Further, fractionation of SOC from the top 30 cm gave insight into the longevity of that SOC. In January 2009 twenty-five 2 m2 plots were set up in a three-year old 11 hectare Miscanthus plantation in Lincolnshire, UK; with five replicates of five treatments. These treatments varied plant input to the soil by way of controlled exclusion techniques. Treatments excluded roots only ("No Roots"), surface litter only ("No Litter"), both roots and surface litter ("No Roots or Litter") or had double the litter amount added to the soil surface ("Double Litter"). A fifth treatment was a control with undisturbed roots and an average amount of litter added. Monthly measurements of CO2 emissions were taken at the soil surface from each treatment between March 2009 and March 2013, and soil C from the top 30 cm was monitored in all plots over the same period. Miscanthus-derived SOC was determined using the isotopic discrimination between C4 plant matter and C3 soil, and soil fractionation was then used to establish the longevity of that Miscanthus-derived SOC. Ongoing results for CO2 emissions indicate a strong seasonal variation; litter decomposition forms a large portion of the CO2

  11. Long-term N fertilization and conservation tillage practices conserve surface but not profile SOC stocks under semi-arid irrigated corn

    USDA-ARS?s Scientific Manuscript database

    No tillage (NT) and N fertilization can increase surface soil organic C (SOC) stocks, but the effects deeper in the soil profile are uncertain. Subsequent tillage could counter SOC stabilized through NT practices by disrupting soil aggregation and promoting decomposition. We followed a long-term ti...

  12. Digital mapping of soil organic carbon contents and stocks in Denmark.

    PubMed

    Adhikari, Kabindra; Hartemink, Alfred E; Minasny, Budiman; Bou Kheir, Rania; Greve, Mette B; Greve, Mogens H

    2014-01-01

    Estimation of carbon contents and stocks are important for carbon sequestration, greenhouse gas emissions and national carbon balance inventories. For Denmark, we modeled the vertical distribution of soil organic carbon (SOC) and bulk density, and mapped its spatial distribution at five standard soil depth intervals (0-5, 5-15, 15-30, 30-60 and 60-100 cm) using 18 environmental variables as predictors. SOC distribution was influenced by precipitation, land use, soil type, wetland, elevation, wetness index, and multi-resolution index of valley bottom flatness. The highest average SOC content of 20 g kg(-1) was reported for 0-5 cm soil, whereas there was on average 2.2 g SOC kg(-1) at 60-100 cm depth. For SOC and bulk density prediction precision decreased with soil depth, and a standard error of 2.8 g kg(-1) was found at 60-100 cm soil depth. Average SOC stock for 0-30 cm was 72 t ha(-1) and in the top 1 m there was 120 t SOC ha(-1). In total, the soils stored approximately 570 Tg C within the top 1 m. The soils under agriculture had the highest amount of carbon (444 Tg) followed by forest and semi-natural vegetation that contributed 11% of the total SOC stock. More than 60% of the total SOC stock was present in Podzols and Luvisols. Compared to previous estimates, our approach is more reliable as we adopted a robust quantification technique and mapped the spatial distribution of SOC stock and prediction uncertainty. The estimation was validated using common statistical indices and the data and high-resolution maps could be used for future soil carbon assessment and inventories.

  13. Digital Mapping of Soil Organic Carbon Contents and Stocks in Denmark

    PubMed Central

    Adhikari, Kabindra; Hartemink, Alfred E.; Minasny, Budiman; Bou Kheir, Rania; Greve, Mette B.; Greve, Mogens H.

    2014-01-01

    Estimation of carbon contents and stocks are important for carbon sequestration, greenhouse gas emissions and national carbon balance inventories. For Denmark, we modeled the vertical distribution of soil organic carbon (SOC) and bulk density, and mapped its spatial distribution at five standard soil depth intervals (0−5, 5−15, 15−30, 30−60 and 60−100 cm) using 18 environmental variables as predictors. SOC distribution was influenced by precipitation, land use, soil type, wetland, elevation, wetness index, and multi-resolution index of valley bottom flatness. The highest average SOC content of 20 g kg−1 was reported for 0−5 cm soil, whereas there was on average 2.2 g SOC kg−1 at 60−100 cm depth. For SOC and bulk density prediction precision decreased with soil depth, and a standard error of 2.8 g kg−1 was found at 60−100 cm soil depth. Average SOC stock for 0−30 cm was 72 t ha−1 and in the top 1 m there was 120 t SOC ha−1. In total, the soils stored approximately 570 Tg C within the top 1 m. The soils under agriculture had the highest amount of carbon (444 Tg) followed by forest and semi-natural vegetation that contributed 11% of the total SOC stock. More than 60% of the total SOC stock was present in Podzols and Luvisols. Compared to previous estimates, our approach is more reliable as we adopted a robust quantification technique and mapped the spatial distribution of SOC stock and prediction uncertainty. The estimation was validated using common statistical indices and the data and high-resolution maps could be used for future soil carbon assessment and inventories. PMID:25137066

  14. Sampling protocol recommendations for measuring soil organic carbon stocks in the tropics

    NASA Astrophysics Data System (ADS)

    van Straaten, Oliver; Veldkamp, Edzo; Corre, Marife D.

    2013-04-01

    In the tropics, there is an urgent need for cost effective sampling approaches to quantify soil organic carbon (SOC) changes associated with land-use change given the lack of reliable data. The tropics are especially important considering the high deforestation rates, the huge belowground carbon pool and the fast soil carbon turnover rates. In the framework of a pan-tropic (Peru, Cameroon and Indonesia) land-use change study, some highly relevant recommendations on the SOC stocks sampling approaches have emerged. In this study, where we focused on deeply weathered mineral soils, we quantified changes in SOC stock following land-use change (deforestation and subsequent establishment of other land-uses). We used a space-for-time substitution sampling approach, measured SOC stocks in the top three meters of soil and compared recently converted land-uses with adjacent reference forest plots. In each respective region we investigated the most predominant land-use trajectories. In total 157 plots were established across the three countries, where soil samples were taken to a depth of three meters from a central soil pit and from the topsoil (to 0.5m) from 12 pooled composite samples. Finding 1 - soil depth: despite the fact that the majority of SOC stock from the three meter profile is found below one meter depth (50 to 60 percent of total SOC stock), the significant changes in SOC were only measured in the top meter of soil, while the subsoil carbon stock remained relatively unchanged by the land-use conversion. The only exception was for older (>50 yrs) cacao plantations in Cameroon where significant decreases were found below one meter. Finding 2 - pooled composite samples taken across the plot provided more spatially representative estimates of SOC stocks than samples taken from the central soil pit.

  15. Estimating Soil Organic Carbon stocks and uncertainties at the regional scale following a legacy sampling strategy - a case study from southern Belgium

    NASA Astrophysics Data System (ADS)

    Chartin, Caroline; Krüger, Inken; Goidts, Esther; Carnol, Monique; van Wesemael, Bas

    2017-04-01

    The quantification and the spatialisation of reliable SOC stocks (Mg C ha-1) and total stock (Tg C) baselines and associated uncertainties are fundamental to detect the gains or losses in SOC, and to locate sensitive areas with low SOC levels. Here, we aim to both quantify and spatialize SOC stocks at regional scale (southern Belgium) based on data from one non-design-based nor model-based sampling scheme. To this end, we developed a computation procedure based on Digital Soil Mapping techniques and stochastic simulations (Monte-Carlo) allowing the estimation of multiple (here, 10,000) independent spatialized datasets. The computation of the prediction uncertainty accounts for the errors associated to the both estimations of i) SOC stock at the pixel-related area scale and ii) parameters of the spatial model. Based on these 10,000 individuals, median SOC stocks and 90% prediction intervals were computed for each pixel, as well as total SOC stocks and their 90% prediction intervals for selected sub-areas and for the entire study area. Hence, a Generalised Additive Model (GAM) explaining 69.3 % of the SOC stock variance was calibrated and then validated (R2 = 0.64). The model overestimated low SOC stock (below 50 Mg C ha-1) and underestimated high SOC stock (especially those above 100 Mg C kg-1). A positive gradient of SOC stock occurred from the northwest to the center of Wallonia with a slight decrease on the southernmost part, correlating to the evolution of precipitation and temperature (along with elevation) and dominant land use. At the catchment scale higher SOC stocks were predicted on valley bottoms, especially for poorly drained soils under grassland. Mean predicted SOC stocks for cropland and grassland in Wallonia were of 26.58 Tg C (SD 1.52) and 43.30 Tg C (2.93), respectively. The procedure developed here allowed to predict realistic spatial patterns of SOC stocks all over agricultural lands of southern Belgium and to produce reliable statistics of total

  16. Comparison of spatial association approaches for landscape mapping of soil organic carbon stocks

    NASA Astrophysics Data System (ADS)

    Miller, B. A.; Koszinski, S.; Wehrhan, M.; Sommer, M.

    2015-03-01

    The distribution of soil organic carbon (SOC) can be variable at small analysis scales, but consideration of its role in regional and global issues demands the mapping of large extents. There are many different strategies for mapping SOC, among which is to model the variables needed to calculate the SOC stock indirectly or to model the SOC stock directly. The purpose of this research is to compare direct and indirect approaches to mapping SOC stocks from rule-based, multiple linear regression models applied at the landscape scale via spatial association. The final products for both strategies are high-resolution maps of SOC stocks (kg m-2), covering an area of 122 km2, with accompanying maps of estimated error. For the direct modelling approach, the estimated error map was based on the internal error estimations from the model rules. For the indirect approach, the estimated error map was produced by spatially combining the error estimates of component models via standard error propagation equations. We compared these two strategies for mapping SOC stocks on the basis of the qualities of the resulting maps as well as the magnitude and distribution of the estimated error. The direct approach produced a map with less spatial variation than the map produced by the indirect approach. The increased spatial variation represented by the indirect approach improved R2 values for the topsoil and subsoil stocks. Although the indirect approach had a lower mean estimated error for the topsoil stock, the mean estimated error for the total SOC stock (topsoil + subsoil) was lower for the direct approach. For these reasons, we recommend the direct approach to modelling SOC stocks be considered a more conservative estimate of the SOC stocks' spatial distribution.

  17. Comparison of spatial association approaches for landscape mapping of soil organic carbon stocks

    NASA Astrophysics Data System (ADS)

    Miller, B. A.; Koszinski, S.; Wehrhan, M.; Sommer, M.

    2014-11-01

    The distribution of soil organic carbon (SOC) can be variable at small analysis scales, but consideration of its role in regional and global issues demands the mapping of large extents. There are many different strategies for mapping SOC, among which are to model the variables needed to calculate the SOC stock indirectly or to model the SOC stock directly. The purpose of this research is to compare direct and indirect approaches to mapping SOC stocks from rule-based, multiple linear regression models applied at the landscape scale via spatial association. The final products for both strategies are high-resolution maps of SOC stocks (kg m-2), covering an area of 122 km2, with accompanying maps of estimated error. For the direct modelling approach, the estimated error map was based on the internal error estimations from the model rules. For the indirect approach, the estimated error map was produced by spatially combining the error estimates of component models via standard error propagation equations. We compared these two strategies for mapping SOC stocks on the basis of the qualities of the resulting maps as well as the magnitude and distribution of the estimated error. The direct approach produced a map with less spatial variation than the map produced by the indirect approach. The increased spatial variation represented by the indirect approach improved R2 values for the topsoil and subsoil stocks. Although the indirect approach had a lower mean estimated error for the topsoil stock, the mean estimated error for the total SOC stock (topsoil + subsoil) was lower for the direct approach. For these reasons, we recommend the direct approach to modelling SOC stocks be considered a more conservative estimate of the SOC stocks' spatial distribution.

  18. Detecting small-scale spatial heterogeneity and temporal dynamics of soil organic carbon (SOC) stocks: a comparison between automatic chamber-derived C budgets and repeated soil inventories

    NASA Astrophysics Data System (ADS)

    Hoffmann, Mathias; Jurisch, Nicole; Garcia Alba, Juana; Albiac Borraz, Elisa; Schmidt, Marten; Huth, Vytas; Rogasik, Helmut; Rieckh, Helene; Verch, Gernot; Sommer, Michael; Augustin, Jürgen

    2017-03-01

    Carbon (C) sequestration in soils plays a key role in the global C cycle. It is therefore crucial to adequately monitor dynamics in soil organic carbon (ΔSOC) stocks when aiming to reveal underlying processes and potential drivers. However, small-scale spatial (10-30 m) and temporal changes in SOC stocks, particularly pronounced in arable lands, are hard to assess. The main reasons for this are limitations of the well-established methods. On the one hand, repeated soil inventories, often used in long-term field trials, reveal spatial patterns and trends in ΔSOC but require a longer observation period and a sufficient number of repetitions. On the other hand, eddy covariance measurements of C fluxes towards a complete C budget of the soil-plant-atmosphere system may help to obtain temporal ΔSOC patterns but lack small-scale spatial resolution. To overcome these limitations, this study presents a reliable method to detect both short-term temporal dynamics as well as small-scale spatial differences of ΔSOC using measurements of the net ecosystem carbon balance (NECB) as a proxy. To estimate the NECB, a combination of automatic chamber (AC) measurements of CO2 exchange and empirically modeled aboveground biomass development (NPPshoot) were used. To verify our method, results were compared with ΔSOC observed by soil resampling. Soil resampling and AC measurements were performed from 2010 to 2014 at a colluvial depression located in the hummocky ground moraine landscape of northeastern Germany. The measurement site is characterized by a variable groundwater level (GWL) and pronounced small-scale spatial heterogeneity regarding SOC and nitrogen (Nt) stocks. Tendencies and magnitude of ΔSOC values derived by AC measurements and repeated soil inventories corresponded well. The period of maximum plant growth was identified as being most important for the development of spatial differences in annual ΔSOC. Hence, we were able to confirm that AC-based C budgets are able

  19. Soil Organic Carbon Pools and Stocks in Permafrost-Affected Soils on the Tibetan Plateau

    PubMed Central

    Dörfer, Corina; Kühn, Peter; Baumann, Frank; He, Jin-Sheng; Scholten, Thomas

    2013-01-01

    The Tibetan Plateau reacts particularly sensitively to possible effects of climate change. Approximately two thirds of the total area is affected by permafrost. To get a better understanding of the role of permafrost on soil organic carbon pools and stocks, investigations were carried out including both discontinuous (site Huashixia, HUA) and continuous permafrost (site Wudaoliang, WUD). Three organic carbon fractions were isolated using density separation combined with ultrasonic dispersion: the light fractions (<1.6 g cm−3) of free particulate organic matter (FPOM) and occluded particulate organic matter (OPOM), plus a heavy fraction (>1.6 g cm−3) of mineral associated organic matter (MOM). The fractions were analyzed for C, N, and their portion of organic C. FPOM contained an average SOC content of 252 g kg−1. Higher SOC contents (320 g kg−1) were found in OPOM while MOM had the lowest SOC contents (29 g kg−1). Due to their lower density the easily decomposable fractions FPOM and OPOM contribute 27% (HUA) and 22% (WUD) to the total SOC stocks. In HUA mean SOC stocks (0–30 cm depth) account for 10.4 kg m−2, compared to 3.4 kg m−2 in WUD. 53% of the SOC is stored in the upper 10 cm in WUD, in HUA only 39%. Highest POM values of 36% occurred in profiles with high soil moisture content. SOC stocks, soil moisture and active layer thickness correlated strongly in discontinuous permafrost while no correlation between SOC stocks and active layer thickness and only a weak relation between soil moisture and SOC stocks could be found in continuous permafrost. Consequently, permafrost-affected soils in discontinuous permafrost environments are susceptible to soil moisture changes due to alterations in quantity and seasonal distribution of precipitation, increasing temperature and therefore evaporation. PMID:23468904

  20. Soil organic carbon pools and stocks in permafrost-affected soils on the tibetan plateau.

    PubMed

    Dörfer, Corina; Kühn, Peter; Baumann, Frank; He, Jin-Sheng; Scholten, Thomas

    2013-01-01

    The Tibetan Plateau reacts particularly sensitively to possible effects of climate change. Approximately two thirds of the total area is affected by permafrost. To get a better understanding of the role of permafrost on soil organic carbon pools and stocks, investigations were carried out including both discontinuous (site Huashixia, HUA) and continuous permafrost (site Wudaoliang, WUD). Three organic carbon fractions were isolated using density separation combined with ultrasonic dispersion: the light fractions (<1.6 g cm(-3)) of free particulate organic matter (FPOM) and occluded particulate organic matter (OPOM), plus a heavy fraction (>1.6 g cm(-3)) of mineral associated organic matter (MOM). The fractions were analyzed for C, N, and their portion of organic C. FPOM contained an average SOC content of 252 g kg(-1). Higher SOC contents (320 g kg(-1)) were found in OPOM while MOM had the lowest SOC contents (29 g kg(-1)). Due to their lower density the easily decomposable fractions FPOM and OPOM contribute 27% (HUA) and 22% (WUD) to the total SOC stocks. In HUA mean SOC stocks (0-30 cm depth) account for 10.4 kg m(-2), compared to 3.4 kg m(-2) in WUD. 53% of the SOC is stored in the upper 10 cm in WUD, in HUA only 39%. Highest POM values of 36% occurred in profiles with high soil moisture content. SOC stocks, soil moisture and active layer thickness correlated strongly in discontinuous permafrost while no correlation between SOC stocks and active layer thickness and only a weak relation between soil moisture and SOC stocks could be found in continuous permafrost. Consequently, permafrost-affected soils in discontinuous permafrost environments are susceptible to soil moisture changes due to alterations in quantity and seasonal distribution of precipitation, increasing temperature and therefore evaporation.

  1. Detecting small-scale spatial differences and temporal dynamics of soil organic carbon (SOC) stocks: a comparison between automatic chamber-derived C budgets and repeated soil inventories

    NASA Astrophysics Data System (ADS)

    Hoffmann, Mathias; Jurisch, Nicole; Garcia Alba, Juana; Albiac Borraz, Elisa; Schmidt, Marten; Huth, Vytas; Rogasik, Helmut; Rieckh, Helene; Verch, Gernot; Sommer, Michael; Augustin, Jürgen

    2017-04-01

    Carbon (C) sequestration in soils plays a key role in the global C cycle. It is therefore crucial to adequately monitor dynamics in soil organic carbon (ΔSOC) stocks when aiming to reveal underlying processes and potential drivers. However, small-scale spatial and temporal changes in SOC stocks, particularly pronounced on arable lands, are hard to assess. The main reasons for this are limitations of the well-established methods. On the one hand, repeated soil inventories, often used in long-term field trials, reveal spatial patterns and trends in ΔSOC but require a longer observation period and a sufficient number of repetitions. On the other hand, eddy covariance measurements of C fluxes towards a complete C budget of the soil-plant-atmosphere system may help to obtain temporal ΔSOC patterns but lack small-scale spatial resolution. To overcome these limitations, this study presents a reliable method to detect both short-term temporal as well as small-scale spatial dynamics of ΔSOC. Therefore, a combination of automatic chamber (AC) measurements of CO2 exchange and empirically modeled aboveground biomass development (NPPshoot) was used. To verify our method, results were compared with ΔSOC observed by soil resampling. AC measurements were performed from 2010 to 2014 under a silage maize/winter fodder rye/sorghum-Sudan grass hybrid/alfalfa crop rotation at a colluvial depression located in the hummocky ground moraine landscape of NE Germany. Widespread in large areas of the formerly glaciated Northern Hemisphere, this depression type is characterized by a variable groundwater level (GWL) and pronounced small-scale spatial heterogeneity in soil properties, such as SOC and nitrogen (Nt). After monitoring the initial stage during 2010, soil erosion was experimentally simulated by incorporating topsoil material from an eroded midslope soil into the plough layer of the colluvial depression. SOC stocks were quantified before and after soil manipulation and at the end

  2. Mapping the variation of soil organic carbon (SOC) stock in time and space in Sicily, an extremely variable semi-arid Mediterranean region, highlighted that C was lost in area rich in organic C and gained in poor-C areas

    NASA Astrophysics Data System (ADS)

    Schillaci, Calogero; Acutis, Marco; Lombardo, Luigi; Lipani, Aldo; Fantappiè, Maria; Märker, Michael; Saia, Sergio

    2017-04-01

    The stock of organic carbon in the soil (SOC) is an indicator of soil ability to support agro-ecosystems productivity and resilience to environmental changes (Schillaci et al. 2016; 2017). In addition, SOC stock change through space and especially time is a valuable indicator of the soil ability to sequester CO2 from the atmosphere and thus its potential to reduce the greenhouse gas effect. In the present work, we mapped (1-km resolution) the space-time variation of the SOC stock after 15 years (1993 to 2008) in a semi-arid Mediterranean area (25,286 km2) after modelling SOC concentration (0-0.4 m depth) with boosted regression trees (BRT) and computing the SOC stock after the application of the bulk density maps of ISRIC (soilgrid.com, Hengl et al., 2014). The area under study (Sicily, south of Italy) has a plenty of contrasting environments, with changing ecosystems, soils, and microclimatic regions. The BRT procedure was run with a set of 25 predictors per year, including land use, soil traits, morphometric indicators and remote sensing covariates (derived from Landsat5 data). The BRT output consisted of a high pseudo-R2(=0.71 for 1993 and 0.63 for 2008) of the SOC concentration, low uncertainty (standard deviation < 0.76 g C kg-1) and root mean square error (4.33 g SOC kg-1 in 1993 and 8.39 g SOC kg-1 in 2008). The maps of the SOC stock variation in time were produced as absolute change (t SOC ha-1 15 years-1) or percentage variation compared to the initial stock (1993). In the whole area, positive mean and median stock percentage variations were found (+10.9%±28.2% [mean±s.d.] and +8.55%, respectively). Strong SOC stock losses (-62.0% to -17.3%, corresponding to the data lower than the mean-s.d.) were found in 15.5% of the area and these pixel were mostly found in mountainous environments with high initial SOC stock and extensively used for forestry. This decrease was likely due to both high erosion rates and a short-term change in the climate, especially

  3. Soil salinity decreases global soil organic carbon stocks.

    PubMed

    Setia, Raj; Gottschalk, Pia; Smith, Pete; Marschner, Petra; Baldock, Jeff; Setia, Deepika; Smith, Jo

    2013-11-01

    Saline soils cover 3.1% (397 million hectare) of the total land area of the world. The stock of soil organic carbon (SOC) reflects the balance between carbon (C) inputs from plants, and losses through decomposition, leaching and erosion. Soil salinity decreases plant productivity and hence C inputs to the soil, but also microbial activity and therefore SOC decomposition rates. Using a modified Rothamsted Carbon model (RothC) with a newly introduced salinity decomposition rate modifier and a plant input modifier we estimate that, historically, world soils that are currently saline have lost an average of 3.47 tSOC ha(-1) since they became saline. With the extent of saline soils predicted to increase in the future, our modelling suggests that world soils may lose 6.8 Pg SOC due to salinity by the year 2100. Our findings suggest that current models overestimate future global SOC stocks and underestimate net CO2 emissions from the soil-plant system by not taking salinity effects into account. From the perspective of enhancing soil C stocks, however, given the lower SOC decomposition rate in saline soils, salt tolerant plants could be used to sequester C in salt-affected areas. Copyright © 2012 Elsevier B.V. All rights reserved.

  4. Soil Carbon Cycling - More than Changes in Soil Organic Carbon Stocks

    NASA Astrophysics Data System (ADS)

    Lorenz, K.

    2015-12-01

    Discussions about soil carbon (C) sequestration generally focus on changes in soil organic carbon (SOC) stocks. Global SOC mass in the top 1 m was estimated at about 1325 Pg C, and at about 3000 Pg C when deeper soil layers were included. However, both inorganically and organically bound carbon forms are found in soil but estimates on global soil inorganic carbon (SIC) mass are even more uncertain than those for SOC. Globally, about 947 Pg SIC may be stored in the top 1 m, and especially in arid and semi-arid regions SIC stocks can be many times great than SOC stocks. Both SIC and SOC stocks are vulnerable to management practices, and stocks may be enhanced, for example, by optimizing net primary production (NPP) by fertilization and irrigation (especially optimizing belowground NPP for enhancing SOC stocks), adding organic matter (including black C for enhancing SOC stocks), and reducing soil disturbance. Thus, studies on soil C stocks, fluxes, and vulnerability must look at both SIC and SOC stocks in soil profiles to address large scale soil C cycling.

  5. Land-cover effects on soil organic carbon stocks in a European city.

    PubMed

    Edmondson, Jill L; Davies, Zoe G; McCormack, Sarah A; Gaston, Kevin J; Leake, Jonathan R

    2014-02-15

    Soil is the vital foundation of terrestrial ecosystems storing water, nutrients, and almost three-quarters of the organic carbon stocks of the Earth's biomes. Soil organic carbon (SOC) stocks vary with land-cover and land-use change, with significant losses occurring through disturbance and cultivation. Although urbanisation is a growing contributor to land-use change globally, the effects of urban land-cover types on SOC stocks have not been studied for densely built cities. Additionally, there is a need to resolve the direction and extent to which greenspace management such as tree planting impacts on SOC concentrations. Here, we analyse the effect of land-cover (herbaceous, shrub or tree cover), on SOC stocks in domestic gardens and non-domestic greenspaces across a typical mid-sized U.K. city (Leicester, 73 km(2), 56% greenspace), and map citywide distribution of this ecosystem service. SOC was measured in topsoil and compared to surrounding extra-urban agricultural land. Average SOC storage in the city's greenspace was 9.9 kg m(-2), to 21 cm depth. SOC concentrations under trees and shrubs in domestic gardens were greater than all other land-covers, with total median storage of 13.5 kg m(-2) to 21 cm depth, more than 3 kg m(-2) greater than any other land-cover class in domestic and non-domestic greenspace and 5 kg m(-2) greater than in arable land. Land-cover did not significantly affect SOC concentrations in non-domestic greenspace, but values beneath trees were higher than under both pasture and arable land, whereas concentrations under shrub and herbaceous land-covers were only higher than arable fields. We conclude that although differences in greenspace management affect SOC stocks, trees only marginally increase these stocks in non-domestic greenspaces, but may enhance them in domestic gardens, and greenspace topsoils hold substantial SOC stores that require protection from further expansion of artificial surfaces e.g. patios and driveways. Copyright

  6. On the rebound: soil organic carbon stocks can bounce back to near forest levels when agroforests replace agriculture in southern India

    NASA Astrophysics Data System (ADS)

    Hombegowda, H. C.; van Straaten, O.; Köhler, M.; Hölscher, D.

    2016-01-01

    Tropical agroforestry has an enormous potential to sequester carbon while simultaneously producing agricultural yields and tree products. The amount of soil organic carbon (SOC) sequestered is influenced by the type of the agroforestry system established, the soil and climatic conditions, and management. In this regional-scale study, we utilized a chronosequence approach to investigate how SOC stocks changed when the original forests are converted to agriculture, and then subsequently to four different agroforestry systems (AFSs): home garden, coffee, coconut and mango. In total we established 224 plots in 56 plot clusters across 4 climate zones in southern India. Each plot cluster consisted of four plots: a natural forest reference, an agriculture reference and two of the same AFS types of two ages (30-60 years and > 60 years). The conversion of forest to agriculture resulted in a large loss the original SOC stock (50-61 %) in the top meter of soil depending on the climate zone. The establishment of home garden and coffee AFSs on agriculture land caused SOC stocks to rebound to near forest levels, while in mango and coconut AFSs the SOC stock increased only slightly above the agriculture SOC stock. The most important variable regulating SOC stocks and its changes was tree basal area, possibly indicative of organic matter inputs. Furthermore, climatic variables such as temperature and precipitation, and soil variables such as clay fraction and soil pH were likewise all important regulators of SOC and SOC stock changes. Lastly, we found a strong correlation between tree species diversity in home garden and coffee AFSs and SOC stocks, highlighting possibilities to increase carbon stocks by proper tree species assemblies.

  7. Unexpectedly high soil organic carbon stocks under impervious surfaces contributed by urban deep cultural layers

    NASA Astrophysics Data System (ADS)

    Bae, J.; Ryu, Y.

    2017-12-01

    The expansion of urban artificial structures has altered the spatial distribution of soil organic carbon (SOC) stocks. The majority of the urban soil studies within the land-cover types, however, focused on top soils despite the potential of deep soils to store large amounts of SOC. Here, we investigate vertical distribution of SOC stocks in both impervious surfaces (n = 11) and adjacent green spaces (n = 8) to a depth of 4 m with in an apartment complex area, Seoul, Republic of Korea. We found that more than six times differences in SOC stocks were observed at 0-1 m depth between the impervious surfaces (1.90 kgC m-2) and the green spaces (12.03 kgC m-2), but no significant differences appeared when comparing them at the depth of 0-4 m. We found "cultural layers" with the largest SOC stocks at 1-2 m depth in the impervious surfaces (15.85 kgC m-2) and 2-3 m depths in urban green spaces (12.52 kgC m-2). Thus, the proportions of SOC stocks at the 0-1 m depth to the total of 0-4 m depth were 6.83% in impervious surfaces and 32.15% in urban green spaces, respectively. The 13C and 15N stable isotope data with historical aerial photographs revealed that the cropland which existed before 1978 formed the SOC in the cultural layers. Our results highlight that impervious surface could hold large amount of SOC stock which has been overlooked in urban carbon cycles. We believe this finding will help city planners and policy makers to develop carbon management programs better towards sustainable urban ecosystems.

  8. On the rebound: soil organic carbon stocks can bounce back to near forest levels when agroforests replace agriculture in southern India

    NASA Astrophysics Data System (ADS)

    Hombegowda, H. C.; van Straaten, O.; Köhler, M.; Hölscher, D.

    2015-08-01

    Tropical agroforestry has an enormous potential to sequester carbon while simultaneously producing agricultural yields and tree products. The amount of soil organic carbon (SOC) sequestered is however influenced by the type of the agroforestry system established, the soil and climatic conditions and management. In this regional scale study, we utilized a chronosequence approach to investigate how SOC stocks changed when the original forests are converted to agriculture, and then subsequently to four different agroforestry systems (AFSs): homegarden, coffee, coconut and mango. In total we established 224 plots in 56 plot clusters across four climate zones in southern India. Each plot cluster consisted of four plots: a natural forest reference plot, an agriculture reference and two of the same AFS types of two ages (30-60 years and > 60 years). The conversion of forest to agriculture resulted in a large loss the original SOC stock (50-61 %) in the top meter of soil depending on the climate zone. The establishment of homegarden and coffee AFSs on agriculture land caused SOC stocks to rebound to near forest levels, while in mango and coconut AFSs the SOC stock increased only slightly above the agriculture stock. The most important variable regulating SOC stocks and its changes was tree basal area, possibly indicative of organic matter inputs. Furthermore, climatic variables such as temperature and precipitation, and soil variables such as clay fraction and soil pH were likewise all important regulators of SOC and SOC stock changes. Lastly, we found a strong correlation between tree species diversity in homegarden and coffee AFSs and SOC stocks, highlighting possibilities to increase carbon stocks by proper tree species assemblies.

  9. Environmental controls on soil organic carbon and nitrogen stocks in the high-altitude arid western Qinghai-Tibetan Plateau permafrost region

    NASA Astrophysics Data System (ADS)

    Wu, Xiaodong; Zhao, Lin; Fang, Hongbing; Zhao, Yuguo; Smoak, Joseph M.; Pang, Qiangqiang; Ding, Yongjian

    2016-01-01

    While permafrost in the circum-Artic has great influence on soil organic carbon (SOC) and total nitrogen (TN) stocks, this might not be the case in low-latitude arid permafrost regions. We test this hypothesis in the western Qinghai-Tibetan Plateau (QTP) permafrost region. Fifty-nine soil profiles were analyzed to examine the SOC and TN distribution and the controlling factors in western QTP, which is a desert steppe ecoregion. Mean stocks of SOC (5.29 kg m-2) and TN (0.56 kg m-2) for the top 200 cm in this area were lower than those of the east QTP and circum-Arctic regions. The SOC and TN stocks under vegetative cover with permafrost conditions were significantly higher than those of desert conditions. The SOC and TN stocks for the layers of different depths were related to the content of clay, silt, and moisture. Although the active layer thickness (ALT) had a significant negative correlation to soil moisture, the ALT explained little or no variance in the SOC and TN stocks. The results showed that in the vast permafrost regions of the western QTP, the SOC and TN stocks are very low, and the main controlling factors for the SOC and TN are soil texture, moisture, and vegetation type. The SOC pool in this area may not be as vulnerable to degradation associated with climate warming and thus not emit greenhouse gases at the same rate as other permafrost regions. The different response of the SOC in this region should be considered in carbon cycling models.

  10. Environmental analyse of soil organic carbon stock changes in Slovakia

    NASA Astrophysics Data System (ADS)

    Koco, Š.; Barančíková, G.; Skalský, R.; Tarasovičová, Z.; Gutteková, M.; Halas, J.; Makovníková, J.; Novákova, M.

    2012-04-01

    The content and quality of soil organic matter is one of the basic soil parameters on which soil production functioning depends as well as it is active in non production soil functions like an ecological one especially. Morphologic segmentation of Slovakia has significant influence of structure in using agricultural soil in specific areas of our territory. Also social changes of early 90´s of 20´th century made their impact on change of using of agricultural soil (transformation from large farms to smaller ones, decreasing the number of livestock). This research is studying changes of development of soil organic carbon stock (SOC) in agricultural soil of Slovakia as results of climatic as well as social and political changes which influenced agricultury since last 40 years. The main goal of this research is an analysis of soil organic carbon stock since 1970 until now at specific agroclimatic regions of Slovakia and statistic analysis of relation between modelled data of SOC stock and soil quality index value. Changes of SOC stock were evaluated on the basis SOC content modeling using RothC-26.3 model. From modeling of SOC stock results the outcome is that in that time the soil organic carbon stock was growing until middle 90´s years of 20´th century with the highest value in 1994. Since that year until new millennium SOC stock is slightly decreasing. After 2000 has slightly increased SOC stock so far. According to soil management SOC stock development on arable land is similar to overall evolution. In case of grasslands after slight growth of SOC stock since 1990 the stock is in decline. This development is result of transformational changes after 1989 which were specific at decreasing amount of organic carbon input from organic manure at grassland areas especially. At warmer agroclimatic regions where mollic fluvisols and chernozems are present and where are soils with good quality and steady soil organic matter (SOM) the amount of SOC in monitored time is

  11. Spatial variability of soil carbon stock in the Urucu river basin, Central Amazon-Brazil.

    PubMed

    Ceddia, Marcos Bacis; Villela, André Luis Oliveira; Pinheiro, Érika Flávia Machado; Wendroth, Ole

    2015-09-01

    The Amazon Forest plays a major role in C sequestration and release. However, few regional estimates of soil organic carbon (SOC) stock in this ecoregion exist. One of the barriers to improve SOC estimates is the lack of recent soil data at high spatial resolution, which hampers the application of new methods for mapping SOC stock. The aims of this work were: (i) to quantify SOC stock under undisturbed vegetation for the 0-30 and the 0-100 cm under Amazon Forest; (ii) to correlate the SOC stock with soil mapping units and relief attributes and (iii) to evaluate three geostatistical techniques to generate maps of SOC stock (ordinary, isotopic and heterotopic cokriging). The study site is located in the Central region of Amazon State, Brazil. The soil survey covered the study site that has an area of 80 km(2) and resulted in a 1:10,000 soil map. It consisted of 315 field observations (96 complete soil profiles and 219 boreholes). SOC stock was calculated by summing C stocks by horizon, determined as a product of BD, SOC and the horizon thickness. For each one of the 315 soil observations, relief attributes were derived from a topographic map to understand SOC dynamics. The SOC stocks across 30 and 100 cm soil depth were 3.28 and 7.32 kg C m(-2), respectively, which is, 34 and 16%, lower than other studies. The SOC stock is higher in soils developed in relief forms exhibiting well-drained soils, which are covered by Upland Dense Tropical Rainforest. Only SOC stock in the upper 100 cm exhibited spatial dependence allowing the generation of spatial variability maps based on spatial (co)-regionalization. The CTI was inversely correlated with SOC stock and was the only auxiliary variable feasible to be used in cokriging interpolation. The heterotopic cokriging presented the best performance for mapping SOC stock. Copyright © 2015 Elsevier B.V. All rights reserved.

  12. Animal manure application and soil organic carbon stocks: a meta-analysis.

    PubMed

    Maillard, Émilie; Angers, Denis A

    2014-02-01

    The impact of animal manure application on soil organic carbon (SOC) stock changes is of interest for both agronomic and environmental purposes. There is a specific need to quantify SOC change for use in national greenhouse gas (GHG) emission inventories. We quantified the response of SOC stocks to manure application from a large worldwide pool of individual studies and determined the impact of explanatory factors such as climate, soil properties, land use and manure characteristics. Our study is based on a meta-analysis of 42 research articles totaling 49 sites and 130 observations in the world. A dominant effect of cumulative manure-C input on SOC response was observed as this factor explained at least 53% of the variability in SOC stock differences compared to mineral fertilized or unfertilized reference treatments. However, the effects of other determining factors were not evident from our data set. From the linear regression relating cumulative C inputs and SOC stock difference, a global manure-C retention coefficient of 12% ± 4 (95% Confidence Interval, CI) could be estimated for an average study duration of 18 years. Following an approach comparable to the Intergovernmental Panel on Climate Change, we estimated a relative SOC change factor of 1.26 ± 0.14 (95% CI) which was also related to cumulative manure-C input. Our results offer some scope for the refinement of manure retention coefficients used in crop management guidelines and for the improvement of SOC change factors for national GHG inventories by taking into account manure-C input. Finally, this study emphasizes the need to further document the long-term impact of manure characteristics such as animal species, especially pig and poultry, and manure management systems, in particular liquid vs. solid storage.

  13. Controls on SOC across space and time: Models with different acclimation schemes make similar spatial predictions but divergent warming predictions

    NASA Astrophysics Data System (ADS)

    Abramoff, R. Z.; Torn, M. S.; Georgiou, K.; Tang, J.; Riley, W. J.

    2017-12-01

    Researchers use spatial gradients to estimate long-term ecosystem responses to perturbations. This approach is commonly applied to soil organic carbon (SOC) stocks which change slowly but store the majority of terrestrial carbon. Climate warming may reduce SOC stocks if higher temperatures increase decomposition rates. Yet, it is uncertain how vulnerable SOC is to warming, and whether the same factors - such as organo-mineral associations, climate, or plant inputs - determine SOC stocks across space and time. In order to test the "space for time" concept, we developed two versions of the Substrate-Mineral-Microbe Soil (SuMMS) model - one with microbial temperature acclimation and one without - to analyze observed SOC stocks at 24 sites spanning a wide range of soil types and climate. Both model predictions of SOC were strongly correlated with observations (R2 > 0.9), because mineral sorption capacity was the dominant control over steady-state SOC stock as determined by a Random Forest model. However, the two model versions made fundamentally different predictions of the change in SOC following 5°C soil warming from 2016 to 2100 because the initial mean annual temperature (MAT) was the dominant control over the SOC response. The model with microbial acclimation predicted that SOC would decline 10% at all sites along the transect, while the model with no acclimation predicted large surface SOC losses at high latitude sites and SOC gains at low latitude sites where microbial exoenzymes were already at or near their temperature optimum. These simulations suggest that gradient studies cannot be used to infer site-level responses to warming, because the dominant controls on SOC at steady state (i.e., mineral sorption capacity) are different than the dominant controls on the SOC response to a warming perturbation (i.e., initial MAT, capacity for acclimation).

  14. Dynamics of organic carbon stock of Estonian arable and grassland peat soils

    NASA Astrophysics Data System (ADS)

    Kauer, Karin; Tammik, Kerttu; Penu, Priit

    2016-04-01

    Peat soils represent globally a major reserve of soil organic carbon (SOC). Estimation of changes in SOC stocks is important for understanding soil carbon sequestration and dynamics of greenhouse gas emissions. The aim of this study was to estimate the SOC stock of Estonian agricultural peat soils and SOC stock change depending on land use type (arable land and long-term grasslands (over 5 years)). The soils were classified as Histosols according to WRB classification. Generally the arable land was used for growing cereals, oilseed rape, legumes and used as ley in crop rotation. The main technique of soil cultivation was ploughing. During 2002-2015 the soil samples of 0-20 cm soil layer (one average soil sample per 1-5 ha) were collected. The SOC content was measured by NIRS method. The SOC stock was calculated by assuming that soil mean bulk density is 0.3 g cm-3. The SOC stock change in arable land was estimated during 3-13 years (N=91) and in grassland 4-13 year (N=163). The average SOC content of peat soils varied from 150.6 to 549.0 mg g-1. The initial SOC stock of arable land was 271.3 t ha-1 and of grassland 269.3 t ha-1. The SOC stock declined in arable peat soils faster (-2.57 t ha-1 y-1) compared to the changes in grassland peat soils (-0.67 t ha-1 y-1). According to the length of the study period the SOC stock change per year varied from -5.14 to 6.64 t ha-1 y-1 in grasslands and from -14.78 to 0.83 t ha-1 y-1 in arable land, although there was no clear relationship between the SOC stock change and the length of the study period. More detailed information about the properties of agricultural land and land use history is needed to analyse the causes of the SOC stock changes in agricultural peat soils. However, from the current research we can conclude that the SOC stock of arable and grassland peat soils is declining during the cultivation. These decreases are important to specify when considering the role of peat soils in atmospheric greenhouse gas

  15. Scaling impacts on environmental controls and spatial heterogeneity of soil organic carbon stocks

    NASA Astrophysics Data System (ADS)

    Mishra, U.; Riley, W. J.

    2015-01-01

    The spatial heterogeneity of land surfaces affects energy, moisture, and greenhouse gas exchanges with the atmosphere. However, representing heterogeneity of terrestrial hydrological and biogeochemical processes in earth system models (ESMs) remains a critical scientific challenge. We report the impact of spatial scaling on environmental controls, spatial structure, and statistical properties of soil organic carbon (SOC) stocks across the US state of Alaska. We used soil profile observations and environmental factors such as topography, climate, land cover types, and surficial geology to predict the SOC stocks at a 50 m spatial scale. These spatially heterogeneous estimates provide a dataset with reasonable fidelity to the observations at a sufficiently high resolution to examine the environmental controls on the spatial structure of SOC stocks. We upscaled both the predicted SOC stocks and environmental variables from finer to coarser spatial scales (s = 100, 200, 500 m, 1, 2, 5, 10 km) and generated various statistical properties of SOC stock estimates. We found different environmental factors to be statistically significant predictors at different spatial scales. Only elevation, temperature, potential evapotranspiration, and scrub land cover types were significant predictors at all scales. The strengths of control (the median value of geographically weighted regression coefficients) of these four environmental variables on SOC stocks decreased with increasing scale and were accurately represented using mathematical functions (R2 = 0.83-0.97). The spatial structure of SOC stocks across Alaska changed with spatial scale. Although the variance (sill) and unstructured variability (nugget) of the calculated variograms of SOC stocks decreased exponentially with scale, the correlation length (range) remained relatively constant across scale. The variance of predicted SOC stocks decreased with spatial scale over the range of 50 to ~ 500 m, and remained constant beyond

  16. Scaling impacts on environmental controls and spatial heterogeneity of soil organic carbon stocks

    NASA Astrophysics Data System (ADS)

    Mishra, U.; Riley, W. J.

    2015-07-01

    The spatial heterogeneity of land surfaces affects energy, moisture, and greenhouse gas exchanges with the atmosphere. However, representing the heterogeneity of terrestrial hydrological and biogeochemical processes in Earth system models (ESMs) remains a critical scientific challenge. We report the impact of spatial scaling on environmental controls, spatial structure, and statistical properties of soil organic carbon (SOC) stocks across the US state of Alaska. We used soil profile observations and environmental factors such as topography, climate, land cover types, and surficial geology to predict the SOC stocks at a 50 m spatial scale. These spatially heterogeneous estimates provide a data set with reasonable fidelity to the observations at a sufficiently high resolution to examine the environmental controls on the spatial structure of SOC stocks. We upscaled both the predicted SOC stocks and environmental variables from finer to coarser spatial scales (s = 100, 200, and 500 m and 1, 2, 5, and 10 km) and generated various statistical properties of SOC stock estimates. We found different environmental factors to be statistically significant predictors at different spatial scales. Only elevation, temperature, potential evapotranspiration, and scrub land cover types were significant predictors at all scales. The strengths of control (the median value of geographically weighted regression coefficients) of these four environmental variables on SOC stocks decreased with increasing scale and were accurately represented using mathematical functions (R2 = 0.83-0.97). The spatial structure of SOC stocks across Alaska changed with spatial scale. Although the variance (sill) and unstructured variability (nugget) of the calculated variograms of SOC stocks decreased exponentially with scale, the correlation length (range) remained relatively constant across scale. The variance of predicted SOC stocks decreased with spatial scale over the range of 50 m to ~ 500 m, and remained

  17. Scaling impacts on environmental controls and spatial heterogeneity of soil organic carbon stocks

    DOE PAGES

    Mishra, U.; Riley, W. J.

    2015-01-01

    The spatial heterogeneity of land surfaces affects energy, moisture, and greenhouse gas exchanges with the atmosphere. However, representing heterogeneity of terrestrial hydrological and biogeochemical processes in earth system models (ESMs) remains a critical scientific challenge. We report the impact of spatial scaling on environmental controls, spatial structure, and statistical properties of soil organic carbon (SOC) stocks across the US state of Alaska. We used soil profile observations and environmental factors such as topography, climate, land cover types, and surficial geology to predict the SOC stocks at a 50 m spatial scale. These spatially heterogeneous estimates provide a dataset with reasonablemore » fidelity to the observations at a sufficiently high resolution to examine the environmental controls on the spatial structure of SOC stocks. We upscaled both the predicted SOC stocks and environmental variables from finer to coarser spatial scales ( s = 100, 200, 500 m, 1, 2, 5, 10 km) and generated various statistical properties of SOC stock estimates. We found different environmental factors to be statistically significant predictors at different spatial scales. Only elevation, temperature, potential evapotranspiration, and scrub land cover types were significant predictors at all scales. The strengths of control (the median value of geographically weighted regression coefficients) of these four environmental variables on SOC stocks decreased with increasing scale and were accurately represented using mathematical functions ( R 2 = 0.83–0.97). The spatial structure of SOC stocks across Alaska changed with spatial scale. Although the variance (sill) and unstructured variability (nugget) of the calculated variograms of SOC stocks decreased exponentially with scale, the correlation length (range) remained relatively constant across scale. The variance of predicted SOC stocks decreased with spatial scale over the range of 50 to ~ 500 m, and remained

  18. Estimated stocks of circumpolar permafrost carbon with quantified uncertainty ranges and identified data gaps

    DOE PAGES

    Hugelius, Gustaf; Strauss, J.; Zubrzycki, S.; ...

    2014-12-01

    Soils and other unconsolidated deposits in the northern circumpolar permafrost region store large amounts of soil organic carbon (SOC). This SOC is potentially vulnerable to remobilization following soil warming and permafrost thaw, but SOC stock estimates were poorly constrained and quantitative error estimates were lacking. This study presents revised estimates of permafrost SOC stocks, including quantitative uncertainty estimates, in the 0–3 m depth range in soils as well as for sediments deeper than 3 m in deltaic deposits of major rivers and in the Yedoma region of Siberia and Alaska. Revised estimates are based on significantly larger databases compared tomore » previous studies. Despite this there is evidence of significant remaining regional data gaps. Estimates remain particularly poorly constrained for soils in the High Arctic region and physiographic regions with thin sedimentary overburden (mountains, highlands and plateaus) as well as for deposits below 3 m depth in deltas and the Yedoma region. While some components of the revised SOC stocks are similar in magnitude to those previously reported for this region, there are substantial differences in other components, including the fraction of perennially frozen SOC. Upscaled based on regional soil maps, estimated permafrost region SOC stocks are 217 ± 12 and 472 ± 27 Pg for the 0–0.3 and 0–1 m soil depths, respectively (±95% confidence intervals). Storage of SOC in 0–3 m of soils is estimated to 1035 ± 150 Pg. Of this, 34 ± 16 Pg C is stored in poorly developed soils of the High Arctic. Based on generalized calculations, storage of SOC below 3 m of surface soils in deltaic alluvium of major Arctic rivers is estimated as 91 ± 52 Pg. In the Yedoma region, estimated SOC stocks below 3 m depth are 181 ± 54 Pg, of which 74 ± 20 Pg is stored in intact Yedoma (late Pleistocene ice- and organic-rich silty sediments) with the remainder in refrozen thermokarst deposits. Total estimated SOC

  19. Landscape patterns and soil organic carbon stocks in agricultural bocage landscapes

    NASA Astrophysics Data System (ADS)

    Viaud, Valérie; Lacoste, Marine; Michot, Didier; Walter, Christian

    2014-05-01

    Soil organic carbon (SOC) has a crucial impact on global carbon storage at world scale. SOC spatial variability is controlled by the landscape patterns resulting from the continuous interactions between the physical environment and the society. Natural and anthropogenic processes occurring and interplaying at the landscape scale, such as soil redistribution in the lateral and vertical dimensions by tillage and water erosion processes or spatial differentiation of land-use and land-management practices, strongly affect SOC dynamics. Inventories of SOC stocks, reflecting their spatial distribution, are thus key elements to develop relevant management strategies to improving carbon sequestration and mitigating climate change and soil degradation. This study aims to quantify SOC stocks and their spatial distribution in a 1,000-ha agricultural bocage landscape with dairy production as dominant farming system (Zone Atelier Armorique, LTER Europe, NW France). The site is characterized by high heterogeneity on short distance due to a high diversity of soils with varying waterlogging, soil parent material, topography, land-use and hedgerow density. SOC content and stocks were measured up to 105-cm depth in 200 sampling locations selected using conditioned Latin hypercube sampling. Additive sampling was designed to specifically explore SOC distribution near to hedges: 112 points were sampled at fixed distance on 14 transects perpendicular from hedges. We illustrate the heterogeneity of spatial and vertical distribution of SOC stocks at landscape scale, and quantify SOC stocks in the various landscape components. Using multivariate statistics, we discuss the variability and co-variability of existing spatial organization of cropping systems, environmental factors, and SOM stocks, over landscape. Ultimately, our results may contribute to improving regional or national digital soil mapping approaches, by considering the distribution of SOC stocks within each modeling unit and

  20. Scaling impacts on environmental controls and spatial heterogeneity of soil organic carbon stocks

    DOE PAGES

    Mishra, U.; Riley, W. J.

    2015-07-02

    The spatial heterogeneity of land surfaces affects energy, moisture, and greenhouse gas exchanges with the atmosphere. However, representing the heterogeneity of terrestrial hydrological and biogeochemical processes in Earth system models (ESMs) remains a critical scientific challenge. We report the impact of spatial scaling on environmental controls, spatial structure, and statistical properties of soil organic carbon (SOC) stocks across the US state of Alaska. We used soil profile observations and environmental factors such as topography, climate, land cover types, and surficial geology to predict the SOC stocks at a 50 m spatial scale. These spatially heterogeneous estimates provide a data setmore » with reasonable fidelity to the observations at a sufficiently high resolution to examine the environmental controls on the spatial structure of SOC stocks. We upscaled both the predicted SOC stocks and environmental variables from finer to coarser spatial scales ( s = 100, 200, and 500 m and 1, 2, 5, and 10 km) and generated various statistical properties of SOC stock estimates. We found different environmental factors to be statistically significant predictors at different spatial scales. Only elevation, temperature, potential evapotranspiration, and scrub land cover types were significant predictors at all scales. The strengths of control (the median value of geographically weighted regression coefficients) of these four environmental variables on SOC stocks decreased with increasing scale and were accurately represented using mathematical functions ( R 2 = 0.83–0.97). The spatial structure of SOC stocks across Alaska changed with spatial scale. Although the variance (sill) and unstructured variability (nugget) of the calculated variograms of SOC stocks decreased exponentially with scale, the correlation length (range) remained relatively constant across scale. The variance of predicted SOC stocks decreased with spatial scale over the range of 50 m to ~ 500 m

  1. Deep Soil C, N, and P Stocks and Stoichiometry in Response to Land Use Patterns in the Loess Hilly Region of China.

    PubMed

    Li, Changzhen; Zhao, Luhong; Sun, Pingsheng; Zhao, Fazhu; Kang, Di; Yang, Gaihe; Han, Xinhui; Feng, Yongzhong; Ren, Guangxin

    2016-01-01

    In the Loess Hilly Region of China, the widespread conversion of cropland to forestland and grassland has resulted in great increased in organic carbon (C), nitrogen (N) and phosphorus (P) stocks in the shallow soil layers. However, knowledge regarding changes in C, N, and P in deep soil is still limited. To elucidate the responses of deep soil C, N, and P stocks and stoichiometry in response to changes in land use, the soil from a 0-200 cm soil profile was collected from the following three typical land use patterns in the heartland of the region: forestland, grassland, and cropland. Compared with cropland, forestland and grassland had improved soil organic carbon (SOC) and total nitrogen (TN) contents and stocks at most soil depths but decreased total phosphorus (TP) contents and stocks. At soil depths of 0-200 cm in the forestland and grassland, the cumulative SOC stocks were improved by 34.97% and 7.61%, respectively, and the TN stocks were improved by 54.54% and 12.47%, respectively. The forestland had higher SOC, TN and TP contents and stocks compared to the grassland in almost all soil layers. The soil depths of 100-200 cm contained the highest percentages of SOC, TN and TP stocks (47.80%-49.93%, 46.08%-50.05% and 49.09%-52.98%, respectively). Additionally, the forestland and grassland showed enhanced soil C:P, N:P and C:N:P ratios, and the forestland had higher C:P, N:P and C:N:P ratios compared to the grassland. Furthermore, the SOC and TN stocks had significant impacts on the soil C:N, C:P and N:P ratios. It was concluded that afforestation was the best choice for soil nutrient restoration of degraded land, and deep soil provided an extremely important resource for evaluating soil C, N and P pools and cycling.

  2. Spatial distribution of soil organic carbon stock in Moso bamboo forests in subtropical China.

    PubMed

    Tang, Xiaolu; Xia, Mingpeng; Pérez-Cruzado, César; Guan, Fengying; Fan, Shaohui

    2017-02-14

    Moso bamboo (Phyllostachys heterocycla (Carr.) Mitford cv. Pubescens) is an important timber substitute in China. Site specific stand management requires an accurate estimate of soil organic carbon (SOC) stock for maintaining stand productivity and understanding global carbon cycling. This study compared ordinary kriging (OK) and inverse distance weighting (IDW) approaches to study the spatial distribution of SOC stock within 0-60 cm using 111 soil samples in Moso bamboo forests in subtropical China. Similar spatial patterns but different spatial distribution ranges of SOC stock from OK and IDW highlighted the necessity to apply different approaches to obtain accurate and consistent results of SOC stock distribution. Different spatial patterns of SOC stock suggested the use of different fertilization treatments in Moso bamboo forests across the study area. SOC pool within 0-60 cm was 6.46 and 6.22 Tg for OK and IDW; results which were lower than that of conventional approach (CA, 7.41 Tg). CA is not recommended unless coordinates of the sampling locations are missing and the spatial patterns of SOC stock are not required. OK is recommended for the uneven distribution of sampling locations. Our results can improve methodology selection for investigating spatial distribution of SOC stock in Moso bamboo forests.

  3. Spatial distribution of soil organic carbon stock in Moso bamboo forests in subtropical China

    PubMed Central

    Tang, Xiaolu; Xia, Mingpeng; Pérez-Cruzado, César; Guan, Fengying; Fan, Shaohui

    2017-01-01

    Moso bamboo (Phyllostachys heterocycla (Carr.) Mitford cv. Pubescens) is an important timber substitute in China. Site specific stand management requires an accurate estimate of soil organic carbon (SOC) stock for maintaining stand productivity and understanding global carbon cycling. This study compared ordinary kriging (OK) and inverse distance weighting (IDW) approaches to study the spatial distribution of SOC stock within 0–60 cm using 111 soil samples in Moso bamboo forests in subtropical China. Similar spatial patterns but different spatial distribution ranges of SOC stock from OK and IDW highlighted the necessity to apply different approaches to obtain accurate and consistent results of SOC stock distribution. Different spatial patterns of SOC stock suggested the use of different fertilization treatments in Moso bamboo forests across the study area. SOC pool within 0–60 cm was 6.46 and 6.22 Tg for OK and IDW; results which were lower than that of conventional approach (CA, 7.41 Tg). CA is not recommended unless coordinates of the sampling locations are missing and the spatial patterns of SOC stock are not required. OK is recommended for the uneven distribution of sampling locations. Our results can improve methodology selection for investigating spatial distribution of SOC stock in Moso bamboo forests. PMID:28195207

  4. Effects of land use change and management on SOC and soil quality in Mediterranean rangelands areas

    NASA Astrophysics Data System (ADS)

    Parras-Alcántara, Luis; Lozano-García, Beatriz; Requejo, Ana; Zornoza, Raúl

    2017-04-01

    INTRODUCTION Rangelands in the Iberian Peninsula occupy more than 90,000 km2. These rangelands were created from the former Mediterranean oak forests, mainly composed of holm oak and cork oak (Quercus ilex rotundifolia and Quercus suber), by clear-cutting shrubs, removing selected trees and cultivating. These man-made landscapes are called 'dehesas' in Spain and 'montados' in Portugal. Between 1955 and 1981, more than 5,000 km2 of dehesas was converted from pastureland to cultivated land. This process has been accelerated since 1986 owing to subsidies from the European Common Agricultural Policy (Parras-Alcántara et al., 2015a). The role that natural rangelands play in the global carbon cycle is extremely important, accounting for 10-30% of the world's total soil organic carbon (SOC), in addition, SOC concentration is closely related to soil quality and vegetation productivity (Brevik, 2012). Therefore, to study the land use and management changes is important, particularly in Mediterranean soils, as they are characterized by low organic carbon content, furthermore, the continuous use of ploughing for grain production is the principal cause of soil degradation. Therefore, land use decisions and management systems can increase or decrease SOC content and stock (Corral-Fernández et al., 2013; Parras-Alcántara et al., 2014, 2015a and 2015b; Parras-Alcántara and Lozano-García, 2014) MATERIAL AND METHODS A field study was conducted to determine the land use change (Mediterranean evergreen oak woodland to olive grove and cereal, all of them managed under conventional tillage and under conservationist practices) effects on SOC stocks and the soil quality (Stratification Ratio) in Los Pedroches valley, southern Spain. RESULTS Results for the present study indicate that management practices had little effect on SOC storage in dehesas. The stratification ratio was >2 both under conventional tillage and under organic farming, so, soils under dehesa had high quality

  5. Deforestation impacts on soil organic carbon stocks in the Semiarid Chaco Region, Argentina.

    PubMed

    Villarino, Sebastián Horacio; Studdert, Guillermo Alberto; Baldassini, Pablo; Cendoya, María Gabriela; Ciuffoli, Lucía; Mastrángelo, Matias; Piñeiro, Gervasio

    2017-01-01

    Land use change affects soil organic carbon (SOC) and generates CO 2 emissions. Moreover, SOC depletion entails degradation of soil functions that support ecosystem services. Large areas covered by dry forests have been cleared in the Semiarid Chaco Region of Argentina for cropping expansion. However, deforestation impacts on the SOC stock and its distribution in the soil profile have been scarcely reported. We assessed these impacts based on the analysis of field data along a time-since-deforestation-for-cropping chronosequence, and remote sensing indices. Soil organic C was determined up to 100cm depth and physically fractionated into mineral associated organic carbon (MAOC) and particulate organic C (POC). Models describing vertical distribution of SOC were fitted. Total SOC, POC and MAOC stocks decreased markedly with increasing cropping age. Particulate organic C was the most sensitive fraction to cultivation. After 10yr of cropping SOC loss was around 30%, with greater POC loss (near 60%) and smaller MAOC loss (near 15%), at 0-30cm depth. Similar relative SOC losses were observed in deeper soil layers (30-60 and 60-100cm). Deforestation and subsequent cropping also modified SOC vertical distribution. Soil organic C loss was negatively associated with the proportion of maize in the rotation and total crop biomass inputs, but positively associated with the proportion of soybean in the rotation. Without effective land use polices, deforestation and agricultural expansion can lead to rapid soil degradation and reductions in the provision of important ecosystem services. Copyright © 2016 Elsevier B.V. All rights reserved.

  6. Refining soil organic carbon stock estimates for China’s palustrine wetlands

    NASA Astrophysics Data System (ADS)

    Ma, Kun; Liu, Junguo; Zhang, Ying; Parry, Lauren E.; Holden, Joseph; Ciais, Philippe

    2015-12-01

    Palustrine wetlands (PWs) include all bogs, fens, swamps and marshes that are non-saline and which are not lakes or rivers. They therefore form a highly important group of wetlands which hold large carbon stocks. If these wetlands are not protected properly they could become a net carbon source in the future. Compilation of spatially explicit wetland databases, national inventory data and in situ measurement of soil organic carbon (SOC) could be useful to better quantify SOC and formulate long-term strategies for mitigating global climate change. In this study, a synergistic mapping approach was used to create a hybrid map for PWs for China and to estimate their SOC content. Total SOC storage in PWs was estimated to be 4.3 ± 1.4 Pg C, with a SOC density of 31.17 (±10.55) kg C m-2 in the upper 1 m of the soil layer. This carbon stock is concentrated in Northeast China (49%) and the Qinghai-Tibet Plateau (41%). Given the large pool of carbon stored in PWs compared to other soil types, we suggest that urgent monitoring programmes on SOC should be established in regions with very few datasets, but where PWs appear to be common such as the Tibet region and Northwest China.

  7. Underestimation of boreal soil carbon stocks by mathematical soil carbon models linked to soil nutrient status

    NASA Astrophysics Data System (ADS)

    Ťupek, Boris; Ortiz, Carina A.; Hashimoto, Shoji; Stendahl, Johan; Dahlgren, Jonas; Karltun, Erik; Lehtonen, Aleksi

    2016-08-01

    Inaccurate estimate of the largest terrestrial carbon pool, soil organic carbon (SOC) stock, is the major source of uncertainty in simulating feedback of climate warming on ecosystem-atmosphere carbon dioxide exchange by process-based ecosystem and soil carbon models. Although the models need to simplify complex environmental processes of soil carbon sequestration, in a large mosaic of environments a missing key driver could lead to a modeling bias in predictions of SOC stock change.We aimed to evaluate SOC stock estimates of process-based models (Yasso07, Q, and CENTURY soil sub-model v4) against a massive Swedish forest soil inventory data set (3230 samples) organized by a recursive partitioning method into distinct soil groups with underlying SOC stock development linked to physicochemical conditions.For two-thirds of measurements all models predicted accurate SOC stock levels regardless of the detail of input data, e.g., whether they ignored or included soil properties. However, in fertile sites with high N deposition, high cation exchange capacity, or moderately increased soil water content, Yasso07 and Q models underestimated SOC stocks. In comparison to Yasso07 and Q, accounting for the site-specific soil characteristics (e. g. clay content and topsoil mineral N) by CENTURY improved SOC stock estimates for sites with high clay content, but not for sites with high N deposition.Our analysis suggested that the soils with poorly predicted SOC stocks, as characterized by the high nutrient status and well-sorted parent material, indeed have had other predominant drivers of SOC stabilization lacking in the models, presumably the mycorrhizal organic uptake and organo-mineral stabilization processes. Our results imply that the role of soil nutrient status as regulator of organic matter mineralization has to be re-evaluated, since correct SOC stocks are decisive for predicting future SOC change and soil CO2 efflux.

  8. Soil organic carbon stock changes in the contiguous United States from 1920s to 2010s

    NASA Astrophysics Data System (ADS)

    Cao, B.; Grunwald, S.; Ferguson, H. J.; Hempel, J. W.; Xiong, X.; Patarasuk, R.; Ross, C. W.

    2014-12-01

    To investigate the changes of soil organic carbon (SOC) stocks is of great importance to understand soil carbon dynamics and develop greenhouse gas mitigation and adaptation strategies. There are research gaps in understanding how natural environmental and anthropogenic factors (such as socio-cultural and political/legislative) have provided positive and negative feedbacks on SOC stocks since the 1920s at continental scale. The objectives of this study were to 1) determine the temporal trends in SOC storage across the contiguous U.S.; 2) explore the factors that can explain if soils have acted as a carbon source or sink during the period from 1920s to 2010. We used two soil datasets: 1) National Characterization Soil Survey Database (NCSS) from 1924 to 2010, which includes a total of 14,493 site observations with mutiple soil horizons within 0-100 cm; 2) The data from the Rapid Carbon Assessment (RaCA) Project, containing a total of 6,409 site observations to the maximum depth of 100 cm (2010-2012). We also extracted environmental covariates (space-time layers) covering the U.S. from various sources (remote sensing, National Elevation Dataset, climate data from PRISM project, etc.) to those sites. Results show a fluctuating trend of SOC stocks from 4 kg m-2 in 1920-1930 to 6 kg m-2 in 2010 in the 0-20 cm profile, and from 9 kg m-2 in 1920-1930 to 17 kg m-2 in 2010 in the 0-100 cm profile, respectively. However, there had been a decrease of SOC stock from 1975 to 1985 in both the 0-20 cm and 0-100 cm profiles. Our analysis reveals relationships between SOC storage and major pivotal political/legislative and socio-cultural events as well as environmental factors. The variation of SOC across the contiguous U.S. was affected in some periods by environmental legislation while in others natural effects predominated. The SOC stock change assessment can be used to infer on the magnitude and past trends; and thus, allows some insight how past natural and anthropogenic

  9. High organic inputs explain shallow and deep SOC storage in a long-term agroforestry system - combining experimental and modeling approaches

    NASA Astrophysics Data System (ADS)

    Cardinael, Rémi; Guenet, Bertrand; Chevallier, Tiphaine; Dupraz, Christian; Cozzi, Thomas; Chenu, Claire

    2018-01-01

    Agroforestry is an increasingly popular farming system enabling agricultural diversification and providing several ecosystem services. In agroforestry systems, soil organic carbon (SOC) stocks are generally increased, but it is difficult to disentangle the different factors responsible for this storage. Organic carbon (OC) inputs to the soil may be larger, but SOC decomposition rates may be modified owing to microclimate, physical protection, or priming effect from roots, especially at depth. We used an 18-year-old silvoarable system associating hybrid walnut trees (Juglans regia × nigra) and durum wheat (Triticum turgidum L. subsp. durum) and an adjacent agricultural control plot to quantify all OC inputs to the soil - leaf litter, tree fine root senescence, crop residues, and tree row herbaceous vegetation - and measured SOC stocks down to 2 m of depth at varying distances from the trees. We then proposed a model that simulates SOC dynamics in agroforestry accounting for both the whole soil profile and the lateral spatial heterogeneity. The model was calibrated to the control plot only. Measured OC inputs to soil were increased by about 40 % (+ 1.11 t C ha-1 yr-1) down to 2 m of depth in the agroforestry plot compared to the control, resulting in an additional SOC stock of 6.3 t C ha-1 down to 1 m of depth. However, most of the SOC storage occurred in the first 30 cm of soil and in the tree rows. The model was strongly validated, properly describing the measured SOC stocks and distribution with depth in agroforestry tree rows and alleys. It showed that the increased inputs of fresh biomass to soil explained the observed additional SOC storage in the agroforestry plot. Moreover, only a priming effect variant of the model was able to capture the depth distribution of SOC stocks, suggesting the priming effect as a possible mechanism driving deep SOC dynamics. This result questions the potential of soils to store large amounts of carbon, especially at depth. Deep

  10. Drivers for spatial variability in agricultural soil organic carbon stocks in Germany

    NASA Astrophysics Data System (ADS)

    Vos, Cora; Don, Axel; Hobley, Eleanor; Prietz, Roland; Heidkamp, Arne; Freibauer, Annette

    2017-04-01

    Soil organic carbon is one of the largest components of the global carbon cycle. It has recently gained importance in global efforts to mitigate climate change through carbon sequestration. In order to find locations suitable for carbon sequestration, and estimate the sequestration potential, however, it is necessary to understand the factors influencing the high spatial variability of soil organic carbon stocks. Due to numerous interacting factors that influence its dynamics, soil organic carbon stocks are difficult to predict. In the course of the German Agricultural Soil Inventory over 2500 agricultural sites were sampled and their soil organic carbon stocks determined. Data relating to more than 200 potential drivers of SOC stocks were compiled from laboratory measurements, farmer questionnaires and climate stations. The aims of this study were to 1) give an overview of soil organic carbon stocks in Germany's agricultural soils, 2) to quantify and explain the influence of explanatory variables on soil organic carbon stocks. Two different machine learning algorithms were used to identify the most important variables and multiple regression models were used to explore the influence of those variables. Models for predicting carbon stocks in different depth increments between 0-100 cm were developed, explaining up to 62% (validation, 98% calibration) of total variance. Land-use, land-use history, clay content and electrical conductivity were main predictors in the topsoil, while bedrock material, relief and electrical conductivity governed the variability of subsoil carbon stocks. We found 32% of all soils to be deeply anthropogenically transformed. The influence of climate related variables was surprisingly small (≤5% of explained variance), while site variables explained a large share of soil carbon variability (46-100% of explained variance), in particular in the subsoil. Thus, the understanding of SOC dynamics at regional scale requires a thorough description

  11. Agricultural management explains historic changes in regional soil carbon stocks

    PubMed Central

    van Wesemael, Bas; Paustian, Keith; Meersmans, Jeroen; Goidts, Esther; Barancikova, Gabriela; Easter, Mark

    2010-01-01

    Agriculture is considered to be among the economic sectors having the greatest greenhouse gas mitigation potential, largely via soil organic carbon (SOC) sequestration. However, it remains a challenge to accurately quantify SOC stock changes at regional to national scales. SOC stock changes resulting from SOC inventory systems are only available for a few countries and the trends vary widely between studies. Process-based models can provide insight in the drivers of SOC changes, but accurate input data are currently not available at these spatial scales. Here we use measurements from a soil inventory dating from the 1960s and resampled in 2006 covering the major soil types and agricultural regions in Belgium together with region-specific land use and management data and a process-based model. The largest decreases in SOC stocks occurred in poorly drained grassland soils (clays and floodplain soils), consistent with drainage improvements since 1960. Large increases in SOC in well drained grassland soils appear to be a legacy effect of widespread conversion of cropland to grassland before 1960. SOC in cropland increased only in sandy lowland soils, driven by increasing manure additions. Modeled land use and management impacts accounted for more than 70% of the variation in observed SOC changes, and no bias could be demonstrated. There was no significant effect of climate trends since 1960 on observed SOC changes. SOC monitoring networks are being established in many countries. Our results demonstrate that detailed and long-term land management data are crucial to explain the observed SOC changes for such networks. PMID:20679194

  12. Alpine Grassland Soil Organic Carbon Stock and Its Uncertainty in the Three Rivers Source Region of the Tibetan Plateau

    PubMed Central

    Chang, Xiaofeng; Wang, Shiping; Cui, Shujuan; Zhu, Xiaoxue; Luo, Caiyun; Zhang, Zhenhua; Wilkes, Andreas

    2014-01-01

    Alpine grassland of the Tibetan Plateau is an important component of global soil organic carbon (SOC) stocks, but insufficient field observations and large spatial heterogeneity leads to great uncertainty in their estimation. In the Three Rivers Source Region (TRSR), alpine grasslands account for more than 75% of the total area. However, the regional carbon (C) stock estimate and their uncertainty have seldom been tested. Here we quantified the regional SOC stock and its uncertainty using 298 soil profiles surveyed from 35 sites across the TRSR during 2006–2008. We showed that the upper soil (0–30 cm depth) in alpine grasslands of the TRSR stores 2.03 Pg C, with a 95% confidence interval ranging from 1.25 to 2.81 Pg C. Alpine meadow soils comprised 73% (i.e. 1.48 Pg C) of the regional SOC estimate, but had the greatest uncertainty at 51%. The statistical power to detect a deviation of 10% uncertainty in grassland C stock was less than 0.50. The required sample size to detect this deviation at a power of 90% was about 6–7 times more than the number of sample sites surveyed. Comparison of our observed SOC density with the corresponding values from the dataset of Yang et al. indicates that these two datasets are comparable. The combined dataset did not reduce the uncertainty in the estimate of the regional grassland soil C stock. This result could be mainly explained by the underrepresentation of sampling sites in large areas with poor accessibility. Further research to improve the regional SOC stock estimate should optimize sampling strategy by considering the number of samples and their spatial distribution. PMID:24819054

  13. Woody encroachment and soil carbon stocks in subalpine areas in the Central Spanish Pyrenees.

    PubMed

    Nadal-Romero, E; Otal-Laín, I; Lasanta, T; Sánchez-Navarrete, P; Errea, P; Cammeraat, E

    2018-05-01

    Woody encroachment has been an ongoing process in the subalpine belt of Mediterranean mountains, after land abandonment, the disappearance of the transhumant system and the decrease of the livestock number. The main objectives of this study were: (i) to identify land use/land cover (LULC) changes from 1956 to 2015, and (ii) to investigate the effects of LULC changes in physical and chemical soil properties and soil organic carbon (SOC) and nitrogen (N) stocks. It is hypothesized that woody encroachment in the subalpine belt may lead to significant changes in soil properties, and will generate an increase in the SOC stocks. A land use gradient was identified in the subalpine belt of the Central Spanish Pyrenees: (i) subalpine grasslands, (ii) shrublands, (iii) young forests, and (iv) old forests. Mineral soil samples were collected every 10 cm, down to 40 cm, at three points per each LULC and a total of 48 samples were analyzed. The results showed that (i) woody encroachment has occurred from 1956 to 2015 due to the expansion of coniferous forests and shrublands (at the expense of grasslands), (ii) land cover and soil depth had significant effects on soil properties (except for pH), being larger in the uppermost 0-10 cm depth, (iii) SOC and N contents and stocks were higher in the grassland sites, and (iv) the woody encroachment process initially produced a decrease in the SOC stocks (shrublands), but no differences were observed considering the complete soil profile between grasslands and young and old forests. Further studies, describing SOC stabilization and quantifying above-ground carbon (shrub and tree biomass) are required. Copyright © 2018 Elsevier B.V. All rights reserved.

  14. Dynamics and fate of SOC in tidal marshes along a salinity gradient (Scheldt estuary, Belgium)

    NASA Astrophysics Data System (ADS)

    Van de Broek, Marijn; Temmermann, Stijn; Merckx, Roel; Wang, Zhengang; Govers, Gerard

    2016-04-01

    Coastal ecosystems have been attributed the potential to store large amounts of organic carbon (OC), often referred to as blue carbon, of which a considerable amount is stored in tidal marsh soils. Large uncertainties still exist with respect to the amount and controlling factors of soil organic carbon (SOC) stored in these ecosystems. Moreover, most research has focused on SOC dynamics of saltmarshes, while brackish and freshwater marshes are often even more productive and thus receive even larger organic carbon inputs. Therefore, in this study the OC dynamics of tidal marsh soils along an estuarine gradient are studied in order to contribute to our knowledge of 1) the stocks, 2) the controlling factors and 3) the fate of SOC in tidal marshes with different environmental characteristics. This research thus contributes to a better understanding of the potential of coastal environments to store organic carbon under future climatic changes. Soil and vegetation samples are collected in tidal salt-, brackish- and freshwater marshes in the Scheldt estuary (Belgium - The Netherlands). At each tidal marsh, three replicate soil cores up to 1.5m depth in 0.03m increments are collected at locations with both a low and a high elevation. These cores are analyzed for OC, stable C and N isotopes, bulk density and texture. Incubation experiments of topsoil samples were conducted and both aboveground and belowground biomass were collected. The results show that SOC stocks (range: 13,5 - 35,4 kg OC m-2), standing biomass (range: 2000 - 7930 g DW m-2) and potential soil respiration of CO2 (range: 0,03 - 0,12 % per unit OC per day) decrease with increasing salinity. This shows that both the amount of OC from local macrophytes and the quality of the organic matter are important factors controlling the SOC stocks. In addition, based on the analysis of stable C and N isotopes, it appears that when a significant fraction of SOC is derived from local macrophytes, higher SOC stocks are

  15. Modeling soil organic carbon stocks and changes in Spain using the GEFSOC system

    NASA Astrophysics Data System (ADS)

    Álvaro-Fuentes, Jorge; Easter, Mark; Cantero-Martínez, Carlos; Paustian, Keith

    2010-05-01

    Currently, there is little information about soil organic carbon (SOC) stocks in Spain. To date the effects of land-use and soil management on SOC stocks in Spain have been evaluated in experimental fields under certain soil and climate conditions. However, these field experiments do not account for the spatial variability in management, cropping systems and soil and climate characteristics that exist in the whole territory. More realistic approaches like ecosystem-level dynamic simulation systems linked to geographic information systems (GIS) allow better assessments of SOC stocks at a regional or national level. The Global Environmental Facility Soil Organic Carbon (GEFSOC) system was recently built for this purpose (Milne et al., 2007) and it incorporates three widely used models for estimating SOC dynamics: (a) the Century ecosystem model; (b) the RothC soil C decomposition model; and (c) the Intergovernmental Panel on Climate Change (IPCC) method for assessing soil C at regional scales. We modeled 9.5 Mha in northeast Spain using the GEFSOC system to predict SOC stocks and changes comprising: pasture, forest, cereal-fallow, cereal monoculture, orchards, rice, irrigated land and grapes and olives. The spatial distribution of the different land use categories and their change over time was obtained from the European Corine database and from Spanish census data on land use from 1926 to 2007. At the same time, current and historical management information was collected from different sources in order to have a fairly well picture of changes in land use and management for this area. Soil parameters needed by the system were obtained from the European soil map (1 km x 1 km) and climate data was produced by the Meteorology State Agency (Ministry of the Environment and Rural and Marine Environs of Spain). The SOC stocks simulated were validated with SOC values from the European SOC map and from other national studies. Modeled SOC results suggested that spatial

  16. Application of the ORCHIDEE global vegetation model to evaluate biomass and soil carbon stocks of Qinghai-Tibetan grasslands

    NASA Astrophysics Data System (ADS)

    Tan, Kun; Ciais, Philippe; Piao, Shilong; Wu, Xiaopu; Tang, Yanhong; Vuichard, Nicolas; Liang, Shuang; Fang, Jingyun

    2010-03-01

    The cold grasslands of the Qinghai-Tibetan Plateau form a globally significant biome, which represents 6% of the world's grasslands and 44% of China's grasslands. Yet little is known about carbon cycling in this biome. In this study, we calibrated and applied a process-based ecosystem model called Organizing Carbon and Hydrology in Dynamic Ecosystems (ORCHIDEE) to estimate the C fluxes and stocks of these grasslands. First, the parameterizations of ORCHIDEE were improved and calibrated against multiple time-scale and spatial-scale observations of (1) eddy-covariance fluxes of CO2 above one alpine meadow site; (2) soil temperature collocated with 30 meteorological stations; (3) satellite leaf area index (LAI) data collocated with the meteorological stations; and (4) soil organic carbon (SOC) density profiles from China's Second National Soil Survey. The extensive SOC survey data were used to extrapolate local fluxes to the entire grassland biome. After calibration, we show that ORCHIDEE can successfully capture the seasonal variation of net ecosystem exchange (NEE), as well as the LAI and SOC spatial distribution. We applied the calibrated model to estimate 0.3 Pg C yr-1 (1 Pg = 1015 g) of total annual net primary productivity (NPP), 0.4 Pg C of vegetation total biomass (aboveground and belowground), and 12 Pg C of SOC stocks for Qinghai-Tibetan grasslands covering an area of 1.4 × 106 km2. The mean annual NPP, vegetation biomass, and soil carbon stocks decrease from the southeast to the northwest, along with precipitation gradients. Our results also suggest that in response to an increase of temperature by 2°C, approximately 10% of current SOC stocks in Qinghai-Tibetan grasslands could be lost, even though NPP increases by about 9%. This result implies that Qinghai-Tibetan grasslands may be a vulnerable component of the terrestrial carbon cycle to future climate warming.

  17. Sampling for Soil Carbon Stock Assessment in Rocky Agricultural Soils

    NASA Technical Reports Server (NTRS)

    Beem-Miller, Jeffrey P.; Kong, Angela Y. Y.; Ogle, Stephen; Wolfe, David

    2016-01-01

    Coring methods commonly employed in soil organic C (SOC) stock assessment may not accurately capture soil rock fragment (RF) content or soil bulk density (rho (sub b)) in rocky agricultural soils, potentially biasing SOC stock estimates. Quantitative pits are considered less biased than coring methods but are invasive and often cost-prohibitive. We compared fixed-depth and mass-based estimates of SOC stocks (0.3-meters depth) for hammer, hydraulic push, and rotary coring methods relative to quantitative pits at four agricultural sites ranging in RF content from less than 0.01 to 0.24 cubic meters per cubic meter. Sampling costs were also compared. Coring methods significantly underestimated RF content at all rocky sites, but significant differences (p is less than 0.05) in SOC stocks between pits and corers were only found with the hammer method using the fixed-depth approach at the less than 0.01 cubic meters per cubic meter RF site (pit, 5.80 kilograms C per square meter; hammer, 4.74 kilograms C per square meter) and at the 0.14 cubic meters per cubic meter RF site (pit, 8.81 kilograms C per square meter; hammer, 6.71 kilograms C per square meter). The hammer corer also underestimated rho (sub b) at all sites as did the hydraulic push corer at the 0.21 cubic meters per cubic meter RF site. No significant differences in mass-based SOC stock estimates were observed between pits and corers. Our results indicate that (i) calculating SOC stocks on a mass basis can overcome biases in RF and rho (sub b) estimates introduced by sampling equipment and (ii) a quantitative pit is the optimal sampling method for establishing reference soil masses, followed by rotary and then hydraulic push corers.

  18. Potential fate of eroded SOC after erosion

    NASA Astrophysics Data System (ADS)

    Xiao, Liangang; Fister, Wolfgang; Greenwood, Philip; Hu, Yaxian; Kuhn, Nikolaus J.

    2015-04-01

    Globally, soils contain more than three times as much carbon as either atmosphere or terrestrial vegetation. Soil erosion moves soil organic carbon (SOC) from the site of soil and SOC formation and to depositional environments. There some SOC might be sequestered. Combined with dynamic replacement at the site of erosion, the effect can significantly influence the carbon cycle. However, the fate of SOC moved by erosion has been subject to an intense controversy. Two opposing views prevail: erosion may contribute to SOC mineralization during transport and thus act as a source for atmospheric CO2; the burial of SOC, on the other hand, can be seen as a sink while dynamic replacement maintains SOC at the eroding site and thus increase the C-stocks in soils and sediments. The debate suffers from a lack of information on the distribution, movement and fate of SOC in terrestrial ecosystems. This study aims to improve our understanding of the transport and subsequent fate of the eroded soil and the associated SOC. The research presented here focused on the SOC content and potential transport distance of erode soil. During a series of simulated rainfall soil eroded on crusted loess soils near Basel, Switzerland, was collected. The sediment was fractionated according to its settling velocity, with classes set to correspond to either a transfer into rivers or a deposition on slopes. The soil mass, SOC concentration and cumulative CO2 emission of each fraction were measured. Our results show that about 50% of the eroded sediment and 60% of the eroded SOC are likely to be deposited on the slopes, even during a high rainfall intensity event. This is 3 times greater than the association of SOC with mineral particles suggests. The CO2 emission of the eroded soil is increased by 40% compared to disturbed bulk soil. This confirms that aggregate breakdown reduces the protection of SOC in aggregates. Both results of this study show that taking (i) the effect of aggregation on SOC

  19. Towards a global harmonized permafrost soil organic carbon stock estimates.

    NASA Astrophysics Data System (ADS)

    Hugelius, G.; Mishra, U.; Yang, Y.

    2017-12-01

    Permafrost affected soils store disproportionately large amount of organic carbon stocks due to multiple cryopedogenic processes. Previous permafrost soil organic carbon (SOC) stock estimates used a variety of approaches and reported substantial uncertainty in SOC stocks of permafrost soils. Here, we used spatially referenced data of soil-forming factors (topographic attributes, land cover types, climate, and bedrock geology) and SOC pedon description data (n = 2552) in a regression kriging approach to predict the spatial and vertical heterogeneity of SOC stocks across the Northern Circumpolar and Tibetan permafrost regions. Our approach allowed us to take into account both environmental correlation and spatial autocorrelation to separately estimate SOC stocks and their spatial uncertainties (95% CI) for three depth intervals at 250 m spatial resolution. In Northern Circumpolar region, our results show 1278.1 (1009.33 - 1550.45) Pg C in 0-3 m depth interval, with 542.09 (451.83 - 610.15), 422.46 (306.48 - 550.82), and 313.55 (251.02 - 389.48) Pg C in 0 - 1, 1 - 2, and 2 - 3 m depth intervals, respectively. In Tibetan region, our results show 26.68 (9.82 - 79.92) Pg C in 0 - 3 m depth interval, with 13.98 (6.2 - 32.96), 6.49 (1.73 - 25.86), and 6.21 (1.889 - 20.90) Pg C in 0 - 1, 1 - 2, and 2 - 3 m depth intervals, respectively. Our estimates show large spatial variability (50 - 100% coefficient of variation, depending upon the study region and depth interval) and higher uncertainty range in comparison to existing estimates. We will present the observed controls of different environmental factors on SOC at the AGU meeting.

  20. Sources of errors and uncertainties in the assessment of forest soil carbon stocks at different scales-review and recommendations.

    PubMed

    Vanguelova, E I; Bonifacio, E; De Vos, B; Hoosbeek, M R; Berger, T W; Vesterdal, L; Armolaitis, K; Celi, L; Dinca, L; Kjønaas, O J; Pavlenda, P; Pumpanen, J; Püttsepp, Ü; Reidy, B; Simončič, P; Tobin, B; Zhiyanski, M

    2016-11-01

    Spatially explicit knowledge of recent and past soil organic carbon (SOC) stocks in forests will improve our understanding of the effect of human- and non-human-induced changes on forest C fluxes. For SOC accounting, a minimum detectable difference must be defined in order to adequately determine temporal changes and spatial differences in SOC. This requires sufficiently detailed data to predict SOC stocks at appropriate scales within the required accuracy so that only significant changes are accounted for. When designing sampling campaigns, taking into account factors influencing SOC spatial and temporal distribution (such as soil type, topography, climate and vegetation) are needed to optimise sampling depths and numbers of samples, thereby ensuring that samples accurately reflect the distribution of SOC at a site. Furthermore, the appropriate scales related to the research question need to be defined: profile, plot, forests, catchment, national or wider. Scaling up SOC stocks from point sample to landscape unit is challenging, and thus requires reliable baseline data. Knowledge of the associated uncertainties related to SOC measures at each particular scale and how to reduce them is crucial for assessing SOC stocks with the highest possible accuracy at each scale. This review identifies where potential sources of errors and uncertainties related to forest SOC stock estimation occur at five different scales-sample, profile, plot, landscape/regional and European. Recommendations are also provided on how to reduce forest SOC uncertainties and increase efficiency of SOC assessment at each scale.

  1. Spatial variability and response of soil organic carbon stocks to land abandonment and erosion in mountainous drylands (Invited)

    NASA Astrophysics Data System (ADS)

    De Baets, S. L.; Meersmans, J.; Vanacker, V.; Quine, T. A.; van oost, K.

    2013-12-01

    This research focuses on understanding the impact of human activities on C dynamics in a mountainous and semi-arid environment. Despite the low C status of drylands, soil organic carbon (SOC) is the largest C pool in these systems and hence possess a large restoration capacity. Still, regional estimates of SOC stocks and insights in their determining factors are lacking. This study therefore aims 1) to interpret the variability of soil organic carbon in relation to key soil, topographical and land use variables and 2) to quantify the effects of land regeneration following abandonment on SOC stocks. Soil profiles were taken in the Sierra de los Filabres (SE Spain) in different land units along geomorphic and degradation gradients. SOC contents were modelled using recovery period, soil and topographical variables. Sample depth, topographical position, altitude, recovery period and stone content are identified as the main factors for predicting SOC concentrations. SOC stocks in 1 m depth of soil vary between 3.16 and 76.44 t ha-1. Recovery period (years since abandonment), topographical position and altitude were used to predict and map SOC stocks in the top 0.2 m. The results show that C accumulates fast during the first 10-50 years following abandonment, whereafter the stocks evolve towards a steady state level. The erosion zones in the study area demonstrate a higher potential to increase their SOC stocks when abandoned. Deposition zones have higher SOC stocks, although their C accumulation rate is lower compared to erosion dominated landscapes in the first 10-50 years following abandonment. Therefore, full understanding of the C sequestration potential of land use change in areas of complex topography requires knowledge of spatial variability in soil properties and in particular SOC.

  2. Mapping SOC content and bulk density of a disturbed peatland relict with electromagnetic induction and DEM data

    NASA Astrophysics Data System (ADS)

    Altdorff, Daniel; Bechtold, Michel; van der Kruk, Jan; Tiemeyer, Bärbel; von Hebel, Christian; Huisman, Johan Alexander

    2014-05-01

    Peatlands represent a huge storage of soil organic carbon (SOC), and there is considerable interest to assess the total amount of carbon stored in these ecosystems. However, reliable field-scale information about peat properties, particularly SOC content and bulk density (BD) necessary to estimate C stocks, remains difficult to obtain. A potential way to acquire information on these properties and its spatial variation is the non-invasive mapping of easily recordable physical variables that correlate with peat properties, such as bulk electrical conductivity (ECa) measured with electromagnetic induction (EMI). However, ECa depends on a range of soil properties, including BD, soil and water chemistry, and water content, and thus results often show complex and site-specific relationships. Therefore, a reliable prediction of SOC and BD from ECa data is not necessarily given. In this study, we aim to explore the usefulness of Multiple Linear Regression (MLR) models to predict the peat soil properties SOC and BD from multi-offset EMI and high-resolution DEM data. The quality of the MLR models is assessed by cross-validation. We use data from a medium-scale disturbed peat relict (approximately 35ha) in Northern Germany. The potential explanatory variables considered in MLR were: EMI data of six different integral depths (approximately 0.25, 0.5, 0.6, 0.9, 1, and 1.80 m), their vertical heterogeneity, as well as several topographical variables extracted from the DEM. Ground truth information for SOC, BD content and peat layer thickness was obtained from 34 soil cores of 1 m depth. Each core was divided into several 5 to 20 cm thick layers so that integral information of the upper 0.25, 0.5, and 1 m as well as from the total peat layer was obtained. For cross-validation of results, we clustered the 34 soil cores into 4 classes using K-means clustering and selected 8 cores for validation from the clusters with a probability that depended on the size of the cluster. With the

  3. Changes in soil organic carbon and total nitrogen in croplands converted to walnut-based agroforestry systems and orchards in southeastern Loess Plateau of China.

    PubMed

    Lu, Sen; Meng, Ping; Zhang, Jinsong; Yin, Changjun; Sun, Shiyou

    2015-11-01

    Limited information is available on the effects of agroforestry system practices on soil properties in the Loess Plateau of China. Over the last decade, a vegetation restoration project has been conducted in this area by converting cropland into tree-based agroforestry systems and orchards to combat soil erosion and degradation. The objective of the present study was to determine the effects of land use conversion on soil organic carbon and total nitrogen in southeastern Loess Plateau. The experiment included three treatments: walnut intercropping system (AF), walnut orchard (WO), and traditional cropland (CR). After 7 years of continual management, soil samples were collected at 0-10, 10-30, and 30-50-cm depths for three treatments, and soil organic carbon (SOC) and total nitrogen (TN) were measured. Results showed that compared with the CR and AF treatments, WO treatment decreased both SOC and TN concentrations in the 0-50-cm soil profile. However, similar patterns of SOC and TN concentrations were observed in the AF and CR treatments across the entire profile. The SOC stocks at 0-50-cm depth were 5.42, 5.52, and 4.67 kg m(-2) for CR, AF, and WO treatments, respectively. The calculated TN stocks at 0-50-cm depth were 0.63, 0.62, and 0.57 kg m(-2) for CR, AF, and WO treatments, respectively. This result demonstrated that the stocks of SOC and TN in WO were clearly lower than those of AF and CR and that the walnut-based agroforestry system was more beneficial than walnut monoculture in terms of SOC and TN sequestration. Owing to the short-term intercropping practice, the changes in SOC and TN stocks were slight in AF compared with those in CR. However, a significant decrease in SOC and TN stocks was observed during the conversion of cropland to walnut orchard after 7 years of management. We also found that land use types had no significant effect on soil C/N ratio. These findings demonstrated that intercropping between walnut rows can potentially maintain

  4. Effects of adjacent land-use types on the distribution of soil organic carbon stocks in the montane area of central Taiwan.

    PubMed

    Chen, Chiou-Pin; Juang, Kai-Wei; Cheng, Chih-Hsin; Pai, Chuang-Wen

    2016-12-01

    Soil organic carbon (SOC) stocks can be altered through reforestation and cropping. We estimated the effects of land use on SOC stocks after natural deciduous forests replaced by crops and coniferous plantations by examining the vertical distribution of SOC stocks at different depth intervals in an adjacent Oolong tea (Camellia sinensis L.) plantation, Moso bamboo (Phyllostachys pubescens) forest, Japanese cedar (Cryptomeria japonica) forest, and Taiwania (Taiwania cryptomerioides) forest in central Taiwan. The main soil characteristics, soil nitrogen (N) content, and soil carbon to nitrogen (C/N) ratio were also determined. Different land uses resulted in significantly higher bulk density, lower cation exchange capacity, SOC, soil N, soil C/N ratio, and SOC stocks in croplands compared to forestlands. Due to the long-term application of chemical fertilizers, a significantly lower soil pH was found in the tea plantation. Croplands had a lower soil C/N ratio because of less C input into the soil and a higher mineralization rate of organic carbon during cultivation. Similar SOC stocks were found in Taiwania and Japanese cedar forests (148.5 and 151.8 Mg C ha -1 , respectively), while the tea plantation had comparable SOC stocks to the bamboo forest (101.8 and 100.5 Mg C ha -1 , respectively). Over 40% of SOC stocks was stored in croplands and over 56% was stored in forestland within the upper 10 cm of soil. Coniferous plantations can contribute to a higher SOC stock than croplands, and a significant difference can be found in the top 0-5 cm of soil.

  5. Modeling soil organic carbon with Quantile Regression: Dissecting predictors' effects on carbon stocks

    NASA Astrophysics Data System (ADS)

    Lombardo, Luigi; Saia, Sergio; Schillaci, Calogero; Mai, P. Martin; Huser, Raphaël

    2018-05-01

    Soil Organic Carbon (SOC) estimation is crucial to manage both natural and anthropic ecosystems and has recently been put under the magnifying glass after the Paris agreement 2016 due to its relationship with greenhouse gas. Statistical applications have dominated the SOC stock mapping at regional scale so far. However, the community has hardly ever attempted to implement Quantile Regression (QR) to spatially predict the SOC distribution. In this contribution, we test QR to estimate SOC stock (0-30 $cm$ depth) in the agricultural areas of a highly variable semi-arid region (Sicily, Italy, around 25,000 $km2$) by using topographic and remotely sensed predictors. We also compare the results with those from available SOC stock measurement. The QR models produced robust performances and allowed to recognize dominant effects among the predictors with respect to the considered quantile. This information, currently lacking, suggests that QR can discern predictor influences on SOC stock at specific sub-domains of each predictors. In this work, the predictive map generated at the median shows lower errors than those of the Joint Research Centre and International Soil Reference, and Information Centre benchmarks. The results suggest the use of QR as a comprehensive and effective method to map SOC using legacy data in agro-ecosystems. The R code scripted in this study for QR is included.

  6. Regression-kriged soil organic carbon stock changes in manured corn silage-alfalfa production systems

    USDA-ARS?s Scientific Manuscript database

    Accurately measuring soil organic C (SOC) stock changes over time is essential for verifying agronomic management effects on C sequestration. This study quantified the spatial and temporal changes in SOC stocks on adjacent 65-ha corn silage-alfalfa production fields receiving liquid dairy manure in...

  7. Urban soils as hotspots of anthropogenic carbon accumulation: Review of stocks, mechanisms and factors

    NASA Astrophysics Data System (ADS)

    Vasenev, Viacheslav; Kuzyakov, Yakov

    2017-04-01

    Urban soils and cultural layers accumulate carbon (C) over centuries and consequently large C stocks are sequestered below the cities. These C stocks as well as the full range of processes and mechanisms leading to high C accumulation in urban soils remain unknown. We collected data on organic (SOC), inorganic (SOC) and black (pyrogenic) (BC) C content in urban and natural soils from 100 papers based on Scopus and Web-of-Knowledge databases. The yielded database includes 770 values on SOC, SIC and BC stocks from 118 cities worldwide. The collected data were analyzed considering the effects of climatic conditions and urban-specific factors: city size, age and functional zoning. For the whole range of climatic conditions, the C contents in urban soils were 1.5-3 times higher than in respective natural soils. This higher C content and much deeper C accumulation in urban soils resulted in 3 to 5 times higher C stocks compared to natural soils. Urban SOC stocks were positively correlated with latitude, whereas SIC stocks were less affected by climate. The city size and age were the main factors controlling intra-city variability of C stocks with higher stocks in small cities compared to megapolises and in medieval compared to new cities. The inter-city variability of C stocks was dominated by functional zoning: large SOC and N stocks in residential areas and large SIC and BC stocks in industrial zones and roadsides were similar for all climates and for cities of different size and age. Substantial stocks of SOC, SIC and N were sequestered for long-term in the subsoils and cultural layers of the sealed soils, which underline the importance of these 'hidden' stocks for C assessments. Typical and specific for urban soils is that the anthropogenic factor overshadows the other five factors of soil formation. Substantial C stocks in urban soils and cultural layers result from specific mechanisms of C accumulation in cities: i) large and long-term C inputs from outside the

  8. A new detailed map of total phosphorus stocks in Australian soil.

    PubMed

    Viscarra Rossel, Raphael A; Bui, Elisabeth N

    2016-01-15

    Accurate data are needed to effectively monitor environmental condition, and develop sound policies to plan for the future. Globally, current estimates of soil total phosphorus (P) stocks are very uncertain because they are derived from sparse data, with large gaps over many areas of the Earth. Here, we derive spatially explicit estimates, and their uncertainty, of the distribution and stock of total P in Australian soil. Data from several sources were harmonized to produce the most comprehensive inventory of total P in soil of the continent. They were used to produce fine spatial resolution continental maps of total P in six depth layers by combining the bootstrap, a decision tree with piecewise regression on environmental variables and geostatistical modelling of residuals. Values of percent total P were predicted at the nodes of a 3-arcsecond (approximately 90 m) grid and mapped together with their uncertainties. We combined these predictions with those for bulk density and mapped the total soil P stock in the 0-30 cm layer over the whole of Australia. The average amount of P in Australian topsoil is estimated to be 0.98 t ha(-1) with 90% confidence limits of 0.2 and 4.2 t ha(-1). The total stock of P in the 0-30 cm layer of soil for the continent is 0.91 Gt with 90% confidence limits of 0.19 and 3.9 Gt. The estimates are the most reliable approximation of the stock of total P in Australian soil to date. They could help improve ecological models, guide the formulation of policy around food and water security, biodiversity and conservation, inform future sampling for inventory, guide the design of monitoring networks, and provide a benchmark against which to assess the impact of changes in land cover, land use and management and climate on soil P stocks and water quality in Australia. Crown Copyright © 2015. Published by Elsevier B.V. All rights reserved.

  9. SOCS3

    PubMed Central

    Yasukawa, Hideo; Nagata, Takanobu; Oba, Toyoharu; Imaizumi, Tsutomu

    2012-01-01

    The suppressors of cytokine signaling (SOCS) family of proteins are cytokine-inducible inhibitors of Janus kinase (JAK)-signal transducer and activator of the transcription (STAT) signaling pathways. Among the family, SOCS1 and SOCS3 potently suppress cytokine actions by inhibiting JAK kinase activities. The generation of mice lacking individual SOCS genes has been instrumental in defining the role of individual SOCS proteins in specific cytokine pathways in vivo; SOCS1 is an essential negative regulator of interferon-γ (IFNγ) and SOCS3 is an essential negative regulator of leukemia inhibitory factor (LIF). JAK-STAT3 activating cytokines have exhibited cardioprotective roles in the heart. The cardiac-specific deletion of SOCS3 enhances the activation of cardioprotective signaling pathways, inhibits myocardial apoptosis and fibrosis and results in the inhibition of left ventricular remodeling after myocardial infarction (MI). We propose that myocardial SOCS3 is a key determinant of left ventricular remodeling after MI, and SOCS3 may serve as a novel therapeutic target to prevent left ventricular remodeling after MI. In this review, we discuss the signaling pathways mediated by JAK-STAT and SOCS proteins and their roles in the development of myocardial injury under stress (e.g., pressure overload, viral infection and ischemia). PMID:24058778

  10. Simulation of salinity effects on past, present, and future soil organic carbon stocks.

    PubMed

    Setia, Raj; Smith, Pete; Marschner, Petra; Gottschalk, Pia; Baldock, Jeff; Verma, Vipan; Setia, Deepika; Smith, Jo

    2012-02-07

    Soil organic carbon (SOC) models are used to predict changes in SOC stocks and carbon dioxide (CO(2)) emissions from soils, and have been successfully validated for non-saline soils. However, SOC models have not been developed to simulate SOC turnover in saline soils. Due to the large extent of salt-affected areas in the world, it is important to correctly predict SOC dynamics in salt-affected soils. To close this knowledge gap, we modified the Rothamsted Carbon Model (RothC) to simulate SOC turnover in salt-affected soils, using data from non-salt-affected and salt-affected soils in two agricultural regions in India (120 soils) and in Australia (160 soils). Recently we developed a decomposition rate modifier based on an incubation study of a subset of these soils. In the present study, we introduce a new method to estimate the past losses of SOC due to salinity and show how salinity affects future SOC stocks on a regional scale. Because salinity decreases decomposition rates, simulations using the decomposition rate modifier for salinity suggest an accumulation of SOC. However, if the plant inputs are also adjusted to reflect reduced plant growth under saline conditions, the simulations show a significant loss of soil carbon in the past due to salinization, with a higher average loss of SOC in Australian soils (55 t C ha(-1)) than in Indian soils (31 t C ha(-1)). There was a significant negative correlation (p < 0.05) between SOC loss and osmotic potential. Simulations of future SOC stocks with the decomposition rate modifier and the plant input modifier indicate a greater decrease in SOC in saline than in non-saline soils under future climate. The simulations of past losses of SOC due to salinity were repeated using either measured charcoal-C or the inert organic matter predicted by the Falloon et al. equation to determine how much deviation from the Falloon et al. equation affects the amount of plant inputs generated by the model for the soils used in this study

  11. Estimating Soil Organic Carbon Stocks and Spatial Patterns with Statistical and GIS-Based Methods

    PubMed Central

    Zhi, Junjun; Jing, Changwei; Lin, Shengpan; Zhang, Cao; Liu, Qiankun; DeGloria, Stephen D.; Wu, Jiaping

    2014-01-01

    Accurately quantifying soil organic carbon (SOC) is considered fundamental to studying soil quality, modeling the global carbon cycle, and assessing global climate change. This study evaluated the uncertainties caused by up-scaling of soil properties from the county scale to the provincial scale and from lower-level classification of Soil Species to Soil Group, using four methods: the mean, median, Soil Profile Statistics (SPS), and pedological professional knowledge based (PKB) methods. For the SPS method, SOC stock is calculated at the county scale by multiplying the mean SOC density value of each soil type in a county by its corresponding area. For the mean or median method, SOC density value of each soil type is calculated using provincial arithmetic mean or median. For the PKB method, SOC density value of each soil type is calculated at the county scale considering soil parent materials and spatial locations of all soil profiles. A newly constructed 1∶50,000 soil survey geographic database of Zhejiang Province, China, was used for evaluation. Results indicated that with soil classification levels up-scaling from Soil Species to Soil Group, the variation of estimated SOC stocks among different soil classification levels was obviously lower than that among different methods. The difference in the estimated SOC stocks among the four methods was lowest at the Soil Species level. The differences in SOC stocks among the mean, median, and PKB methods for different Soil Groups resulted from the differences in the procedure of aggregating soil profile properties to represent the attributes of one soil type. Compared with the other three estimation methods (i.e., the SPS, mean and median methods), the PKB method holds significant promise for characterizing spatial differences in SOC distribution because spatial locations of all soil profiles are considered during the aggregation procedure. PMID:24840890

  12. Modelling the effect of agricultural management practices on soil organic carbon stocks: does soil erosion matter?

    NASA Astrophysics Data System (ADS)

    Nadeu, Elisabet; Van Wesemael, Bas; Van Oost, Kristof

    2014-05-01

    Over the last decades, an increasing number of studies have been conducted to assess the effect of soil management practices on soil organic carbon (SOC) stocks. At regional scales, biogeochemical models such as CENTURY or Roth-C have been commonly applied. These models simulate SOC dynamics at the profile level (point basis) over long temporal scales but do not consider the continuous lateral transfer of sediment that takes place along geomorphic toposequences. As a consequence, the impact of soil redistribution on carbon fluxes is very seldom taken into account when evaluating changes in SOC stocks due to agricultural management practices on the short and long-term. To address this gap, we assessed the role of soil erosion by water and tillage on SOC stocks under different agricultural management practices in the Walloon region of Belgium. The SPEROS-C model was run for a 100-year period combining three typical crop rotations (using winter wheat, winter barley, sugar beet and maize) with three tillage scenarios (conventional tillage, reduced tillage and reduced tillage in combination with additional crop residues). The results showed that including soil erosion by water in the simulations led to a general decrease in SOC stocks relative to a baseline scenario (where no erosion took place). The SOC lost from these arable soils was mainly exported to adjacent sites and to the river system by lateral fluxes, with magnitudes differing between crop rotations and in all cases lower under conservation tillage practices than under conventional tillage. Although tillage erosion plays an important role in carbon redistribution within fields, lateral fluxes induced by water erosion led to a higher spatial and in-depth heterogeneity of SOC stocks with potential effects on the soil water holding capacity and crop yields. This indicates that studies assessing the effect of agricultural management practices on SOC stocks and other soil properties over the landscape should

  13. Altitudinal variation of soil organic carbon stocks in temperate forests of Kashmir Himalayas, India.

    PubMed

    Ahmad Dar, Javid; Somaiah, Sundarapandian

    2015-02-01

    Soil organic carbon stocks were measured at three depths (0-10, 10-20, and 20-30 cm) in seven altitudes dominated by different forest types viz. Populus deltoides, 1550-1800 m; Juglans regia, 1800-2000 m; Cedrus deodara, 2050-2300 m; Pinus wallichiana, 2000-2300 m; mixed type, 2200-2400 m; Abies pindrow, 2300-2800 m; and Betula utilis, 2800-3200 m in temperate mountains of Kashmir Himalayas. The mean range of soil organic carbon (SOC) stocks varied from 39.07 to 91.39 Mg C ha(-1) in J. regia and B. utilis forests at 0-30 cm depth, respectively. Among the forest types, the lowest mean range of SOC at three depths (0-10, 10-20, and 20-30 cm) was observed in J. regia (18.55, 11.31, and 8.91 Mg C ha(-1), respectively) forest type, and the highest was observed in B. utilis (54.10, 21.68, and 15.60 Mg C ha(-1), respectively) forest type. SOC stocks showed significantly (R (2) = 0.67, P = 0.001) an increasing trend with increase in altitude. On average, the percentages of SOC at 0-10-, 10-20-, and 20-30-cm depths were 53.2, 26.5, and 20.3 %, respectively. Bulk density increased significantly with increase in soil depth and decreased with increase in altitude. Our results suggest that SOC stocks in temperate forests of Kashmir Himalaya vary greatly with forest type and altitude. The present study reveals that SOC stocks increased with increase in altitude at high mountainous regions. Climate change in these high mountainous regions will alter the carbon sequestration potential, which would affect the global carbon cycle.

  14. The cultivation of energy crops for biogas production and the application of digestates are characterized by high variability of CO2 exchange and soil organic C stock changes

    NASA Astrophysics Data System (ADS)

    Augustin, Juergen; Fiedler, Sebastian; Heintze, Gawan; Rohwer, Marcus; Prescher, Anne-Katrin; Pohl, Madlen; Jurisch, Nicole; Hagemann, Ulrike

    2017-04-01

    In Germany, agricultural production accounts for approx. 15% of total anthropogenic greenhouse gas emissions. The cultivation of energy crops is thus considered an important option to reduce the climate impact and maintain or increase soil organic carbon (SOC) stocks. In particular, this applies to the continuously expanding cultivation of energy crops for biogas production and the associated use of residues from anaerobic digestion (digestates) as organic fertilizer. To date, there is only limited and contradicting evidence on the impacts of this management practice on the CO2 exchange as well as the change of SOC stocks. We will present results from a 4-year field study at 5 sites in Germany using identical methods to investigate the interacting effects of i) 3 N-fertilizer treatments including calcium ammonium nitrate and digestates and ii) a crop rotation of 7 energy crops like maize, sorghum, triticale, and wheat on net ecosystem CO2 exchange (NEE) and the change of SOC stocks. We used the manual chamber approach for measuring NEE as the difference between gross primary production and ecosystem respiration. The determination of SOC stock changes was based on a C budget approach, which includes the cumulated annual NEE, the C export by harvest, and the C import by application of anaerobic digestates. The CO2 exchange and the change of SOC stocks were influenced by multiple factors like crop, site, fertilization, and climate, as well as their complex interactions. A large proportion of the variability of the CO2 exchange can be attributed to interannual climatic variability. Productive crops like maize and sorghum generally feature the most intensive CO2 exchange, while less productive crops can compensate for this by means of longer cultivation times. Regardless of the extreme variability, pronounced and partly significant differences of NEE and C budgets between sites were observed. On average, SOC stocks declined over a full crop rotation, but with highly

  15. Variations in Soil Carbon and Nitrogen Stocks of Deep Profile Following Re-vegetation along Precipitation Gradient in the Loess Plateau of China

    NASA Astrophysics Data System (ADS)

    Tuo, D.; Gao, G.; Fu, B.

    2017-12-01

    Precipitation is one of the most important limit factor affect soil organic carbon (SOC) and total nitrogen (TN) following re-vegetation; however, the effect of precipitation on the C and N cycling in deep soils is poorly understood. This study was designed to measure SOC and TN stocks and C/N ratio to a depth of 300 cm following re-vegetation along a precipitation gradient (280 to 540 mm yr-1) on the Loess Plateau of China. The results showed that the relationship of soil C-N coupling after cropland abandoned was related to mean annual precipitation (MAP) and soil depth. SOC and TN stocks in the shallow layers of 0-100 cm were 3.8 and 0.41 kg m-2, respectively, and that in the deep layers of 100-300 cm can represent about 62.7-72.5% and 60.2-88.7% to a depth of 0-300 cm, respectively. Positive linearly relationships were obtained between MAP and SOC and TN stocks at most soil layers of 0-300 cm (p < 0.05). The relationships between the MAP and changes of SOC and TN stocks following short-term restoration were highly dependent on soil depth. Changes of SOC and TN stocks after re-vegetation in shallow soils (0-100 cm) were gaining at regional scale, but in deep soils (100-300 cm), which were losing at wetter sites (MAP > 400 mm). The initial soil C loss may be attributed to greater C decomposition and lower belowground C input. The change of C/N ratio had significantly negatively correlation with MAP in each soil depth, except for 0-20 cm, indicating the effect of soil N on C accumulation is higher at drier areas rather than wetter sites. Based on the investigated factors, precipitation, soil water and clay had a dominant control over the spatial distribution of SOC, TN and C/N ratio to a 300 cm soil depth. This information is helpful our understanding of the dynamics of soil C and N of deep soils following re-vegetation in the semiarid regions.

  16. IGF-1 induces SOCS-2 but not SOCS-1 and SOCS-3 transcription in juvenile Nile tilapia (Oreochromis niloticus).

    PubMed

    Liu, Cai-Zhi; Luo, Yuan; Limbu, Samwel Mchele; Chen, Li-Qiao; Du, Zhen-Yu

    2018-05-20

    Insulin-like growth factor-1 (IGF-1) plays a crucial role in regulating growth in vertebrates whereas suppressors of cytokine signaling (SOCS) act as feedback inhibitors of the GH/IGF-1 axis. Although SOCS-2 binds the IGF-1 receptor and inhibits IGF-1-induced STAT3 activation, presently there is no clear evidence as to whether IGF-1 could induce SOCS gene expression. The current study aimed to determine whether IGF-1 could induce the transcription of SOCS in juvenile Nile tilapia ( Oreochromis niloticus ). We show that there is a common positive relationship between the mRNA expression of IGF-I and SOCS-2 under different nutritional statuses and stimulants, but not the mRNA expression of SOCS-1 and SOCS-3 Furthermore, rhIGF-1 treatment and transcriptional activity assay confirmed the hypothesis that IGF-1 could induce SOCS-2 expression, whereas it had no effect or even decreased the expression of SOCS-1 and SOCS-3 Overall, we obtained evidence that the transcription of SOCS-2, but not SOCS-1 or SOCS-3, could be induced by IGF signaling, suggesting that SOCS-2 serves as a feedback suppressor of the IGF-1 axis in juvenile Nile tilapia. © 2018. Published by The Company of Biologists Ltd.

  17. Modeling global mangrove soil carbon stocks: filling the gaps in coastal environments

    NASA Astrophysics Data System (ADS)

    Rovai, A.; Twilley, R.

    2017-12-01

    We provide an overview of contemporaneous global mangrove soil organic carbon (SOC) estimates, focusing on a framework to explain disproportionate differences among observed data as a way to improve global estimates. This framework is based on a former conceptual model, the coastal environmental setting, in contrast to the more popular latitude-based hypotheses largely believed to explain hemispheric variation in mangrove ecosystem properties. To demonstrate how local and regional estimates of SOC linked to coastal environmental settings can render more realistic global mangrove SOC extrapolations we combined published and unpublished data, yielding a total of 106 studies, reporting on 552 sites from 43 countries. These sites were classified into distinct coastal environmental setting types according to two concurrent worldwide typology of nearshore coastal systems classifications. Mangrove SOC density varied substantially across coastal environmental settings, ranging from 14.9 ± 0.8 in river dominated (deltaic) soils to 53.9 ± 1.6 mg cm-3 (mean ± SE) in karstic coastlines. Our findings reveal striking differences between published values and contemporary global mangrove SOC extrapolation based on country-level mean reference values, particularly for karstic-dominated coastlines where mangrove SOC stocks have been underestimated by up to 50%. Correspondingly, climate-based global estimates predicted lower mangrove SOC density values (32-41 mg C cm-3) for mangroves in karstic environments, differing from published (21-126 mg C cm-3) and unpublished (47-58 mg C cm-3) values. Moreover, climate-based projections yielded higher SOC density values (27-70 mg C cm-3) for river-dominated mangroves compared to lower ranges reported in the literature (11-24 mg C cm-3). We argue that this inconsistent reporting of SOC stock estimates between river-dominated and karstic coastal environmental settings is likely due to the omission of geomorphological and geophysical

  18. SOCS3

    PubMed Central

    White, Christine A; Nicola, Nicos A

    2013-01-01

    SOCS3 is an inducible negative feedback inhibitor of cytokine signaling. Conditional deletion of SOCS3 in mice using the Cre-lox system has now been applied to a range of cell types in the steady-state and under inflammatory, pathogenic, or tumorigenic stress, with the resulting phenotypes demonstrating the effects of SOCS3 in physiological and disease contexts. Together with recent structural and biochemical studies on the mechanisms of SOCS3 binding to cytokine receptors and associated kinases, we now have a better understanding of the non-redundant roles of SOCS3 in the inhibition of cytokine signaling via the receptors gp130, G-CSFR, leptinR, and IL-12Rβ. This review discusses the known functional activities of SOCS3 in fertility and development, inflammation, innate and adaptive immunity, and malignancy as determined by genetic studies in mice. PMID:24416642

  19. Estimating Soil Organic Carbon stocks and uncertainties for the National inventory Report - a study case in Southern Belgium

    NASA Astrophysics Data System (ADS)

    Chartin, Caroline; Stevens, Antoine; Kruger, Inken; Esther, Goidts; Carnol, Monique; van Wesemael, Bas

    2016-04-01

    As many other countries, Belgium complies with Annex I of the United Nations Framework Convention on Climate Change (UNFCCC). Belgium thus reports its annual greenhouse gas emissions in its national inventory report (NIR), with a distinction between emissions/sequestration in cropland and grassland (EU decision 529/2013). The CO2 fluxes are then based on changes in SOC stocks computed for each of these two types of landuse. These stocks are specified for each of the agricultural regions which correspond to areas with similar agricultural practices (rotations and/or livestock) and yield potentials. For Southern Belgium (Wallonia) consisting of ten agricultural regions, the Soil Monitoring Network (SMN) 'CARBOSOL' has been developed this last decade to survey the state of agricultural soils by quantifying SOC stocks and their evolution in a reasonable number of locations complying with the time and funds allocated. Unfortunately, the 592 points of the CARBOSOL network do not allow a representative and a sound estimation of SOC stocks and its uncertainties for the 20 possible combinations of land use/agricultural regions. Moreover, the SMN CARBIOSOL is based on a legacy database following a convenience scheme sampling strategy rather than a statistical scheme defined by design-based or model-based strategies. Here, we aim to both quantify SOC budgets (i.e., How much?) and spatialize SOC stocks (i.e., Where?) at regional scale (Southern Belgium) based on data from the SMN described above. To this end, we developed a computation procedure based on Digital Soil Mapping techniques and stochastic simulations (Monte-Carlo) allowing the estimation of multiple (10,000) independent spatialized datasets. This procedure accounts for the uncertainties associated to estimations of both i) SOC stock at the pixelscale and ii) parameters of the models. Based on these 10,000 individual realizations of the spatial model, mean SOC stocks and confidence intervals can be then computed at

  20. Observational needs for estimating Alaskan soil carbon stocks under current and future climate

    DOE PAGES

    Vitharana, U. W. A.; Mishra, U.; Jastrow, J. D.; ...

    2017-01-24

    Representing land surface spatial heterogeneity when designing observation networks is a critical scientific challenge. Here we present a geospatial approach that utilizes the multivariate spatial heterogeneity of soil-forming factors—namely, climate, topography, land cover types, and surficial geology—to identify observation sites to improve soil organic carbon (SOC) stock estimates across the State of Alaska, USA. Standard deviations in existing SOC samples indicated that 657, 870, and 906 randomly distributed pedons would be required to quantify the average SOC stocks for 0–1 m, 0–2 m, and whole-profile depths, respectively, at a confidence interval of 5 kg C m -2. Using the spatialmore » correlation range of existing SOC samples, we identified that 309, 446, and 484 new observation sites are needed to estimate current SOC stocks to 1 m, 2 m, and whole-profile depths, respectively. We also investigated whether the identified sites might change under future climate by using eight decadal (2020–2099) projections of precipitation, temperature, and length of growing season for three representative concentration pathway (RCP 4.5, 6.0, and 8.5) scenarios of the Intergovernmental Panel on Climate Change. These analyses determined that 12 to 41 additional sites (906 + 12 to 41; depending upon the emission scenarios) would be needed to capture the impact of future climate on Alaskan whole-profile SOC stocks by 2100. The identified observation sites represent spatially distributed locations across Alaska that captures the multivariate heterogeneity of soil-forming factors under current and future climatic conditions. This information is needed for designing monitoring networks and benchmarking of Earth system model results.« less

  1. Observational needs for estimating Alaskan soil carbon stocks under current and future climate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vitharana, U. W. A.; Mishra, U.; Jastrow, J. D.

    Representing land surface spatial heterogeneity when designing observation networks is a critical scientific challenge. Here we present a geospatial approach that utilizes the multivariate spatial heterogeneity of soil-forming factors—namely, climate, topography, land cover types, and surficial geology—to identify observation sites to improve soil organic carbon (SOC) stock estimates across the State of Alaska, USA. Standard deviations in existing SOC samples indicated that 657, 870, and 906 randomly distributed pedons would be required to quantify the average SOC stocks for 0–1 m, 0–2 m, and whole-profile depths, respectively, at a confidence interval of 5 kg C m -2. Using the spatialmore » correlation range of existing SOC samples, we identified that 309, 446, and 484 new observation sites are needed to estimate current SOC stocks to 1 m, 2 m, and whole-profile depths, respectively. We also investigated whether the identified sites might change under future climate by using eight decadal (2020–2099) projections of precipitation, temperature, and length of growing season for three representative concentration pathway (RCP 4.5, 6.0, and 8.5) scenarios of the Intergovernmental Panel on Climate Change. These analyses determined that 12 to 41 additional sites (906 + 12 to 41; depending upon the emission scenarios) would be needed to capture the impact of future climate on Alaskan whole-profile SOC stocks by 2100. The identified observation sites represent spatially distributed locations across Alaska that captures the multivariate heterogeneity of soil-forming factors under current and future climatic conditions. This information is needed for designing monitoring networks and benchmarking of Earth system model results.« less

  2. Underestimation of soil carbon stocks by Yasso07, Q, and CENTURY models in boreal forest linked to overlooking site fertility

    NASA Astrophysics Data System (ADS)

    Ťupek, Boris; Ortiz, Carina; Hashimoto, Shoji; Stendahl, Johan; Dahlgren, Jonas; Karltun, Erik; Lehtonen, Aleksi

    2016-04-01

    The soil organic carbon stock (SOC) changes estimated by the most process based soil carbon models (e.g. Yasso07, Q and CENTURY), needed for reporting of changes in soil carbon amounts for the United Nations Framework Convention on Climate Change (UNFCCC) and for mitigation of anthropogenic CO2 emissions by soil carbon management, can be biased if in a large mosaic of environments the models are missing a key factor driving SOC sequestration. To our knowledge soil nutrient status as a missing driver of these models was not tested in previous studies. Although, it's known that models fail to reconstruct the spatial variation and that soil nutrient status drives the ecosystem carbon use efficiency and soil carbon sequestration. We evaluated SOC stock estimates of Yasso07, Q and CENTURY process based models against the field data from Swedish Forest Soil National Inventories (3230 samples) organized by recursive partitioning method (RPART) into distinct soil groups with underlying SOC stock development linked to physicochemical conditions. These models worked for most soils with approximately average SOC stocks, but could not reproduce higher measured SOC stocks in our application. The Yasso07 and Q models that used only climate and litterfall input data and ignored soil properties generally agreed with two third of measurements. However, in comparison with measurements grouped according to the gradient of soil nutrient status we found that the models underestimated for the Swedish boreal forest soils with higher site fertility. Accounting for soil texture (clay, silt, and sand content) and structure (bulk density) in CENTURY model showed no improvement on carbon stock estimates, as CENTURY deviated in similar manner. We highlighted the mechanisms why models deviate from the measurements and the ways of considering soil nutrient status in further model development. Our analysis suggested that the models indeed lack other predominat drivers of SOC stabilization

  3. Investigations on soil organic carbon stocks and active layer thickness in West Greenland

    NASA Astrophysics Data System (ADS)

    Gries, Philipp; Wagner, Julia; Kandolf, Lorenz; Henkner, Jessica; Kühn, Peter; Scholten, Thomas; Schmidt, Karsten

    2017-04-01

    The soil organic carbon (SOC) pool in the first 300 cm of arctic soils includes about 50 % of the estimated global terrestrial belowground organic carbon, which makes about 1024 Pg C and up to 496 Pg within the upper permafrost one meter. Being a sensible ecosystem, the Arctic is sensitive to climate change. Hence, thawing of permafrost-affected soils to greater depth and for longer periods increases the release of CO2 and CH4 to the atmosphere, which queries soils as an important carbon pool. Especially in arctic environments, sparse soil data and limited knowledge of soil processes cause underestimation of SOC stocks. Due to different regional climatic conditions, changing soil-environmental conditions result in varying soil organic carbon contents in Greenland. In West Greenland, coastal oceanic conditions turn into continental climate at the ice margin showing less precipitation, higher insolation and increasing permafrost thickness. The objectives of this study are (i) to determine SOC stocks and active layer thickness (ALT), (ii) to identify main environmental factors influencing SOC stocks and ALT, and (iii) to specify differences of SOC stocks, ALT and influencing factors induced by a climatic trend in West Greenland. Respecting different climatic conditions, one study area is situated next to the ice margin in the Kangerlussuaq area and the second one is located near Sisimiut at the coast. Both study areas (2 km2) are representative for each region and have similar environmental settings. Soil samples were taken from depth increments (0-25, 25-50, 50-100, and 100-200 cm) at 80 sampling locations in each study area. Additionally, we addressed soil moisture content (TDR-measurements), ALT, and soil horizons, vegetation (types, coverage), and terrain characteristics (aspect, geomorphology) at each sampling point. As a preliminary result, at the coast the average SOC stock is 13.1 kg/m2 in the upper 25 cm and about 35.9 kg/m2 in the first 200 cm. The amount of

  4. Matching soil grid unit resolutions with polygon unit scales for DNDC modelling of regional SOC pool

    NASA Astrophysics Data System (ADS)

    Zhang, H. D.; Yu, D. S.; Ni, Y. L.; Zhang, L. M.; Shi, X. Z.

    2015-03-01

    Matching soil grid unit resolution with polygon unit map scale is important to minimize uncertainty of regional soil organic carbon (SOC) pool simulation as their strong influences on the uncertainty. A series of soil grid units at varying cell sizes were derived from soil polygon units at the six map scales of 1:50 000 (C5), 1:200 000 (D2), 1:500 000 (P5), 1:1 000 000 (N1), 1:4 000 000 (N4) and 1:14 000 000 (N14), respectively, in the Tai lake region of China. Both format soil units were used for regional SOC pool simulation with DeNitrification-DeComposition (DNDC) process-based model, which runs span the time period 1982 to 2000 at the six map scales, respectively. Four indices, soil type number (STN) and area (AREA), average SOC density (ASOCD) and total SOC stocks (SOCS) of surface paddy soils simulated with the DNDC, were attributed from all these soil polygon and grid units, respectively. Subjecting to the four index values (IV) from the parent polygon units, the variation of an index value (VIV, %) from the grid units was used to assess its dataset accuracy and redundancy, which reflects uncertainty in the simulation of SOC. Optimal soil grid unit resolutions were generated and suggested for the DNDC simulation of regional SOC pool, matching with soil polygon units map scales, respectively. With the optimal raster resolution the soil grid units dataset can hold the same accuracy as its parent polygon units dataset without any redundancy, when VIV < 1% of all the four indices was assumed as criteria to the assessment. An quadratic curve regression model y = -8.0 × 10-6x2 + 0.228x + 0.211 (R2 = 0.9994, p < 0.05) was revealed, which describes the relationship between optimal soil grid unit resolution (y, km) and soil polygon unit map scale (1:x). The knowledge may serve for grid partitioning of regions focused on the investigation and simulation of SOC pool dynamics at certain map scale.

  5. Estimating temporal changes in soil carbon stocks at ecoregional scale in Madagascar using remote-sensing

    NASA Astrophysics Data System (ADS)

    Grinand, C.; Maire, G. Le; Vieilledent, G.; Razakamanarivo, H.; Razafimbelo, T.; Bernoux, M.

    2017-02-01

    Soil organic carbon (SOC) plays an important role in climate change regulation notably through release of CO2 following land use change such a deforestation, but data on stock change levels are lacking. This study aims to empirically assess SOC stocks change between 1991 and 2011 at the landscape scale using easy-to-access spatially-explicit environmental factors. The study area was located in southeast Madagascar, in a region that exhibits very high rate of deforestation and which is characterized by both humid and dry climates. We estimated SOC stock on 0.1 ha plots for 95 different locations in a 43,000 ha reference area covering both dry and humid conditions and representing different land cover including natural forest, cropland, pasture and fallows. We used the Random Forest algorithm to find out the environmental factors explaining the spatial distribution of SOC. We then predicted SOC stocks for two soil layers at 30 cm and 100 cm over a wider area of 395,000 ha. By changing the soil and vegetation indices derived from remote sensing images we were able to produce SOC maps for 1991 and 2011. Those estimates and their related uncertainties where combined in a post-processing step to map estimates of significant SOC variations and we finally compared the SOC change map with published deforestation maps. Results show that the geologic variables, precipitation, temperature, and soil-vegetation status were strong predictors of SOC distribution at regional scale. We estimated an average net loss of 10.7% and 5.2% for the 30 cm and the 100 cm layers respectively for deforested areas in the humid area. Our results also suggest that these losses occur within the first five years following deforestation. No significant variations were observed for the dry region. This study provides new solutions and knowledge for a better integration of soil threats and opportunities in land management policies.

  6. Soils as sediment: does aggregation skew slope scale SOC balances?

    NASA Astrophysics Data System (ADS)

    Hu, Yaxian; Fister, Wolfgang; Kuhn, Nikolaus

    2014-05-01

    The net effect of soil erosion as a source or sink of CO2 in global carbon cycling has been the subject of a heated debate. On one hand, erosion exposes the previously encapsulated soil organic carbon (SOC), which may accelerate the mineralization of eroded SOC. On the other hand, deposition limits the decomposition of SOC upon burial, while incorporation of biomass at eroding sites replaces the lost SOC. So far, effects of erosion on CO2 emissions have largely been assessed by comparing SOC stocks at eroding and depositional sites. The underlying assumption for this approach is a non-selective transport of eroded SOC across a landscape. However, several recent publications showed both an at least temporary on-site enrichment of SOC in sediment as well as a preferential deposition of sediment particles with SOC concentrations that differed from the soil SOC. As a consequence, balances between eroding and depositional sites may over- or underestimate mineralization of eroded SOC during transport. Two Luvisols, from the villages of Möhlin and Movelier in northwest Switzerland, were used in this study. They have different mineral grain size distribution, organic carbon concentration and aggregate stability. Based on the concept of Equivalent Quartz Size (EQS), the eroded sediments were fractionated by a settling tube apparatus into six different size classes, according to their settling velocities and likely transport distances. According to the model developed by Starr et al., 2000, the likely transport distances of six EQS classes were grouped into three likely fates: deposited across landscapes, possibly transferred into rivers, and likely transferred into rivers. Respiration rates of the fractionated sediments were measured by gas chromatograph for 50 days. Our results show that 1) due to aggregation, 60% of the Möhlin eroded fractions and 82% of the Movelier fractions would be re-deposited in the terrestrial system, which strongly contrasts with their grain

  7. Differences on soil organic carbon stock estimation according to sampling type in Mediterranean areas

    NASA Astrophysics Data System (ADS)

    Parras-Alcántara, Luis; Lozano-García, Beatriz

    2016-04-01

    Soil organic carbon (SOC) is an important part of the global carbon (C) cycle. In addition, SOC is a soil property subject to changes and highly variable in space and time. Consequently, the scientific community is researching the fate of the organic carbon in the ecosystems. In this line, soil organic matter configuration plays an important role in the Soil System (Parras-Alcántara and Lozano García, 2014). Internationally it is known that soil C sequestration is a strategy to mitigate climate change. In this sense, many soil researchers have studied this parameter (SOC). However, many of these studies were carried out arbitrarily using entire soil profiles (ESP) by pedogenetic horizons or soil control sections (SCS) (edaphic controls to different thickness). As a result, the indiscriminate use of both methodologies implies differences with respect to SOC stock (SOCS) quantification. This scenario has been indicated and warned for different researchers (Parras-Alcántara et al., 2015a; Parras-Alcántara et al., 2015b). This research sought to analyze the SOC stock (SOCS) variability using both methods (ESP and SCS) in the Cardeña and Montoro Natural Park (Spain). This nature reserve is a forested area with 385 km2 in southern Spain. Thirty-seven sampling points were selected in the study zone. Each sampling point was analyzed in two different ways, as ESP (by horizons) and as SCS with different depth increments (0-25, 25-50, 50-75 and 75-100 cm). The major goal of this research was to study the SOCS variability at regional scale. The studied soils were classified as Phaeozems, Cambisols, Regosols and Leptosols. The results obtained show an overestimation of SOCS when SCS sampling approach is used compared to ESP. This supports that methodology selection is very important to SOCS quantification. This research is an assessment for modeling SOCS at the regional level in Mediterranean natural areas. References Parras-Alcántara, L., Lozano-García, B., 2014

  8. [Sense of coherence (SOC), occupational stress reactions, and the relationship of SOC with occupational stress reactions among male nurses working in a hospital].

    PubMed

    Yoshida, Eri; Yamada, Kazuko; Morioka, Ikuharu

    2014-01-01

    There is limited information about the sense of coherence (SOC), stress reactions and the relationship between SOC and stress reactions in male nurses. The aim of this survey was to clarify SOC, stress reactions, and the relationship of SOC with stress reactions in male nurses working in a hospital. Fifty-one male and 51 female nurses took part in a questionnaire survey. Each female subject was matched with a male of the same age (within 1 year), qualifications (nurse only or both nurse and public health nurse), and work place (internal medicine ward, surgery ward or others). The question items were basic attributes, SOC, Brief Job Stress Questionnaire and Brief Scales for Coping Profile (BSCP). To examine the relationship between the SOC and stress reactions, a multiple regression analysis was performed with psychological or somatic symptoms, as the dependent variable. The median age of male nurses was 27 (interquartile range: 24-30) years. The median length of their working career was 4 (2-7) years. There were no gender differences in the total scores of SOC. Among the stressors, the conditions of mental demand were better in male nurses, but the conditions of stress by workplace environment were worse than in female nurses. Depressive mood, one of the stress reactions, was worse in male nurses. Support from supervisors and coworkers that had an effect on stress reactions were weaker in male nurses than in female nurses. In the subscales of BSCP, "emotional expression to others" and "avoidance and suppression" were more often used by male nurses, but "seeking help for a solution to problems" was less frequently used by them than by female nurses. There were significant relationships between the total score of SOC and psychological and somatic symptoms in both sexes, even when adjusted for 9 stressor factors, 4 factors that had an effect on stress reactions, and 6 subscales of the BSCP and age. The sense of manageability, one of the subscales of SOC, showed

  9. Impact of reduced tillage on greenhouse gas emissions and soil carbon stocks in an organic grass-clover ley - winter wheat cropping sequence.

    PubMed

    Krauss, Maike; Ruser, Reiner; Müller, Torsten; Hansen, Sissel; Mäder, Paul; Gattinger, Andreas

    2017-02-15

    Organic reduced tillage aims to combine the environmental benefits of organic farming and conservation tillage to increase sustainability and soil quality. In temperate climates, there is currently no knowledge about its impact on greenhouse gas emissions and only little information about soil organic carbon (SOC) stocks in these management systems. We therefore monitored nitrous oxide (N 2 O) and methane (CH 4 ) fluxes besides SOC stocks for two years in a grass-clover ley - winter wheat - cover crop sequence. The monitoring was undertaken in an organically managed long-term tillage trial on a clay rich soil in Switzerland. Reduced tillage (RT) was compared with ploughing (conventional tillage, CT) in interaction with two fertilisation systems, cattle slurry alone (SL) versus cattle manure compost and slurry (MC). Median N 2 O and CH 4 flux rates were 13 μg N 2 O-N m -2  h -1 and -2 μg CH 4 C m -2  h -1 , respectively, with no treatment effects. N 2 O fluxes correlated positively with nitrate contents, soil temperature, water filled pore space and dissolved organic carbon and negatively with ammonium contents in soil. Pulse emissions after tillage operations and slurry application dominated cumulative gas emissions. N 2 O emissions after tillage operations correlated with SOC contents and collinearly to microbial biomass. There was no tillage system impact on cumulative N 2 O emissions in the grass-clover (0.8-0.9 kg N 2 O-N ha -1 , 369 days) and winter wheat (2.1-3.0 kg N 2 O-N ha -1 , 296 days) cropping seasons, with a tendency towards higher emissions in MC than SL in winter wheat. Including a tillage induced peak after wheat harvest, a full two year data set showed increased cumulative N 2 O emissions in RT than CT and in MC than SL. There was no clear treatment influence on cumulative CH 4 uptake. Topsoil SOC accumulation (0-0.1 m) was still ongoing. SOC stocks were more stratified in RT than CT and in MC than SL. Total SOC stocks (0-0.5

  10. Rapid Inflammation in Mice Lacking Both SOCS1 and SOCS3 in Hematopoietic Cells

    PubMed Central

    Ushiki, Takashi; Huntington, Nicholas D.; Glaser, Stefan P.; Kiu, Hiu; Georgiou, Angela; Zhang, Jian-Guo; Nicola, Nicos A.; Roberts, Andrew W.; Alexander, Warren S.

    2016-01-01

    The Suppressors of Cytokine Signalling (SOCS) proteins are negative regulators of cytokine signalling required to prevent excess cellular responses. SOCS1 and SOCS3 are essential to prevent inflammatory disease, SOCS1 by attenuating responses to IFNγ and gamma-common (γc) cytokines, and SOCS3 via regulation of G-CSF and IL-6 signalling. SOCS1 and SOCS3 show significant sequence homology and are the only SOCS proteins to possess a KIR domain. The possibility of overlapping or redundant functions was investigated in inflammatory disease via generation of mice lacking both SOCS1 and SOCS3 in hematopoietic cells. Loss of SOCS3 significantly accelerated the pathology and inflammatory disease characteristic of SOCS1 deficiency. We propose a model in which SOCS1 and SOCS3 operate independently to control specific cytokine responses and together modulate the proliferation and activation of lymphoid and myeloid cells to prevent rapid inflammatory disease. PMID:27583437

  11. Improving the Spatial Prediction of Soil Organic Carbon Stocks in a Complex Tropical Mountain Landscape by Methodological Specifications in Machine Learning Approaches.

    PubMed

    Ließ, Mareike; Schmidt, Johannes; Glaser, Bruno

    2016-01-01

    Tropical forests are significant carbon sinks and their soils' carbon storage potential is immense. However, little is known about the soil organic carbon (SOC) stocks of tropical mountain areas whose complex soil-landscape and difficult accessibility pose a challenge to spatial analysis. The choice of methodology for spatial prediction is of high importance to improve the expected poor model results in case of low predictor-response correlations. Four aspects were considered to improve model performance in predicting SOC stocks of the organic layer of a tropical mountain forest landscape: Different spatial predictor settings, predictor selection strategies, various machine learning algorithms and model tuning. Five machine learning algorithms: random forests, artificial neural networks, multivariate adaptive regression splines, boosted regression trees and support vector machines were trained and tuned to predict SOC stocks from predictors derived from a digital elevation model and satellite image. Topographical predictors were calculated with a GIS search radius of 45 to 615 m. Finally, three predictor selection strategies were applied to the total set of 236 predictors. All machine learning algorithms-including the model tuning and predictor selection-were compared via five repetitions of a tenfold cross-validation. The boosted regression tree algorithm resulted in the overall best model. SOC stocks ranged between 0.2 to 17.7 kg m-2, displaying a huge variability with diffuse insolation and curvatures of different scale guiding the spatial pattern. Predictor selection and model tuning improved the models' predictive performance in all five machine learning algorithms. The rather low number of selected predictors favours forward compared to backward selection procedures. Choosing predictors due to their indiviual performance was vanquished by the two procedures which accounted for predictor interaction.

  12. A comparison of soil organic carbon stocks between residential turf grass and native soil

    Treesearch

    Richard V. Pouyat; Ian D. Yesilonis; Nancy E. Golubiewski

    2009-01-01

    A central principle in urban ecological theory implies that in urbanized landscapes anthropogenic drivers will dominate natural drivers in the control of soil organic carbon storage (SOC). To assess the effect of urban land-use change on the storage of SOC, we compared SOC stocks of turf grass and native cover types of two metropolitan areas (Baltimore, MD, and Denver...

  13. High resolution mapping of soil organic carbon stocks using remote sensing variables in the semi-arid rangelands of eastern Australia.

    PubMed

    Wang, Bin; Waters, Cathy; Orgill, Susan; Gray, Jonathan; Cowie, Annette; Clark, Anthony; Liu, De Li

    2018-07-15

    Efficient and effective modelling methods to assess soil organic carbon (SOC) stock are central in understanding the global carbon cycle and informing related land management decisions. However, mapping SOC stocks in semi-arid rangelands is challenging due to the lack of data and poor spatial coverage. The use of remote sensing data to provide an indirect measurement of SOC to inform digital soil mapping has the potential to provide more reliable and cost-effective estimates of SOC compared with field-based, direct measurement. Despite this potential, the role of remote sensing data in improving the knowledge of soil information in semi-arid rangelands has not been fully explored. This study firstly investigated the use of high spatial resolution satellite data (seasonal fractional cover data; SFC) together with elevation, lithology, climatic data and observed soil data to map the spatial distribution of SOC at two soil depths (0-5cm and 0-30cm) in semi-arid rangelands of eastern Australia. Overall, model performance statistics showed that random forest (RF) and boosted regression trees (BRT) models performed better than support vector machine (SVM). The models obtained moderate results with R 2 of 0.32 for SOC stock at 0-5cm and 0.44 at 0-30cm, RMSE of 3.51MgCha -1 at 0-5cm and 9.16MgCha -1 at 0-30cm without considering SFC covariates. In contrast, by including SFC, the model accuracy for predicting SOC stock improved by 7.4-12.7% at 0-5cm, and by 2.8-5.9% at 0-30cm, highlighting the importance of including SFC to enhance the performance of the three modelling techniques. Furthermore, our models produced a more accurate and higher resolution digital SOC stock map compared with other available mapping products for the region. The data and high-resolution maps from this study can be used for future soil carbon assessment and monitoring. Copyright © 2018 Elsevier B.V. All rights reserved.

  14. Comparison of regression coefficient and GIS-based methodologies for regional estimates of forest soil carbon stocks.

    PubMed

    Campbell, J Elliott; Moen, Jeremie C; Ney, Richard A; Schnoor, Jerald L

    2008-03-01

    Estimates of forest soil organic carbon (SOC) have applications in carbon science, soil quality studies, carbon sequestration technologies, and carbon trading. Forest SOC has been modeled using a regression coefficient methodology that applies mean SOC densities (mass/area) to broad forest regions. A higher resolution model is based on an approach that employs a geographic information system (GIS) with soil databases and satellite-derived landcover images. Despite this advancement, the regression approach remains the basis of current state and federal level greenhouse gas inventories. Both approaches are analyzed in detail for Wisconsin forest soils from 1983 to 2001, applying rigorous error-fixing algorithms to soil databases. Resulting SOC stock estimates are 20% larger when determined using the GIS method rather than the regression approach. Average annual rates of increase in SOC stocks are 3.6 and 1.0 million metric tons of carbon per year for the GIS and regression approaches respectively.

  15. Modelling soil organic carbon stocks along topographic transects under climate change scenarios using CarboSOIL

    NASA Astrophysics Data System (ADS)

    Kotb Abd-Elmabod, Sameh; Muñoz-Rojas, Miriam; Jordán, Antonio; Anaya-Romero, María; de la Rosa, Diego

    2014-05-01

    CarboSOIL is a land evaluation model for soil organic carbon (SOC) accounting under global change scenarios (Muñoz-Rojas et al., 2013a; 2013b) and is a new component of the MicroLEIS Decision Support System. MicroLEIS is a tool for decision-makers dealing with specific agro-ecological problems as, for example, soil contamination risks (Abd-Elmabod et al., 2010; Abd-Elmabod et al., 2012)which has been designed as a knowledge-based approach incorporating a set of interlinked data bases. Global change and land use changes in recent decades have caused relevant impacts in vegetation carbon stocks (Muñoz-Rojas et al., 2011) and soil organic carbon stocks, especially in sensible areas as the Mediterranean region (Muñoz-Rojas et al., 2012a; 2012b). This study aims to investigate the influence of topography, climate, land use and soil factors on SOC stocks by the application of CarboSOIL in a representative area of the Mediterranean region (Seville, Spain). Two topographic transects (S-N and W-E oriented) were considered, including 63 points separated 4 km each. These points are associated to 41 soil profiles extracted from the SDBm soil data base (De la Rosa et al., 2001) and climatic information (average minimum temperature, average maximum temperature and average rainfall per month) extracted from raster data bases (Andalusian Environmental Information Network, REDIAM). CarboSOIL has been applied along topographic transects at different soil depths and under different climate change scenarios. Climate scenarios have been calculated according to the global climate model (CNRMCM3) by extracting spatial climate data under IPCC A1B scenario for the current period (average data from 1960-2000), 2040, 2070 and 2100. In the current scenario, results show that the highest SOC stock values located on Typic Haploxeralfs under olive groves for soil sections 0-25 cm and for 25-50 cm, but the highest values were determined on fruit-cropped Rendolic Xerothent in the 50-75cm

  16. ORCHIDEE-SOM: modeling soil organic carbon (SOC) and dissolved organic carbon (DOC) dynamics along vertical soil profiles in Europe

    NASA Astrophysics Data System (ADS)

    Camino-Serrano, Marta; Guenet, Bertrand; Luyssaert, Sebastiaan; Ciais, Philippe; Bastrikov, Vladislav; De Vos, Bruno; Gielen, Bert; Gleixner, Gerd; Jornet-Puig, Albert; Kaiser, Klaus; Kothawala, Dolly; Lauerwald, Ronny; Peñuelas, Josep; Schrumpf, Marion; Vicca, Sara; Vuichard, Nicolas; Walmsley, David; Janssens, Ivan A.

    2018-03-01

    Current land surface models (LSMs) typically represent soils in a very simplistic way, assuming soil organic carbon (SOC) as a bulk, and thus impeding a correct representation of deep soil carbon dynamics. Moreover, LSMs generally neglect the production and export of dissolved organic carbon (DOC) from soils to rivers, leading to overestimations of the potential carbon sequestration on land. This common oversimplified processing of SOC in LSMs is partly responsible for the large uncertainty in the predictions of the soil carbon response to climate change. In this study, we present a new soil carbon module called ORCHIDEE-SOM, embedded within the land surface model ORCHIDEE, which is able to reproduce the DOC and SOC dynamics in a vertically discretized soil to 2 m. The model includes processes of biological production and consumption of SOC and DOC, DOC adsorption on and desorption from soil minerals, diffusion of SOC and DOC, and DOC transport with water through and out of the soils to rivers. We evaluated ORCHIDEE-SOM against observations of DOC concentrations and SOC stocks from four European sites with different vegetation covers: a coniferous forest, a deciduous forest, a grassland, and a cropland. The model was able to reproduce the SOC stocks along their vertical profiles at the four sites and the DOC concentrations within the range of measurements, with the exception of the DOC concentrations in the upper soil horizon at the coniferous forest. However, the model was not able to fully capture the temporal dynamics of DOC concentrations. Further model improvements should focus on a plant- and depth-dependent parameterization of the new input model parameters, such as the turnover times of DOC and the microbial carbon use efficiency. We suggest that this new soil module, when parameterized for global simulations, will improve the representation of the global carbon cycle in LSMs, thus helping to constrain the predictions of the future SOC response to global

  17. Improving the Spatial Prediction of Soil Organic Carbon Stocks in a Complex Tropical Mountain Landscape by Methodological Specifications in Machine Learning Approaches

    PubMed Central

    Schmidt, Johannes; Glaser, Bruno

    2016-01-01

    Tropical forests are significant carbon sinks and their soils’ carbon storage potential is immense. However, little is known about the soil organic carbon (SOC) stocks of tropical mountain areas whose complex soil-landscape and difficult accessibility pose a challenge to spatial analysis. The choice of methodology for spatial prediction is of high importance to improve the expected poor model results in case of low predictor-response correlations. Four aspects were considered to improve model performance in predicting SOC stocks of the organic layer of a tropical mountain forest landscape: Different spatial predictor settings, predictor selection strategies, various machine learning algorithms and model tuning. Five machine learning algorithms: random forests, artificial neural networks, multivariate adaptive regression splines, boosted regression trees and support vector machines were trained and tuned to predict SOC stocks from predictors derived from a digital elevation model and satellite image. Topographical predictors were calculated with a GIS search radius of 45 to 615 m. Finally, three predictor selection strategies were applied to the total set of 236 predictors. All machine learning algorithms—including the model tuning and predictor selection—were compared via five repetitions of a tenfold cross-validation. The boosted regression tree algorithm resulted in the overall best model. SOC stocks ranged between 0.2 to 17.7 kg m-2, displaying a huge variability with diffuse insolation and curvatures of different scale guiding the spatial pattern. Predictor selection and model tuning improved the models’ predictive performance in all five machine learning algorithms. The rather low number of selected predictors favours forward compared to backward selection procedures. Choosing predictors due to their indiviual performance was vanquished by the two procedures which accounted for predictor interaction. PMID:27128736

  18. Soil organic carbon stocks and fluxes due to land use conversions at the European scale

    NASA Astrophysics Data System (ADS)

    Gobin, A.; Campling, P.

    2012-04-01

    European soils store around 73 to 79 billion tonnes of carbon, which is about 50 times the total CO2-equivalent emissions of the 27 Member States of the European Union in 2009 (4.6 billion tones; EEA, 2010). More than twice as much carbon is held in soils as compared to the storage in vegetation or the atmosphere. Soil organic carbon (SOC) stocks are dynamic and changes in land use, land management and climate may result in instant losses, whereas gains accumulate more slowly over several decades. The soil organic carbon cycle is based on continually supplying carbon in the form of organic matter as a food source for microorganisms, the loss of some carbon as carbon dioxide, and the assimilation of stable carbon in the soil. The organic carbon stocks and fluxes to and from the soil across the EU were quantified for agriculture, forestry and peatlands under different land use change and management scenarios taking into account climate change and using a coupled regional balance and multi-compartment soil organic matter model (Roth-C). Abolishing permanent grassland restrictions would have a negative effect on SOC stocks, which at the EU level can be quantified in a loss 30% higher than in the case of maintaining the current permanent grassland restrictions. Promoting the afforestation of 10% and 25% former set-aside land in the EU-15 would reduce the loss of SOC stock by 2030 by 19% and 65% respectively compared to conversions to arable land. An increase of the current afforestation rates by 2% would result in a 10% increase in carbon stock levels by 2030. The combined effect of the land use conversions to and from agricultural land use demonstrate an EU-27 average -9.7 tonnes/ha SOC stock loss for the worst option and a +5.0 tonnes/ha SOC stock gain for C-Rich option. Larger variations between Member States than between scenario options stem from regional differences in bio-geography, soil types and climatic regimes. The amount of stable or humified organic carbon

  19. Enhanced top soil carbon stocks under organic farming.

    PubMed

    Gattinger, Andreas; Muller, Adrian; Haeni, Matthias; Skinner, Colin; Fliessbach, Andreas; Buchmann, Nina; Mäder, Paul; Stolze, Matthias; Smith, Pete; Scialabba, Nadia El-Hage; Niggli, Urs

    2012-10-30

    It has been suggested that conversion to organic farming contributes to soil carbon sequestration, but until now a comprehensive quantitative assessment has been lacking. Therefore, datasets from 74 studies from pairwise comparisons of organic vs. nonorganic farming systems were subjected to metaanalysis to identify differences in soil organic carbon (SOC). We found significant differences and higher values for organically farmed soils of 0.18 ± 0.06% points (mean ± 95% confidence interval) for SOC concentrations, 3.50 ± 1.08 Mg C ha(-1) for stocks, and 0.45 ± 0.21 Mg C ha(-1) y(-1) for sequestration rates compared with nonorganic management. Metaregression did not deliver clear results on drivers, but differences in external C inputs and crop rotations seemed important. Restricting the analysis to zero net input organic systems and retaining only the datasets with highest data quality (measured soil bulk densities and external C and N inputs), the mean difference in SOC stocks between the farming systems was still significant (1.98 ± 1.50 Mg C ha(-1)), whereas the difference in sequestration rates became insignificant (0.07 ± 0.08 Mg C ha(-1) y(-1)). Analyzing zero net input systems for all data without this quality requirement revealed significant, positive differences in SOC concentrations and stocks (0.13 ± 0.09% points and 2.16 ± 1.65 Mg C ha(-1), respectively) and insignificant differences for sequestration rates (0.27 ± 0.37 Mg C ha(-1) y(-1)). The data mainly cover top soil and temperate zones, whereas only few data from tropical regions and subsoil horizons exist. Summarizing, this study shows that organic farming has the potential to accumulate soil carbon.

  20. Enhanced top soil carbon stocks under organic farming

    PubMed Central

    Gattinger, Andreas; Muller, Adrian; Haeni, Matthias; Skinner, Colin; Fliessbach, Andreas; Buchmann, Nina; Mäder, Paul; Stolze, Matthias; Smith, Pete; Scialabba, Nadia El-Hage; Niggli, Urs

    2012-01-01

    It has been suggested that conversion to organic farming contributes to soil carbon sequestration, but until now a comprehensive quantitative assessment has been lacking. Therefore, datasets from 74 studies from pairwise comparisons of organic vs. nonorganic farming systems were subjected to metaanalysis to identify differences in soil organic carbon (SOC). We found significant differences and higher values for organically farmed soils of 0.18 ± 0.06% points (mean ± 95% confidence interval) for SOC concentrations, 3.50 ± 1.08 Mg C ha−1 for stocks, and 0.45 ± 0.21 Mg C ha−1 y−1 for sequestration rates compared with nonorganic management. Metaregression did not deliver clear results on drivers, but differences in external C inputs and crop rotations seemed important. Restricting the analysis to zero net input organic systems and retaining only the datasets with highest data quality (measured soil bulk densities and external C and N inputs), the mean difference in SOC stocks between the farming systems was still significant (1.98 ± 1.50 Mg C ha−1), whereas the difference in sequestration rates became insignificant (0.07 ± 0.08 Mg C ha−1 y−1). Analyzing zero net input systems for all data without this quality requirement revealed significant, positive differences in SOC concentrations and stocks (0.13 ± 0.09% points and 2.16 ± 1.65 Mg C ha−1, respectively) and insignificant differences for sequestration rates (0.27 ± 0.37 Mg C ha−1 y−1). The data mainly cover top soil and temperate zones, whereas only few data from tropical regions and subsoil horizons exist. Summarizing, this study shows that organic farming has the potential to accumulate soil carbon. PMID:23071312

  1. Pyrogenic Carbon in soils: a literature-based inventory and a global estimation of its content in soil organic carbon and stocks

    NASA Astrophysics Data System (ADS)

    Reisser, Moritz; Purves, Ross; Schmidt, Michael W. I.; Abiven, Samuel

    2016-08-01

    Pyrogenic carbon (PyC) is considered one of the most stable components in soil and can represent more than 30% of total soil organic carbon (SOC). However, few estimates of global PyC stock or distribution exist and thus PyC is not included in any global carbon cycle models, despite its potential major relevance for the soil pool. To obtain a global picture, we reviewed the literature for published PyC content in SOC data. We generated the first PyC database including more than 560 measurements from 55 studies. Despite limitations due to heterogeneous distribution of the studied locations and gaps in the database, we were able to produce a worldwide PyC inventory. We found that global PyC represent on average 13.7% of the SOC and can be even up to 60%, making it one of the largest groups of identifiable compounds in soil, together with polysaccharides. We observed a consistent range of PyC content in SOC, despite the diverse methods of quantification. We tested the PyC content against different environmental explanatory variables: fire and land use (fire characteristics, land use, net primary productivity), climate (temperature, precipitation, climatic zones, altitude) and pedogenic properties (clay content, pH, SOC content). Surprisingly, soil properties explain PyC content the most. Soils with clay content higher than 50% contain significantly more PyC (> 30% of the SOC) than with clay content lower than 5% (< 6% of the SOC). Alkaline soils contain at least 50% more PyC than acidic soils. Furthermore, climatic conditions, represented by climatic zone or mean temperature or precipitation, correlate significantly with the PyC content. By contrast, fire characteristics could only explain PyC content, if site-specific information was available. Datasets derived from remote sensing did not explain the PyC content. To show the potential of this database, we used it in combination with other global datasets to create a global worldwide PyC content and a stock estimation

  2. Simulated responses of soil organic carbon stock to tillage management scenarios in the Northwest Great Plains

    USGS Publications Warehouse

    Tan, Z.; Liu, S.; Li, Z.; Loveland, Thomas R.

    2007-01-01

    Background: Tillage practices greatly affect carbon (C) stocks in agricultural soils. Quantification of the impacts of tillage on C stocks at a regional scale has been challenging because of the spatial heterogeneity of soil, climate, and management conditions. We evaluated the effects of tillage management on the dynamics of soil organic carbon (SOC) in croplands of the Northwest Great Plains ecoregion of the United States using the General Ensemble biogeochemical Modeling System (GEMS). Tillage management scenarios included actual tillage management (ATM), conventional tillage (CT), and no-till (NT). Results: Model simulations show that the average amount of C (kg C ha-1yr-1) released from croplands between 1972 and 2000 was 246 with ATM, 261 with CT, and 210 with NT. The reduction in the rate of C emissions with conversion of CT to NT at the ecoregion scale is much smaller than those reported at plot scale and simulated for other regions. Results indicate that the response of SOC to tillage practices depends significantly on baseline SOC levels: the conversion of CT to NT had less influence on SOC stocks in soils having lower baseline SOC levels but would lead to higher potentials to mitigate C release from soils having higher baseline SOC levels. Conclusion: For assessing the potential of agricultural soils to mitigate C emissions with conservation tillage practices, it is critical to consider both the crop rotations being used at a local scale and the composition of all cropping systems at a regional scale. ?? 2007 Tan et al; licensee BioMed Central Ltd.

  3. The effect of long-term changes in plant inputs on soil carbon stocks

    NASA Astrophysics Data System (ADS)

    Georgiou, K.; Li, Z.; Torn, M. S.

    2017-12-01

    Soil organic carbon (SOC) is the largest actively-cycling terrestrial reservoir of C and an integral component of thriving natural and managed ecosystems. C input interventions (e.g., litter removal or organic amendments) are common in managed landscapes and present an important decision for maintaining healthy soils in sustainable agriculture and forestry. Furthermore, climate and land-cover change can also affect the amount of plant C inputs that enter the soil through changes in plant productivity, allocation, and rooting depth. Yet, the processes that dictate the response of SOC to such changes in C inputs are poorly understood and inadequately represented in predictive models. Long-term litter manipulations are an invaluable resource for exploring key controls of SOC storage and validating model representations. Here we explore the response of SOC to long-term changes in plant C inputs across a range of biomes and soil types. We synthesize and analyze data from long-term litter manipulation field experiments, and focus our meta-analysis on changes to total SOC stocks, microbial biomass carbon, and mineral-associated (`protected') carbon pools and explore the relative contribution of above- versus below-ground C inputs. Our cross-site data comparison reveals that divergent SOC responses are observed between forest sites, particularly for treatments that increase C inputs to the soil. We explore trends among key variables (e.g., microbial biomass to SOC ratios) that inform soil C model representations. The assembled dataset is an important benchmark for evaluating process-based hypotheses and validating divergent model formulations.

  4. Scale-free avalanche dynamics in the stock market

    NASA Astrophysics Data System (ADS)

    Bartolozzi, M.; Leinweber, D. B.; Thomas, A. W.

    2006-10-01

    Self-organized criticality (SOC) has been claimed to play an important role in many natural and social systems. In the present work we empirically investigate the relevance of this theory to stock-market dynamics. Avalanches in stock-market indices are identified using a multi-scale wavelet-filtering analysis designed to remove Gaussian noise from the index. Here, new methods are developed to identify the optimal filtering parameters which maximize the noise removal. The filtered time series is reconstructed and compared with the original time series. A statistical analysis of both high-frequency Nasdaq E-mini Futures and daily Dow Jones data is performed. The results of this new analysis confirm earlier results revealing a robust power-law behaviour in the probability distribution function of the sizes, duration and laminar times between avalanches. This power-law behaviour holds the potential to be established as a stylized fact of stock market indices in general. While the memory process, implied by the power-law distribution of the laminar times, is not consistent with classical models for SOC, we note that a power-law distribution of the laminar times cannot be used to rule out self-organized critical behaviour.

  5. Evaluation of approaches focused on modelling of organic carbon stocks using the RothC model

    NASA Astrophysics Data System (ADS)

    Koco, Štefan; Skalský, Rastislav; Makovníková, Jarmila; Tarasovičová, Zuzana; Barančíková, Gabriela

    2014-05-01

    SOC stock, groups of plant residues inputs, groups of farmyard manure inputs), we created 661 simulation groups. Within the group, for all simulation units we used average values of inputs. Export of input data and modelling has been carried out manually in the graphic environment of RothC 26.3 v2.0 application for each group separately. SOC stocks were modeled for 661 groups of simulation units. For the second possibility we used RothC 26.3 version for DOS. The inputs for modelling were exported using VBA scripts in the environment of MS Access program. Equilibrium modelling for more variations of plant residues inputs was performed. Subsequently we selected the nearest value of total pool size to the real initial SOC stock value. All simulation units (1617) were automatically modeled by means of the predefined Batch File. The comparison of two methods of modelling showed spatial differentiation of results mainly with the increasing time of modelling period. In the time sequence, from initial period we mark the increasing the number of simulation units with differences in SOC stocks according to selected approaches. Observed differences suggest that the results of modelling obtained by inputs generalization should be taken into account with a certain degree of reserve. At large scales simulations it is more appropriate to use the DOS version of RothC 26.3 model which allows automated modelling. This reduces the time needed for model operation, without the necessity to look for the possibilities of minimizing the simulated units. Key words Soil organic carbon stock, modelling, RothC 26.3, agricultural soils, Slovakia Acknowledgements This work was supported by the Slovak Research and Development Agency under the contract No. APVV-0580-10 and APVV-0131-11.

  6. Knock your SOCS off!

    PubMed Central

    LeRoith, Derek; Nissley, Peter

    2005-01-01

    The growth hormone/IGF-1–signaling (GH/IGF-1–signaling) system is involved in numerous physiological processes during normal growth and development and also in the aging process. Understanding the regulation of this system is therefore of importance to the biologist. Studies conducted over the past decade have shown that the JAK/STAT pathways are involved in GH signaling to the nucleus. More recently, evidence has been presented that a member of the SOCS family, SOCS2, is a negative regulator of GH signaling. This story began several years ago with the dramatic demonstration of gigantism in the SOCS2-knockout mouse. A more specific definition of the role of SOCS2 in GH signaling is provided in this issue of the JCI by the demonstration that the overgrowth phenotype of the SOCS2–/– mouse is dependent upon the presence of endogenous GH and that administration of GH to mice lacking both endogenous GH and SOCS2 produced excessive growth. PMID:15690080

  7. Assessment of soil organic carbon stocks under future climate and land cover changes in Europe.

    PubMed

    Yigini, Yusuf; Panagos, Panos

    2016-07-01

    Soil organic carbon plays an important role in the carbon cycling of terrestrial ecosystems, variations in soil organic carbon stocks are very important for the ecosystem. In this study, a geostatistical model was used for predicting current and future soil organic carbon (SOC) stocks in Europe. The first phase of the study predicts current soil organic carbon content by using stepwise multiple linear regression and ordinary kriging and the second phase of the study projects the soil organic carbon to the near future (2050) by using a set of environmental predictors. We demonstrate here an approach to predict present and future soil organic carbon stocks by using climate, land cover, terrain and soil data and their projections. The covariates were selected for their role in the carbon cycle and their availability for the future model. The regression-kriging as a base model is predicting current SOC stocks in Europe by using a set of covariates and dense SOC measurements coming from LUCAS Soil Database. The base model delivers coefficients for each of the covariates to the future model. The overall model produced soil organic carbon maps which reflect the present and the future predictions (2050) based on climate and land cover projections. The data of the present climate conditions (long-term average (1950-2000)) and the future projections for 2050 were obtained from WorldClim data portal. The future climate projections are the recent climate projections mentioned in the Fifth Assessment IPCC report. These projections were extracted from the global climate models (GCMs) for four representative concentration pathways (RCPs). The results suggest an overall increase in SOC stocks by 2050 in Europe (EU26) under all climate and land cover scenarios, but the extent of the increase varies between the climate model and emissions scenarios. Copyright © 2016 The Authors. Published by Elsevier B.V. All rights reserved.

  8. Vulnerability of wetland soil carbon stocks to climate warming in the perhumid coastal temperate rainforest

    Treesearch

    Jason B. Fellman; David V. D’Amore; Eran Hood; Pat Cunningham

    2017-01-01

    The perhumid coastal temperate rainforest (PCTR) of southeast Alaska has some of the densest soil organic carbon (SOC) stocks in the world (>300 Mg C ha-1) but the fate of this SOC with continued warming remains largely unknown. We quantified dissolved organic carbon (DOC) and carbon dioxide (CO2) yields from four...

  9. Estimating soil organic and aboveground woody carbon stock in a protected dry Miombo ecosystem, Zimbabwe: Landsat 8 OLI data applications

    NASA Astrophysics Data System (ADS)

    Dube, Timothy; Muchena, Richard; Masocha, Mhosisi; Shoko, Cletah

    2018-06-01

    Accurate and reliable soil organic carbon stock estimation is critical in understanding forest role to regional carbon cycles. So far, the total carbon pool in dry Miombo ecosystems is often under-estimated. In that regard this study sought to model the relationship between the aboveground woody carbon pool and the soil carbon pool, using both ground-based and remote sensing methods. To achieve this objective, the Ratio Vegetation Index (RVI), Normalized Difference Vegetation Index (NDVI), and the Soil Adjusted Vegetation Index (SAVI) computed from the newly launched Landsat 8 OLI satellite data were used. Correlation and regression analysis were used to relate Soil Organic Carbon (S.O.C), aboveground woody carbon and remotely sensed vegetation indices. Results showed a soil organic carbon in the upper soil layer (0-15 cm) was positively correlated with aboveground woody carbon and this relationship was significant (r = 0.678; P < 0.05) aboveground carbon. However, there were no significant correlations (r = -0.11, P > 0.05) between SOC in the deeper soil layer (15-30 cm) and aboveground woody carbon. These findings imply that (relationship between aboveground woody carbon and S.O.C) aboveground woody carbon stocks can be used as a proxy to estimate S.O.C in the top soil layer (0-15 cm) in dry Miombo ecosystems. Overall, these findings underscore the potential and significance of remote sensing data in understanding savanna ecosystems contribution to the global carbon cycle.

  10. Wildfire effects on C stocks in mountain soils

    NASA Astrophysics Data System (ADS)

    Menéndez-Duarte, R.; Fernández, S.; Santin, C.; Gaspar, L.; Navas, A.

    2012-04-01

    Wildfire is the main perturbation agent in mountain soils of the Cantabrian Range (NW of Spain). Fire affects soil organic carbon (SOC) quality and quantity, both directly (e.g. combustion of organic matter and pyrogenic carbon production) and indirectly (e.g. increase of soil erosion and change of the vegetation cover). After fire, the organic fraction of the soil is expected to be enriched with charred compounds (black carbon, biochar or pyrogenic carbon-PyC). PyC mainly contributes to the recalcitrant C pool and therefore to the medium- and long-term C sequestration in soils. Moreover, recurrent fires in these Atlantic mountain ecosystems cause the conversion of the vegetation cover from forest to heathland, altering C transfer from biomass to soil. On the other hand, in this steep terrain, fire enhances soil erosion by creeping and therefore soil loss and the consequent loss of SOC. Thus, a basic but fundamental question arises: which is the net variation of SOC stocks in these mountain soils due to wildfires? To answer this, soils were sampled in a typical quartzite steep mountain in the Somiedo Natural Park (NW of Spain): i) a transect in the South hillside, prone to fires and with an intense fire history, where the vegetation cover is mostly heather and gorse; and ii) a transect in the North hillside, less affected by fire and with a well preserved vegetation cover (beech and oak forest). Samples of the surface soil (0-5 cm) and the whole soil profile were taken and, bulk density and SOC content were determined. On average fire-affected soils in the South transect have a lower soil depth (12.0 cm) and lower bulk density (0.5 g/cm3) than the North transect soils (17.6 cm depth and 1.0 g/cm3 bulk density) but they have also SOC concentrations six times higher than their unburned counterparts (147.5 and 22.8 mg C/g soil, respectively). When considering SOC stocks, differences are not as pronounced but, even so, fire affected soils content twice as much SOC (7

  11. Mapping SOC (Soil Organic Carbon) using LiDAR-derived vegetation indices in a random forest regression model

    NASA Astrophysics Data System (ADS)

    Will, R. M.; Glenn, N. F.; Benner, S. G.; Pierce, J. L.; Spaete, L.; Li, A.

    2015-12-01

    Quantifying SOC (Soil Organic Carbon) storage in complex terrain is challenging due to high spatial variability. Generally, the challenge is met by transforming point data to the entire landscape using surrogate, spatially-distributed, variables like elevation or precipitation. In many ecosystems, remotely sensed information on above-ground vegetation (e.g. NDVI) is a good predictor of below-ground carbon stocks. In this project, we are attempting to improve this predictive method by incorporating LiDAR-derived vegetation indices. LiDAR provides a mechanism for improved characterization of aboveground vegetation by providing structural parameters such as vegetation height and biomass. In this study, a random forest model is used to predict SOC using a suite of LiDAR-derived vegetation indices as predictor variables. The Reynolds Creek Experimental Watershed (RCEW) is an ideal location for a study of this type since it encompasses a strong elevation/precipitation gradient that supports lower biomass sagebrush ecosystems at low elevations and forests with more biomass at higher elevations. Sagebrush ecosystems composed of Wyoming, Low and Mountain Sagebrush have SOC values ranging from .4 to 1% (top 30 cm), while higher biomass ecosystems composed of aspen, juniper and fir have SOC values approaching 4% (top 30 cm). Large differences in SOC have been observed between canopy and interspace locations and high resolution vegetation information is likely to explain plot scale variability in SOC. Mapping of the SOC reservoir will help identify underlying controls on SOC distribution and provide insight into which processes are most important in determining SOC in semi-arid mountainous regions. In addition, airborne LiDAR has the potential to characterize vegetation communities at a high resolution and could be a tool for improving estimates of SOC at larger scales.

  12. Increased soil organic carbon stocks under agroforestry: A survey of six different sites in France

    NASA Astrophysics Data System (ADS)

    Cardinael, Rémi; Chevallier, Tiphaine; Cambou, Aurélie; Beral, Camille; Barthes, Bernard; Dupraz, Christian; Kouakoua, Ernest; Chenu, Claire

    2017-04-01

    Introduction: Agroforestry systems are land use management systems in which trees are grown in combination with crops or pasture in the same field. In silvoarable systems, trees are intercropped with arable crops, and in silvopastoral systems trees are combined with pasture for livestock. These systems may produce forage and timber as well as providing ecosystem services such as climate change mitigation. Carbon (C) is stored in the aboveground and belowground biomass of the trees, and the transfer of organic matter from the trees to the soil can increase soil organic carbon (SOC) stocks. Few studies have assessed the impact of agroforestry systems on carbon storage in soils in temperate climates, as most have been undertaken in tropical regions. Methods: This study assessed five silvoarable systems and one silvopastoral system in France. All sites had an agroforestry system with an adjacent, purely agricultural control plot. The land use management in the inter-rows in the agroforestry systems and in the control plots were identical. The age of the study sites ranged from 6 to 41 years after tree planting. Depending on the type of soil, the sampling depth ranged from 20 to 100 cm and SOC stocks were assessed using equivalent soil masses. The aboveground biomass of the trees was also measured at all sites. Results: In the silvoarable systems, the mean organic carbon stock accumulation rate in the soil was 0.24 (0.09-0.46) Mg C ha-1 yr-1 at a depth of 30 cm and 0.65 (0.004-1.85) Mg C ha-1 yr-1 in the tree biomass. Increased SOC stocks were also found in deeper soil layers at two silvoarable sites. Young plantations stored additional SOC but mainly in the soil under the rows of trees, possibly as a result of the herbaceous vegetation growing in the rows. At the silvopastoral site, the SOC stock was significantly greater at a depth of 30-50 cm than in the control. Overall, this study showed the potential of agroforestry systems to store C in both soil and biomass in

  13. Using (137)Cs to quantify the redistribution of soil organic carbon and total N affected by intensive soil erosion in the headwaters of the Yangtze River, China.

    PubMed

    Guoxiao, Wei; Yibo, Wang; Yan Lin, Wang

    2008-12-01

    Characteristics of soil organic carbon (SOC) and total nitrogen (total N) are important for determining the overall quality of soils. Studies on spatial and temporal variation in SOC and total N are of great importance because of global environmental concerns. Soil erosion is one of the major processes affecting the redistribution of SOC and total N in the test fields. To characterize the distribution and dynamics of SOC and N in the intensively eroded soil of the headwaters of the Yangtze River, China, we measured profiles of soil organic C, total N stocks, and (137)Cs in a control plot and a treatment plot. The amounts of SOC, (137)Cs of sampling soil profiles increased in the following order, lower>middle>upper portions on the control plot, and the amounts of total N of sampling soil profile increase in the following order: upper>middle>lower on the control plot. Intensive soil erosion resulted in a significant decrease of SOC amounts by 34.9%, 28.3% and 52.6% for 0-30cm soil layer at upper, middle and lower portions and (137)Cs inventory decreased by 68%, 11% and 85% at upper, middle and lower portions, respectively. On the treatment plot total N decreased by 50.2% and 14.6% at the upper and middle portions and increased by 48.9% at the lower portion. Coefficients of variation (CVs) of SOC decreased by 31%, 37% and 30% in the upper, middle and lower slope portions, respectively. Similar to the variational trend of SOC, CVs of (137)Cs decreased by 19.2%, 0.5% and 36.5%; and total N decreased by 45.7%, 65.1% and 19% in the upper, middle and lower slope portions, respectively. The results showed that (137)Cs, SOC and total N moved on the sloping land almost in the same physical mechanism during the soil erosion procedure, indicating that fallout of (137)Cs could be used directly for quantifying dynamic SOC and total N redistribution as the soil was affected by intensive soil erosion.

  14. Large uncertainty in permafrost carbon stocks due to hillslope soil deposits

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shelef, Eitan; Rowland, Joel C.; Wilson, Cathy J.

    Here, northern circumpolar permafrost soils contain more than a third of the global Soil Organic Carbon pool (SOC). The sensitivity of this carbon pool to a changing climate is a primary source of uncertainty in simulationbased climate projections. These projections, however, do not account for the accumulation of soil deposits at the base of hillslopes (hill-toes), and the influence of this accumulation on the distribution, sequestration, and decomposition of SOC in landscapes affected by permafrost. Here we combine topographic models with soil-profile data and topographic analysis to evaluate the quantity and uncertainty of SOC mass stored in perennially frozen hill-toemore » soil deposits. We show that in Alaska this SOC mass introduces an uncertainty that is > 200% than state-wide estimates of SOC stocks (77 PgC), and that a similarly large uncertainty may also pertain at a circumpolar scale. Soil sampling and geophysical-imaging efforts that target hill-toe deposits can help constrain this large uncertainty.« less

  15. Large uncertainty in permafrost carbon stocks due to hillslope soil deposits

    DOE PAGES

    Shelef, Eitan; Rowland, Joel C.; Wilson, Cathy J.; ...

    2017-05-31

    Here, northern circumpolar permafrost soils contain more than a third of the global Soil Organic Carbon pool (SOC). The sensitivity of this carbon pool to a changing climate is a primary source of uncertainty in simulationbased climate projections. These projections, however, do not account for the accumulation of soil deposits at the base of hillslopes (hill-toes), and the influence of this accumulation on the distribution, sequestration, and decomposition of SOC in landscapes affected by permafrost. Here we combine topographic models with soil-profile data and topographic analysis to evaluate the quantity and uncertainty of SOC mass stored in perennially frozen hill-toemore » soil deposits. We show that in Alaska this SOC mass introduces an uncertainty that is > 200% than state-wide estimates of SOC stocks (77 PgC), and that a similarly large uncertainty may also pertain at a circumpolar scale. Soil sampling and geophysical-imaging efforts that target hill-toe deposits can help constrain this large uncertainty.« less

  16. Modelling soil carbon flows and stocks following a carbon balance approach at regional scale for the EU-27

    NASA Astrophysics Data System (ADS)

    Lesschen, Jan Peter; Sikirica, Natasa; Bonten, Luc; Dibari, Camilla; Sanchez, Berta; Kuikman, Peter

    2014-05-01

    Soil Organic Carbon (SOC) is a key parameter to many soil functions and services. SOC is essential to support water retention and nutrient buffering and mineralization in the soil as well as to enhance soil biodiversity. Consequently, loss of SOC or low SOC levels might threaten soil productivity or even lead to a collapse of a farming system. Identification of areas in Europe with critically low SOC levels or with a negative carbon balance is a challenge in order to apply the appropriate strategies to restore these areas or prevent further SOC losses. The objective of this study is to assess current soil carbon flows and stocks at a regional scale; we follow a carbon balance approach which we developed within the MITERRA-Europe model. MITERRA-Europe is an environmental impact assessment model and calculates nitrogen and greenhouse emission on a deterministic and annual basis using emission and leaching factors at regional level (NUTS2, comparable to province level) in the EU27. The model already contained a soil carbon module based on the IPCC stock change approach. Within the EU FP7 SmartSoil project we developed a SOC balance approach, for which we quantified the input of carbon (manure, crop residues, other organic inputs) and the losses of carbon (decomposition, leaching and erosion). The calculations rules from the Roth-C model were used to estimate SOC decomposition. For the actual soil carbon stocks we used the data from the LUCAS soil sample survey. LUCAS collected soil samples in 2009 at about 22000 locations across the EU, which were analysed for a range of soil properties. Land management practices are accounted for, based on data from the EU wide Survey on Agricultural Production Methods in the 2010 Farm Structure Survey. The survey comprises data on the application of soil tillage, soil cover, crop rotation and irrigation. Based on the simulated soil carbon balance and the actual carbon stocks from LUCAS we now can identify regions within the EU that

  17. Carbon stock and turnover in riparian soils under lowland rainforest transformation systems on Sumatra, Indonesia

    NASA Astrophysics Data System (ADS)

    Hennings, Nina; Kuzyakov, Yakov

    2017-04-01

    In many tropical areas, rainforests are being cleared in order to exploit timber and other forest products as well as plant crops for food, feed and fuel use. The determinants of different patterns of deforestation and the roles of resulting transformation systems of tropical riparian rainforests for ecological functions have yet received little attention in scientific research. Especially C stocks in riparian zones are strongly affected by climate and land use changes that lead to changes in water regime and ground water level drops. We investigated the effects of land transformations in riparian ecosystems of Sumatra, on soil C content, stocks and decomposability at the landscape scale. We compare C losses in transformation systems and rainforests and estimate the contribution of soil erosion and organic matter mineralization. Further, these losses are related to changing water level and temperature increase along increasing distance to the stream. This approach is based on changing δ13C values of SOC in the topsoil as compared to those in subsoil. The shift of δ13C of SOC in the topsoil from the linear regression calculated by δ13C value with log(SOC) in the topsoil represents the modification of the C turnover rate in the top soil. Erosion is estimated by the shift of the δ13C value of SOC in the subsoil under plantations. Further, the δ13C and δ15N soil profiles and their comparison with litter of local vegetation, can be used to estimate the contribution of autochthonous and allochthonous organics to soil C stocks. Preliminary results show strong increase of erosive losses, increased decomposition with land-use transformation and decrease of C stocks with decreasing water table.

  18. Influence of soil sampling approaches in the evaluation of soil organic carbon stocks under different land uses in a Mediterranean area

    NASA Astrophysics Data System (ADS)

    Francaviglia, Rosa; Doro, Luca; Ledda, Luigi; Parras-Alcántara, Luis; Lozano-García, Beatriz

    2016-04-01

    (Hc), Pasture (P), Cork oak forest (Cof), former vineyards revegetated by Scrublands (Sfv), Mediterranean Maquis (Mmfv), and Helichrysum meadows (Hmfv). Average total SOCs were 128.0 and 140.6 Mg ha-1with the ESP and SCS approaches respectively if the coarse fraction is not included in the equation, 79.0 and 90.4 Mg ha-1when the coarse fraction is included. This indicates the importance to consider the coarse fraction when estimating SOC stocks, and an overestimation of SOCs when SCS sampling approach is adopted equal to about 16%. References Francaviglia, R., Benedetti, A., Doro, L., Madrau, S., Ledda, S., 2014. Influence of land use on soil quality and stratification ratios under agro-silvo-pastoral Mediterranean management systems. Agriculture, Ecosystems and Environment 183, 86-92. Intergovernmental Panel on Climate Change (IPCC), 2003. In: Penman, J., Gytarsky, M., Hiraishi, T., Krug, T., Kruger, D., Pipatti, R., Buendia, L., Miwa, K., Ngara, T., Tanabe, K., Wagner, F. (Eds.), Good Practice Guidance for Land Use, Land Use Change and Forestry. IPCC/OECD/IEA/IGES, Hayama, Japan. IUSS Working Group WRB, 2006.World reference base for soil resources 2006.World Soil Resources Reports No. 103. FAO, Rome. Muñoz-Rojas, M., Doro, L., Ledda, L., Francaviglia, R., 2015. Application of CarboSOIL model to predict the effects of climate change on soil organic carbon stocks in agro-silvo-pastoral Mediterranean management systems. Agriculture, Ecosystems and Environment 202, 8-16. Parras-Alcántara, L., Lozano-García, B., Brevik, E. C., Cerdá, A., 2015a. Soil organic carbon stocks quanti?cation in Mediterranean natural areas, a trade-off between entire soil pro?les and soil control sections. EGU General Assembly. Geophysical Research Abstracts Vol. 17, 2015-9865. Parras-Alcántara, L., Lozano-García, B., Brevik, E., C., Cerdá, A., 2015b. Soil organic carbon stocks assessment in Mediterranean natural areas: A comparison of entire soil profiles and soil control sections

  19. Simulating Soil C Stock with the Process-based Model CQESTR

    NASA Astrophysics Data System (ADS)

    Gollany, H.; Liang, Y.; Rickman, R.; Albrecht, S.; Follett, R.; Wilhelm, W.; Novak, J.; Douglas, C.

    2009-04-01

    The prospect of storing carbon (C) in soil, as soil organic matter (SOM), provides an opportunity for agriculture to contribute to the reduction of carbon dioxide in the atmosphere while enhancing soil properties. Soil C models are useful for examining the complex interactions between crop, soil management practices and climate and their effects on long-term carbon storage or loss. The process-based carbon model CQESTR, pronounced ‘sequester,' was developed by USDA-ARS scientists at the Columbia Plateau Conservation Research Center, Pendleton, Oregon, USA. It computes the rate of biological decomposition of crop residues or organic amendments as they convert to SOM. CQESTR uses readily available field-scale data to assess long-term effects of cropping systems or crop residue removal on SOM accretion/loss in agricultural soil. Data inputs include weather, above- ground and below-ground biomass additions, N content of residues and amendments, soil properties, and management factors such as tillage and crop rotation. The model was calibrated using information from six long-term experiments across North America (Florence, SC, 19 yrs; Lincoln, NE, 26 yrs; Hoytville, OH, 31 yrs; Breton, AB, 60 yrs; Pendleton, OR, 76 yrs; and Columbia, MO, >100 yrs) having a range of soil properties and climate. CQESTR was validated using data from several additional long-term experiments (8 - 106 yrs) across North America having a range of SOM (7.3 - 57.9 g SOM/kg). Regression analysis of 306 pairs of predicted and measured SOM data under diverse climate, soil texture and drainage classes, and agronomic practices at 13 agricultural sites resulted in a linear relationship with an r2 of 0.95 (P < 0.0001) and a 95% confidence interval of 4.3 g SOM/kg. Estimated SOC values from CQESTR and IPCC (the Intergovernmental Panel on Climate Change) were compared to observed values in three relatively long-term experiments (20 - 24 years). At one site, CQESTR and IPCC estimates of SOC stocks were

  20. Effect of land-use change on soil organic carbon stocks in the Eastern Usambara Mountain (Tanzania)

    NASA Astrophysics Data System (ADS)

    Kirsten, Maximilian; Kaaya, Abel; Klinger, Thomas; Feger, Karl-Heinz

    2014-05-01

    A soil organic carbon (SOC) inventory, covering 10 sites with 5 different land-use systems (primary forest, secondary forest, tea plantation, home garden, and cropland) was conducted in the tropical monsoonal Eastern Usambara Mountains (EUM), NE Tanzania. At all sites the environmental factors such as climate and parent material, for soil formation (gneiss), as well as elevation and slope position are highly comparable. The evergreen submontane primary rain forest, which still exists in vast areas in the EUM and the well-known land-use history there provide nearly optimal conditions for the assessment of land-use change effects on soil properties, notably the SOC stocks. We collected horizon-wise samples from soil pit profiles. In addition, samples from fixed depth-intervals were taken from 8 augering points located systematically around each soil pit. The sampling scheme yielded a unique set of soil information (pedological, chemical, and physical) that favours a reliable assessment of SOC stocks and future analytical work on SOM quality and binding mechanisms. The investigated soils are characterized by high clay contents, which increase with depth. Soil pH varies between 3.5 and 5.4 over all land-use systems and horizons, higher pH values could be detected for the agricultural systems in the topsoil, the differences between agricultural and forest systems decrease in the subsoil. The potential cation exchange capacity is in most cases < 24 cmolc kg-1, furthermore the base saturation is always < 50 % in the subsoil. Thus, based on that analytical data all soils can be classified as Acrisols revealing the high comparability of the investigated sites. This is an excellent prerequisite for the 'false chronosequence' approach applied. Organic carbon (C) stocks in the soils from the investigated land-use systems cover a wide range between 17.1 and 24.2 kg m-2 (0-100 cm). Variability is even high in the subset of the 3 primary forests. Statistically significant

  1. Simulating soil organic carbon stock as affected by land cover change and climate change, Hyrcanian forests (northern Iran).

    PubMed

    Soleimani, Azam; Hosseini, Seyed Mohsen; Massah Bavani, Ali Reza; Jafari, Mostafa; Francaviglia, Rosa

    2017-12-01

    Soil organic carbon (SOC) contains a considerable portion of the world's terrestrial carbon stock, and is affected by changes in land cover and climate. SOC modeling is a useful approach to assess the impact of land use, land use change and climate change on carbon (C) sequestration. This study aimed to: (i) test the performance of RothC model using data measured from different land covers in Hyrcanian forests (northern Iran); and (ii) predict changes in SOC under different climate change scenarios that may occur in the future. The following land covers were considered: Quercus castaneifolia (QC), Acer velutinum (AV), Alnus subcordata (AS), Cupressus sempervirens (CS) plantations and a natural forest (NF). For assessment of future climate change projections the Fifth Assessment IPCC report was used. These projections were generated with nine Global Climate Models (GCMs), for two Representative Concentration Pathways (RCPs) leading to very low and high greenhouse gases concentration levels (RCP 2.6 and RCP 8.5 respectively), and for four 20year-periods up to 2099 (2030s, 2050s, 2070s and 2090s). Simulated values of SOC correlated well with measured data (R 2 =0.64 to 0.91) indicating a good efficiency of the RothC model. Our results showed an overall decrease in SOC stocks by 2099 under all land covers and climate change scenarios, but the extent of the decrease varied with the climate models, the emissions scenarios, time periods and land covers. Acer velutinum plantation was the most sensitive land cover to future climate change (range of decrease 8.34-21.83tCha -1 ). Results suggest that modeling techniques can be effectively applied for evaluating SOC stocks, allowing the identification of current patterns in the soil and the prediction of future conditions. Copyright © 2017 Elsevier B.V. All rights reserved.

  2. Towards complete and harmonized assessment of soil carbon stocks and balance in forests: The ability of the Yasso07 model across a wide gradient of climatic and forest conditions in Europe.

    PubMed

    Hernández, Laura; Jandl, Robert; Blujdea, Viorel N B; Lehtonen, Aleksi; Kriiska, Kaie; Alberdi, Iciar; Adermann, Veiko; Cañellas, Isabel; Marin, Gheorghe; Moreno-Fernández, Daniel; Ostonen, Ivika; Varik, Mats; Didion, Markus

    2017-12-01

    Accurate carbon-balance accounting in forest soils is necessary for the development of climate change policy. However, changes in soil organic carbon (SOC) occur slowly and these changes may not be captured through repeated soil inventories. Simulation models may be used as alternatives to SOC measurement. The Yasso07 model presents a suitable alternative because most of the data required for the application are readily available in countries with common forest surveys. In this study, we test the suitability of Yasso07 for simulating SOC stocks and stock changes in a variety of European forests affected by different climatic, land use and forest management conditions and we address country-specific cases with differing resources and data availability. The simulated SOC stocks differed only slightly from measured data, providing realistic, reasonable mean SOC estimations per region or forest type. The change in the soil carbon pool over time, which is the target parameter for SOC reporting, was generally found to be plausible although not in the case of Mediterranean forest soils. As expected under stable forest management conditions, both land cover and climate play major roles in determining the SOC stock in forest soils. Greater mean SOC stocks were observed in northern latitudes (or at higher altitude) than in southern latitudes (or plains) and conifer forests were found to store a notably higher amount of SOC than broadleaf forests. Furthermore, as regards change in SOC, an inter-annual sink effect was identified for most of the European forest types studied. Our findings corroborate the suitability of Yasso07 to assess the impact of forest management and land use change on the SOC balance of forests soils, as well as to accurately simulate SOC in dead organic matter (DOM) and mineral soil pools separately. The obstacles encountered when applying the Yasso07 model reflect a lack of available input data. Future research should focus on improving our knowledge of

  3. Extrapolating existing soil organic carbon data to estimate soil organic carbon stocks below 20 cm

    Treesearch

    An-Min Wu; Cinzia Fissore; Charles H. Perry; An-Min Wu; Brent Dalzell; Barry T. Wilson

    2015-01-01

    Estimates of forest soil organic carbon stocks across the US are currently developed from expert opinion in STATSGO/SSURGO and linked to forest type. The results are reported to the US EPA as the official United States submission to the UN Framework Convention on Climate Change. Beginning in 2015, however, estimates of soil organic carbon (SOC) stocks will be based on...

  4. Dynamics of soil carbon stocks due to large-scale land use changes across the former Soviet Union during the 20th century

    NASA Astrophysics Data System (ADS)

    Kurganova, Irina; Prishchepov, Alexander V.; Schierhorn, Florian; Lopes de Gerenyu, Valentin; Müller, Daniel; Kuzyakov, Yakov

    2016-04-01

    Land use change is a major driver of land-atmosphere carbon (C) fluxes. The largest net C fluxes caused by LUC are attributed to the conversion of native unmanaged ecosystems to croplands and vice versa. Here, we present the changes of soil organic carbon (SOC) stocks in response to large-scale land use changes in the former Soviet Union from 1953-2012. Widespread and rapid conversion of native ecosystems to croplands occurred in the course of the Virgin Lands Campaign (VLC) between 1954 to 1963 in the Soviet Union, when more than 45 million hectares (Mha) were ploughed in south-eastern Russia and northern Kazakhstan in order to expand domestic food production. After 1991, the collapse of the Soviet Union triggered the abandonment of around 75 Mha across the post-Soviet states. To assess SOC dynamics, we generated a static cropland mask for 2009 based on three global cropland maps. We used the cropland mask to spatially disaggregate annual sown area statistics at province level based on the suitability of each plot for crop production, which yielded land use maps for each year from 1954 to 2012 for all post-Soviet states. To estimate the SOC-dynamics due to the VLC and post-Soviet croplands abandonment, we used available experimental data, own field measurements, and soil maps. A bookkeeping approach was applied to assess the total changes in SOC-stocks in response to large-scale land use changes in the former Soviet Union. The massive croplands expansion during VLC resulted in a substantial loss of SOC - 611±47 Mt C and 241±11 Mt C for the upper 0-50 cm soil layer during the first 20 years of cultivation for Russia and Kazakhstan, respectively. These magnitudes are similar to C losses due to the plowing up of the prairies in USA in the mid-1930s. The total SOC sequestration due to post-Soviet croplands abandonment was estimated at 72.2±6.0 Mt C per year from 1991 to 2010. This amount of carbon equals about 40% of the current fossil fuel emission for this

  5. Introducing a decomposition rate modifier in the Rothamsted Carbon Model to predict soil organic carbon stocks in saline soils.

    PubMed

    Setia, Raj; Smith, Pete; Marschner, Petra; Baldock, Jeff; Chittleborough, David; Smith, Jo

    2011-08-01

    Soil organic carbon (SOC) models such as the Rothamsted Carbon Model (RothC) have been used to estimate SOC dynamics in soils over different time scales but, until recently, their ability to accurately predict SOC stocks/carbon dioxide (CO(2)) emissions from salt-affected soils has not been assessed. Given the large extent of salt-affected soils (19% of the 20.8 billion ha of arable land on Earth), this may lead to miss-estimation of CO(2) release. Using soils from two salt-affected regions (one in Punjab, India and one in South Australia), an incubation study was carried out measuring CO(2) release over 120 days. The soils varied both in salinity (measured as electrical conductivity (EC) and calculated as osmotic potential using EC and water content) and sodicity (measured as sodium adsorption ratio, SAR). For soils from both regions, the osmotic potential had a significant positive relationship with CO(2)-C release, but no significant relationship was found between SAR and CO(2)-C release. The monthly cumulative CO(2)-C was simulated using RothC. RothC was modified to take into account reductions in plant inputs due to salinity. A subset of non-salt-affected soils was used to derive an equation for a "lab-effect" modifier to account for changes in decomposition under lab conditions and this modifier was significantly related with pH. Using a subset of salt-affected soils, a decomposition rate modifier (as a function of osmotic potential) was developed to match measured and modelled CO(2)-C release after correcting for the lab effect. Using this decomposition rate modifier, we found an agreement (R(2) = 0.92) between modelled and independently measured data for a set of soils from the incubation experiment. RothC, modified by including reduced plant inputs due to salinity and the salinity decomposition rate modifier, was used to predict SOC stocks of soils in a field in South Australia. The predictions clearly showed that SOC stocks are reduced in saline soils

  6. Impact of land use changes on the storage of soil organic carbon in active and recalcitrant pools in a humid tropical region of India.

    PubMed

    Nath, Arun Jyoti; Brahma, Biplab; Sileshi, Gudeta W; Das, Ashesh Kumar

    2018-05-15

    Quantifying soil organic carbon (SOC) dynamics is important in understanding changes in soil properties and carbon (C) fluxes. However, SOC measures all C fractions and it is not adequate to distinguish between the active C (AC) and recalcitrant or passive C (PC) fractions. It has been suggested that PC pools are the main drivers of long term soil C sink management. Therefore, the present study was undertaken with the objective of determining whether or not SOC fractions vary with land use changes under a humid tropical climate in the North East India. A chronosequence study was established consisting of natural forest, Imperata cylindrica grassland and 6, 15, 27 and 34yr old rubber (Hevea brasiliensis) plantations to determine changes in the different fractions of SOC and total SOC stock. SOC stocks significantly varied with soil depth in each land use practice. SOC stocks increased from 106Mgha -1 under 6yr to 130Mgha -1 under 34yr old rubber plantations. The SOC stocks under 34yr old plantations were 20% higher than that under I. cylindrica grassland, but 34% lower than SOC stocks recorded under natural forest soil. The proportion of AC pools decreased with increase in plantation age, AC pools being 59% of SOC stock in 6yr old stands and 33% of SOC stocks in 34yr old plantations. In contrast, the proportion of PC pools increased from 41% of SOC stock in 6yr old plantation to 67% of SOC in 34yr old plantation. In the 50-100cm soil depth, the PC pool under 27-34yr old plantations was comparable with that under natural forest but much higher than in I. cylindrica grassland. Therefore, it is concluded that old rubber plantations can play a significant role in long term soil C sink management. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. Land use and land management effects on soil organic carbon stock in Mediterranean agricultural areas (Southern Spain)

    NASA Astrophysics Data System (ADS)

    Parras-Alcántara, Luis; Lozano-García, Beatriz

    2014-05-01

    INTRODUCTION Soils play a key role in the carbon geochemical cycle. Agriculture contributes to carbon sequestration through photosynthesis and the incorporation of carbon into carbohydrates. Soil management is one of the best tools for climate change mitigation. Small increases or decreases in soil carbon content due to changes in land use or management practices, may result in a significant net exchange of carbon between the soil carbon pool and the atmosphere. In the last decades arable crops (AC) have been transformed into olive grove cultivations (OG) or vineyards (V) in Mediterranean areas. A field study was conducted to determine long-term effects of land use change (LUC) (AC by OG and V) on soil organic carbon (SOC), total nitrogen (TN), C:N ratio and their stratification in Calcic-Chromic Luvisols (LVcc/cr) in Mediterranean conditions. MATERIAL AND METHODS An unirrigated farm in Montilla-Moriles (Córdoba, Spain) cultivated under conventional tillage (animal power with lightweight reversible plows and non-mineral fertilization or pesticides) was selected for study in 1965. In 1966, the farm was divided into three plots with three different uses (AC, OG and V). The preliminary analyses were realized in 1965 for AC (AC1), and the second analyses were realized in 2011 for AC (AC2 - winter crop rotation with annual wheat and barley, receiving mineral fertilization or pesticides), OG (annual passes with disk harrow and cultivator in the spring, followed by a tine harrow in the summer receiving mineral fertilization and weed control with residual herbicides), and V (with three or five chisel passes a year from early spring to early autumn with mineral fertilization or pesticides.). In all cases (AC1, AC2, OG and V) were collected soil entire profiles. Soil properties determined were: soil particle size, bulk density, SOC, TN, C:N ratio, stocks and SRs. The statistical significance of the differences in the variables between land use practices was tested using the

  8. Expression of the SOCS family in human chronic wound tissues: Potential implications for SOCS in chronic wound healing

    PubMed Central

    Feng, Yi; Sanders, Andrew J.; Ruge, Fiona; Morris, Ceri-Ann; Harding, Keith G.; Jiang, Wen G.

    2016-01-01

    Cytokines play important roles in the wound healing process through various signalling pathways. The JAK-STAT pathway is utilised by most cytokines for signal transduction and is regulated by a variety of molecules, including suppressor of cytokine signalling (SOCS) proteins. SOCS are associated with inflammatory diseases and have an impact on cytokines, growth factors and key cell types involved in the wound-healing process. SOCS, a negative regulator of cytokine signalling, may hold the potential to regulate cytokine-induced signalling in the chronic wound-healing process. Wound edge tissues were collected from chronic venous leg ulcer patients and classified as non-healing and healing wounds. The expression pattern of seven SOCSs members, at the transcript and protein level, were examined in these tissues using qPCR and immunohistochemistry. Significantly higher levels of SOCS3 (P=0.0284) and SOCS4 (P=0.0376) in non-healing chronic wounds compared to the healing/healed chronic wounds were observed at the transcript level. Relocalisation of SOCS3 protein in the non-healing wound environment was evident in the investigated chronic biopsies. Thus, the results show that the expression of SOCS transcript indicated that SOCS members may act as a prognostic biomarker of chronic wounds. PMID:27635428

  9. Expression of the SOCS family in human chronic wound tissues: Potential implications for SOCS in chronic wound healing.

    PubMed

    Feng, Yi; Sanders, Andrew J; Ruge, Fiona; Morris, Ceri-Ann; Harding, Keith G; Jiang, Wen G

    2016-11-01

    Cytokines play important roles in the wound healing process through various signalling pathways. The JAK-STAT pathway is utilised by most cytokines for signal transduction and is regulated by a variety of molecules, including suppressor of cytokine signalling (SOCS) proteins. SOCS are associated with inflammatory diseases and have an impact on cytokines, growth factors and key cell types involved in the wound‑healing process. SOCS, a negative regulator of cytokine signalling, may hold the potential to regulate cytokine‑induced signalling in the chronic wound‑healing process. Wound edge tissues were collected from chronic venous leg ulcer patients and classified as non-healing and healing wounds. The expression pattern of seven SOCSs members, at the transcript and protein level, were examined in these tissues using qPCR and immunohistochemistry. Significantly higher levels of SOCS3 (P=0.0284) and SOCS4 (P=0.0376) in non-healing chronic wounds compared to the healing/healed chronic wounds were observed at the transcript level. Relocalisation of SOCS3 protein in the non-healing wound environment was evident in the investigated chronic biopsies. Thus, the results show that the expression of SOCS transcript indicated that SOCS members may act as a prognostic biomarker of chronic wounds.

  10. Decadally cycling soil carbon is more sensitive to warming than faster-cycling soil carbon.

    PubMed

    Lin, Junjie; Zhu, Biao; Cheng, Weixin

    2015-12-01

    The response of soil organic carbon (SOC) pools to globally rising surface temperature crucially determines the feedback between climate change and the global carbon cycle. However, there is a lack of studies investigating the temperature sensitivity of decomposition for decadally cycling SOC which is the main component of total soil carbon stock and the most relevant to global change. We tackled this issue using two decadally (13) C-labeled soils and a much improved measuring system in a long-term incubation experiment. Results indicated that the temperature sensitivity of decomposition for decadally cycling SOC (>23 years in one soil and >55 years in the other soil) was significantly greater than that for faster-cycling SOC (<23 or 55 years) or for the entire SOC stock. Moreover, decadally cycling SOC contributed substantially (35-59%) to the total CO2 loss during the 360-day incubation. Overall, these results indicate that the decomposition of decadally cycling SOC is highly sensitive to temperature change, which will likely make this large SOC stock vulnerable to loss by global warming in the 21st century and beyond. © 2015 John Wiley & Sons Ltd.

  11. How the 2008 stock market crash and seasons affect total and cardiac deaths in Los Angeles County.

    PubMed

    Schwartz, Bryan Glen; Pezzullo, John Christopher; McDonald, Scott Andrew; Poole, William Kenneth; Kloner, Robert Alan

    2012-05-15

    Various stressors trigger cardiac death. The objective was to investigate a possible relation between a stock market crash and cardiac death in a large population within the United States. We obtained daily stock market data (Dow Jones Industrial Average Index), death certificate data for daily deaths in Los Angeles County (LA), and annual LA population estimates for 2005 through 2008. The 4 years death rate curves (2005 through 2008) were averaged into a single curve to illustrate annual trends. Data were "deseasonalized" by subtracting from the daily observed value the average value for that day of year. There was marked seasonal variation in total and cardiac death rates. Even in the mild LA climate, death rates were higher in winter versus summer including total death (+17%), circulatory death (+24%), coronary heart disease death (+28%), and myocardial infarction death (+38%) rates (p <0.0001 for each). Absolute coronary heart disease death rates have decreased since 1985. After accounting for seasonal variation, the large stock market crash in October 2008 did not affect death rates in LA. Death rates remained at or below seasonal averages during the stock market crash. In conclusion, after correcting for seasonal variation, the stock market crash in October 2008 was not associated with an increase in total or cardiac death in LA. Annual coronary heart disease death rates continue to decrease. However, seasonal variation (specifically winter) remains a trigger for death and coronary heart disease death even in LA where winters are mild. Copyright © 2012 Elsevier Inc. All rights reserved.

  12. Conservation tillage versus conventional tillage on carbon stock in a Mediterranean dehesa (southern Spain)

    NASA Astrophysics Data System (ADS)

    Parras-Alcántara, Luis; Lozano-García, Beatriz

    2014-05-01

    Understanding soil dynamics is essential for making appropriate land management decisions, as soils can affect the carbon content from the atmosphere, emitting large quantities of CO2 or storing carbon. This property is essential for climate change mitigation strategies as agriculture and forestry soil management can affect the carbon cycle. The dehesa is a Mediterranean silvopastoral system formed by grasslands with scattered oaks (Quercus ilex or Q. suber). The dehesa is a pasture where the herbaceous layer is comprised of either cultivated cereals such as oat, barley and wheat or native vegetation dominated by annual species, which are used as grazing resources. In addition, the dehesa is a practice dedicated to the combined production of Iberian swine, sheep, fuel wood, coal and cork, as well as hunting. The dehesa is characterized by the preservation of forest oaks. In this work, we compared two management practices such as organic farming (OF) and conventional tillage (CT) on soil organic carbon stocks (SOC-S) in Cambisols (CM) and Leptosols (LP), and we analyzed the quality of these soils based on stratification ratio (SR) in a Mediterranean dehesa. MATERIAL AND METHODS An analysis of 85 soil profiles was performed in 2009 in Los Pedroches Valley (Cordoba, southern Spain). Two soil management practices were selected: OF (isolated trees of variable densities —15-25— trees ha-1, mostly holm and cork oaks, and patches of shrubs — cistaceae, fabaceae and lamiaceae— with a herbaceous pasture layer mostly composed of therophytic species and livestock are introduced to provide organic fertilizer to the soil, without ploughing and animal manure from the farms may be incorporated) for 20 years and CT (similar to OF, with ploughing —annual passes with a disc harrow and/or cultivator— is aimed at growing grain for livestock or at clearing the encroaching shrubs) in CM and LP. The dehesas studied were silvopastoral systems without cropping. Soil properties

  13. Effect of species composition on carbon and nitrogen stocks in forest floor and mineral soil in Norway spruce and European beech mixed forests

    NASA Astrophysics Data System (ADS)

    Andivia, Enrique; Rolo, Víctor; Jonard, Mathieu; Formánek, Pavel; Ponette, Quentin

    2015-04-01

    Management of existing forests has been identified as the main strategy to enhance carbon sequestration and to mitigate the impact of climate change on forest ecosystems. In this direction, the conversion of Norway spruce monospecific stands into mixed stands by intermingling individuals of European beech is an ongoing trend in adaptive forest management strategies, especially in Central Europe. However, studies assessing the effect of changes in tree species composition on soil organic carbon (SOC) and nitrogen stocks are still scarce and there is a lack of scientific evidence supporting tree species selection as a feasible management option to mitigate the effects of predicted future climatic scenarios. We compared C and N stocks in the forest floor (litter and humus) and the top 10 cm of mineral soil in two monospecific stands of Norway spruce and European beech and in a mixed stand of both species. The effect of tree species composition on the C and N stocks and its spatial distribution was evaluated based on litterfall, root production, elevation and canopy opening, and by using a combination of modelling and geostatistical techniques. C stock was highest in the Norway spruce and the mixed stands, while N stock was highest in the mixed stand and lowest under European beech, with intermediate values in the Norway spruce stand. Each forest type showed differences in forest floor properties, suggesting that species composition is an important factor governing forest floor characteristics, including C and N stocks. The distribution of C and N stocks between forest soil layers was different for each forest type. C and N stocks were highest in the hummus layer under Norway spruce, whereas both stocks were lowest in the European beech stand. On the other hand, the mixed stand showed the highest C and N accumulation in the uppermost mineral soil layer, while the monospecific stands showed similar values. Litterfall was the main contribution to C and N stocks of the

  14. Revealing spatial distribution of soil organic carbon contents and stocks of a disturbed bog relict by in-situ NIR and apparent EC mapping

    NASA Astrophysics Data System (ADS)

    Bechtold, Michel; Tiemeyer, Bärbel; Don, Axel; Altdorff, Daniel; van der Kruk, Jan; Huisman, Johan A.

    2013-04-01

    Previous studies showed that in-situ visible near-infrared (vis-NIR) spectroscopy can overcome the limitations of conventional soil sampling. Costs can be reduced and spatial resolution enhanced when mapping field-scale variability of soil organic carbon (SOC). Detailed maps can help to improve SOC management and lead to better estimates of field-scale total carbon stocks. Knowledge of SOC field patterns may also help to reveal processes and factors controlling SOC variability. In this study, we apply in situ vis-NIR and apparent electrical conductivity (ECa) mapping to a disturbed bog relict. The major question of this application study was how field-scale in-situ vis-NIR mapping performs for a very heterogeneous area and under difficult grassland conditions and under highly-variable water content conditions. Past intensive peat cutting and deep ploughing in some areas, in combination with a high background heterogeneity of the underlying mineral sediments, have led to a high variability of SOC content (5.6 to 41.3 %), peat layer thickness (25 to 60 cm) and peat degradation states (from nearly fresh to amorphous). Using a field system developed by Veris Technologies (Salina KS, USA), we continuously collected vis-NIR spectra at 10 cm depth (measurement range: 350 nm to 2200 nm) over an area of around 12 ha with a line spacing of about 12 m. The system includes a set of discs for measuring ECa of the first 30 and 90 cm of the soil. The same area was also mapped with a non-invasive electro-magnetic induction (EMI) setup that provided ECa data of the first 25, 50 and 100 cm. For calibration and validation of the spatial data, we took 30 representative soil samples and 15 soil cores of about 90 cm depth, for which peat thickness, water content, pore water EC, bulk density (BD), as well as C and N content were determined for various depths. Preliminary results of the calibration of the NIR spectra to the near-surface SOC contents indicate good data quality despite the

  15. Climate and soil properties limit the positive effects of land use reversion on carbon storage in Eastern Australia

    NASA Astrophysics Data System (ADS)

    Rabbi, S. M. F.; Tighe, Matthew; Delgado-Baquerizo, Manuel; Cowie, Annette; Robertson, Fiona; Dalal, Ram; Page, Kathryn; Crawford, Doug; Wilson, Brian R.; Schwenke, Graeme; McLeod, Malem; Badgery, Warwick; Dang, Yash P.; Bell, Mike; O'Leary, Garry; Liu, De Li; Baldock, Jeff

    2015-12-01

    Australia’s “Direct Action” climate change policy relies on purchasing greenhouse gas abatement from projects undertaking approved abatement activities. Management of soil organic carbon (SOC) in agricultural soils is an approved activity, based on the expectation that land use change can deliver significant changes in SOC. However, there are concerns that climate, topography and soil texture will limit changes in SOC stocks. This work analyses data from 1482 sites surveyed across the major agricultural regions of Eastern Australia to determine the relative importance of land use vs. other drivers of SOC. Variation in land use explained only 1.4% of the total variation in SOC, with aridity and soil texture the main regulators of SOC stock under different land uses. Results suggest the greatest potential for increasing SOC stocks in Eastern Australian agricultural regions lies in converting from cropping to pasture on heavy textured soils in the humid regions.

  16. A national scale estimation of soil carbon stocks of Pinus densiflora forests in Korea: a modelling approach

    NASA Astrophysics Data System (ADS)

    Yi, K.; Park, C.; Ryu, S.; Lee, K.; Yi, M.; Kim, C.; Park, G.; Kim, R.; Son, Y.

    2011-12-01

    Soil carbon (C) stocks of Pinus densiflora forests in Korea were estimated using a generic forest soil C dynamics model based on the process of dead organic matter input and decomposition. Annual input of dead organic matter to the soil was determined by stand biomass and turnover rates of tree components (stem, branch, twig, foliage, coarse root, and fine root). The model was designed to have a simplified structure consisting of three dead organic matter C (DOC) pools (aboveground woody debris (AWD), belowground woody debris (BWD), and litter (LTR) pool) and one soil organic C (SOC) pool. C flows in the model were regulated by six turnover rates of stem, branch, twig, foliage, coarse root, and fine root, and four decay rates of AWD, BWD, LTR, and SOC. To simulate the soil C stocks of P. densiflora forests, statistical data of forest land area (1,339,791 ha) and growing stock (191,896,089 m3) sorted by region (nine provinces and seven metropolitan cities) and stand age class (11 to 20- (II), 21 to 30- (III), 31 to 40- (IV), 41 to 50- (V), and 51 to 60-year-old (VI)) were used. The growing stock of each stand age class was calculated for every region and representable site index was also determined by consulting the yield table. Other model parameters related to the stand biomass, annual input of dead organic matter and decomposition were estimated from previous studies conducted on P. densiflora forests in Korea, which were also applied for model validation. As a result of simulation, total soil C stock of P. densiflora forests were estimated as 53.9 MtC and soil C stocks per unit area ranged from 28.71 to 47.81 tC ha-1 within the soil depth of 30 cm. Also, soil C stocks in the P. densiflora forests of age class II, III, IV, V, and VI were 16,780,818, 21,450,812, 12,677,872, 2,366,939, and 578,623 tC, respectively, and highly related to the distribution of age classes. Soil C stocks per unit area initially decreased with stand age class and started to increase

  17. Soil Bulk Density by Soil Type, Land Use and Data Source: Putting the Error in SOC Estimates

    NASA Astrophysics Data System (ADS)

    Wills, S. A.; Rossi, A.; Loecke, T.; Ramcharan, A. M.; Roecker, S.; Mishra, U.; Waltman, S.; Nave, L. E.; Williams, C. O.; Beaudette, D.; Libohova, Z.; Vasilas, L.

    2017-12-01

    An important part of SOC stock and pool assessment is the assessment, estimation, and application of bulk density estimates. The concept of bulk density is relatively simple (the mass of soil in a given volume), the specifics Bulk density can be difficult to measure in soils due to logistical and methodological constraints. While many estimates of SOC pools use legacy data in their estimates, few concerted efforts have been made to assess the process used to convert laboratory carbon concentration measurements and bulk density collection into volumetrically based SOC estimates. The methodologies used are particularly sensitive in wetlands and organic soils with high amounts of carbon and very low bulk densities. We will present an analysis across four database measurements: NCSS - the National Cooperative Soil Survey Characterization dataset, RaCA - the Rapid Carbon Assessment sample dataset, NWCA - the National Wetland Condition Assessment, and ISCN - the International soil Carbon Network. The relationship between bulk density and soil organic carbon will be evaluated by dataset and land use/land cover information. Prediction methods (both regression and machine learning) will be compared and contrasted across datasets and available input information. The assessment and application of bulk density, including modeling, aggregation and error propagation will be evaluated. Finally, recommendations will be made about both the use of new data in soil survey products (such as SSURGO) and the use of that information as legacy data in SOC pool estimates.

  18. A conceptual model of the controlling factors of soil organic carbon and nitrogen densities in a permafrost-affected region on the eastern Qinghai-Tibetan Plateau

    NASA Astrophysics Data System (ADS)

    Wu, Xiaodong; Fang, Hongbing; Zhao, Yonghua; Smoak, Joseph M.; Li, Wangping; Shi, Wei; Sheng, Yu; Zhao, Lin; Ding, Yongjian

    2017-07-01

    Many investigations of the preservation of soil organic carbon (SOC) in permafrost regions have examined roles of geomorphology, pedogenesis, vegetation cover, and permafrost within particular regions. However, it is difficult to disentangle the effects of multiple factors on the SOC in permafrost regions due to the heterogeneity in environmental conditions. Based on data from 73 soil study sites in permafrost regions of the eastern Qinghai-Tibetan Plateau, we developed a simple conceptual model, which relates SOC to topography, vegetation, and pedogenesis. We summarized the dominant factors and their controls on SOC using 31 measured soil physiochemical variables. Soil texture explains approximately 60% of the variations in the SOC stocks for the upper 0-2 m soil. Soil particle size closely correlates to soil moisture, which is an important determinant of SOC. Soil salinity and cations are important factors as well and can explain about 10% of the variations in SOC. The SOC and total nitrogen (TN) stocks for the 1-2 m depths have larger uncertainties than those of upper 1 m soil layer. The vegetation, pH, and bulk density mainly affects SOC and TN stocks for the upper 1 m soil layers, while the active layer thickness and soil particle size have greater influence on SOC and TN stocks for the 1-2 m soils. Our results suggest that the soil particle size is the most important controller of SOC pools, and the stocks of SOC and TN are strongly effected by soil development processes in the permafrost regions of the eastern Qinghai-Tibetan Plateau.

  19. Evaluation of carbon saturation across gradients of cropping systems diversity and soil depth

    NASA Astrophysics Data System (ADS)

    Castellano, Michael; Poffenbarger, Hanna; Cambardella, Cindy; Liebman, Matt; Mallarino, Antonio; Olk, Dan; Russell, Ann; Six, Johan

    2017-04-01

    Growing evidence indicates arable soils in the US Maize Belt are effectively carbon-saturated. We hypothesized that: 1) surface soil mineral-associated soil organic carbon (SOC) stocks in these systems are effectively carbon-saturated and 2) diverse cropping systems with greater belowground C inputs would increase subsoil SOC stocks because subsoils have large C saturation deficit. Using three long-term field trials in Iowa (study durations of 60, 35, and 12 years), we examined the effects of cropping system diversity (maize-soybean-oat/alfalfa-alfalfa or corn-corn-oat/alfalfa-alfalfa vs. maize-soybean rotation) on SOC content at different depths (0-100 cm) throughout the soil profile. Average annual C inputs were similar for both cropping systems, but the proportion of C delivered belowground was approximately twice as great in the extended rotations. Within and across cropping systems and the three field trial locations, there was a positive linear relationship between total SOC and the concentration of SOC in the mineral-associated fraction, indicating mineral-associated SOC stocks are not saturated. Organic C accumulation was observed at depth (15-100 cm) but not at the surface (0-15 cm) across all sites and rotations. These data suggest surface SOC stocks may have reached equilibrium rather than effective C saturation. In the absence of experiments that manipulate C inputs, the relationship between total SOC and the concentration of SOC in the mineral-associated fraction is frequently used as a proxy for C-saturation, and this relationship should be further explored.

  20. Climate and soil properties limit the positive effects of land use reversion on carbon storage in Eastern Australia

    PubMed Central

    Rabbi, S.M.F.; Tighe, Matthew; Delgado-Baquerizo, Manuel; Cowie, Annette; Robertson, Fiona; Dalal, Ram; Page, Kathryn; Crawford, Doug; Wilson, Brian R.; Schwenke, Graeme; Mcleod, Malem; Badgery, Warwick; Dang, Yash P.; Bell, Mike; O’Leary, Garry; Liu, De Li; Baldock, Jeff

    2015-01-01

    Australia’s “Direct Action” climate change policy relies on purchasing greenhouse gas abatement from projects undertaking approved abatement activities. Management of soil organic carbon (SOC) in agricultural soils is an approved activity, based on the expectation that land use change can deliver significant changes in SOC. However, there are concerns that climate, topography and soil texture will limit changes in SOC stocks. This work analyses data from 1482 sites surveyed across the major agricultural regions of Eastern Australia to determine the relative importance of land use vs. other drivers of SOC. Variation in land use explained only 1.4% of the total variation in SOC, with aridity and soil texture the main regulators of SOC stock under different land uses. Results suggest the greatest potential for increasing SOC stocks in Eastern Australian agricultural regions lies in converting from cropping to pasture on heavy textured soils in the humid regions. PMID:26639009

  1. Climate Warming Can Increase Soil Carbon Fluxes Without Decreasing Soil Carbon Stocks in Boreal Forests

    NASA Astrophysics Data System (ADS)

    Ziegler, S. E.; Benner, R. H.; Billings, S. A.; Edwards, K. A.; Philben, M. J.; Zhu, X.; Laganiere, J.

    2016-12-01

    Ecosystem C fluxes respond positively to climate warming, however, the net impact of changing C fluxes on soil organic carbon (SOC) stocks over decadal scales remains unclear. Manipulative studies and global-scale observations have informed much of the existing knowledge of SOC responses to climate, providing insights on relatively short (e.g. days to years) and long (centuries to millennia) time scales, respectively. Natural climate gradient studies capture integrated ecosystem responses to climate on decadal time scales. Here we report the soil C reservoirs, fluxes into and out of those reservoirs, and the chemical composition of inputs and soil organic matter pools along a mesic boreal forest climate transect. The sites studied consist of similar forest composition, successional stage, and soil moisture but differ by 5.2°C mean annual temperature. Carbon fluxes through these boreal forest soils were greatest in the lowest latitude regions and indicate that enhanced C inputs can offset soil C losses with warming in these forests. Respiration rates increased by 55% and the flux of dissolved organic carbon from the organic to mineral soil horizons tripled across this climate gradient. The 2-fold increase in litterfall inputs to these soils coincided with a significant increase in the organic horizon C stock with warming, however, no significant difference in the surface mineral soil C stocks was observed. The younger mean age of the mineral soil C ( 70 versus 330 YBP) provided further evidence for the greater turnover of SOC in the warmer climate soils. In spite of these differences in mean radiocarbon age, mineral SOC exhibited chemical characteristics of highly decomposed material across all regions. In contrast with depth trends in soil OM diagenetic indices, diagenetic shifts with latitude were limited to increases in C:N and alkyl to O-alkyl ratios in the overlying organic horizons in the warmer relative to the colder regions. These data indicate that the

  2. SOCS3 promotes apoptosis of mammary differentiated cells.

    PubMed

    Le Provost, Fabienne; Miyoshi, Keiko; Vilotte, Jean-Luc; Bierie, Brian; Robinson, Gertraud W; Hennighausen, Lothar

    2005-12-30

    Growth and function of the mammary gland is regulated by cytokines and modulated by suppressor of cytokine signalling (SOCS) proteins. In vitro experiments demonstrated that SOCS3 can inhibit PRL induction of milk protein gene expression and STAT5 activation. We explored the SOCS3 expression pattern during mouse mammary development and its regulation by PRL and GH in wild-type and STAT5a-null mammary tissue. Our results suggest that, in vivo, PRL stimulates SOCS3 expression in stromal adipocytes, independently of STAT5a stimulation. In mammary epithelial cells, SOCS3 expression appears to be related to STAT3 activation. Together, our results are consistent with a role of SOCS3 in the mammary gland by promoting apoptosis of differentiated cells (adipocytes during gestation and epithelial cells during involution).

  3. Effects of land use, climate, topography and soil properties on regional soil organic carbon and total nitrogen in the upstream watershed of Miyun Reservoir, North China.

    PubMed

    Wang, Shufang; Wang, Xiaoke; Ouyang, Zhiyun

    2012-01-01

    Soil organic carbon (SOC) and total nitrogen (TN) contents as well as their relationships with site characteristics are of profound importance in assessing current regional, continental and global soil C and N stocks and potentials for C sequestration and N conservation to offset anthropogenic emissions of greenhouse gases. This study investigated contents and distribution of SOC and TN under different land uses, and the quantitative relationships between SOC or TN and site characteristics in the Upstream Watershed of Miyun Reservoir, North China. Overall, both SOC and TN contents in natural secondary forests and grasslands were much higher than in plantations and croplands. Land use alone explained 37.2% and 38.4% of variations in SOC and TN contents, respectively. The optimal models for SOC and TN, achieved by multiple regression analysis combined with principal component analysis (PCA) to remove the multicollinearity among site variables, showed that elevation, slope, soil clay and water contents were the most significant factors controlling SOC and TN contents, jointly explaining 70.3% of SOC and 67.1% of TN contents variability. Only does additional 1.9% and 3% increase in the interpretations of SOC and TN contents variability respectively when land use was added to regressions, probably due to environment factors determine land use. Therefore, environmental variables were more important for SOC and TN variability than land use in the study area, and should be taken into consideration in properly evaluating effects of future land use changes on SOC and TN on a regional scale.

  4. Improving Soil Organic Carbon stock estimates in agricultural topsoil at a regional scale using a Stochastic Gradient Boosting technique

    NASA Astrophysics Data System (ADS)

    Schillaci, Calogero; Lombardo, Luigi; Saia, Sergio; Fantappiè, Maria; Märker, Michael; Acutis, Marco

    2016-04-01

    soil texture, land use and the Band8 among the most important contributors to the model. Conversely, GLMM selected temperature, annual rainfall, slope and LS-factor as primary contributors. Finally, total CS stock was extracted per each agricultural land use within the area of study. The cumulated topsoil CS (0-30cm) within the aforementioned classes accounted for about 59·106 tons, on 1,6 million hectares (about 60% of the island surface). In particular, Non irrigated (rainfed) arable lands, fruit trees and berry plantations, olive groves, and vineyards accounted for 47,4% and 10,2% 13,5%, 9.0 %, of the total CS, respectively, and 48,9%, 9,5%, 13,5%, 9.6% of the total area respectively. The results have implication on both the landscape management when aiming to reduce GHG emission and the computation of the contribute of each land use class to the potential CS and GHG variation. In addition, the model and map resulting from the present work have particular implication when aiming to infer SOC dynamics under climate change or varying ecosystem management scenarios.

  5. Soil organic carbon stocks quantification in Mediterranean natural areas, a trade-off between entire soil profiles and soil control sections

    NASA Astrophysics Data System (ADS)

    Parras-Alcántara, Luis; Lozano-García, Beatriz; Brevik, Eric. C.; Cerdá, Artemi

    2015-04-01

    Soil organic carbon (SOC) is extremely important in the global carbon (C) cycle; also, SOC is a soil property subject to changes, inasmuch as SOC is highly variable in space and time. The scientific community is researching the fate of the organic carbon in the ecosystems and this is why there is a blooming interest on this topic (Oliveira et al., 2014; Kukal et al., 2015). Soil organic matter play a key role in the Soil System (Fernández-Romero et al., 2014; Parras-Alcántara and Lozano García, 2014; Lozano-García and Parras-Alcántara; Parras-Alcántara et al., 2015).Globally it is known that soil C sequestration is a strategy to mitigate climate change. Over time, some researchers have analyzed entire soil profiles (ESP) by pedogenetic horizons and other researchers have analyzed soil control sections (SCS) (edaphic controls to different thickness), and in each case the benefits of the methodology established was justified. However, very few studies compare both methods (ESP versus SCS). This research sought to analyze the SOC stock (SOCS) variability using both methods (ESP and SCS) in The Despeñaperros Natural Park, a nature reserve that consists of a 76.8 km2 forested area in southern Spain. The park is in a Mediterranean environment and is a natural area (free of human disturbance). Thirty-four sampling points were selected in the study zone. Each sampling point was analyzed in two different ways, as ESP (by horizons) and as SCS with different depth increments (0-25, 25-50, 50-75 and 75-100 cm). The major goal of this research was to study the SOCS variability at regional scale. The studied soils were classified as Phaeozems, Cambisols, Regosols and Leptosols. The total SOCS in the Despeñaperros Natural Park was over 28.2% greater when SCS were used compared to ESP, ranging from 0.8144 Tg C to 0.6353 Tg C respectively (1 Tg = 10E12 g). However, when the top soil (surface horizon and superficial section control) was analyzed, this difference increased to

  6. Impact of savanna conversion to oil palm plantations on C stocks dynamics and soil fertility

    NASA Astrophysics Data System (ADS)

    Quezada, Juan Carlos; Guillaume, Thomas; Buttler, Alexandre; Ruegg, Johanna

    2017-04-01

    Large-scale expansion of oil palm cultivation on forested land in South-East Asia during the last decades lead to high negative environmental impacts. Because rainforests store high amount of C, their conversion to oil palm plantations results in large net CO2 emissions. Oil palm cultivation in tropical ecosystems such as savanna that store less C than forests is seen as an alternative to reduce greenhouse gas emissions of future oil palm development. While this option is more and more frequently mentioned, few data are available on the effective gain in C storage. Furthermore negative impact on soil organic carbon and soil fertility could offset gains of C storage in oil palm biomass. Here, we present results on aboveground and belowground C stocks and soil nutrient dynamics over a full rotation cycle of oil palm plantations established on tropical savanna grasslands. Three natural savanna grasslands as reference sites and 9 oil palm plantations ranging from two to twenty-seven years old were selected in the Llanos in Colombia. Oxisols were sampled down to 70 cm in each management zones of oil palm plantations (weeded circle, interrow, frond piles and harvesting path). Taking advantages of a shift from C4 to C3 vegetation, we quantified savanna-derived soil organic carbon (SOC) decomposition and oil palm-derived SOC stabilization rates and how they were affected by management practices (mineral fertilization, organic amendments, etc.). Results show that, in opposite to forest conversion, C storage increases when savannas are converted to oil palm plantations. Because soil C storage was very low in natural conditions, SOC changes had little effects on overall C storage. Substitution of savanna-derived SOC by oil palm-derived SOC was very fast in the topsoil and highest under frond pile and weeded circle where C and nutrients inputs are highest. However, stabilization of oil palm-derived SOC compensated loss of savanna-derived SOC rather than increased SOC stocks

  7. MOF Crystal Chemistry Paving the Way to Gas Storage Needs: Aluminum-Based soc-MOF for CH4, O2, and CO2 Storage

    PubMed Central

    2015-01-01

    The molecular building block approach was employed effectively to construct a series of novel isoreticular, highly porous and stable, aluminum-based metal–organic frameworks with soc topology. From this platform, three compounds were experimentally isolated and fully characterized: namely, the parent Al-soc-MOF-1 and its naphthalene and anthracene analogues. Al-soc-MOF-1 exhibits outstanding gravimetric methane uptake (total and working capacity). It is shown experimentally, for the first time, that the Al-soc-MOF platform can address the challenging Department of Energy dual target of 0.5 g/g (gravimetric) and 264 cm3 (STP)/cm3 (volumetric) methane storage. Furthermore, Al-soc-MOF exhibited the highest total gravimetric and volumetric uptake for carbon dioxide and the utmost total and deliverable uptake for oxygen at relatively high pressures among all microporous MOFs. In order to correlate the MOF pore structure and functionality to the gas storage properties, to better understand the structure–property relationship, we performed a molecular simulation study and evaluated the methane storage performance of the Al-soc-MOF platform using diverse organic linkers. It was found that shortening the parent Al-soc-MOF-1 linker resulted in a noticeable enhancement in the working volumetric capacity at specific temperatures and pressures with amply conserved gravimetric uptake/working capacity. In contrast, further expansion of the organic linker (branches and/or core) led to isostructural Al-soc-MOFs with enhanced gravimetric uptake but noticeably lower volumetric capacity. The collective experimental and simulation studies indicated that the parent Al-soc-MOF-1 exhibits the best compromise between the volumetric and gravimetric total and working uptakes under a wide range of pressure and temperature conditions. PMID:26364990

  8. MOF Crystal Chemistry Paving the Way to Gas Storage Needs: Aluminum-Based soc-MOF for CH4, O2, and CO2 Storage.

    PubMed

    Alezi, Dalal; Belmabkhout, Youssef; Suyetin, Mikhail; Bhatt, Prashant M; Weseliński, Łukasz J; Solovyeva, Vera; Adil, Karim; Spanopoulos, Ioannis; Trikalitis, Pantelis N; Emwas, Abdul-Hamid; Eddaoudi, Mohamed

    2015-10-21

    The molecular building block approach was employed effectively to construct a series of novel isoreticular, highly porous and stable, aluminum-based metal-organic frameworks with soc topology. From this platform, three compounds were experimentally isolated and fully characterized: namely, the parent Al-soc-MOF-1 and its naphthalene and anthracene analogues. Al-soc-MOF-1 exhibits outstanding gravimetric methane uptake (total and working capacity). It is shown experimentally, for the first time, that the Al-soc-MOF platform can address the challenging Department of Energy dual target of 0.5 g/g (gravimetric) and 264 cm(3) (STP)/cm(3) (volumetric) methane storage. Furthermore, Al-soc-MOF exhibited the highest total gravimetric and volumetric uptake for carbon dioxide and the utmost total and deliverable uptake for oxygen at relatively high pressures among all microporous MOFs. In order to correlate the MOF pore structure and functionality to the gas storage properties, to better understand the structure-property relationship, we performed a molecular simulation study and evaluated the methane storage performance of the Al-soc-MOF platform using diverse organic linkers. It was found that shortening the parent Al-soc-MOF-1 linker resulted in a noticeable enhancement in the working volumetric capacity at specific temperatures and pressures with amply conserved gravimetric uptake/working capacity. In contrast, further expansion of the organic linker (branches and/or core) led to isostructural Al-soc-MOFs with enhanced gravimetric uptake but noticeably lower volumetric capacity. The collective experimental and simulation studies indicated that the parent Al-soc-MOF-1 exhibits the best compromise between the volumetric and gravimetric total and working uptakes under a wide range of pressure and temperature conditions.

  9. How do soil properties and soil carbon stocks change after land abandonment in Mediterranean mountain areas?

    NASA Astrophysics Data System (ADS)

    Nadal Romero, Estela; Cammeraat, Erik; Pérez Cardiel, Estela; Lasanta, Teodoro

    2016-04-01

    Land abandonment and subsequent revegetation processes (due to secondary succession and afforestation practices) are global issues with important implications in Mediterranean mountain areas. Moreover, the effects of land use changes on soil carbon stocks are a matter of concern stated in international policy agendas on the mitigation of greenhouse emissions, and afforestation practices are increasingly viewed as an environmental restorative land use change prescription and are considered one of the most efficient carbon sequestration strategies currently available. The MED-AFFOREST project aims to gain more insight into the discussion by exploring the following central research questions: (i) what is the impact of land abandonment on soil properties? and (ii) how do soil organic carbon change after land abandonment? The main objective of this study is to assess the effects of land abandonment, land use change and afforestation practices on soil properties and soil organic carbon (SOC) dynamics. For this aim, five different land covers (bare soil, meadows, secondary succession, Pinus sylvestris (PS) and Pinus nigra (PN) afforestation), in the Central Spanish Pyrenees were analysed. Results showed that changes in soil properties after land abandonment were limited, even if afforestation practices were carried out and no differences were observed between natural succession and afforestation. The results on SOC dynamics showed that: (i) SOC contents were higher in the PN sites in the topsoil (10 cm), (ii) when all the profile was considered no significant differences were observed between meadows and PN, (iii) SOC accumulation under secondary succession is a slow process, and (iv) meadows should also be considered due to the relative importance in SOC stocks. The first step of SOC stabilization after afforestation is the formation of macro-aggregates promoted by large inputs of SOC, with a high contribution of labile organic matter. However, our respiration

  10. Soil organic carbon and total nitrogen pools in permafrost zones of the Qinghai-Tibetan Plateau.

    PubMed

    Zhao, Lin; Wu, Xiaodong; Wang, Zhiwei; Sheng, Yu; Fang, Hongbing; Zhao, Yonghua; Hu, Guojie; Li, Wangping; Pang, Qiangqiang; Shi, Jianzong; Mo, Bentian; Wang, Qian; Ruan, Xirui; Li, Xiaodong; Ding, Yongjian

    2018-02-26

    There are several publications related to the soil organic carbon (SOC) on the Qinghai-Tibetan Plateau (QTP). However, most of these reports were from different parts of the plateau with various sampling depth. Here, we present the results from a systematic sampling and analysis of 200 soil pits. Most of the pits were deeper than 2 m from an east-west transect across the plateau. The SOC and total nitrogen (TN) pools of the 148 × 10 4  km 2 , the area of the permafrost zone, for the upper 2 m soils calculated from the vegetation map were estimated to be 17.07 Pg (interquartile range: 11.34-25.33 Pg) and 1.72 Pg (interquartile range: 1.08-2.06 Pg), respectively. We also predicted the distribution of land cover types in 2050 and 2070 using decision tree rules and climate scenarios, and then predicted SOC and TN pools of this region. The results suggested that the SOC and TN pools will decrease in the future. The results not only contribute to the carbon and nitrogen storage and stocks in the permafrost regions as a whole but most importantly, to our knowledge of the possible changes of C and N storage on the QTP in the future.

  11. Spatial Variability and Stocks of Soil Organic Carbon in the Gobi Desert of Northwestern China

    PubMed Central

    Zhang, Pingping; Shao, Ming'an

    2014-01-01

    Soil organic carbon (SOC) plays an important role in improving soil properties and the C global cycle. Limited attention, though, has been given to assessing the spatial patterns and stocks of SOC in desert ecosystems. In this study, we quantitatively evaluated the spatial variability of SOC and its influencing factors and estimated SOC storage in a region (40 km2) of the Gobi desert. SOC exhibited a log-normal depth distribution with means of 1.6, 1.5, 1.4, and 1.4 g kg−1 for the 0–10, 10–20, 20–30, and 30–40 cm layers, respectively, and was moderately variable according to the coefficients of variation (37–42%). Variability of SOC increased as the sampling area expanded and could be well parameterized as a power function of the sampling area. Significant correlations were detected between SOC and soil physical properties, i.e. stone, sand, silt, and clay contents and soil bulk density. The relatively coarse fractions, i.e. sand, silt, and stone contents, had the largest effects on SOC variability. Experimental semivariograms of SOC were best fitted by exponential models. Nugget-to-sill ratios indicated a strong spatial dependence for SOC concentrations at all depths in the study area. The surface layer (0–10 cm) had the largest spatial dependency compared with the other layers. The mapping revealed a decreasing trend of SOC concentrations from south to north across this region of the Gobi desert, with higher levels close to an oasis and lower levels surrounded by mountains and near the desert. SOC density to depths of 20 and 40 cm for this 40 km2 area was estimated at 0.42 and 0.68 kg C m−2, respectively. This study provides an important contribution to understanding the role of the Gobi desert in the global carbon cycle. PMID:24733073

  12. Effects of different soil types in natural Mediterranean areas on soil organic carbon (SOC)

    NASA Astrophysics Data System (ADS)

    Requejo Silva, Ana; Lozano García, Beatriz; Parras Alcántara, Luis

    2017-04-01

    statement, the main goal of this work consists in establishing the vertical distribution in the profile of SOC and N concentrations and to quantify the SOC and N stocks affected by different soil types in a natural Mediterranean area, under the same land use (agroforestry system) and management (conventional tillage). This will allow to evaluate the soil quality. It was verified that SOC concentrations significantly decreased with depth in the majority of soil profiles for all soil groups under consideration. Leptosols are characterized by the highest concentration of soil organic carbon in the subsurface horizons as opposed to Cambisols which are defined by the lowest SOC concentration in depth. The SOC stock determined in the studied soil groups are 110. Mg. ha-1 for Fluvisols and 78.35 Mg.ha-1 for Regosols that can be caused by soil thickness. According to McLauchlan (2006), it cannot be found a strong relationship between clay content and organic carbon in the soil groups under study. REFERENCES IPPC: Climate Change 2007: the physical science basis, Cambridge University Press: Cambridge/New York, NY, 2007. IUSS Working Group WRB, 2006. World Reference base for soil resources 2006. World Soil Resources Report N° 103. FAO, Rome. Khaledian, Y., Kiani, F., Ebrahimi, S., Brevik, E.C., Aitkenhead-Peterson, J., 2016. Assessment and monitoring of soil degradation during land use change using multivariate analysis. Land Degrad. Dev. Doi: http:// dx.doi.org/10.1002/ldr.2541. Lozano-García, B., Parras-Alcántara, L., Cantudo-Pérez, M., 2016. Land use change effects on stratification and storage of soil carbon and nitrogen: Application to a Mediterranean nature reserve. Agriculture, Ecosystems and Environment, 231, 105-113. McLauchlan, K.K., 2006. Effect of soil texture on soil carbon and nitrogen dynamic after cessation of agriculture. Geoderma 136, 289-299. Parras-Alcántara, L., Martín-Carrillo, M. and Lozano-García, B. Impacts of land use change in soil carbon and nitrogen

  13. FXR induces SOCS3 and suppresses hepatocellular carcinoma

    PubMed Central

    Zhang, Yan; Jiang, Peng; Huang, Gang; Chen, Shan; Lyu, Xilin; Zheng, Ping; Zhao, Xin; Zeng, Yijun; Wang, Shuguang; He, Fengtian

    2015-01-01

    Suppressor of cytokine signaling 3 (SOCS3) is regarded as a vital repressor in the liver carcinogenesis mainly by inhibiting signal transducer and activator of transcription 3 (STAT3) activity. Farnesoid X Receptor (FXR), highly expressed in liver, has an important role in protecting against hepatocellular carcinoma (HCC). However, it is unclear whether the tumor suppressive activity of FXR involves the regulation of SOCS3. In the present study, we found that activation of FXR by its specific agonist GW4064 in HCC cells inhibited cell growth, induced cell cycle arrest at G1 phase, elevated p21 expression and repressed STAT3 activity. The above anti-tumor effects of FXR were dramatically alleviated by knockdown of SOCS3 with siRNA. Reporter assay revealed that FXR activation enhanced the transcriptional activity of SOCS3 promoter. Electrophoretic mobility shift assay (EMSA) and chromatin immunoprecipitation (ChIP) assay displayed that FXR directly bound to IR9 DNA motif within SOCS3 promoter region. The in vivo study in nude mice showed that treatment with FXR ligand GW4064 could decelerate the growth of HCC xenografts, up-regulate SOCS3 and p21 expression and inhibit STAT3 phosphorylation in the xenografts. These results suggest that induction of SOCS3 may be a novel mechanism by which FXR exerts its anti-HCC effects, and the FXR-SOCS3 signaling may serve as a new potential target for the prevention/treatment of HCC. PMID:26416445

  14. Labile and Non-labile Soil Carbon Fractions Equally Contributed to Carbon Changes under Long-term Fertilization

    NASA Astrophysics Data System (ADS)

    Liang, F.; Li, J.; Xu, M.; Huang, S.

    2017-12-01

    Soil organic carbon (SOC) storages are altered under long-term fertilization in croplands, it however remains unclear how fast- to slow-cycling SOC fractions each respond to fertilization practices. Based on five two-decade Chinese long-term fertilization experiments (GZL: Gongzhuling; ZZ: Zhengzhou; CQ: Chongqing; JX: Jinxian; QY: Qiyang) under three fertilization treatments (CK: cropping with no fertilizer input; NPK: chemical nitrogen, phosphorus and potassium fertilizers; and NPKM: NPK with manure input), we quantified very labile, labile, non-labile and total SOC stocks at 0-20cm soil depth. Results showed that SOC stocks varied among sites (GZL, JX, CQ > ZZ, QY) and generally increased with fertilizations (CK-1 at ZZ, GZL, QY, CQ and JX, respectively. The corresponding changes of the sum of very labile and labile SOC fractions were 2.6, 2.0, 1.8, 0.8 and -0.5 Mg ha-1 at ZZ, QY, GZL, CQ and JX, respectively. Also, NPKM increased total SOC stock by 18.3, 16.2, 14.4, 10.5, and 6.5 Mg ha-1 at QY, GZL, ZZ, CQ and JX, respectively. The corresponding changes of the sum of very labile and labile SOC fractions were 8.6, 6.8, 6.6, 3.2 and -1.6 Mg ha-1 at QY, GZL, ZZ, CQ and JX, respectively. These results suggested that about half or more than half SOC stock accretions under fertilization were induced by increase in non-labile SOC fractions. It thus informs the importance of non-labile SOC fractions in contributing to soil C sequestration under long-term fertilizations in Chinese croplands. Future research should improve our mechanistic understanding of biogeochemical transformation of non-labile organic C in soils.

  15. Combined deep sampling and mass-based approaches to assess soil carbon and nitrogen losses due to land-use changes in karst area of southwestern China

    NASA Astrophysics Data System (ADS)

    Hu, Yecui; Du, Zhangliu; Wang, Qibing; Li, Guichun

    2016-07-01

    The conversion of natural vegetation to human-managed ecosystems, especially the agricultural systems, may decrease soil organic carbon (SOC) and total nitrogen (TN) stocks. The objective of present study was to assess SOC and TN stocks losses by combining deep sampling with mass-based calculations upon land-use changes in a typical karst area of southwestern China. We quantified the changes from native forest to grassland, secondary shrub, eucalyptus plantation, sugarcane and corn fields (both defined as croplands), on the SOC and TN stocks down to 100 cm depth using fixed-depth (FD) and equivalent soil mass (ESM) approaches. The results showed that converting forest to cropland and other types significantly led to SOC and TN losses, but the extent depended on both sampling depths and calculation methods selected (i.e., FD or ESM). On average, the shifting from native forest to cropland led to SOC losses by 19.1, 25.1, 30.6, 36.8 and 37.9 % for the soil depths of 0-10, 0-20, 0-40, 0-60 and 0-100 cm, respectively, which highlighted that shallow sampling underestimated SOC losses. Moreover, the FD method underestimated SOC and TN losses for the upper 40 cm layer, but overestimated the losses in the deeper layers. We suggest that the ESM together with deep sampling should be encouraged to detect the differences in SOC stocks. In conclusion, the conversion of forest to managed systems, in particular croplands significantly decreased in SOC and TN stocks, although the effect magnitude to some extent depended on sampling depth and calculation approach selected.

  16. Phosphorus fertilisation under nitrogen limitation can deplete soil carbon stocks: evidence from Swedish meta-replicated long-term field experiments

    NASA Astrophysics Data System (ADS)

    Poeplau, Christopher; Bolinder, Martin A.; Kirchmann, Holger; Kätterer, Thomas

    2016-02-01

    Increasing soil organic carbon (SOC) in agricultural soils can mitigate atmospheric CO2 concentration and also contribute to increased soil fertility and ecosystem resilience. The role of major nutrients in SOC dynamics is complex, due to simultaneous effects on net primary productivity (NPP) that influence crop residue carbon inputs and in the rate of heterotrophic respiration (carbon outputs). This study investigated the effect on SOC stocks of three different levels of phosphorus and potassium (PK) fertilisation rates in the absence of nitrogen fertilisation and of three different levels of nitrogen fertiliser in the absence of PK fertiliser. This was done by analysing data from 10 meta-replicated Swedish long-term field experiments (> 45 years). With N fertilisation, SOC stocks followed yield increases. However, for all PK levels, we found average SOC losses ranging from -0.04 ± 0.09 Mg ha-1 yr-1 (ns) for the lowest to -0.09 ± 0.07 Mg ha-1 yr-1 (p = 0.008) for the highest application rate, while crop yields as a proxy for carbon input increased significantly with PK fertilisation by 1, 10 and 15 %. We conclude that SOC dynamics are mainly output-driven in the PK-fertilised regime but mostly input-driven in the N-fertilised regime, due to the much more pronounced response of NPP to N than to PK fertilisation. It has been established that P rather than K is the element affecting ecosystem carbon fluxes, where P fertilisation has been shown to (i) stimulate heterotrophic respiration, (ii) reduce the abundance of arbuscular mycorrhizal fungi and (iii) decrease the crop root : shoot ratio, leading to higher root-derived carbon input. The higher export of N in the PK-fertilised plots in this study could (iv) have led to increased N mining and thus mineralisation of organic matter. More integrated experiments are needed to gain a better understanding of the relative importance of each of the above-mentioned mechanisms leading to SOC losses after P addition.

  17. SOCS3, a Major Regulator of Infection and Inflammation

    PubMed Central

    Carow, Berit; Rottenberg, Martin E.

    2014-01-01

    In this review, we describe the role of suppressor of cytokine signaling-3 (SOCS3) in modulating the outcome of infections and autoimmune diseases as well as the underlying mechanisms. SOCS3 regulates cytokine or hormone signaling usually preventing, but in some cases aggravating, a variety of diseases. A main role of SOCS3 results from its binding to both the JAK kinase and the cytokine receptor, which results in the inhibition of STAT3 activation. Available data also indicate that SOCS3 can regulate signaling via other STATs than STAT3 and also controls cellular pathways unrelated to STAT activation. SOCS3 might either act directly by hampering JAK activation or by mediating the ubiquitination and subsequent proteasome degradation of the cytokine/growth factor/hormone receptor. Inflammation and infection stimulate SOCS3 expression in different myeloid and lymphoid cell populations as well as in diverse non-hematopoietic cells. The accumulated data suggest a relevant program coordinated by SOCS3 in different cell populations, devoted to the control of immune homeostasis in physiological and pathological conditions such as infection and autoimmunity. PMID:24600449

  18. Conversion from forests to pastures in the Colombian Amazon leads to contrasting soil carbon dynamics depending on land management practices.

    PubMed

    Navarrete, Diego; Sitch, Stephen; Aragão, Luiz E O C; Pedroni, Lucio

    2016-10-01

    Strategies to mitigate climate change by reducing deforestation and forest degradation (e.g. REDD+) require country- or region-specific information on temporal changes in forest carbon (C) pools to develop accurate emission factors. The soil C pool is one of the most important C reservoirs, but is rarely included in national forest reference emission levels due to a lack of data. Here, we present the soil organic C (SOC) dynamics along 20 years of forest-to-pasture conversion in two subregions with different management practices during pasture establishment in the Colombian Amazon: high-grazing intensity (HG) and low-grazing intensity (LG) subregions. We determined the pattern of SOC change resulting from the conversion from forest (C3 plants) to pasture (C4 plants) by analysing total SOC stocks and the natural abundance of the stable isotopes (13) C along two 20-year chronosequences identified in each subregion. We also analysed soil N stocks and the natural abundance of (15) N during pasture establishment. In general, total SOC stocks at 30 cm depth in the forest were similar for both subregions, with an average of 47.1 ± 1.8 Mg C ha(-1) in HG and 48.7 ± 3.1 Mg C ha(-1) in LG. However, 20 years after forest-to-pasture conversion SOC in HG decreased by 20%, whereas in LG SOC increased by 41%. This net SOC decrease in HG was due to a larger reduction in C3-derived input and to a comparatively smaller increase in C4-derived C input. In LG both C3- and C4-derived C input increased along the chronosequence. N stocks were generally similar in both subregions and soil N stock changes during pasture establishment were correlated with SOC changes. These results emphasize the importance of management practices involving low-grazing intensity in cattle activities to preserve SOC stocks and to reduce C emissions after land-cover change from forest to pasture in the Colombian Amazon. © 2016 John Wiley & Sons Ltd.

  19. Assessing Soil Organic Carbon Stocks in Fire-Affected Pinus Palustris Forests

    NASA Astrophysics Data System (ADS)

    Butnor, J. R.; Johnsen, K. H.; Jackson, J. A.; Anderson, P. H.; Samuelson, L. J.; Lorenz, K.

    2014-12-01

    This study aimed to quantify the vertical distribution of soil organic carbon (SOC) and its biochemically resistant fraction (SOCR; defined as residual SOC following H2O2 treatment and dilute HNO3 digestion) in managed longleaf pine (LLP) stands located at Fort Benning, Georgia, USA (32.38 N., 84.88 W.). Although it is unclear how to increase SOCR via land management, it is a relatively stable carbon (C) pool that is important for terrestrial C sequestration. SOC concentration declines with soil depth on upland soils without a spodic horizon; however, the portion that is SOCR and the residence time of this fraction on LLP stands is unknown. Soils were collected by depth at five sites with common land use history, present use for active military training and a three-year prescribed fire return cycle. Soils were treated with H2O2 and dilute HNO3 to isolate SOCR. In the upper 1-m of soil SOC stocks averaged 72.1 ± 6.6 Mg C ha-1 and SOCR averaged 25.8 ± 3.2 Mg C ha-1. Depending on the site, the ratio of SOCR:SOC ranged from 0.25 to 0.50 in the upper 1-m of soil. On clayey soils the ratio of SOCR:SOC increased with soil depth. One site containing 33% clay at 50 to 100 cm depth had a SOCR:SOC ratio of 0.68. The radiocarbon age of SOCR increased with soil depth, ranging from approximately 2,000 years before present (YBP) at 0 to 10 cm to over 5,500 YBP at 50 to 100 cm depth. Across all sites, SOCR makes up a considerable portion of SOC. What isn't clear is the proportion of SOCR that is of pyrogenic origin (black carbon), versus SOCR that is stabilized by association with the mineral phase. Ongoing analysis with 13C nuclear magnetic resonance spectroscopy will provide data on the degree of aromaticity of the SOCR and some indication of the nature of its biochemical stability.

  20. Prediction of SOC content by Vis-NIR spectroscopy at European scale using a modified local PLS algorithm

    NASA Astrophysics Data System (ADS)

    Nocita, M.; Stevens, A.; Toth, G.; van Wesemael, B.; Montanarella, L.

    2012-12-01

    In the context of global environmental change, the estimation of carbon fluxes between soils and the atmosphere has been the object of a growing number of studies. This has been motivated notably by the possibility to sequester CO2 into soils by increasing the soil organic carbon (SOC) stocks and by the role of SOC in maintaining soil quality. Spatial variability of SOC masks its slow accumulation or depletion, and the sampling density required to detect a change in SOC content is often very high and thus very expensive and labour intensive. Visible near infrared diffuse reflectance spectroscopy (Vis-NIR DRS) has been shown to be a fast, cheap and efficient tool for the prediction of SOC at fine scales. However, when applied to regional or country scales, Vis-NIR DRS did not provide sufficient accuracy as an alternative to standard laboratory soil analysis for SOC monitoring. Under the framework of Land Use/Cover Area Frame Statistical Survey (LUCAS) project of the European Commission's Joint Research Centre (JRC), about 20,000 samples were collected all over European Union. Soil samples were analyzed for several physical and chemical parameters, and scanned with a Vis-NIR spectrometer in the same laboratory. The scope of our research was to predict SOC content at European scale using LUCAS spectral library. We implemented a modified local partial least square regression (l-PLS) including, in addition to spectral distance, other potentially useful covariates (geography, texture, etc.) to select for each unknown sample a group of predicting neighbours. The dataset was split in mineral soils under cropland, mineral soils under grassland, mineral soils under woodland, and organic soils due to the extremely diverse spectral response of the four classes. Four every class training (70%) and test (30%) sets were created to calibrate and validate the SOC prediction models. The results showed very good prediction ability for mineral soils under cropland and mineral soils

  1. Comparison of the carbon stock in forest soil of sessile oak and beech forests

    NASA Astrophysics Data System (ADS)

    Horváth, Adrienn; Bene, Zsolt; Bidló, András

    2016-04-01

    Forest ecosystems are the most important carbon sinks. The forest soils play an important role in the global carbon cycle, because the global climate change or the increase of atmospheric CO2 level. We do not have enough data about the carbon stock of soils and its change due to human activities, which have similar value to carbon content of biomass. In our investigation we measured the carbon stock of soil in 10 stands of Quercus petraea and Fagus sylvatica. We took a 1.1 m soil column with soil borer and divided to 11 samples each column. The course organic and root residues were moved. After evaluation, we compared our results with other studies and the carbon stock of forests to each other. Naturally, the amount of SOC was the highest in the topsoil layers. However, we found significant difference between forest stands which stayed on the same homogenous bedrock, but very close to each other (e.g. distance was 1 or 2 km). We detected that different forest utilizations and tree species have an effect on the forest carbon as the litter as well (amount, composition). In summary, we found larger amount (99.1 C t/ha on average) of SOC in soil of stands, where sessile oak were the main stand-forming tree species. The amount of carbon was the least in turkey oak-sessile oak stands (85.4 C t/ha on average). We found the highest SOC (118.3 C t/ha) in the most mixed stand (silver lime-beech-red oak). In the future, it will be very important: How does climate change affect the spread of tree species or on carbon storage? Beech is more sensitive, but even sessile oak. These species are expected to replace with turkey oak, which is less sensitive to drought. Thus, it is possible in the future that we can expect to decrease of forest soil carbon stock capacity, which was confirmed by our experiment. Keywords: carbon sequestration, mitigation, Fagus sylvatica, Quercus petraea, litter Acknowledgements: Research is supported by the "Agroclimate.2" (VKSZ_12-1-2013-0034) EU

  2. SOCS-1 localizes to the microtubule organizing complex-associated 20S proteasome.

    PubMed

    Vuong, Bao Q; Arenzana, Teresita L; Showalter, Brian M; Losman, Julie; Chen, X Peter; Mostecki, Justin; Banks, Alexander S; Limnander, Andre; Fernandez, Neil; Rothman, Paul B

    2004-10-01

    The regulation of cytokine signaling is critical for controlling cellular proliferation and activation during an immune response. SOCS-1 is a potent inhibitor of Jak kinase activity and of signaling initiated by several cytokines. SOCS-1 protein levels are tightly regulated, and recent data suggest that SOCS-1 may regulate the protein levels of some signaling proteins by the ubiquitin proteasome pathway; however, the cellular mechanism by which SOCS-1 directs proteins for degradation is unknown. In this report, SOCS-1 is found to colocalize and biochemically copurify with the microtubule organizing complex (MTOC) and its associated 20S proteasome. The SOCS-1 SH2 domain is required for the localization of SOCS-1 to the MTOC. Overexpression of SOCS-1 targets Jak1 in an SH2-dependent manner to a perinuclear distribution resembling the MTOC-associated 20S proteasome. Analysis of MTOCs fractionated from SOCS-1-deficient cells demonstrates that SOCS-1 may function redundantly to regulate the localization of Jak1 to the MTOC. Nocodazole inhibits the protein turnover of SOCS-1, demonstrating that the minus-end transport of SOCS-1 to the MTOC-associated 20S proteasome is required to regulate SOCS-1 protein levels. These data link SOCS-1 directly with the proteasome pathway and suggest another function for the SH2 domain of SOCS-1 in the regulation of Jak/STAT signaling.

  3. Assessing soil carbon vulnerability in the Western USA by geospatial modeling of pyrogenic and particulate carbon stocks

    NASA Astrophysics Data System (ADS)

    Ahmed, Zia U.; Woodbury, Peter B.; Sanderman, Jonathan; Hawke, Bruce; Jauss, Verena; Solomon, Dawit; Lehmann, Johannes

    2017-02-01

    To predict how land management practices and climate change will affect soil carbon cycling, improved understanding of factors controlling soil organic carbon fractions at large spatial scales is needed. We analyzed total soil organic (SOC) as well as pyrogenic (PyC), particulate (POC), and other soil organic carbon (OOC) fractions in surface layers from 650 stratified-sampling locations throughout Colorado, Kansas, New Mexico, and Wyoming. PyC varied from 0.29 to 18.0 mg C g-1 soil with a mean of 4.05 mg C g-1 soil. The mean PyC was 34.6% of the SOC and ranged from 11.8 to 96.6%. Both POC and PyC were highest in forests and canyon bottoms. In the best random forest regression model, normalized vegetation index (NDVI), mean annual precipitation (MAP), mean annual temperature (MAT), and elevation were ranked as the top four important variables determining PyC and POC variability. Random forests regression kriging (RFK) with environmental covariables improved predictions over ordinary kriging by 20 and 7% for PyC and POC, respectively. Based on RFK, 8% of the study area was dominated (≥50% of SOC) by PyC and less than 1% was dominated by POC. Furthermore, based on spatial analysis of the ratio of POC to PyC, we estimated that about 16% of the study area is medium to highly vulnerable to SOC mineralization in surface soil. These are the first results to characterize PyC and POC stocks geospatially using stratified sampling scheme at the scale of 1,000,000 km2, and the methods are scalable to other regions.

  4. Does Aggregation Affect the Redistribution and Quality of Eroded SOC?

    NASA Astrophysics Data System (ADS)

    Hu, Yaxian; Kuhn, Nikolaus

    2015-04-01

    A substantial amount of literature has discussed the impacts of soil erosion on global carbon cycling. However, numerous gaps in our knowledge remain unaddressed, for instance, the biogeochemical fate of displaced SOC during transport being one of them. The transport distance and the quality of eroded SOC are the two major factors that determine its fate. Previous laboratory-based research had demonstrated that the effects of aggregation can potentially shorten the transport distance of eroded SOC. The mineralization potential of SOC also differs in sediment fractions of different likely transport distances. It is therefore essential to examine the transport distance and quality of eroded SOC under field conditions with natural rainfall as the agent of erosion. Soil samples from a silty clay soil from Switzerland and a sandy soil from Denmark, were collected in the field this summer after natural rainfall events. The soil from Switzerland was sampled from a field of maize in St. Ursanne (47°20' N 7°09' E) on August 6th, 2014 after a natural rainfall event. A depositional fan consisting of aggregated sediment was formed outside the lower edge of the field. The sandy soil from Denmark was sampled from a farm in Foulum (56°30' N, 9°35' W) on September 4, 2014, after a series of natural rainfall events. Soil samples were collected at different topographic positions along the two slopes. All the soil samples from the two farms were fractionated by a settling tube. Bulk soil from Switzerland and Denmark was also dispersed by ultrasound. The SOC contents of all bulk soils and associated fractions were determined using a carbon analyzer Leco 612 at 1000°C. The quality of SOC in different settling fractions collected from various topographic positions were also determined by stable isotopes of C and N (13C and 15N). Our results show that 1) the aggregate specific SOC distribution evidently differs from the mineral particle specific SOC distribution, indicating that re

  5. Simulating Soil Organic Carbon Stock Changes in Agro-ecosystems using CQESTR, DayCent, and IPCC Tier 1 Methods

    USDA-ARS?s Scientific Manuscript database

    Models are often used to quantify how land use change and management impact soil organic carbon (SOC) stocks because it is often not feasible to use direct measuring methods. Because models are simplifications of reality, it is essential to compare model outputs with measured values to evaluate mode...

  6. Defective interleukin-4/Stat6 activity correlates with increased constitutive expression of negative regulators SOCS-3, SOCS-7, and CISH in colon cancer cells.

    PubMed

    Liu, Xiao Hong; Xu, Shuang Bing; Yuan, Jia; Li, Ben Hui; Zhang, Yan; Yuan, Qin; Li, Pin Dong; Li, Feng; Zhang, Wen Jie

    2009-12-01

    Interleukin-4 (IL-4)-induced Stat6 activities (phenotypes) vary among human cancer cells, of which the HT-29 cell line carries an active Stat6(high) phenotype, while Caco-2 carries a defective Stat6(null) phenotype, respectively. Cancer cells with Stat6(high) show resistance to apoptosis and exaggerated metastasis, suggesting the clinical significance of Stat6 phenotypes. We previously showed that Stat6(high) HT-29 cells exhibited low constitutive expression of Stat6-negative regulators SOCS-1 and SHP-1 because of gene hypermethylation. This study further examined the constitutive expression of other closely related SOCS family numbers including SOCS-3, SOCS-5, SOCS-7, and CISH using RT-PCR. Similar to SOCS-1 and SHP-1, Stat6(high) HT-29 cells expressed low constitutive mRNA of SOCS-3, SOCS-7, and CISH than Stat6(null) Caco-2 cells. Interestingly, DNA demethylation using 5-aza-2'-deoxycytidine in HT-29 cells up-regulated mRNA expression of the above genes, indicating a hypermethylation status, which was confirmed by methylation-specific sequencing in selected SOCS-3 gene. Furthermore, defective Stat6(null) Caco-2 exhibited impaired phosphorylation of Stat6 after IL-4 stimulation by flow cytometry, in keeping with the notion of an over-performed negative regulation. The findings that IL-4/Stat6 phenotypes show differential expression of multiple negative regulators suggest a model that a collective force of powerful negative regulators, directly and indirectly, acts on Stat6 activation, which may result in differential Stat6 phenotypes.

  7. SOCS2 Binds to and Regulates EphA2 through Multiple Mechanisms.

    PubMed

    Pilling, Carissa; Cooper, Jonathan A

    2017-09-07

    Suppressors of cytokine signaling (SOCS) proteins inhibit signaling by serving as substrate receptors for the Cullin5-RING E3 ubiquitin ligase (CRL5) and through a variety of CRL5-independent mechanisms. CRL5, SOCS2 and SOCS6 are implicated in suppressing transformation of epithelial cells. We identified cell proteins that interact with SOCS2 and SOCS6 using two parallel proteomics techniques: BioID and Flag affinity purification mass spectrometry. The receptor tyrosine kinase ephrin type-A receptor 2 (EphA2) was identified as a SOCS2-interacting protein. SOCS2-EphA2 binding requires the SOCS2 SH2 domain and EphA2 activation loop autophosphorylation, which is stimulated by Ephrin A1 (EfnA1) or by phosphotyrosine phosphatase inhibition. Surprisingly, EfnA1-stimulated EphA2-SOCS2 binding is delayed until EphA2 has been internalized into endosomes. This suggests that SOCS2 binds to EphA2 in the context of endosomal membranes. We also found that SOCS2 overexpression decreases steady state levels of EphA2, consistent with increased EphA2 degradation. This effect is indirect: SOCS2 induces EfnA1 expression, and EfnA1 induces EphA2 down-regulation. Other RTKs have been reported to bind, and be regulated by, over-expressed SOCS proteins. Our data suggest that SOCS protein over-expression may regulate receptor tyrosine kinases through indirect and direct mechanisms.

  8. Vertical distribution of soil extractable organic C and N contents and total C and N stocks in 78-year-old tree plantations in subtropical Australia.

    PubMed

    Zhou, Xiaoqi; Dong, Haibo; Lan, Zhongming; Bacon, Gary; Hao, Yanbin; Chen, Chengrong

    2017-10-01

    Few studies have focused on the effects of long-term forest plantations on the soil profile of carbon (C) and nitrogen (N) stocks. In this study, we selected 78-year-old tree plantations that included three coniferous tree species (i.e., slash pine, hoop pine and kauri pine) and a Eucalyptus species in subtropical Australia. We measured soil extractable organic C (EOC) and N (EON) contents and total C and N stocks under different tree species on the forest floor and along a soil profile to 100 cm depth. The results showed that Eucalyptus had significantly higher soil EOC contents (3.3 Mg ha -1 ) than the other tree species (EOC of 1.9-2.3 Mg ha -1 ) and had significantly higher EON (156 kg ha -1 ) contents than slash pine (107 kg ha -1 ). Eucalyptus had significantly higher soil C (58.9 Mg ha -1 ) and N (2.03 Mg ha -1 ) stocks than the other tree species (22.3-27.6 Mg C ha -1 and 0.71-1.23 Mg N ha -1 ) at 0-100 cm depth. There were no differences in soil C stocks at the 0-100 cm depth among the coniferous tree species. Forest floor C stocks had stronger effects on mineral soil total N stocks than fine root biomass, whereas fine root biomass exerted stronger effects on soil total C stocks at the 0-100 cm depth than forest floor C and N stocks. Our results addressed large differences in soil C and N stocks under different tree species, which can provide useful information for local forest management practices in this region.

  9. SPAD array based TOF SoC design for unmanned vehicle

    NASA Astrophysics Data System (ADS)

    Pan, An; Xu, Yuan; Xie, Gang; Huang, Zhiyu; Zheng, Yanghao; Shi, Weiwei

    2018-03-01

    As for the requirement of unmanned-vehicle mobile Lidar system, this paper presents a SoC design based on pulsed TOF depth image sensor. This SoC has a detection range of 300m and detecting resolution of 1.5cm. Pixels are made of SPAD. Meanwhile, SoC adopts a structure of multi-pixel sharing TDC, which significantly reduces chip area and improve the fill factor of light-sensing surface area. SoC integrates a TCSPC module to achieve the functionality of receiving each photon, measuring photon flight time and processing depth information in one chip. The SOC is designed in the SMIC 0.13μm CIS CMOS technology

  10. Research on SOC Calibration of Large Capacity Lead Acid Battery

    NASA Astrophysics Data System (ADS)

    Ye, W. Q.; Guo, Y. X.

    2018-05-01

    Large capacity lead-acid battery is used in track electric locomotive, and State of Charge (SOC) is an important quantitative parameter of locomotive power output and operating mileage of power emergency recovery vehicle. But State of Charge estimation has been a difficult part in the battery management system. In order to reduce the SOC estimation error better, this paper uses the linear relationship of Open Circuit Voltage (OCV) and State of Charge to fit the SOC-OCV curve equation by MATLAB. The method proposed in this paper is small, easy to implement and can be used in the battery non-working state SOC estimation correction, improve the estimation accuracy of SOC.

  11. Soil Organic Carbon for Global Benefits - assessing potential SOC increase under SLM technologies worldwide and evaluating tradeoffs and gains of upscaling SLM technologies

    NASA Astrophysics Data System (ADS)

    Wolfgramm, Bettina; Hurni, Hans; Liniger, Hanspeter; Ruppen, Sebastian; Milne, Eleanor; Bader, Hans-Peter; Scheidegger, Ruth; Amare, Tadele; Yitaferu, Birru; Nazarmavloev, Farrukh; Conder, Malgorzata; Ebneter, Laura; Qadamov, Aslam; Shokirov, Qobiljon; Hergarten, Christian; Schwilch, Gudrun

    2013-04-01

    There is a fundamental mutual interest between enhancing soil organic carbon (SOC) in the world's soils and the objectives of the major global environmental conventions (UNFCCC, UNCBD, UNCCD). While there is evidence at the case study level that sustainable land management (SLM) technologies increase SOC stocks and SOC related benefits, there is no quantitative data available on the potential for increasing SOC benefits from different SLM technologies and especially from case studies in the developing countries, and a clear understanding of the trade-offs related to SLM up-scaling is missing. This study aims at assessing the potential increase of SOC under SLM technologies worldwide, evaluating tradeoffs and gains in up-scaling SLM for case studies in Tajikistan, Ethiopia and Switzerland. It makes use of the SLM technologies documented in the online database of the World Overview of Conservation Approaches and Technologies (WOCAT). The study consists of three components: 1) Identifying SOC benefits contributing to the major global environmental issues for SLM technologies worldwide as documented in the WOCAT global database 2) Validation of SOC storage potentials and SOC benefit predictions for SLM technologies from the WOCAT database using results from existing comparative case studies at the plot level, using soil spectral libraries and standardized documentations of ecosystem service from the WOCAT database. 3) Understanding trade-offs and win-win scenarios of up-scaling SLM technologies from the plot to the household and landscape level using material flow analysis. This study builds on the premise that the most promising way to increase benefits from land management is to consider already existing sustainable strategies. Such SLM technologies from all over the world documented are accessible in a standardized way in the WOCAT online database. The study thus evaluates SLM technologies from the WOCAT database by calculating the potential SOC storage increase and

  12. Rock-Eval analysis of French forest soils: the influence of depth, soil and vegetation types on SOC thermal stability and bulk chemistry

    NASA Astrophysics Data System (ADS)

    Soucemarianadin, Laure; Cécillon, Lauric; Baudin, François; Cecchini, Sébastien; Chenu, Claire; Mériguet, Jacques; Nicolas, Manuel; Savignac, Florence; Barré, Pierre

    2017-04-01

    Soil organic matter (SOM) is the largest terrestrial carbon pool and SOM degradation has multiple consequences on key ecosystem properties like nutrients cycling, soil emissions of greenhouse gases or carbon sequestration potential. With the strong feedbacks between SOM and climate change, it becomes particularly urgent to develop reliable routine methodologies capable of indicating the turnover time of soil organic carbon (SOC) stocks. Thermal analyses have been used to characterize SOM and among them, Rock-Eval 6 (RE6) analysis of soil has shown promising results in the determination of in-situ SOC biogeochemical stability. This technique combines a phase of pyrolysis followed by a phase of oxidation to provide information on both the SOC bulk chemistry and thermal stability. We analyzed with RE6 a set of 495 soils samples from 102 permanent forest sites of the French national network for the long-term monitoring of forest ecosystems (''RENECOFOR'' network). Along with covering pedoclimatic variability at a national level, these samples include a range of 5 depths up to 1 meter (0-10 cm, 10-20 cm, 20-40 cm, 40-80 cm and 80-100 cm). Using RE6 parameters that were previously shown to be correlated to short-term (hydrogen index, HI; T50 CH pyrolysis) or long-term (T50 CO2 oxidation and HI) SOC persistence, and that characterize SOM bulk chemical composition (oxygen index, OI and HI), we tested the influence of depth (n = 5), soil class (n = 6) and vegetation type (n = 3; deciduous, coniferous-fir, coniferous-pine) on SOM thermal stability and bulk chemistry. Results showed that depth was the dominant discriminating factor, affecting significantly all RE6 parameters. With depth, we observed a decrease of the thermally labile SOC pool and an increase of the thermally stable SOC pool, along with an oxidation and a depletion of hydrogen-rich moieties of the SOC. Soil class and vegetation type had contrasted effects on the RE6 parameters but both affected significantly T

  13. IL-10 and socs3 Are Predictive Biomarkers of Dengue Hemorrhagic Fever.

    PubMed

    Flores-Mendoza, Lilian Karem; Estrada-Jiménez, Tania; Sedeño-Monge, Virginia; Moreno, Margarita; Manjarrez, María Del Consuelo; González-Ochoa, Guadalupe; Millán-Pérez Peña, Lourdes; Reyes-Leyva, Julio

    2017-01-01

    Cytokines play important roles in the physiopathology of dengue infection; therefore, the suppressors of cytokine signaling ( socs ) that control the type and timing of cytokine functions could be involved in the origin of immune alterations in dengue. To explore the association of cytokine and socs levels with disease severity in dengue patients. Blood samples of 48 patients with confirmed dengue infection were analyzed. Amounts of interleukins IL-2, IL-4, IL-6, and IL-10, interferon- (IFN-) γ , and tumor necrosis factor- (TNF-) α were quantified by flow cytometry, and the relative expression of socs1 and socs3 mRNA was quantified by real-time RT-PCR. Increased levels of IL-10 and socs3 and lower expression of socs1 were found in patients with dengue hemorrhagic fever (DHF) with respect to those with dengue fever (DF) ( p < 0.05). Negative correlations were found between socs1 and both IL-10 and socs3 ( p < 0.01). The cutoff values of socs3 (>199.8-fold), socs1 (<1.94-fold), and IL-10 (>134 pg/ml) have the highest sensitivity and specificity to discriminate between DF and DHF. Simultaneous changes in IL-10 and socs1/socs3 could be used as prognostic biomarkers of dengue severity.

  14. Predicting future spatial distribution of SOC across entire France

    NASA Astrophysics Data System (ADS)

    Meersmans, Jeroen; Van Rompaey, Anton; Quine, Tim; Martin, Manuel; Pagé, Christian; Arrouays, Dominique

    2013-04-01

    Soil organic carbon (SOC) is widely recognized as a key factor controlling soil quality and as a crucial and active component of the global C-cycle. Hence, there exists a growing interest in monitoring and modeling the spatial and temporal behavior of this pool. So far, a large attempt has been made to map SOC at national scales for current and/or past situations. Despite some coarse predictions, detailed spatial SOC predictions for the future are still lacking. In this study we aim to predict future spatial evolution of SOC driven by climate and land use change for France up to the year 2100. Therefore, we combined 1) an existing model, predicting SOC as a function of soil type, climate, land use and management (Meersmans et al 2012), with 2) eight different IPCC spatial explicit climate change predictions (conducted by CERFACS) and 3) Land use change scenario predictions. We created business-as-usual land use change scenarios by extrapolating observed trends and calibrating logistic regression models, incorporating a large set of physical and socio-economic factors, at the regional level in combination with a multi-objective land allocation (MOLA) procedure. The resultant detailed projections of future SOC evolution across all regions of France, allow us to identify regions that are most likely to be characterized by a significant gain or loss of SOC and the degree to which land use decisions/outcomes control the scale of loss and gain. Therefore, this methodology and resulting maps can be considered as powerful tools to aid decision making concerning appropriate soil management, in order to enlarge SOC storage possibilities and reduce soil related CO2 fluxes.

  15. Vertical patterns and controls of soil nutrients in alpine grassland: Implications for nutrient uptake.

    PubMed

    Tian, Liming; Zhao, Lin; Wu, Xiaodong; Fang, Hongbing; Zhao, Yonghua; Yue, Guangyang; Liu, Guimin; Chen, Hao

    2017-12-31

    Vertical patterns and determinants of soil nutrients are critical to understand nutrient cycling in high-altitude ecosystems; however, they remain poorly understood in the alpine grassland due to lack of systematic field observations. In this study, we examined vertical distributions of soil nutrients and their influencing factors within the upper 1m of soil, using data of 68 soil profiles surveyed in the alpine grassland of the eastern Qinghai-Tibet Plateau. Soil organic carbon (SOC) and total nitrogen (TN) stocks decreased with depth in both alpine meadow (AM) and alpine steppe (AS), but remain constant along the soil profile in alpine swamp meadow (ASM). Total phosphorus, Ca 2+ , and Mg 2+ stocks slightly increased with depth in ASM. K + stock decreased with depth, while Na + stock increased slightly with depth among different vegetation types; however, SO 4 2- and Cl - stocks remained relatively uniform throughout different depth intervals in the alpine grassland. Except for SOC and TN, soil nutrient stocks in the top 20cm soils were significantly lower in ASM compared to those in AM and AS. Correlation analyses showed that SOC and TN stocks in the alpine grassland positively correlated with vegetation coverage, soil moisture, clay content, and silt content, while they negatively related to sand content and soil pH. However, base cation stocks revealed contrary relationships with those environmental variables compared to SOC and TN stocks. These correlations varied between vegetation types. In addition, no significant relationship was detected between topographic factors and soil nutrients. Our findings suggest that plant cycling and soil moisture primarily control vertical distributions of soil nutrients (e.g. K) in the alpine grassland and highlight that vegetation types in high-altitude permafrost regions significantly affect soil nutrients. Copyright © 2017 Elsevier B.V. All rights reserved.

  16. Greenhouse gas emissions and stocks of soil carbon and nitrogen from a 20-year fertilised wheat-maize intercropping system: A model approach.

    PubMed

    Zhang, Xubo; Xu, Minggang; Liu, Jian; Sun, Nan; Wang, Boren; Wu, Lianhai

    2016-02-01

    Accurate modelling of agricultural management impacts on greenhouse gas emissions and the cycling of carbon and nitrogen is complicated due to interactions between various processes and the disturbance caused by field management. In this study, a process-based model, the Soil-Plant-Atmosphere Continuum System (SPACSYS), was used to simulate the effects of different fertilisation regimes on crop yields, the dynamics of soil organic carbon (SOC) and total nitrogen (SN) stocks from 1990 to 2010, and soil CO2 (2007-2010) and N2O (2007-2008) emissions based on a long-term fertilisation experiment with a winter-wheat (Triticum Aestivum L.) and summer-maize (Zea mays L.) intercropping system in Eutric Cambisol (FAO) soil in southern China. Three fertilisation treatments were 1) unfertilised (Control), 2) chemical nitrogen, phosphorus and potassium (NPK), and 3) NPK plus pig manure (NPKM). Statistical analyses indicated that the SPACSYS model can reasonably simulate the yields of wheat and maize, the evolution of SOC and SN stocks and soil CO2 and N2O emissions. The simulations showed that the NPKM treatment had the highest values of crop yields, SOC and SN stocks, and soil CO2 and N2O emissions were the lowest from the Control treatment. Furthermore, the simulated results showed that manure amendment along with chemical fertiliser applications led to both C (1017 ± 470 kg C ha(-1) yr(-1)) and N gains (91.7 ± 15.1 kg N ha(-1) yr(-1)) in the plant-soil system, while the Control treatment caused a slight loss in C and N. In conclusion, the SPACSYS model can accurately simulate the processes of C and N as affected by various fertilisation treatments in the red soil. Furthermore, application of chemical fertilisers plus manure could be a suitable management for ensuring crop yield and sustaining soil fertility in the red soil region, but the ratio of chemical fertilisers to manure should be optimized to reduce C and N losses to the environment. Copyright © 2015

  17. Soil-profile distribution of organic C and N at the end of 6 years of tillage and grazing management

    USDA-ARS?s Scientific Manuscript database

    Stocks of soil organic carbon (SOC) and total soil nitrogen (TSN) are key determinants for evaluating agricultural management practices to address climate change, environmental quality, and soil productivity issues. We determined SOC, TSN, and particulate organic C and N depth distributions and cum...

  18. SOCS3 promoter hypermethylation is a favorable prognosticator and a novel indicator for G-CIMP-positive GBM patients.

    PubMed

    Feng, Ying; Wang, Zheng; Bao, Zhaoshi; Yan, Wei; You, Gan; Wang, Yinyan; Hu, Huimin; Zhang, Wei; Zhang, Quangeng; Jiang, Tao

    2014-01-01

    Hypermethylation of the suppressor of cytokine signaling 3(SOCS3) promoter has been reported to predict a poor prognosis in several cancers including glioblastoma multiforme (GBM). We explored the function of SOCS3 promoter hypermethylation in GBM cohorts, including analysis of the CpG island methylator phenotype (CIMP), when a large number of gene loci are simultaneously hypermethylated. A whole genome promoter methylation profile was performed in a cohort of 33 GBM samples, with 13 long-term survivors (LTS; overall survival ≥ 18 months) and 20 short-term survivors (STS; overall survival ≤ 9 months). The SOCS3 promoter methylation status was compared between the two groups. In addition, we investigated the relationship of SOCS3 promoter methylation and G-CIMP status. Interestingly, in our present study, we found that SOCS3 promoter methylation was statistically significantly higher in the 13 LTS than that in the 20 STS. Furthermore, high SOCS3 promoter methylation detected via pyro-sequencing predicted a better prognosis in an independent cohort containing 62 GBM patients. This correlation was validated by the dataset from the Cancer Genome Atlas(TCGA) and the Chinese Cancer Genome Atlas(CGGA). In addition, we found that hypermethylation of the SOCS3 promoter was tightly associated with the G-CIMP-positive GBM patients. Using a total of 359 clinical samples, we demonstrate that SOCS3 promoter hypermethylation status has a favorable prognostic value in GBM patients because of whole genome methylation status. Particularly, the hypermethylation of the SOCS3 promoter indicates positive G-CIMP status.

  19. IL-10 and socs3 Are Predictive Biomarkers of Dengue Hemorrhagic Fever

    PubMed Central

    Estrada-Jiménez, Tania; Sedeño-Monge, Virginia; Moreno, Margarita; Manjarrez, María del Consuelo; González-Ochoa, Guadalupe; Millán-Pérez Peña, Lourdes

    2017-01-01

    Background Cytokines play important roles in the physiopathology of dengue infection; therefore, the suppressors of cytokine signaling (socs) that control the type and timing of cytokine functions could be involved in the origin of immune alterations in dengue. Objective To explore the association of cytokine and socs levels with disease severity in dengue patients. Methods Blood samples of 48 patients with confirmed dengue infection were analyzed. Amounts of interleukins IL-2, IL-4, IL-6, and IL-10, interferon- (IFN-) γ, and tumor necrosis factor- (TNF-) α were quantified by flow cytometry, and the relative expression of socs1 and socs3 mRNA was quantified by real-time RT-PCR. Results Increased levels of IL-10 and socs3 and lower expression of socs1 were found in patients with dengue hemorrhagic fever (DHF) with respect to those with dengue fever (DF) (p < 0.05). Negative correlations were found between socs1 and both IL-10 and socs3 (p < 0.01). The cutoff values of socs3 (>199.8-fold), socs1 (<1.94-fold), and IL-10 (>134 pg/ml) have the highest sensitivity and specificity to discriminate between DF and DHF. Conclusion Simultaneous changes in IL-10 and socs1/socs3 could be used as prognostic biomarkers of dengue severity. PMID:28827898

  20. Impact of STAT/SOCS mRNA Expression Levels after Major Injury

    PubMed Central

    Brumann, M.; Matz, M.; Kusmenkov, T.; Stegmaier, J.; Biberthaler, P.; Kanz, K.-G.; Mutschler, W.; Bogner, V.

    2014-01-01

    Background. Fulminant changes in cytokine receptor signalling might provoke severe pathological alterations after multiple trauma. The aim of this study was to evaluate the posttraumatic imbalance of the innate immune system with a special focus on the STAT/SOCS family. Methods. 20 polytraumatized patients were included. Blood samples were drawn 0 h–72 h after trauma; mRNA expression profiles of IL-10, STAT 3, SOCS 1, and SOCS 3 were quantified by qPCR. Results. IL-10 mRNA expression increased significantly in the early posttraumatic period. STAT 3 mRNA expressions showed a significant maximum at 6 h after trauma. SOCS 1 levels significantly decreased 6 h–72 h after trauma. SOCS 3 levels were significantly higher in nonsurvivors 6 h after trauma. Conclusion. We present a serial, sequential investigation in human neutrophil granulocytes of major trauma patients evaluating mRNA expression profiles of IL-10, STAT 3, SOCS 1, and SOCS 3. Posttraumatically, immune disorder was accompanied by a significant increase of IL-10 and STAT 3 mRNA expression, whereas SOCS 1 mRNA levels decreased after injury. We could demonstrate that death after trauma was associated with higher SOCS 3 mRNA levels already at 6 h after trauma. To support our results, further investigations have to evaluate protein levels of STAT/SOCS family in terms of posttraumatic immune imbalance. PMID:24648661

  1. Influence of Agricultural Management on Soil Organic Carbon: A Compendium and Assessment of Canadian Studies

    DOE Data Explorer

    VandenBygaart, A. J. [Agriculture and Agri-Food Canada, Ottowa, Canada; Gregorich, E. G. [Agriculture and Agri-Food Canada, Ottowa, Canada; Angers, D. A. [Agriculture and Agri-Food Canada, Ottowa, Canada

    2004-01-01

    To fulfill commitments under the Kyoto Protocol, Canada is required to provide verifiable estimates and uncertainties for soil oganic carbon (SOC) stocks, and for changes in those stocks over time. Estimates and uncertainties for agricultural soils can be derived from long-term studies that have measured differences in SOC between different management practices. We compiled published data from long-term studies in Canada to assess the effect of agricultural management on SOC. A total of 62 studies were compiled, in which the difference in SOC was determined for conversion from native land to cropland, and for different tillage, crop rotation and fertilizer management practices. There was a loss of 24 ± 6% of the SOC after native land was converted to agricultural land. No-till (NT) increased the storage of SOC in western Canada by 2.9 ± 1.3 Mg ha–1; however, in eastern Canada conversion to NT did not increase SOC.

  2. A Programmable and Configurable Mixed-Mode FPAA SoC

    DTIC Science & Technology

    2016-03-17

    A Programmable and Configurable Mixed-Mode FPAA SoC Sahil Shah, Sihwan Kim, Farhan Adil, Jennifer Hasler, Suma George, Michelle Collins, Richard...Abstract: The authors present a Floating-Gate based, System-On-Chip large-scale Field- Programmable Analog Array IC that integrates divergent concepts...Floating-Gate, SoC, Command Word Classification This paper presents a Floating-Gate (FG) based, System- On-Chip (SoC) large-scale Field- Programmable

  3. Impact of Restoration of Soil in a Humid Tropical Region on Storage of Organic Carbon in a Recalcitrant Pool

    NASA Astrophysics Data System (ADS)

    Jyoti Nath, Arun; Brahma, Biplab; Lal, Rattan; Das, Ashesh Kumar

    2017-04-01

    Quantifying soil organic carbon (SOC) changes through restoration of degraded lands is important to assessing the changes in soil properties. However, SOC measures all C fractions and its assessment is not adequate to distinguish between the more dynamic or active C (AC) fractions and the recalcitrant or passive C (PC) form. SOC fractions comprising of the recalcitrant pools have been suggested as a driver for long term soil C sink management. Therefore, the present study was undertaken at a site within the North Eastern India (NEI) region with an objective to explore whether or not SOC fractions change with restoration of degraded lands under humid tropical climate. An age-chronosequence study was established comprising of four different aged rubber plantations (6, 15, 27 and 34 yr. old) planted on Imperata grasslands. The site was selected to study changes in the different fractions of SOC and total SOC stock, and the data were compared with that of a native forest. The data indicated that the SOC stock increased from 106 Mg ha-1 under 6 yr. to 130 Mg ha-1 under 34 yr. old plantations. The SOC stock after 34 yr. of plantation was 20% higher than that under Imperata grassland, but was 34% lower than that under the native forest soil. With respect to lability of C fractions, proportion of AC pool decreased linearly with increase in plantation age from 59 % under 6 yr to 33 % under 34 yr. old plantations. In contrast, proportion of PC pool increased from 41 % of SOC stock under 6 yr. to 67 % of SOC under 34 yr. old plantations, suggesting the significant role of old aged plantation in C sink management.

  4. Deletion of Skeletal Muscle SOCS3 Prevents Insulin Resistance in Obesity

    PubMed Central

    Jorgensen, Sebastian Beck; O’Neill, Hayley M.; Sylow, Lykke; Honeyman, Jane; Hewitt, Kimberly A.; Palanivel, Rengasamy; Fullerton, Morgan D.; Öberg, Lisa; Balendran, Anudharan; Galic, Sandra; van der Poel, Chris; Trounce, Ian A.; Lynch, Gordon S.; Schertzer, Jonathan D.; Steinberg, Gregory R.

    2013-01-01

    Obesity is associated with chronic low-grade inflammation that contributes to defects in energy metabolism and insulin resistance. Suppressor of cytokine signaling (SOCS)-3 expression is increased in skeletal muscle of obese humans. SOCS3 inhibits leptin signaling in the hypothalamus and insulin signal transduction in adipose tissue and the liver. Skeletal muscle is an important tissue for controlling energy expenditure and whole-body insulin sensitivity; however, the physiological importance of SOCS3 in this tissue has not been examined. Therefore, we generated mice that had SOCS3 specifically deleted in skeletal muscle (SOCS MKO). The SOCS3 MKO mice had normal muscle development, body mass, adiposity, appetite, and energy expenditure compared with wild-type (WT) littermates. Despite similar degrees of obesity when fed a high-fat diet, SOCS3 MKO mice were protected against the development of hyperinsulinemia and insulin resistance because of enhanced skeletal muscle insulin receptor substrate 1 (IRS1) and Akt phosphorylation that resulted in increased skeletal muscle glucose uptake. These data indicate that skeletal muscle SOCS3 does not play a critical role in regulating muscle development or energy expenditure, but it is an important contributing factor for inhibiting insulin sensitivity in obesity. Therapies aimed at inhibiting SOCS3 in skeletal muscle may be effective in reversing obesity-related glucose intolerance and insulin resistance. PMID:22961088

  5. Molecular cloning and potential function prediction of homologous SOC1 genes in tree peony.

    PubMed

    Wang, Shunli; Beruto, Margherita; Xue, Jingqi; Zhu, Fuyong; Liu, Chuanjiao; Yan, Yueming; Zhang, Xiuxin

    2015-08-01

    The central flower integrator PsSOC1 was isolated and its expression profiles were analyzed; then the potential function of PsSOC1 in tree peony was postulated. The six flowering genes PrSOC1, PdSOC1, PsSOC1, PsSOC1-1, PsSOC1-2, and PsSOC1-3 were isolated from Paeonia rockii, Paeonia delavayi, and Paeonia suffruticosa, respectively. Sequence comparison analysis showed that the six genes were highly conserved and shared 99.41% nucleotide identity. Further investigation suggested PsSOC1 was highly homologous to the floral integrators, SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 (SOC1), from Arabidopsis. Phylogenetic analysis showed that the SOC1 protein clustering has family specificity and PsSOC1 has a close relationship with homologous SOC1 from Asteraceae species. The studies of PsSOC1's expression patterns in different buds and flower buds, and vegetative organs indicated that PsSOC1 could express in both vegetative and reproductive organs. While the expression of PsSOC1 in different developmental stages of buds was different; high expression levels of PsSOC1 occurred in the bud at the bud sprouting stage and the type I aborted the flower bud. PsSOC1 expression was also shown to be affected by gibberellins (GA), low temperature, and photoperiod. One of the pathways that regulates tree peony flowering may be the GA-inductive pathway. Ectopic expression of PsSOC1 in tobacco demonstrated that greater PsSOC1 expression in the transgenic tobacco plants not only promoted plant growth, but also advanced the flowering time. Finally, the potential function of PsSOC1 in tree peony was postulated.

  6. Significance of microbial asynchronous anabolism to soil carbon dynamics driven by litter inputs

    PubMed Central

    Fan, Zhaosheng; Liang, Chao

    2015-01-01

    Soil organic carbon (SOC) plays an important role in the global carbon cycle. However, it remains largely unknown how plant litter inputs impact magnitude, composition and source configuration of the SOC stocks over long term through microbial catabolism and anabolism, mostly due to uncoupled research on litter decomposition and SOC formation. This limits our ability to predict soil system responses to changes in land-use and climate. Here, we examine how microbes act as a valve controlling carbon sequestrated from plant litters versus released to the atmosphere in natural ecosystems amended with plant litters varying in quantity and quality. We find that litter quality – not quantity – regulates long-term SOC dynamics under different plausible scenarios. Long-term changes in bulk SOC stock occur only when the quality of carbon inputs causes asynchronous change in a microbial physiological trait, defined as “microbial biosynthesis acceleration” (MBA). This is the first theoretical demonstration that the response of the SOC stocks to litter inputs is critically determined by the microbial physiology. Our work suggests that total SOC at an equilibrium state may be an intrinsic property of a given ecosystem, which ultimately is controlled by the asynchronous MBA between microbial functional groups. PMID:25849864

  7. Significance of microbial asynchronous anabolism to soil carbon dynamics driven by litter inputs

    DOE PAGES

    Fan, Zhaosheng; Liang, Chao

    2015-04-02

    Soil organic carbon (SOC) plays an important role in the global carbon cycle. However, it remains largely unknown how plant litter inputs impact magnitude, composition and source configuration of the SOC stocks over long term through microbial catabolism and anabolism, mostly due to uncoupled research on litter decomposition and SOC formation. This limits our ability to predict soil system responses to changes in land-use and climate. Here, we examine how microbes act as a valve controlling carbon sequestrated from plant litters versus released to the atmosphere in natural ecosystems amended with plant litters varying in quantity and quality. We findmore » that litter quality – not quantity – regulates long-term SOC dynamics under different plausible scenarios. Long-term changes in bulk SOC stock occur only when the quality of carbon inputs causes asynchronous change in a microbial physiological trait, defined as ‘‘microbial biosynthesis acceleration’’ (MBA). This is the first theoretical demonstration that the response of the SOC stocks to litter inputs is critically determined by the microbial physiology. Our work suggests that total SOC at an equilibrium state may be an intrinsic property of a given ecosystem, which ultimately is controlled by the asynchronous MBA between microbial functional groups.« less

  8. Carbon pool densities and a first estimate of the total carbon pool in the Mongolian forest-steppe.

    PubMed

    Dulamsuren, Choimaa; Klinge, Michael; Degener, Jan; Khishigjargal, Mookhor; Chenlemuge, Tselmeg; Bat-Enerel, Banzragch; Yeruult, Yolk; Saindovdon, Davaadorj; Ganbaatar, Kherlenchimeg; Tsogtbaatar, Jamsran; Leuschner, Christoph; Hauck, Markus

    2016-02-01

    The boreal forest biome represents one of the most important terrestrial carbon stores, which gave reason to intensive research on carbon stock densities. However, such an analysis does not yet exist for the southernmost Eurosiberian boreal forests in Inner Asia. Most of these forests are located in the Mongolian forest-steppe, which is largely dominated by Larix sibirica. We quantified the carbon stock density and total carbon pool of Mongolia's boreal forests and adjacent grasslands and draw conclusions on possible future change. Mean aboveground carbon stock density in the interior of L. sibirica forests was 66 Mg C ha(-1) , which is in the upper range of values reported from boreal forests and probably due to the comparably long growing season. The density of soil organic carbon (SOC, 108 Mg C ha(-1) ) and total belowground carbon density (149 Mg C ha(-1) ) are at the lower end of the range known from boreal forests, which might be the result of higher soil temperatures and a thinner permafrost layer than in the central and northern boreal forest belt. Land use effects are especially relevant at forest edges, where mean carbon stock density was 188 Mg C ha(-1) , compared with 215 Mg C ha(-1) in the forest interior. Carbon stock density in grasslands was 144 Mg C ha(-1) . Analysis of satellite imagery of the highly fragmented forest area in the forest-steppe zone showed that Mongolia's total boreal forest area is currently 73 818 km(2) , and 22% of this area refers to forest edges (defined as the first 30 m from the edge). The total forest carbon pool of Mongolia was estimated at ~ 1.5-1.7 Pg C, a value which is likely to decrease in future with increasing deforestation and fire frequency, and global warming. © 2015 John Wiley & Sons Ltd.

  9. Knocking off the suppressors of cytokine signaling (SOCS): their roles in mammalian pregnancy.

    PubMed

    Fitzgerald, Justine S; Toth, Bettina; Jeschke, Udo; Schleussner, Ekkehard; Markert, Udo R

    2009-12-01

    This review discusses the possible role of the suppressor of cytokine signaling (SOCS) proteins in mammalian reproduction. SOCS are regulatory proteins that are rapidly transcribed in response to intracellular Janus kinase-signal transducer and activator of transcription (JAK-STAT) signaling, a cascade governing biological functions including cytokine-induced immunological responses and reproductive processes. For instance STAT3 appears to mediate trophoblast invasion induced by LIF. The SOCS family includes 8 members (cytokine-inducible SH2 protein [CIS] and SOCS1-7) that orchestrate distinct reactions by antagonizing STAT activation. Emerging evidence points to a role of some family members in synchronizing Th1/Th2 cell profiles, the balance in which is considered vital to pregnancy maintenance. The reproductive phenotypes of mutant mice harboring targeted disruption of SOCS gene isoforms offer insights for reproductive immunology, trophoblast function and human pregnancy. CIS transgenic mice display impaired responses to IL-2 and resemble STAT5 deficient mice, except they are fertile. SOCS1 deficiency leads to an overabundance of IFNgamma signaling, yet SOCS1 null mutant mice are able to reproduce. Lack of SOCS3 is embryonically lethal due to placental insufficiency, while SOCS3 over-expression leads to elevated Th2 responses. SOCS3 seems to be vital for reproduction by regulating LIF-driven trophoblast differentiation. SOCS5 inhibits IL-4 signaling, yet the SOCS5 transgenic mouse has no conspicuous reproductive phenotype. SOCS-6 and SOCS-7 null mutant mice display growth retardation. In summary, SOCS proteins are avidly involved in fine regulation of immunological and other vital cellular responses. Many of the above phenotypes present contradictions to accepted reproductive immunological paradigms.

  10. The effect of break of runoff connectivity on SOC concentration in loess catchment of the Lublin Upland (Poland)

    NASA Astrophysics Data System (ADS)

    Rejman, Jerzy; Rafalska-Przysucha, Anna; Paluszek, Jan

    2014-05-01

    .e. closed depressions and the areas where the lines of concentrated flow cross the parcel borders) characterized higher SOC concentration with a mean of 10.10 g kg-1, and depositional soils located on slopes - lower (4.10 g kg-1). The first group represented 33 profiles, the second 24. Coefficient of variation in each group of soil was 19%. Vertical SOC concentration showed a large variation in profiles of depositional soils, with layers of higher and smaller SOC concentration at different depth. Soils located in the zones where lines of concentrated temporary flow cross the field borders showed a high SOC enrichment in buried Ab horizons (at the depth >80 cm) in comparison to soils located in closed depressions. The difference could be a result of larger area that contributes to overland flow in the case of sites located at lines of flow in comparison to the contribution area of closed depressions. The exception is a profile SP6, where the SOC concentration in Ab is similar to the Ab horizon in depressions. The SP6 profile is located in the lower part of the catchment at the end of a parcel of the length of 110 m. The other profiles (SP2, and SP5) are in the areas were distance between the parcel borders is 40-60 m, and SP7 is at the catchment outlet. It seems that the difference in SOC concentration in Ab between SP6 and SP2-SP5 is a result of more effective decrease of velocity of overland flow by closely located grassed borders of the parcels. The studies showed that grassed parcel borders fill an effective role in an increase of soil carbon stock in the areas where lines of temporary overland flow cross the parcel border. The effectiveness of SOC accumulation was larger in the past, as it is proved by high SOC concentration in buried Ab horizon, and was dependent on the distance between the grassed borders.

  11. Effects of Successive Rotation Regimes on Carbon Stocks in Eucalyptus Plantations in Subtropical China Measured over a Full Rotation.

    PubMed

    Li, Xiaoqiong; Ye, Duo; Liang, Hongwen; Zhu, Hongguang; Qin, Lin; Zhu, Yuling; Wen, Yuanguang

    2015-01-01

    Plantations play an important role in carbon sequestration and the global carbon cycle. However, there is a dilemma in that most plantations are managed on short rotations, and the carbon sequestration capacities of these short-rotation plantations remain understudied. Eucalyptus has been widely planted in the tropics and subtropics due to its rapid growth, high adaptability, and large economic return. Eucalyptus plantations are primarily planted in successive rotations with a short rotation length of 6~8 years. In order to estimate the carbon-stock potential of eucalyptus plantations over successive rotations, we chose a first rotation (FR) and a second rotation (SR) stand and monitored the carbon stock dynamics over a full rotation from 1998 to 2005. Our results showed that carbon stock in eucalyptus trees (TC) did not significantly differ between rotations, while understory vegetation (UC) and soil organic matter (SOC) stored less carbon in the SR (1.01 vs. 2.76 Mg.ha(-1) and 70.68 vs. 81.08 Mg. ha(-1), respectively) and forest floor carbon (FFC) conversely stored more (2.80 vs. 2.34 Mg. ha(-1)). The lower UC and SOC stocks in the SR stand resulted in 1.13 times lower overall ecosystem carbon stock. Mineral soils and overstory trees were the two dominant carbon pools in eucalyptus plantations, accounting for 73.77%~75.06% and 20.50%~22.39%, respectively, of the ecosystem carbon pool. However, the relative contribution (to the ecosystem pool) of FFC stocks increased 1.38 times and that of UC decreased 2.30 times in the SR versus FR stand. These carbon pool changes over successive rotations were attributed to intensive successive rotation regimes of eucalyptus plantations. Our eight year study suggests that for the sustainable development of short-rotation plantations, a sound silvicultural strategy is required to achieve the best combination of high wood yield and carbon stock potential.

  12. Effects of Successive Rotation Regimes on Carbon Stocks in Eucalyptus Plantations in Subtropical China Measured over a Full Rotation

    PubMed Central

    Li, Xiaoqiong; Ye, Duo; Liang, Hongwen; Zhu, Hongguang; Qin, Lin; Zhu, Yuling; Wen, Yuanguang

    2015-01-01

    Plantations play an important role in carbon sequestration and the global carbon cycle. However, there is a dilemma in that most plantations are managed on short rotations, and the carbon sequestration capacities of these short-rotation plantations remain understudied. Eucalyptus has been widely planted in the tropics and subtropics due to its rapid growth, high adaptability, and large economic return. Eucalyptus plantations are primarily planted in successive rotations with a short rotation length of 6~8 years. In order to estimate the carbon-stock potential of eucalyptus plantations over successive rotations, we chose a first rotation (FR) and a second rotation (SR) stand and monitored the carbon stock dynamics over a full rotation from 1998 to 2005. Our results showed that carbon stock in eucalyptus trees (TC) did not significantly differ between rotations, while understory vegetation (UC) and soil organic matter (SOC) stored less carbon in the SR (1.01 vs. 2.76 Mg.ha-1 and 70.68 vs. 81.08 Mg. ha-1, respectively) and forest floor carbon (FFC) conversely stored more (2.80 vs. 2.34 Mg. ha-1). The lower UC and SOC stocks in the SR stand resulted in 1.13 times lower overall ecosystem carbon stock. Mineral soils and overstory trees were the two dominant carbon pools in eucalyptus plantations, accounting for 73.77%~75.06% and 20.50%~22.39%, respectively, of the ecosystem carbon pool. However, the relative contribution (to the ecosystem pool) of FFC stocks increased 1.38 times and that of UC decreased 2.30 times in the SR versus FR stand. These carbon pool changes over successive rotations were attributed to intensive successive rotation regimes of eucalyptus plantations. Our eight year study suggests that for the sustainable development of short-rotation plantations, a sound silvicultural strategy is required to achieve the best combination of high wood yield and carbon stock potential. PMID:26186367

  13. Modeling Soil Organic Carbon Variation Along Climatic and Topographic Trajectories in the Central Andes

    NASA Astrophysics Data System (ADS)

    Gavilan, C.; Grunwald, S.; Quiroz, R.; Zhu, L.

    2015-12-01

    The Andes represent the largest and highest mountain range in the tropics. Geological and climatic differentiation favored landscape and soil diversity, resulting in ecosystems adapted to very different climatic patterns. Although several studies support the fact that the Andes are a vast sink of soil organic carbon (SOC) only few have quantified this variable in situ. Estimating the spatial distribution of SOC stocks in data-poor and/or poorly accessible areas, like the Andean region, is challenging due to the lack of recent soil data at high spatial resolution and the wide range of coexistent ecosystems. Thus, the sampling strategy is vital in order to ensure the whole range of environmental covariates (EC) controlling SOC dynamics is represented. This approach allows grasping the variability of the area, which leads to more efficient statistical estimates and improves the modeling process. The objectives of this study were to i) characterize and model the spatial distribution of SOC stocks in the Central Andean region using soil-landscape modeling techniques, and to ii) validate and evaluate the model for predicting SOC content in the area. For that purpose, three representative study areas were identified and a suite of variables including elevation, mean annual temperature, annual precipitation and Normalized Difference Vegetation Index (NDVI), among others, was selected as EC. A stratified random sampling (namely conditioned Latin Hypercube) was implemented and a total of 400 sampling locations were identified. At all sites, four composite topsoil samples (0-30 cm) were collected within a 2 m radius. SOC content was measured using dry combustion and SOC stocks were estimated using bulk density measurements. Regression Kriging was used to map the spatial variation of SOC stocks. The accuracy, fit and bias of SOC models was assessed using a rigorous validation assessment. This study produced the first comprehensive, geospatial SOC stock assessment in this

  14. Increased topsoil carbon stock across China's forests.

    PubMed

    Yang, Yuanhe; Li, Pin; Ding, Jinzhi; Zhao, Xia; Ma, Wenhong; Ji, Chengjun; Fang, Jingyun

    2014-08-01

    Biomass carbon accumulation in forest ecosystems is a widespread phenomenon at both regional and global scales. However, as coupled carbon-climate models predicted, a positive feedback could be triggered if accelerated soil carbon decomposition offsets enhanced vegetation growth under a warming climate. It is thus crucial to reveal whether and how soil carbon stock in forest ecosystems has changed over recent decades. However, large-scale changes in soil carbon stock across forest ecosystems have not yet been carefully examined at both regional and global scales, which have been widely perceived as a big bottleneck in untangling carbon-climate feedback. Using newly developed database and sophisticated data mining approach, here we evaluated temporal changes in topsoil carbon stock across major forest ecosystem in China and analysed potential drivers in soil carbon dynamics over broad geographical scale. Our results indicated that topsoil carbon stock increased significantly within all of five major forest types during the period of 1980s-2000s, with an overall rate of 20.0 g C m(-2) yr(-1) (95% confidence interval, 14.1-25.5). The magnitude of soil carbon accumulation across coniferous forests and coniferous/broadleaved mixed forests exhibited meaningful increases with both mean annual temperature and precipitation. Moreover, soil carbon dynamics across these forest ecosystems were positively associated with clay content, with a larger amount of SOC accumulation occurring in fine-textured soils. In contrast, changes in soil carbon stock across broadleaved forests were insensitive to either climatic or edaphic variables. Overall, these results suggest that soil carbon accumulation does not counteract vegetation carbon sequestration across China's forest ecosystems. The combination of soil carbon accumulation and vegetation carbon sequestration triggers a negative feedback to climate warming, rather than a positive feedback predicted by coupled carbon-climate models

  15. Soil, vegetation and total organic carbon stock development in self-restoring abandoned vineyards

    NASA Astrophysics Data System (ADS)

    József Novák, Tibor; Incze, József; Spohn, Marie; Giani, Luise

    2016-04-01

    Abandoned vineyard's soil and vegetation development was studied on Tokaj Nagy-Hill, which is one of the traditional wine-producing regions of Hungary, it is declared as UNESCO World Heritage site as cultural landscape. Spatial distribution and pattern of vineyards were changing during the last several hundreds of years, therefore significant part of abandoned vineyards were subjected to long-term spontaneous secondary succession of vegetation and self-restoration of soils in absence of later cultivation. Two chronosequences of spontaneously regenerating vineyard abandonments, one on south (S-sequence) and one on southwest (SW-sequence) slope with differing times since their abandonment (193, 142, 101, 63, 39 and 14 years), were compiled and studied. The S-sequence was 25-35% sloped and strongly eroded, and the SW-sequence was 17-25% sloped and moderately eroded. The sites were investigated in respect of vegetation characteristics, soil physico-chemical characteristics, total organic carbon stocks (TOC stocks), accumulation rates of total organic carbon (TOC accumulation rates), and soil profiles, which were classified according to the World Reference Base (WRB) 2014. Vegetation development resulted in shrub-grassland mosaics, supplemented frequently by protected forb species and forest development at the earliest abandonment in S-sequence, and predominantly to forest vegetation in SW-sequence, where trees were only absent at the 63 and 14 years old abandonment sites. In all sites soils on level of reference groups according to WRB were classified, and Cambisols, Regosols, Calcisols, Leptosols, Chernozems and Phaeozems were found. Soils of the S-sequence show shallow remnants of loess cover with colluvic and redeposited soil materials containing 15-65% skeletal volcanic rock of weathering products coated by secondary calcium carbonates. The SW-sequence profiles are developed on deep loess or loess derivatives. The calcium-carbonate content was higher in profiles of

  16. Applying transport-distance specific SOC distribution to calibrate soil erosion model WaTEM

    NASA Astrophysics Data System (ADS)

    Hu, Yaxian; Heckrath, Goswin J.; Kuhn, Nikolaus J.

    2016-04-01

    Slope-scale soil erosion, transport and deposition fundamentally decide the spatial redistribution of eroded sediments in terrestrial and aquatic systems, which further affect the burial and decomposition of eroded SOC. However, comparisons of SOC contents between upper eroding slope and lower depositional site cannot fully reflect the movement of eroded SOC in-transit along hillslopes. The actual transport distance of eroded SOC is decided by its settling velocity. So far, the settling velocity distribution of eroded SOC is mostly calculated from mineral particle specific SOC distribution. Yet, soil is mostly eroded in form of aggregates, and the movement of aggregates differs significantly from individual mineral particles. This urges a SOC erodibility parameter based on actual transport distance distribution of eroded fractions to better calibrate soil erosion models. Previous field investigation on a freshly seeded cropland in Denmark has shown immediate deposition of fast settling soil fractions and the associated SOC at footslopes, followed by a fining trend at the slope tail. To further quantify the long-term effects of topography on erosional redistribution of eroded SOC, the actual transport-distance specific SOC distribution observed on the field was applied to a soil erosion model WaTEM (based on USLE). After integrating with local DEM, our calibrated model succeeded in locating the hotspots of enrichment/depletion of eroded SOC on different topographic positions, much better corresponding to the real-world field observation. By extrapolating into repeated erosion events, our projected results on the spatial distribution of eroded SOC are also adequately consistent with the SOC properties in the consecutive sample profiles along the slope.

  17. Global patterns and controls of soil organic carbon dynamics as simulated by multiple terrestrial biosphere models: Current status and future directions.

    PubMed

    Tian, Hanqin; Lu, Chaoqun; Yang, Jia; Banger, Kamaljit; Huntzinger, Deborah N; Schwalm, Christopher R; Michalak, Anna M; Cook, Robert; Ciais, Philippe; Hayes, Daniel; Huang, Maoyi; Ito, Akihiko; Jain, Atul K; Lei, Huimin; Mao, Jiafu; Pan, Shufen; Post, Wilfred M; Peng, Shushi; Poulter, Benjamin; Ren, Wei; Ricciuto, Daniel; Schaefer, Kevin; Shi, Xiaoying; Tao, Bo; Wang, Weile; Wei, Yaxing; Yang, Qichun; Zhang, Bowen; Zeng, Ning

    2015-06-01

    Soil is the largest organic carbon (C) pool of terrestrial ecosystems, and C loss from soil accounts for a large proportion of land-atmosphere C exchange. Therefore, a small change in soil organic C (SOC) can affect atmospheric carbon dioxide (CO 2 ) concentration and climate change. In the past decades, a wide variety of studies have been conducted to quantify global SOC stocks and soil C exchange with the atmosphere through site measurements, inventories, and empirical/process-based modeling. However, these estimates are highly uncertain, and identifying major driving forces controlling soil C dynamics remains a key research challenge. This study has compiled century-long (1901-2010) estimates of SOC storage and heterotrophic respiration (Rh) from 10 terrestrial biosphere models (TBMs) in the Multi-scale Synthesis and Terrestrial Model Intercomparison Project and two observation-based data sets. The 10 TBM ensemble shows that global SOC estimate ranges from 425 to 2111 Pg C (1 Pg = 10 15  g) with a median value of 1158 Pg C in 2010. The models estimate a broad range of Rh from 35 to 69 Pg C yr -1 with a median value of 51 Pg C yr -1 during 2001-2010. The largest uncertainty in SOC stocks exists in the 40-65°N latitude whereas the largest cross-model divergence in Rh are in the tropics. The modeled SOC change during 1901-2010 ranges from -70 Pg C to 86 Pg C, but in some models the SOC change has a different sign from the change of total C stock, implying very different contribution of vegetation and soil pools in determining the terrestrial C budget among models. The model ensemble-estimated mean residence time of SOC shows a reduction of 3.4 years over the past century, which accelerate C cycling through the land biosphere. All the models agreed that climate and land use changes decreased SOC stocks, while elevated atmospheric CO 2 and nitrogen deposition over intact ecosystems increased SOC stocks-even though the responses varied

  18. Effect of SOCS1 overexpression on RPE cell activation by proinflammatory cytokines.

    PubMed

    Bazewicz, Magdalena; Draganova, Dafina; Makhoul, Maya; Chtarto, Abdel; Elmaleh, Valerie; Tenenbaum, Liliane; Caspers, Laure; Bruyns, Catherine; Willermain, François

    2016-09-06

    The purpose of this study was to investigate the in vitro effect of Suppressor Of Cytokine Signaling 1 (SOCS1) overexpression in retinal pigment epithelium (RPE) cells on their activation by pro-inflammatory cytokines IFNγ, TNFα and IL-17. Retinal pigment epithelium cells (ARPE-19) were stably transfected with the control plasmid pIRES2-AcGFP1 or the plasmid pSOCS1-IRES2-AcGFP1. They were stimulated by IFNγ (150ng/ml), TNFα (30ng/ml) or IL-17 (100ng/ml). The levels of SOCS1 mRNA were measured by real-time PCR. Signal Transducer and Activator of Transcription 1 (STAT1) phosphorylation and IκBα expression were analysed by western Blot (WB). IL-8 secretion was analysed by ELISA and expression of MHCII molecules and ICAM-1/CD54 by flow cytometry. Our data show that SOCS1 mRNA overexpression in RPE cells prevents IFNγ-induced SOCS1 mRNA increase and IFNγ-mediated STAT1 phosphorylation. Moreover, SOCS1 overexpression in RPE cells inhibits IFNγ-induced decrease of IL-8 secretion and prevents IFNγ-induced MHC II and ICAM1/CD54 upregulation. However, SOCS1 overexpression does not affect TNFα-induced IκBα degradation nor block TNFα-induced or IL-17-induced IL-8 secretion. On the contrary, IL-17-induced secretion is increased by SOCS1 overexpression. In conclusion, SOCS1 overexpression in RPE cells inhibits some IFNγ-mediated responses that lead to uveitis development. This notion raises the possibility that SOCS1 overexpression could be a novel target for treating non-infectious uveitis. However, some proinflammatory effects of TNFα and IL-17 stimulation on RPE are not blocked by SOCS1 overexpression. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  19. Constitutive expression of the K-domain of a Vaccinium corymbosum SOC1-like (VcSOC1-K) MADS-box gene is sufficient to promote flowering in tobacco.

    PubMed

    Song, Guo-qing; Walworth, Aaron; Zhao, Dongyan; Hildebrandt, Britton; Leasia, Michael

    2013-11-01

    The K-domain of a blueberry-derived SOC1 -like gene promotes flowering in tobacco without negatively impacting yield, demonstrating potential for manipulation of flowering time in horticultural crops. The SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 (SOC1) and SOC1-likes, belonging to the MIKC(c) (type II) MADS-box gene subfamily, are major floral activators and integrators of plant flowering. Both MADS-domains and K (Keratin)-domains are highly conserved in MIKC(c)-type MADS proteins. While there are many reports on overexpression of intact MIKC(c)-type MADS-box genes, few studies have been conducted to investigate the effects of the K-domains. In this report, a 474-bp K-domain of Vaccinium SOC1-like (VcSOC1-K) was cloned from the cDNA library of the northern highbush blueberry (Vaccinium corymbosum L.). Functional analysis of the VcSOC1-K was conducted by ectopically expressing of 35S:VcSOC1-K in tobacco. Reverse transcription PCR confirmed expression of the VcSOC1-K in T0 plants. Phenotypically, T1 transgenic plants (10 T1 plants/event) flowered sooner after seeding, and were shorter with fewer leaves at the time of flowering, than nontransgenic plants; but seed pod production of transgenic plants was not significantly affected. These results demonstrate that overexpression of the K-domain of a MIKC(c)-type MADS-box gene alone is sufficient to promote early flowering and more importantly without affecting seed production.

  20. Soil organic carbon sequestration potential of conservation vs. conventional tillage

    NASA Astrophysics Data System (ADS)

    Meurer, Katharina H. E.; Ghafoor, Abdul; Haddaway, Neal R.; Bolinder, Martin A.; Kätterer, Thomas

    2017-04-01

    Soil tillage has been associated with many negative impacts on soil quality, especially a reduction in soil organic carbon (SOC). The benefits of no tillage (NT) on topsoil SOC concentrations have been demonstrated in several reviews, but the effect of reduced tillage (RT) compared to conventional tillage (CT) that usually involves soil inversion through moldboard ploughing is still unclear. Moreover, the effect of tillage on total SOC stocks including deeper layers is still a matter of considerable debate, because the assessment depends on many factors such as depth and method of measurement, cropping systems, soil type, climatic conditions, and length of the experiments used for the analysis. From a recently published systematic map database consisting of 735 long-term field experiments (≥ 10 years) within the boreal and temperate climate zones (Haddaway et al. 2015; Environmental Evidence 4:23), we selected all tillage studies (about 80) reporting SOC concentrations along with dry soil bulk density and conducted a systematic review. SOC stocks were calculated considering both fixed soil depths and by using the concept of equivalent soil mass. A meta-analysis was used to determine the influence of environmental, management, and soil-related factors regarding their prediction potential on SOC stock changes between the tillage categories NT, RT, and CT. C concentrations and stocks to a certain depth were generally highest under NT, intermediate under RT, and lowest under CT. However, this effect was mainly limited to the first 15 cm and disappeared or was even reversed in deeper layers, especially when adjusting soil depth according to the equivalent soil mineral mass. Our study highlights the impact of tillage-induced changes in soil bulk density between treatments and shows that neglecting the principles of equivalent soil mass leads to overestimation of SOC stocks for by conservation tillage practices.

  1. SOCS1 and SOCS3 Are Targeted by Hepatitis C Virus Core/gC1qR Ligation To Inhibit T-Cell Function

    PubMed Central

    Yao, Zhi Qiang; Waggoner, Stephen N.; Cruise, Michael W.; Hall, Caroline; Xie, Xuefang; Oldach, David W.; Hahn, Young S.

    2005-01-01

    T cells play an important role in the control of hepatitis C virus (HCV) infection. We have previously demonstrated that the HCV core inhibits T-cell responses through interaction with gC1qR. We show here that core proteins from chronic and resolved HCV patients differ in sequence, gC1qR-binding ability, and T-cell inhibition. Specifically, chronic core isolates bind to gC1qR more efficiently and inhibit T-cell proliferation as well as gamma interferon (IFN-γ) production more profoundly than resolved core isolates. This inhibition is mediated by the disruption of STAT phosphorylation through the induction of SOCS molecules. Silencing either SOCS1 or SOCS3 by small interfering RNA dramatically augments the production of IFN-γ in T cells, thereby abrogating the inhibitory effect of core. Additionally, the ability of core proteins from patients with chronic infections to induce SOCS proteins and suppress STAT activation greatly exceeds that of core proteins from patients with resolved infections. These results suggest that the HCV core/gC1qR-induced T-cell dysfunction involves the induction of SOCS, a powerful inhibitor of cytokine signaling, which represents a novel mechanism by which a virus usurps the host machinery for persistence. PMID:16306613

  2. Complying with current Joint Commission Statement of Conditions (SOC) requirements.

    PubMed

    Erickson, D; Berek, B; Mills, G

    1997-01-01

    This Technical Document has been developed to provide the reader with insight into the Joint Commission on Accreditation of Healthcare Organizations' (JCAHO) Statement of Conditions (SOC) process and recent changes for completing the SOC for Business Occupancies. The intent of this document is not to replace the instructions in Part 1 of the SOC or to give a complete review of the National Fire Protection Agency's (NFPA) Life Safety Code for health care or business occupancies, but rather to complement them.

  3. The Use of Mixed Effects Models for Obtaining Low-Cost Ecosystem Carbon Stock Estimates in Mangroves of the Asia-Pacific

    NASA Astrophysics Data System (ADS)

    Bukoski, J. J.; Broadhead, J. S.; Donato, D.; Murdiyarso, D.; Gregoire, T. G.

    2016-12-01

    Mangroves provide extensive ecosystem services that support both local livelihoods and international environmental goals, including coastal protection, water filtration, biodiversity conservation and the sequestration of carbon (C). While voluntary C market projects that seek to preserve and enhance forest C stocks offer a potential means of generating finance for mangrove conservation, their implementation faces barriers due to the high costs of quantifying C stocks through measurement, reporting and verification (MRV) activities. To streamline MRV activities in mangrove C forestry projects, we develop predictive models for (i) biomass-based C stocks, and (ii) soil-based C stocks for the mangroves of the Asia-Pacific. We use linear mixed effect models to account for spatial correlation in modeling the expected C as a function of stand attributes. The most parsimonious biomass model predicts total biomass C stocks as a function of both basal area and the interaction between latitude and basal area, whereas the most parsimonious soil C model predicts soil C stocks as a function of the logarithmic transformations of both latitude and basal area. Random effects are specified by site for both models, and are found to explain a substantial proportion of variance within the estimation datasets. The root mean square error (RMSE) of the biomass C model is approximated at 24.6 Mg/ha (18.4% of mean biomass C in the dataset), whereas the RMSE of the soil C model is estimated at 4.9 mg C/cm 3 (14.1% of mean soil C). A substantial proportion of the variation in soil C, however, is explained by the random effects and thus the use of the SOC model may be most valuable for sites in which field measurements of soil C exist.

  4. Trichostatin A, a histone deacetylase inhibitor, suppresses JAK2/STAT3 signaling via inducing the promoter-associated histone acetylation of SOCS1 and SOCS3 in human colorectal cancer cells.

    PubMed

    Xiong, Hua; Du, Wan; Zhang, Yan-Jie; Hong, Jie; Su, Wen-Yu; Tang, Jie-Ting; Wang, Ying-Chao; Lu, Rong; Fang, Jing-Yuan

    2012-02-01

    Aberrant janus kinase/signal transducers and activators of transcription (JAK/STAT) signaling is involved in the oncogenesis of several cancers. Suppressors of cytokine signaling (SOCS) genes and SH2-containing protein tyrosine phosphatase 1 (SHP1) proteins, which are negative regulators of JAK/STAT signaling, have been reported to have tumor suppressor functions. However, in colorectal cancer (CRC) cells, the mechanisms that regulate SOCS and SHP1 genes, and the cause of abnormalities in the JAK/STAT signaling pathway, remain largely unknown. The present study shows that trichostatin A (TSA), a histone deacetylase (HDAC) inhibitor, leads to the hyperacetylation of histones associated with the SOCS1 and SOCS3 promoters, but not the SHP1 promoter in CRC cells. This indicates that histone modifications are involved in the regulation of SOCS1 and SOCS3. Moreover, upregulation of SOCS1 and SOCS3 expression was achieved using TSA, which also significantly downregulated JAK2/STAT3 signaling in CRC cells. We also demonstrate that TSA suppresses the growth of CRC cells, and induces G1 cell cycle arrest and apoptosis through the regulation of downstream targets of JAK2/STAT3 signaling, including Bcl-2, survivin and p16(ink4a) . Therefore, our data demonstrate that TSA may induce SOCS1 and SOCS3 expression by inducing histone modifications and consequently inhibits JAK2/STAT3 signaling in CRC cells. These results also establish a mechanistic link between the inhibition of JAK2/STAT3 signaling and the anticancer action of TSA in CRC cells. Copyright © 2011 Wiley Periodicals, Inc.

  5. 26 CFR 1.423-2 - Employee stock purchase plan defined.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... employee owns stock possessing 5 percent or more of the total combined voting power or value of all classes... granted, owns stock possessing 5 percent or more of the total combined voting power or value of all... the percentage of the total combined voting power or value of all classes of stock of the employer...

  6. SOCS3: an essential regulator of LIF receptor signaling in trophoblast giant cell differentiation

    PubMed Central

    Takahashi, Yutaka; Carpino, Nick; Cross, James C.; Torres, Miguel; Parganas, Evan; Ihle, James N.

    2003-01-01

    Suppressor of cytokine signaling 3 (SOCS3) binds cytokine receptors and thereby suppresses cytokine signaling. Deletion of SOCS3 causes an embryonic lethality that is rescued by a tetraploid rescue approach, demonstrating an essential role in placental development and a non-essential role in embryo development. Rescued SOCS3-deficient mice show a perinatal lethality with cardiac hypertrophy. SOCS3-deficient placentas have reduced spongiotrophoblasts and increased trophoblast secondary giant cells. Enforced expression of SOCS3 in a trophoblast stem cell line (Rcho-1) suppresses giant cell differentiation. Conversely, SOCS3-deficient trophoblast stem cells differentiate more readily to giant cells in culture, demonstrating that SOCS3 negatively regulates trophoblast giant cell differentiation. Leukemia inhibitory factor (LIF) promotes giant cell differentiation in vitro, and LIF receptor (LIFR) deficiency results in loss of giant cell differentiation in vivo. Finally, LIFR deficiency rescues the SOCS3-deficient placental defect and embryonic lethality. The results establish SOCS3 as an essential regulator of LIFR signaling in trophoblast differentiation. PMID:12554639

  7. Multi-decadal time series of remotely sensed vegetation improves prediction of soil carbon in a subtropical grassland.

    PubMed

    Wilson, Chris H; Caughlin, T Trevor; Rifai, Sami W; Boughton, Elizabeth H; Mack, Michelle C; Flory, S Luke

    2017-07-01

    Soil carbon sequestration in agroecosystems could play a key role in climate change mitigation but will require accurate predictions of soil organic carbon (SOC) stocks over spatial scales relevant to land management. Spatial variation in underlying drivers of SOC, such as plant productivity and soil mineralogy, complicates these predictions. Recent advances in the availability of remotely sensed data make it practical to generate multidecadal time series of vegetation indices with high spatial resolution and coverage. However, the utility of such data largely is unknown, only having been tested with shorter (e.g., 1-2 yr) data summaries. Across a 2,000 ha subtropical grassland, we found that a long time series (28 yr) of a vegetation index (Enhanced Vegetation Index; EVI) derived from the Landsat 5 satellite significantly enhanced prediction of spatially varying SOC pools, while a short summary (2 yr) was an ineffective predictor. EVI was the best predictor for surface SOC (0-5 cm depth) and total measured SOC stocks (0-15 cm). The optimum models for SOC in the upper soil layer combined EVI records with elevation and calcium concentration, while deeper SOC was more strongly associated with calcium availability. We demonstrate how data from the open access Landsat archive can predict SOC stocks, a key ecosystem metric, and illustrate the rich variety of analytical approaches that can be applied to long time series of remotely sensed greenness. Overall, our results showed that SOC pools were closely coupled to EVI in this ecosystem, demonstrating that maintenance of higher average green leaf area is correlated with higher SOC. The strong associations of vegetation greenness and calcium concentration with SOC suggest that the ability to sequester additional SOC likely will rely on strategic management of pasture vegetation and soil fertility. © 2017 by the Ecological Society of America.

  8. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mishra, U.; Riley, W. J.

    The spatial heterogeneity of land surfaces affects energy, moisture, and greenhouse gas exchanges with the atmosphere. However, representing the heterogeneity of terrestrial hydrological and biogeochemical processes in Earth system models (ESMs) remains a critical scientific challenge. We report the impact of spatial scaling on environmental controls, spatial structure, and statistical properties of soil organic carbon (SOC) stocks across the US state of Alaska. We used soil profile observations and environmental factors such as topography, climate, land cover types, and surficial geology to predict the SOC stocks at a 50 m spatial scale. These spatially heterogeneous estimates provide a data setmore » with reasonable fidelity to the observations at a sufficiently high resolution to examine the environmental controls on the spatial structure of SOC stocks. We upscaled both the predicted SOC stocks and environmental variables from finer to coarser spatial scales ( s = 100, 200, and 500 m and 1, 2, 5, and 10 km) and generated various statistical properties of SOC stock estimates. We found different environmental factors to be statistically significant predictors at different spatial scales. Only elevation, temperature, potential evapotranspiration, and scrub land cover types were significant predictors at all scales. The strengths of control (the median value of geographically weighted regression coefficients) of these four environmental variables on SOC stocks decreased with increasing scale and were accurately represented using mathematical functions ( R 2 = 0.83–0.97). The spatial structure of SOC stocks across Alaska changed with spatial scale. Although the variance (sill) and unstructured variability (nugget) of the calculated variograms of SOC stocks decreased exponentially with scale, the correlation length (range) remained relatively constant across scale. The variance of predicted SOC stocks decreased with spatial scale over the range of 50 m to ~ 500 m

  9. Space Operations Center system analysis study extension. Volume 4, book 2: SOC system analysis report

    NASA Technical Reports Server (NTRS)

    1982-01-01

    The Space Operations Center (SOC) orbital space station research missions integration, crew requirements, SOC operations, and configurations are analyzed. Potential research and applications missions and their requirements are described. The capabilities of SOC are compared with user requirements. The SOC/space shuttle and shuttle-derived vehicle flight support operations and SOC orbital operations are described. Module configurations and systems options, SOC/external tank configurations, and configurations for geostationary orbits are described. Crew and systems safety configurations are summarized.

  10. Global patterns and controls of soil organic carbon dynamics as simulated by multiple terrestrial biosphere models: Current status and future directions

    DOE PAGES

    Tian, Hanqin; Lu, Chaoqun; Yang, Jia; ...

    2015-06-05

    Soil is the largest organic carbon (C) pool of terrestrial ecosystems, and C loss from soil accounts for a large proportion of land-atmosphere C exchange. Therefore, a small change in soil organic C (SOC) can affect atmospheric carbon dioxide (CO₂) concentration and climate change. In the past decades, a wide variety of studies have been conducted to quantify global SOC stocks and soil C exchange with the atmosphere through site measurements, inventories, and empirical/process-based modeling. However, these estimates are highly uncertain, and identifying major driving forces controlling soil C dynamics remains a key research challenge. This study has compiled century-longmore » (1901–2010) estimates of SOC storage and heterotrophic respiration (Rh) from 10 terrestrial biosphere models (TBMs) in the Multi-scale Synthesis and Terrestrial Model Intercomparison Project and two observation-based data sets. The 10 TBM ensemble shows that global SOC estimate ranges from 425 to 2111 Pg C (1 Pg = 10¹⁵ g) with a median value of 1158 Pg C in 2010. The models estimate a broad range of Rh from 35 to 69 Pg C yr⁻¹ with a median value of 51 Pg C yr⁻¹ during 2001–2010. The largest uncertainty in SOC stocks exists in the 40–65°N latitude whereas the largest cross-model divergence in Rh are in the tropics. The modeled SOC change during 1901–2010 ranges from –70 Pg C to 86 Pg C, but in some models the SOC change has a different sign from the change of total C stock, implying very different contribution of vegetation and soil pools in determining the terrestrial C budget among models. The model ensemble-estimated mean residence time of SOC shows a reduction of 3.4 years over the past century, which accelerate C cycling through the land biosphere. All the models agreed that climate and land use changes decreased SOC stocks, while elevated atmospheric CO₂ and nitrogen deposition over intact ecosystems increased SOC stocks—even though the responses varied

  11. Settling Velocity Specific SOC Distribution along Hillslopes - A field investigation in Denmark

    NASA Astrophysics Data System (ADS)

    Kuhn, N. J.; Hu, Y.

    2015-12-01

    The net effects of soil erosion by water, as a sink or source of atmospheric CO2, are decisively affected by the spatial re-distribution and stability of eroded soil organic carbon (SOC). The deposition position of eroded SOC, into terrestrial or aquatic systems, is actually decided by the transport distances of soil fractions where the SOC is stored. In theory, the transport distances of aggregated soil fractions are related to their settling velocities under given layer conditions. Yet, little field investigation has been conducted to examine the actual movement of eroded soil fractions along hillslopes, let alone the re-distribution pattern of functional SOC fractions. Eroding sandy soils and sediment were sampled after a series of rainfall events from different topographic positions along a slope on a freshly seeded cropland in Jutland, Denmark. All the soil samples from difference topographic positions along the slope were fractionated into five settling classes using a settling tube apparatus. The SOC content, 13C signature, and C:N ratios of all settling fractions were measured. Our results show that: 1) the spatial distribution of soil settling classes along the slope clearly shows a coarsening effect at the deposition area immediately below the eroding slope, followed by a fining trend on the deposition area at the slope tail. This proves the validity of the conceptual model in Starr et al. 2000 to predict SOC redistribution patterns along eroding hillslopes. 2) The isotopically enriched 13C on the slope back suggests greater decomposition rates possibly experienced by eroded SOC during transport, while the pronounced respiration rates at the slope tail indicate a great potential of CO2 emissions after deposition. Overall, our results illustrate that immediate deposition of fast settling soil fractions, and the thus induced preferential deposition of SOC at foot slope and potential CO2 emissions during transport, must be appropriately accounted for in

  12. Common buffers, media, and stock solutions.

    PubMed

    2001-05-01

    This appendix describes the preparation of selected bacterial media and of buffers and reagents used in the manipulation of nucleic acids and proteins. Recipes for cell culture media and reagents are located elsewhere in the manual. RECIPES: Acids, concentrated stock solutions; Ammonium acetate, 10 M; Ammonium hydroxide, concentrated stock solution; ATP, 100 mM; BCIP, 5% (w/v); BSA (bovine serum albumin), 10% (100 mg/ml); Denhardt solution, 100x; dNTPs: dATP, dTTP, dCTP, and dGTP; DTT, 1 M; EDTA, 0.5 M (pH 8.0); Ethidium bromide solution; Formamide loading buffer, 2x; Gel loading buffer, 6x; HBSS (Hanks balanced salt solution); HCl, 1 M; HEPES-buffered saline, 2x; KCl, 1 M; LB medium; LB plates; Loading buffer; 2-ME, (2-mercaptoethanol)50 mM; MgCl(2), 1 M; MgSO(4), 1 M; NaCl, 5 M; NaOH, 10 M; NBT (nitroblue tetrazolium chloride), 5% (w/v); PCR amplification buffer, 10x; Phosphate-buffered saline (PBS), pH approximately 7.3; Potassium acetate buffer, 0.1 M; Potassium phosphate buffer, 0.1 M; RNase a stock solution (DNase-free), 2 mg/ml; SDS, 20%; SOC medium; Sodium acetate, 3 M; Sodium acetate buffer, 0.1 M; Sodium phosphate buffer, 0.1 M; SSC (sodium chloride/sodium citrate), 20x; SSPE (sodium chloride/sodium phosphate/EDTA), 20x; T4 DNA ligase buffer, 10x; TAE buffer, 50x; TBE buffer, 10x; TBS (Tris-buffered saline); TCA (trichloroacetic acid), 100% (w/v); TE buffer; Terrific broth (TB); TrisCl, 1 M; TY medium, 2x; Urea loading buffer, 2x.

  13. Critical carbon input to maintain current soil organic carbon stocks in global wheat systems

    PubMed Central

    Wang, Guocheng; Luo, Zhongkui; Han, Pengfei; Chen, Huansheng; Xu, Jingjing

    2016-01-01

    Soil organic carbon (SOC) dynamics in croplands is a crucial component of global carbon (C) cycle. Depending on local environmental conditions and management practices, typical C input is generally required to reduce or reverse C loss in agricultural soils. No studies have quantified the critical C input for maintaining SOC at global scale with high resolution. Such information will provide a baseline map for assessing soil C dynamics under potential changes in management practices and climate, and thus enable development of management strategies to reduce C footprint from farm to regional scales. We used the soil C model RothC to simulate the critical C input rates needed to maintain existing soil C level at 0.1° × 0.1° resolution in global wheat systems. On average, the critical C input was estimated to be 2.0 Mg C ha−1 yr−1, with large spatial variability depending on local soil and climatic conditions. Higher C inputs are required in wheat system of central United States and western Europe, mainly due to the higher current soil C stocks present in these regions. The critical C input could be effectively estimated using a summary model driven by current SOC level, mean annual temperature, precipitation, and soil clay content. PMID:26759192

  14. Quantified carbon input for maintaining existing soil organic carbon stocks in global wheat systems

    NASA Astrophysics Data System (ADS)

    Wang, G.

    2017-12-01

    Soil organic carbon (SOC) dynamics in croplands is a crucial component of global carbon (C) cycle. Depending on local environmental conditions and management practices, typical C input is generally required to reduce or reverse C loss in agricultural soils. No studies have quantified the critical C input for maintaining SOC at global scale with high resolution. Such information will provide a baseline map for assessing soil C dynamics under potential changes in management practices and climate, and thus enable development of management strategies to reduce C footprint from farm to regional scales. We used the soil C model RothC to simulate the critical C input rates needed to maintain existing soil C level at 0.1°× 0.1° resolution in global wheat systems. On average, the critical C input was estimated to be 2.0 Mg C ha-1 yr-1, with large spatial variability depending on local soil and climatic conditions. Higher C inputs are required in wheat system of central United States and western Europe, mainly due to the higher current soil C stocks present in these regions. The critical C input could be effectively estimated using a summary model driven by current SOC level, mean annual temperature, precipitation, and soil clay content.

  15. Evaluation of SOC for the presumptive identification of Candida albicans and Cryptococcus neoformans.

    PubMed

    Fleming, W H; Knezek, K L; Dorn, G L

    1987-01-01

    SOC, a fungal growth medium composed of Solryth, oxgall, and caffeic acid, was evaluated as a medium to provide rapid, differential identification of Candida albicans and Cryptococcus neoformans. Using a variety of common isolation media to produce the yeast inocula, the germ tube methods tested ranked in the following order of decreasing sensitivity: SOC (97% +/- 1), serum (92% +/- 5), rabbit coagulase plasma with EDTA in combination with tryptic soy broth (89% +/- 5), TOC (89% +/- 6), and rabbit coagulase plasma with EDTA (83% +/- 4). In chlamydospore production, SOC also proved to be the most sensitive after 24 h incubation: SOC (96% +/- 2), TOC (80% +/- 2), and cornmeal-Tween 80 agar (14% +/- 3). Other medically important yeasts showed normal patterns of growth within 24 h on SOC, thus assisting in their identification. Eighty strains of Cryptococcus neoformans showed characteristic brown pigmentation on SOC and TOC within 18 h, while all other species of the genus Cryptococcus and 229 Candida isolates did not show a change in pigmentation.

  16. Estimation of power lithium-ion battery SOC based on fuzzy optimal decision

    NASA Astrophysics Data System (ADS)

    He, Dongmei; Hou, Enguang; Qiao, Xin; Liu, Guangmin

    2018-06-01

    In order to improve vehicle performance and safety, need to accurately estimate the power lithium battery state of charge (SOC), analyzing the common SOC estimation methods, according to the characteristics open circuit voltage and Kalman filter algorithm, using T - S fuzzy model, established a lithium battery SOC estimation method based on the fuzzy optimal decision. Simulation results show that the battery model accuracy can be improved.

  17. Conversion of lowland tropical forests to tree cash crop plantations loses up to one-half of stored soil organic carbon

    PubMed Central

    van Straaten, Oliver; Corre, Marife D.; Wolf, Katrin; Tchienkoua, Martin; Cuellar, Eloy; Matthews, Robin B.; Veldkamp, Edzo

    2015-01-01

    Tropical deforestation for the establishment of tree cash crop plantations causes significant alterations to soil organic carbon (SOC) dynamics. Despite this recognition, the current Intergovernmental Panel on Climate Change (IPCC) tier 1 method has a SOC change factor of 1 (no SOC loss) for conversion of forests to perennial tree crops, because of scarcity of SOC data. In this pantropic study, conducted in active deforestation regions of Indonesia, Cameroon, and Peru, we quantified the impact of forest conversion to oil palm (Elaeis guineensis), rubber (Hevea brasiliensis), and cacao (Theobroma cacao) agroforestry plantations on SOC stocks within 3-m depth in deeply weathered mineral soils. We also investigated the underlying biophysical controls regulating SOC stock changes. Using a space-for-time substitution approach, we compared SOC stocks from paired forests (n = 32) and adjacent plantations (n = 54). Our study showed that deforestation for tree plantations decreased SOC stocks by up to 50%. The key variable that predicted SOC changes across plantations was the amount of SOC present in the forest before conversion—the higher the initial SOC, the higher the loss. Decreases in SOC stocks were most pronounced in the topsoil, although older plantations showed considerable SOC losses below 1-m depth. Our results suggest that (i) the IPCC tier 1 method should be revised from its current SOC change factor of 1 to 0.6 ± 0.1 for oil palm and cacao agroforestry plantations and 0.8 ± 0.3 for rubber plantations in the humid tropics; and (ii) land use management policies should protect natural forests on carbon-rich mineral soils to minimize SOC losses. PMID:26217000

  18. Conversion of lowland tropical forests to tree cash crop plantations loses up to one-half of stored soil organic carbon.

    PubMed

    van Straaten, Oliver; Corre, Marife D; Wolf, Katrin; Tchienkoua, Martin; Cuellar, Eloy; Matthews, Robin B; Veldkamp, Edzo

    2015-08-11

    Tropical deforestation for the establishment of tree cash crop plantations causes significant alterations to soil organic carbon (SOC) dynamics. Despite this recognition, the current Intergovernmental Panel on Climate Change (IPCC) tier 1 method has a SOC change factor of 1 (no SOC loss) for conversion of forests to perennial tree crops, because of scarcity of SOC data. In this pantropic study, conducted in active deforestation regions of Indonesia, Cameroon, and Peru, we quantified the impact of forest conversion to oil palm (Elaeis guineensis), rubber (Hevea brasiliensis), and cacao (Theobroma cacao) agroforestry plantations on SOC stocks within 3-m depth in deeply weathered mineral soils. We also investigated the underlying biophysical controls regulating SOC stock changes. Using a space-for-time substitution approach, we compared SOC stocks from paired forests (n = 32) and adjacent plantations (n = 54). Our study showed that deforestation for tree plantations decreased SOC stocks by up to 50%. The key variable that predicted SOC changes across plantations was the amount of SOC present in the forest before conversion--the higher the initial SOC, the higher the loss. Decreases in SOC stocks were most pronounced in the topsoil, although older plantations showed considerable SOC losses below 1-m depth. Our results suggest that (i) the IPCC tier 1 method should be revised from its current SOC change factor of 1 to 0.6 ± 0.1 for oil palm and cacao agroforestry plantations and 0.8 ± 0.3 for rubber plantations in the humid tropics; and (ii) land use management policies should protect natural forests on carbon-rich mineral soils to minimize SOC losses.

  19. System on a Chip (SoC) Overview

    NASA Technical Reports Server (NTRS)

    LaBel, Kenneth A.

    2010-01-01

    System-on-a-chip or system on chip (SoC or SOC) refers to integrating all components of a computer or other electronic system into a single integrated circuit (chip). It may contain digital, analog, mixed-signal, and often radio-frequency functions all on a single chip substrate. Complexity drives it all: Radiation tolerance and testability are challenges for fault isolation, propagation, and validation. Bigger single silicon die than flown before and technology is scaling below 90nm (new qual methods). Packages have changed and are bigger and more difficult to inspect, test, and understand. Add in embedded passives. Material interfaces are more complex (underfills, processing). New rules for board layouts. Mechanical and thermal designs, etc.

  20. Soil Carbon and Nitrogen Changes following Afforestation of Marginal Cropland across a Precipitation Gradient in Loess Plateau of China

    PubMed Central

    Lü, Yihe; Liu, Guohua; Fu, Bojie

    2014-01-01

    Cropland afforestation has been widely found to increase soil organic carbon (SOC) and soil total nitrogen (STN); however, the magnitudes of SOC and STN accumulation and regulating factors are less studied in dry, marginal lands, and therein the interaction between soil carbon and nitrogen is not well understood. We examined the changes in SOC and STN in younger (5–9-year-old) and older (25–30-year-old) black locust (Robinia pseudoacacia L., an N-fixing species) plantations that were established on former cropland along a precipitation gradient (380 to 650 mm) in the semi-arid Loess Plateau of China. The SOC and STN stocks of cropland and plantations increased linearly with precipitation increase, respectively, accompanying an increase in the plantation net primary productivity and the soil clay content along the increasing precipitation gradient. The SOC stock of cropland decreased in younger plantations and increased in older plantations after afforestation, and the amount of the initial loss of SOC during the younger plantations’ establishment increased with precipitation increasing. By contrast, the STN stock of cropland showed no decrease in the initial afforestation while tending to increase with plantation age, and the changes in STN were not related to precipitation. The changes in STN and SOC showed correlated and were precipitation-dependent following afforestation, displaying a higher relative gain of SOC to STN as precipitation decreased. Our results suggest that the afforestation of marginal cropland in Loess Plateau can have a significant effect on the accumulation of SOC and STN, and that precipitation has a significant effect on SOC accumulation but little effect on STN retention. The limitation effect of soil nitrogen on soil carbon accumulation is more limited in the drier area rather than in the wetter sites. PMID:24416408

  1. Soil carbon and nitrogen changes following afforestation of marginal cropland across a precipitation gradient in Loess Plateau of China.

    PubMed

    Chang, Ruiying; Jin, Tiantian; Lü, Yihe; Liu, Guohua; Fu, Bojie

    2014-01-01

    Cropland afforestation has been widely found to increase soil organic carbon (SOC) and soil total nitrogen (STN); however, the magnitudes of SOC and STN accumulation and regulating factors are less studied in dry, marginal lands, and therein the interaction between soil carbon and nitrogen is not well understood. We examined the changes in SOC and STN in younger (5-9-year-old) and older (25-30-year-old) black locust (Robinia pseudoacacia L., an N-fixing species) plantations that were established on former cropland along a precipitation gradient (380 to 650 mm) in the semi-arid Loess Plateau of China. The SOC and STN stocks of cropland and plantations increased linearly with precipitation increase, respectively, accompanying an increase in the plantation net primary productivity and the soil clay content along the increasing precipitation gradient. The SOC stock of cropland decreased in younger plantations and increased in older plantations after afforestation, and the amount of the initial loss of SOC during the younger plantations' establishment increased with precipitation increasing. By contrast, the STN stock of cropland showed no decrease in the initial afforestation while tending to increase with plantation age, and the changes in STN were not related to precipitation. The changes in STN and SOC showed correlated and were precipitation-dependent following afforestation, displaying a higher relative gain of SOC to STN as precipitation decreased. Our results suggest that the afforestation of marginal cropland in Loess Plateau can have a significant effect on the accumulation of SOC and STN, and that precipitation has a significant effect on SOC accumulation but little effect on STN retention. The limitation effect of soil nitrogen on soil carbon accumulation is more limited in the drier area rather than in the wetter sites.

  2. 17β-estradiol suppresses the macrophage foam cell formation associated with SOCS3.

    PubMed

    Liang, X; He, M; Chen, T; Wu, Y; Tian, Y; Zhao, Y; Shen, Y; Liu, Y; Yuan, Z

    2013-06-01

    Evidence from clinical trials and animal experiments has shown that estrogen has anti-atherosclerotic effects when administered to young women or experimental animals. The mechanisms involve the modulation of vascular inflammation, growth factor expression, and oxidative stress injured arteries. However, whether estrogen modulates the foam cell formation in plaque remains unknown. Here, we investigated the effects of 17β-estradiol (E2) on cholesterol efflux in vivo and in vitro. ApoE null mice underwent an ovariectomy at 5(th) week of age and then were treated with E2 or vehicle for the following 8 weeks. Compared with the vehicle-treated mice, the serum total cholesterol level, atherosclerotic plaque size, and lipid deposits were decreased and meanwhile ATP-binding cassette transporter A1 (ABCA1) expression in the plaque was increased in mice with E2 treatment. E2 also increased suppressor of cytokine signaling 3 (SOCS3) expression in the atherosclerotic plaques and in RAW264.7 cells. In vitro, E2 treatment reversed janus kinase/signal transducers and activators of transcription (JAK/STAT)-inhibited ABCA1 expression in RAW264.7 cells but had no effect on ABCA1 expression in SOCS3 knockdown cells. SOCS3 overexpression elevated ABCA1 expression through the inhibition of JAK2/STAT3 phosphorylation. Finally, we also found that E2 enhanced the cholesterol efflux to apoA I in RAW264.7 cells. In summary, E2 reduces atherosclerosis in ApoE null mice associated with upregulating ABCA1 expression and modulating the cholesterol efflux, which are dependent on SOCS3 upregulation. These results provide new insight into the athero-protective effects of estrogen. © Georg Thieme Verlag KG Stuttgart · New York.

  3. The impact of soil redistribution on SOC pools in a Mediterranean agroforestry catchment

    NASA Astrophysics Data System (ADS)

    Quijano, Laura; Gaspar, Leticia; Lizaga, Iván; Navas, Ana

    2017-04-01

    Soil redistribution processes play an important role influencing the spatial distribution patterns of soil and associated soil organic carbon (SOC) at landscape scale. Information on drivers of SOC dynamics is key for evaluating both soil degradation and SOC stability that can affect soil quality and sustainability. 137Cs measurements provide a very effective tool to infer spatial patterns of soil redistribution and quantify soil redistribution rates in different landscapes, but to date these data are scarce in mountain Mediterranean agroecosystems. We evaluate the effect of soil redistribution on SOC and SOC pools in relation to land use in a Mediterranean mountain catchment (246 ha). To this purpose, two hundred and four soil bulk cores were collected on a 100 m grid in the Estaña lakes catchment located in the central sector of the Spanish Pyrenees (31T 4656250N 295152E). The study area is an agroforestry and endorheic catchment characterized by the presence of evaporite dissolution induced dolines, some of which host permanent lakes. The selected landscape is representative of rainfed areas of Mediterranean continental climate with erodible lithology and shallow soils, and characterized by an intense anthropogenic activity through cultivation and water management. The cultivated and uncultivated areas are heterogeneously distributed. SOC and SOC pools (the active and decomposable fraction, ACF and the stable carbon fraction SCF) were measured by the dry combustion method and soil redistribution rates were derived from 137Cs measurements. The results showed that erosion predominated in the catchment, most of soil samples were identified as eroded sites (n=114) with an average erosion rate of 26.9±51.4 Mg ha-1 y-1 whereas the mean deposition rate was 13.0±24.2 Mg ha-1 y-1. In cultivated soils (n=54) the average of soil erosion rate was significantly higher (78.5±74.4 Mg ha-1 y-1) than in uncultivated soils (6.8±10.4 Mg ha-1 y-1). Similarly, the mean of soil

  4. Total Storage and Landscape Partitioning of Soil Organic Carbon and Phytomass Carbon in Siberia

    NASA Astrophysics Data System (ADS)

    Siewert, M. B.; Hanisch, J.; Weiss, N.; Kuhry, P.; Hugelius, G.

    2014-12-01

    We present results of detailed partitioning of soil organic carbon (SOC) and phytomass carbon (PC) from two study sites in Siberia. The study sites in the Tundra (Kytalyk) and the Taiga (Spasskaya Pad) reflect two contrasting environments in the continuous permafrost zone. In total 57 individual field sites (24 and 33 per study site respectively) have have been sampled for SOC and PC along transects cutting across different land covers. In Kytalyk the sampling depth for the soil pedons was 1 m depth. In Spasskaya Pad where the active layer was significantly deeper, we aimed for 2 m depth or tried to include at least the top of the permafrost. Here the average depth of soil profiles was 152 cm. PC was sampled from 1x1 m ground coverage plots. In Spasskaya Pad tree phytomass was also estimated on a 5x5 m plot. The SOC storage was calculated separately for the intervals 0-30 cm, 30-100 cm and 100-200 cm (the latter only for Spasskaya Pad), as well as for organic layer vs. mineral soil, active layer vs. permafrost and for cryoturbated soil horizons. Landscape partitioning was performed by thematic up-scaling using a vegetation based land cover classification of very high resolution (2x2 m) satellite imagery. Non-Metric Multidimensional Scaling (NMDS) was used to explore the relationship of SOC with PC and different soil and permafrost related variables. The results show that the different land cover classes can be considered distinct storages of SOC, but that PC is not significantly related to total SOC storage. At both study sites the 30-100 cm SOC storage is more important for the total SOC storage than the 0-30 cm interval, and large portions of the total SOC are stored in the permafrost. The largest contribution comes from wetland pedons, but highly cryoturbated individual non-wetland pedons can match these. In Kytalyk the landscape partitioning of SOC mostly follows large scale geomorphological features, while in Spasskaya pad forest type also has a large

  5. The biology and mechanism of action of Suppressor of Cytokine Signaling 3 (SOCS3)

    PubMed Central

    Babon, Jeffrey; Nicola, Nicos A

    2013-01-01

    SOCS3 has been shown to be an important and non-redundant feedback inhibitor of several cytokines including LIF, IL-6, IL-11, CNTF, leptin and G-CSF. Loss of SOCS3 in vivo has profound effects on placental development, inflammation, fat-induced weight gain and insulin sensitivity. SOCS3 expression is induced by JAK/STAT signaling and it then binds to specific cytokine receptors (including gp130, G-CSF and leptin receptors). SOCS3 then inhibits JAK/STAT signaling in two distinct ways. First, SOCS3 is able to directly inhibit the catalytic activity of JAK1, JAK2 or TYK2 whilst remaining bound to the cytokine receptor. Second, SOCS3 recruits elongins B/C and cullin 5 to generate an E3 ligase that ubiquitinates both JAK and cytokine receptor targeting them for proteasomal degradation. Detailed in vivo studies have revealed that SOCS3 action not only limits the duration of cytokine signaling to prevent over-activity but it is also important in maintaining the specificity of cytokine signaling. PMID:22574771

  6. Soil organic carbon distribution in an agricultural catchment in Southern Brazil: from hillslope to catchment scale.

    NASA Astrophysics Data System (ADS)

    Trigalet, Sylvain; Chartin, Caroline; Van Oost, Kristof; van Wesemael, Bas

    2017-04-01

    Understanding the soil organic carbon (SOC) distribution a few decades after conversion to cropland and plantations in a hilly catchment in southern Brazil is challenging due to scale-dependent controlling factors. Firstly, SOC, bulk density (BD) and texture were measured by depth intervals along 18 soil profiles located in three topographical positions (sloping plateau, central back slope and concave foot slope) in cropland and forest with contrasting slopes. SOC stocks in concave footslope position were not significantly different between fields on steep (11.1 kg C m-2) and gentle slopes (12.8 kg C m-2). However, in eroding profiles, SOC stocks are twice as high in fields on gentle slopes (17.6/12.6 kg C m-2) compared to steep slopes (8.3/7.1 kg C m-2). SOC stocks on steep slope on cropland (8.8 kg C m-2) are three times lower than SOC stocks on steep slope under undisturbed forest (23.7 kg C m-2). On gentle slopes, the effect of deforestation on SOC stocks was not so drastic (14.3 and 14.4 kg C m-2). Therefore, contrasting topography generates different patterns of SOC redistribution in the catchment. The effect of conversion to cropland is probably due to soil redistribution by water and tillage erosion aggravated by the steep terrain. Secondly, in order to assess the heterogeneity of SOC distribution at catchment scale, samples were collected at 10-20; 40-50 and 75-85 cm in 167 soil profiles sampled with an auger. SOC concentrations (gC kg-1 ) in numerous bulk soil samples (n = 378) were predicted by VIS-NIR spectroscopy and partial least-square regression models. SOC stocks were assessed by a mass preserving spline tool by interpolating SOC mass at the three non-contiguous depth intervals. Samples of calibration-validation dataset (n = 95) were used for physical SOC fractionation allowing the measurement of carbon associated with < 20 μm fraction. Multivariate linear regression models and Pearson correlation coefficients were used to assess the influence of

  7. Greater carbon stocks and faster turnover rates with increasing agricultural productivity

    NASA Astrophysics Data System (ADS)

    Sanderman, J.; Fallon, S.; Baisden, T. W.

    2013-12-01

    H.H. Janzen (2006) eloquently argued that from an agricultural perspective there is a tradeoff between storing carbon as soil organic matter (SOM) and the soil nutrient and energy benefit provided during SOM mineralization. Here we report on results from the Permanent Rotation Trial at the Waite Agricultural Institute, South Australia, indicating that shifting to an agricultural management strategy which returns more carbon to the soil, not only leads to greater carbon stocks but also increases the rate of carbon cycling through the soil. The Permanent Rotation Trial was established on a red Chromosol in 1925 with upgrades made to several treatments in 1948. Decadal soil samples were collected starting in 1963 at two depths, 0-10 and 10-22.5 cm, by compositing 20 soil cores taken along the length of each plot. We have chosen to analyze five trials representing a gradient in productivity: permanent pasture (Pa), wheat-pasture rotation (2W4Pa), continuous wheat (WW), wheat-oats-fallow rotation (WOF) and wheat-fallow (WF). For each of the soil samples (40 in total), the radiocarbon activity in the bulk soil as well as size-fractionated samples was measured by accelerator mass spectrometry at ANU's Radiocarbon Dating Laboratory (Fallon et al. 2010). After nearly 70 years under each rotation, SOC stocks increased linearly with productivity data across the trials from 24 to 58 tC ha-1. Importantly, these differences were due to greater losses over time in the low productivity trials rather than gains in SOC in any of the trials. Uptake of the bomb-spike in atmospheric 14C into the soil was greatest in the trials with the greatest productivity. The coarse size fraction always had greater Δ14C values than the bulk soil samples. Several different multi-pool steady state and non-steady state models were used to interpret the Δ14C data in terms of SOC turnover rates. Regardless of model choice, either the decay rates of all pools needed to increase or the allocation of C to

  8. NEW EPICS/RTEMS IOC BASED ON ALTERA SOC AT JEFFERSON LAB

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yan, Jianxun; Seaton, Chad; Allison, Trent L.

    A new EPICS/RTEMS IOC based on the Altera System-on-Chip (SoC) FPGA is being designed at Jefferson Lab. The Altera SoC FPGA integrates a dual ARM Cortex-A9 Hard Processor System (HPS) consisting of processor, peripherals and memory interfaces tied seamlessly with the FPGA fabric using a high-bandwidth interconnect backbone. The embedded Altera SoC IOC has features of remote network boot via U-Boot from SD card or QSPI Flash, 1Gig Ethernet, 1GB DDR3 SDRAM on HPS, UART serial ports, and ISA bus interface. RTEMS for the ARM processor BSP were built with CEXP shell, which will dynamically load the EPICS applications atmore » runtime. U-Boot is the primary bootloader to remotely load the kernel image into local memory from a DHCP/TFTP server over Ethernet, and automatically run RTEMS and EPICS. The first design of the SoC IOC will be compatible with Jefferson Lab’s current PC104 IOCs, which have been running in CEBAF 10 years. The next design would be mounting in a chassis and connected to a daughter card via standard HSMC connectors. This standard SoC IOC will become the next generation of low-level IOC for the accelerator controls at Jefferson Lab.« less

  9. Landscape-scale modelling of soil carbon dynamics under land use and climate change

    NASA Astrophysics Data System (ADS)

    Lacoste, Marine; Viaud, Valérie; Michot, Didier; Christian, Walter

    2013-04-01

    Soil organic carbon (SOC) sequestration is highly linked to soil use and farming practices, but also to soil redistributions, soil properties, and climate. In a global change context, landscape, farming practice and climate changes are expected; and they will most probably impact SOC dynamics. To assess their respective impacts, we modelled the SOC contents and stocks evolution at the scale of an agricultural landscape, by taking into account the soil redistribution by tillage and water processes. The simulations were conducted from 2010 to 2100 under different scenarios of landscape and climate. These scenarios combined different land uses associated to specific farming practices (mixed dairy with rotations of crops and grasslands, intensive cropping with only crops rotations or permanent grasslands), landscape managements (hedges planting or removal), and climates (business-as-usual climate and climate change, with temperature and precipitations increase). We used a spatially SOC dynamic model (adapted from RothC), coupled to a soil redistribution model (LandSoil). SOC dynamics were spatially modelled with a lateral resolution of 2-m and for soil organic layers up to 105 cm. Initial SOC stocks were described with a 2-m resolution map based on field data and produced with digital soil mapping methods. The major factor of change in SOC stocks was land use change, the second factor of importance was climate change, and finally landscape management: for the total SOC stocks (0-to-105 cm soil layer) the change of land use, climate and landscape management induced a respective mean absolute variation of 10 to 20 tC ha-1, 9 tC ha-1 and 0.4 tC ha-1. When considering the 0-to-105 cm soil layer, the different modelled landscapes showed the same sensitivity to climate change, with induced a mean decrease of 10 tC ha-1. However, the impact of climate change was found different according to the different modelled landscape when considering the 0-to-7.5 and 0-to-30 cm soil

  10. SOCS3 deletion in B cells alters cytokine responses and germinal center output

    PubMed Central

    Jones, Sarah A.; White, Christine A.; Robb, Lorraine; Alexander, Warren S.; Tarlinton, David M.

    2011-01-01

    B cell behaviour is fine-tuned by internal regulatory mechanisms and external cues such as cytokines and chemokines. SOCS3 is a key regulator of STAT3-dependent cytokine responses in many cell types, and has been reported to inhibit CXCL12-induced retention of immature B cells in the bone marrow. Using mice with SOCS3 exclusively deleted in the B cell lineage (Socs3Δ/Δmb1cre+), we analysed the role of SOCS3 in the response of these cells to CXCL12 and the STAT3-inducing cytokines IL-6 and IL-21. Our findings refute a B cell-intrinsic role for SOCS3 in B cell development, as SOCS3 deletion in the B lineage did not affect B cell populations in naïve mice. SOCS3 was strongly induced in B cells stimulated with IL-21 and in plasma cells exposed to IL-6. Its deletion permitted excessive and prolonged STAT3 signaling following IL-6 stimulation of plasma cells, and in a T cell-dependent immunization model, reduced the number of GC B cells formed and altered the production of antigen-specific IgM and IgE. These data demonstrate a novel regulatory signal transduction circuit in plasma cells, providing the first evidence of how these long-lived, sessile cells respond to the external signals that mediate their longevity. PMID:22075701

  11. Striped bass stocks and concentrations of polychlorinated biphenyls

    USGS Publications Warehouse

    Fabrizio, Mary C.; Sloan, Ronald J.; O'Brien, John F.

    1991-01-01

    Harvest restrictions on striped bass Morone saxatilis fisheries in Atlantic coastal states were relaxed in 1990, but consistent, coastwide regulations of the harvest have been difficult to implement because of the mixed-stock nature of the fisheries and the recognized contamination of Hudson River fish by polychlorinated biphenyls (PCBs). We examined PCB concentrations and stock of origin of coastal striped bass to better understand the effects of these two factors on the composition of the harvest. The probability of observing differences in PCB concentration among fish from the Hudson River stock and the 'southern' group (Chesapeake Bay and Roanoke River stocks combined) was investigated with the logit model (a linear model for analysis of categorical data). Although total PCB concentrations were highly variable among fish from the two groups, striped bass classified as Hudson River stock had a significantly greater probability of having PCB concentrations equal to or greater than 2.00 mg/kg than did fish belonging to the southern group for all age- and size-classes examined. There was a significantly greater probability of observing total PCB concentrations equal to or exceeding 2.00 mg/kg in fish that were 5, 6, and 7 or more years old, and this probability increased linearly with age. We observed similar results when we examined the effect of size on total PCB concentration. The minimum-size limit estimated to permit escapement of fish to sustain stock production is 610 mm total length. Unless total PCB concentrations decrease in striped bass, it is likely that many harvestable fish will have concentrations that exceed the tolerance limit set by the U.S. Food and Drug Administration.

  12. Cloning and characterization of three suppressors of cytokine signaling (SOCS) genes from the Pacific oyster, Crassostrea gigas.

    PubMed

    Li, Jun; Zhang, Yang; Zhang, Yuehuan; Liu, Ying; Xiang, Zhiming; Qu, Fufa; Yu, Ziniu

    2015-06-01

    Members of the suppressor of cytokine signaling (SOCS) family are crucial for the control of a variety of signal transduction pathways that are involved in the immunity, growth and development of organisms. However, in mollusks, the identity and function of SOCS proteins remain largely unclear. In the present study, three SOCS genes, CgSOCS2, CgSOCS5 and CgSOCS7, have been identified by searching and analyzing the Pacific oyster genome. Structural analysis indicated that the CgSOCS share conserved functional domains with their vertebrate counterparts. Phylogenetic analysis showed that the three SOCS genes clustered into two distinct groups, the type I and II subfamilies, indicating that these subfamilies had common ancestors. Tissue-specific expression results showed that the three genes were constitutively expressed in all examined tissues and were highly expressed in immune-related tissues, such as the hemocytes, gills and digestive gland. The expression of CgSOCS can also be induced to varying degrees in hemocytes after challenge with pathogen-associated molecular patterns (PAMPs). Moreover, dual-luciferase reporter assays showed that the over-expression of CgSOCS2 and CgSOCS7, but not CgSOC5, can activate an NF-κB reporter gene. Collectively, these results demonstrated that the CgSOCS might play an important role in the innate immune responses of the Pacific oyster. Copyright © 2015 Elsevier Ltd. All rights reserved.

  13. Toward inventory-based estimates of soil organic carbon in forests of the United States

    Treesearch

    G.M. Domke; C.H. Perry; B.F. Walters; L.E. Nave; C.W. Woodall; C.W. Swanston

    2017-01-01

    Soil organic carbon (SOC) is the largest terrestrial carbon (C) sink on Earth; this pool plays a critical role in ecosystem processes and climate change. Given the cost and time required to measure SOC, and particularly changes in SOC, many signatory nations to the United Nations Framework Convention on Climate Change report estimates of SOC stocks and stock changes...

  14. A Low Power SOC Architecture for the V2.0+EDR Bluetooth Using a Unified Verification Platform

    NASA Astrophysics Data System (ADS)

    Kim, Jeonghun; Kim, Suki; Baek, Kwang-Hyun

    This paper presents a low-power System on Chip (SOC) architecture for the v2.0+EDR (Enhanced Data Rate) Bluetooth and its applications. Our design includes a link controller, modem, RF transceiver, Sub-Band Codec (SBC), Expanded Instruction Set Computer (ESIC) processor, and peripherals. To decrease power consumption of the proposed SOC, we reduce data transfer using a dual-port memory, including a power management unit, and a clock gated approach. We also address some of issues and benefits of reusable and unified environment on a centralized data structure and SOC verification platform. This includes flexibility in meeting the final requirements using technology-independent tools wherever possible in various processes and for projects. The other aims of this work are to minimize design efforts by avoiding the same work done twice by different people and to reuse the similar environment and platform for different projects. This chip occupies a die size of 30mm2 in 0.18µm CMOS, and the worst-case current of the total chip is 54mA.

  15. Soil organic carbon sequestration potential and gap of the sub-tropical region

    NASA Astrophysics Data System (ADS)

    Chiti, T.; Santini, M.; Valentini, R.

    2012-04-01

    A database of soil organic carbon (SOC) stocks was created for the sub-tropical belt using existing global SOC databases (WISE3; various SOTER) and new data from an ongoing project (ERC Africa-GHG) specific for the tropical forests of the African continent. The intent of this database is to evaluate the sequestration potential of a critical area of the world where most of the primary rainforests are located, and actually show undoubtedly high SOC losses associated with deforestation. About 4100 profiles, quite well distributed over the entire sub-tropical belt, were used to calculate the actual SOC stock for the 0-30 cm and 30-100 cm depths of mineral soil. First, this actual SOC stock has been related to the current Land Use Systems; successively, it has been interpolated taking into account Homogeneous Land Units (HLUs) in terms of soil type, climate zone and land use. Then, relying on consistent projections, of both climate and land use changes, for the years 2050 and 2100 under extremes IPCC-SRES emission scenarios such as the B1 and the A2, potential SOC stocks for these time frames has been calculated. Soil carbon sequestration gap is calculated by the difference of the actual SOC stock and the future projections. When subtracting potential from the actual SOC stocks, negative values represent a gap in terms of possible SOC losses and so reduced carbon sequestration. The soil carbon gap indicates locations where there will be low soil-carbon levels associated with medium-to-high actual SOC stocks, and medium soil-carbon levels associated with high actual SOC stocks, depending on soil type, climate and land use conditions. On the long term, 2076-2100, a SOC gap is observed under all scenarios in South America, just below the Amazonia basin, where are located open and fragmented forests. However, in the Amazonia basin deforestation decrease since no sensible SOC losses were observed. An important gap is observed also in the Congo basin and West Africa, but the

  16. Clay illuviation provides a long-term sink for C sequestration in subsoils

    NASA Astrophysics Data System (ADS)

    Torres-Sallan, Gemma; Schulte, Rogier P. O.; Lanigan, Gary J.; Byrne, Kenneth A.; Reidy, Brian; Simó, Iolanda; Six, Johan; Creamer, Rachel E.

    2017-04-01

    Soil plays a key role in the global carbon (C) cycle. Most current assessments of SOC stocks and the guidelines given by Intergovernmental Panel on Climate Change (IPCC) focus on the top 30 cm of soil. Our research shows that, when considering only total quantities, most of the SOC stocks are found in this top layer. However, not all forms of SOC are equally valuable as long-term stable stores of carbon: the majority of SOC is available for mineralisation and can potentially be re-emitted to the atmosphere. SOC associated with micro-aggregates and silt plus clay fractions is more stable and therefore represents a long-term carbon store. Our research shows that most of this stable carbon is located at depths below 30 cm (42% of subsoil SOC is located in microaggregates and silt and clay, compared to 16% in the topsoil), specifically in soils that are subject to clay illuviation. This has implications for land management decisions in temperate grassland regions, defining the trade-offs between primary productivity and C emissions in clay-illuviated soils, as a result of drainage. Therefore, climate smart land management should consider the balance between SOC stabilisation in topsoils for productivity versus sequestration in subsoils for climate mitigation.

  17. Land use changing SOC pool: A field investigation from four catchments on the Loess Plateau in China

    NASA Astrophysics Data System (ADS)

    Guo, Shengli; Wang, Rui; Hu, Yaxian

    2017-04-01

    The Loess Plateau in China has long been known for severe erosion, a degraded ecosystem and heavy sediment delivery to the Yellow River. Apart from, the highly erodible loess soil and the hilly geomorphology, intensive cultivation has been caused such most destructive human activities. This made the Loess Plateau once the least fertile region in China with extreme poverty. To restore soil fertility and ecosystem sustainability, a national-level project was launched in 1990s to encourage land use changes via afforestation or conversion of cropland back to grassland or woodland. After nearly three decades of land use conversion, the SOC pool in the soil can be expected to have substantially changed. However, climate conditions, geomorphic types and soil properties were spatially distinctive across the Loess Plateau. Their individual as well interactive impacts on changes of soil carbon pool during land use conversions must thus be properly accounted for. In this study, four watersheds distributed over the Loess Plateau were investigated. The four watersheds mainly consisted of three geomorphic types: wide gully, loess ridge, and round knoll. On each geomorphic feature, three land use types prevailed: cropland, grassland and woodland. In total, 695 soil samples were taken from the top 20 cm of the four watersheds during 2010 and 2011. Our results show: 1) Degrees of erosion hugely differed among the four watersheds, with Catchment A (hilly) having three times more erosion modulus than the least eroded Catchment D (gully) (12000 vs. 1800 Mg per km2 per year). 2) The increasing SOC content from 4 mg g-1 at Catchment A to 8.1 mg g-1 at Catchment D agreed well with their decreasing erosion, suggesting that geomorphology induced erosion history was the predominant factor to set the general level of watershed-scale SOC reservoir. 3) Within each watershed, grassland and woodland consistently had at least 34% more SOC than cropland, demonstrating the influence of land use

  18. Land Use Changing SOC pool: A Field Investigation from Six Catchments on the Loess Plateau in China

    NASA Astrophysics Data System (ADS)

    Guo, S.; Wang, R.; Hu, Y.

    2016-12-01

    The Loess Plateau in China has long been known for severe erosion, degraded ecosystem and heavy sediment delivery to the Yellow River. Besides the highly erodible loess soil and the hilly geomorphology nature, intensive cultivation has been accused as one of the most destructive anthropogenic activities undermining erosion situation on the Loess Plateau. This made the Loess Plateau once the least fertile region in China with extreme poverty. To preserve soil fertility and ecosystem sustainability, a magnificent national-level project was launched in 1990s to encourage land use changes via afforestation or conversion cropland back to grassland or woodland. After nearly three decades, SOC pool must have been substantially changed following land use conversions. However, climate conditions, geomorphic types and soil properties were spatially distinctive across the Loess Plateau. Their individual as well interactive impacts on changes of soil carbon pool during land use conversions must be properly accounted for. In this study, six watersheds well distributed on the Loess Plateau were investigated. The six watersheds mainly represented three geomorphic types (wide gully, loess ridge, and round knoll), each with three land use types (cropland, grassland and woodland). In total, 695 soil samples were taken from the top 20 cm of the six watersheds during 2010 and 2011. Our results show: 1) Degrees of erosion hugely differed among the six watersheds, with Huangfuchuan having three times more erosion modulus than the least eroded Gaoquangou (21000 vs. 6120 t km-2 per year). 2) The increasing SOC content from 4 mg g-1 at Huangfuchuan to 8.1 mg g-1 at Gaoquangou agreed well with their decreasing erosion modulus, suggesting that geomorphology induced erosion history was the predominant factor to set the general level of watershed-scale SOC reservoir. 3) Within each watershed, grassland and woodland consistently had at least 34% more SOC than cropland, demonstrating the

  19. Reduced frequency of murine cytomegalovirus retinitis in C57BL/6 mice correlates with low levels of suppressor of cytokine signaling (SOCS)1 and SOCS3 expression within the eye during corticosteroid-induced immunosuppression.

    PubMed

    Alston, Christine I; Dix, Richard D

    2017-09-01

    AIDS-related human cytomegalovirus retinitis remains a leading cause of blindness worldwide. We compared two C57BL/6 mouse models of experimental murine cytomegalovirus (MCMV) retinitis for intraocular expression of suppressors of cytokine signaling (SOCS)1 and SOCS3, host proteins that are inducible negative feedback regulators of cytokine signaling. These mouse models differed in method of immune suppression, one by retrovirus-induced immune suppression (MAIDS) and the other by corticosteroid-induced immune suppression. Following subretinal injection of MCMV to induce retinitis, intraocular SOCS1 and SOCS3 were only mildly stimulated, and often without significance, within MCMV-infected eyes during the progression of MCMV retinitis in corticosteroid-immunosuppressed mice, contrary to MCMV-infected eyes of mice with MAIDS that showed significant high stimulation of SOCS1 and SOCS3 expression in agreement with previous findings. Frequency and severity of retinitis as well as amounts of intraocular infectious MCMV in corticosteroid-immunosuppressed mice were also unexpectedly lower than values previously reported for MAIDS animals during MCMV retinitis. These data reveal a major difference between two mouse models of experimental MCMV retinitis and suggest a possible link between the amplitude of SOCS1 and SOCS3 stimulation and severity of disease in these models. Copyright © 2017 Elsevier Ltd. All rights reserved.

  20. Statistical properties of trading activity in Chinese stock market

    NASA Astrophysics Data System (ADS)

    Sun, Xiaoqian; Cheng, Xueqi; Shen, Huawei; Wang, Zhaoyang

    2010-08-01

    We investigate the statistical properties of traders' trading behavior using cumulative distribution function(CDF). We analyze exchange data of 52 stocks for one-year period which contains non-manipulated stocks and manipulated stocks published by China Securities Regulatory Commission(CSRC). By analyzing the total number of transactions and the trading volume of each trader over a year, we find the cumulative distributions have power-law tails and the distributions between non-manipulated stocks and manipulated stocks are different. These findings can help us to detect the manipulated stocks.

  1. Suppressor of cytokine signaling 1 (SOCS1) mitigates anterior uveitis and confers protection against ocular HSV-1 infection.

    PubMed

    Yu, Cheng-Rong; Hayashi, Kozaburo; Lee, Yun Sang; Mahdi, Rashid M; Shen, De Fen; Chan, Chi-Chao; Egwuagu, Charles E

    2015-04-01

    Immunological responses to pathogens are stringently regulated in the eye to prevent excessive inflammation that damage ocular tissues and compromise vision. Suppressors of cytokine signaling (SOCS) regulate intensity/duration of inflammatory responses. We have used SOCS1-deficient mice and retina-specific SOCS1 transgenic rats to investigate roles of SOCS1 in ocular herpes simplex virus (HSV-1) infection and non-infectious uveitis. We also genetically engineered cell-penetrating SOCS proteins (membrane-translocating sequence (MTS)-SOCS1, MTS-SOCS3) and examined whether they can be used to inhibit inflammatory cytokines. Overexpression of SOCS1 in transgenic rat eyes attenuated ocular HSV-1 infection while SOCS1-deficient mice developed severe non-infectious anterior uveitis, suggesting that SOCS1 may contribute to mechanism of ocular immune privilege by regulating trafficking of inflammatory cells into ocular tissues. Furthermore, MTS-SOCS1 inhibited IFN-γ-induced signal transducers and activators of transcription 1 (STAT1) activation by macrophages while MTS-SOCS3 suppressed expansion of pathogenic Th17 cells that mediate uveitis, indicating that MTS-SOCS proteins maybe used to treat ocular inflammatory diseases of infectious or autoimmune etiology.

  2. Growth and contribution of stocked channel catfish, Ictalurus punctatus (Rafinesque, 1818): the importance of measuring post-stocking performance

    USGS Publications Warehouse

    Stewart, David R.; Long, James M.

    2015-01-01

    In this study it was sought to quantify post-stocking growth, survival, and contribution of advanced size (178 mm total length [TL]) channel catfish Ictalurus punctatus fingerlings, something rarely done. Channel catfish populations were evaluated before (May 2010) and after (May to August 2011 and 2012) stocking. Relative abundance, stocking contribution, and growth were different (P < 0.05) in the two study impoundments (lakes Lone Chimney and Greenleaf, Oklahoma). For fish stocked in Lake Lone Chimney, stocking contribution was lower (3–35%), and average length and weight of stocked fish by age-2 reached 230 mm TL and 85 g, whereas the stocking contribution (84–98%) and growth in length (340 mm TL) and weight (280 g) were higher by age-2 in Lake Greenleaf. Given these unambiguous differences of post-stocking performance, benchmark metrics that represent population-level information such as relative abundance and average length and weight of the sample masked these significant differences, highlighting the importance of marking hatchery-fish and then following them through time to determine the effectiveness of stocking. These results suggest that stock enhancement programmes would benefit from studies that quantify post-stocking performance of hatchery fish.

  3. Reduced Socs3 expression in adipose tissue protects female mice against obesity-induced insulin resistance.

    PubMed

    Palanivel, R; Fullerton, M D; Galic, S; Honeyman, J; Hewitt, K A; Jorgensen, S B; Steinberg, G R

    2012-11-01

    Inflammation in obesity increases the levels of the suppressor of cytokine signalling-3 (SOCS3) protein in adipose tissue, but the physiological importance of this protein in regulating whole-body insulin sensitivity in obesity is not known. We generated Socs3 floxed (wild-type, WT) and Socs3 aP2 (also known as Fabp4)-Cre null (Socs3 AKO) mice. Mice were maintained on either a regular chow or a high-fat diet (HFD) for 16 weeks during which time body mass, adiposity, glucose homeostasis and insulin sensitivity were assessed. The HFD increased SOCS3 levels in adipose tissue of WT but not Socs3 AKO mice. WT and Socs3 AKO mice had similar body mass and adiposity, assessed using computed tomography (CT) imaging, irrespective of diet or sex. On a control chow diet there were no differences in insulin sensitivity or glucose tolerance. When fed a HFD, female but not male Socs3 AKO mice had improved glucose tolerance as well as lower fasting glucose and insulin levels compared with WT littermates. Hyperinsulinaemic-euglycaemic clamps and positron emission tomography (PET) imaging demonstrated that improved insulin sensitivity was due to elevated adipose tissue glucose uptake. Increased insulin-stimulated glucose uptake in adipose tissue was associated with enhanced levels and activating phosphorylation of insulin receptor substrate-1 (IRS1). These data demonstrate that inhibiting SOCS3 production in adipose tissue of female mice is effective for improving whole-body insulin sensitivity in obesity.

  4. soc-2 encodes a leucine-rich repeat protein implicated in fibroblast growth factor receptor signaling

    PubMed Central

    Selfors, Laura M.; Schutzman, Jennifer L.; Borland, Christina Z.; Stern, Michael J.

    1998-01-01

    Activation of fibroblast growth factor (FGF) receptors elicits diverse cellular responses including growth, mitogenesis, migration, and differentiation. The intracellular signaling pathways that mediate these important processes are not well understood. In Caenorhabditis elegans, suppressors of clr-1 identify genes, termed soc genes, that potentially mediate or activate signaling through the EGL-15 FGF receptor. We demonstrate that three soc genes, soc-1, soc-2, and sem-5, suppress the activity of an activated form of the EGL-15 FGF receptor, consistent with the soc genes functioning downstream of EGL-15. We show that soc-2 encodes a protein composed almost entirely of leucine-rich repeats, a domain implicated in protein–protein interactions. We identified a putative human homolog, SHOC-2, which is 54% identical to SOC-2. We find that shoc-2 maps to 10q25, shoc-2 mRNA is expressed in all tissues assayed, and SHOC-2 protein is cytoplasmically localized. Within the leucine-rich repeats of both SOC-2 and SHOC-2 are two YXNX motifs that are potential tyrosine-phosphorylated docking sites for the SEM-5/GRB2 Src homology 2 domain. However, phosphorylation of these residues is not required for SOC-2 function in vivo, and SHOC-2 is not observed to be tyrosine phosphorylated in response to FGF stimulation. We conclude that this genetic system has allowed for the identification of a conserved gene implicated in mediating FGF receptor signaling in C. elegans. PMID:9618511

  5. 47 CFR 65.303 - Cost of preferred stock.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... Preferred Dividends” is the total dividends on preferred stock for the most recent two years for all local... issuance of preferred stock for the most recent two years for all local exchange carriers with annual...

  6. 47 CFR 65.303 - Cost of preferred stock.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... Preferred Dividends” is the total dividends on preferred stock for the most recent two years for all local... issuance of preferred stock for the most recent two years for all local exchange carriers with annual...

  7. 47 CFR 65.303 - Cost of preferred stock.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... Preferred Dividends” is the total dividends on preferred stock for the most recent two years for all local... issuance of preferred stock for the most recent two years for all local exchange carriers with annual...

  8. 47 CFR 65.303 - Cost of preferred stock.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... Preferred Dividends” is the total dividends on preferred stock for the most recent two years for all local... issuance of preferred stock for the most recent two years for all local exchange carriers with annual...

  9. 47 CFR 65.303 - Cost of preferred stock.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... Preferred Dividends” is the total dividends on preferred stock for the most recent two years for all local... issuance of preferred stock for the most recent two years for all local exchange carriers with annual...

  10. Language Choice on soc.culture.punjab.

    ERIC Educational Resources Information Center

    Paolillo, John C.

    1996-01-01

    Examines factors influencing language choice on the newsgroup soc.culture.punjab, a forum discussing the culture of the Punjab region of India and Pakistan. Finds that Punjabi is used only with interlocutors who are Punjabi community members. Accounts for limited usage in terms of intergenerational language shift, cultural ambivalence among…

  11. Carbon stock and its responses to climate change in Central Asia.

    PubMed

    Li, Chaofan; Zhang, Chi; Luo, Geping; Chen, Xi; Maisupova, Bagila; Madaminov, Abdullo A; Han, Qifei; Djenbaev, Bekmamat M

    2015-05-01

    Central Asia has a land area of 5.6 × 10(6) km(2) and contains 80-90% of the world's temperate deserts. Yet it is one of the least characterized areas in the estimation of the global carbon (C) stock/balance. This study assessed the sizes and spatiotemporal patterns of C pools in Central Asia using both inventory (based on 353 biomass and 284 soil samples) and process-based modeling approaches. The results showed that the C stock in Central Asia was 31.34-34.16 Pg in the top 1-m soil with another 10.42-11.43 Pg stored in deep soil (1-3 m) of the temperate deserts. They amounted to 18-24% of the global C stock in deserts and dry shrublands. The C stock was comparable to that of the neighboring regions in Eurasia or major drylands around the world (e.g. Australia). However, 90% of Central Asia C pool was stored in soil, and the fraction was much higher than in other regions. Compared to hot deserts of the world, the temperate deserts in Central Asia had relatively high soil organic carbon density. The C stock in Central Asia is under threat from dramatic climate change. During a decadal drought between 1998 and 2008, which was possibly related to protracted La Niña episodes, the dryland lost approximately 0.46 Pg C from 1979 to 2011. The largest C losses were found in northern Kazakhstan, where annual precipitation declined at a rate of 90 mm decade(-1) . The regional C dynamics were mainly determined by changes in the vegetation C pool, and the SOC pool was stable due to the balance between reduced plant-derived C influx and inhibited respiration. © 2015 John Wiley & Sons Ltd.

  12. Conservation Tillage on the Loess Plateau, China: Food security, Yes; Carbon sequestration, No?

    NASA Astrophysics Data System (ADS)

    Kuhn, Nikolaus; Hu, Yaxian; Xiao, Liangang; Greenwood, Phil; Bloemertz, Lena

    2015-04-01

    Climate change is expected to affect food security globally and increase the variability in food supply. At the same time, agricultural practices offer a great potential for mitigating and adapting to climate change. In China, food security has increased in the last decades with the number of undernourished people declining from 21% in 1990 to 12% today. However, the limited relative amount of arable land and scarce water supplies will remain a challenge. The Loess Plateau of China, located in the mid-upper reaches of the Yellow River and has an area of some 630000 km2 with a high agricultural potential. However, due to heavy summer rainstorms, steep slopes, low vegetation cover, and highly erodible soils, the Loess Plateau has become one of the most severely eroded areas in the world. Up to 70% of arable land is affected by an annual soil loss of 20-25 ton ha-1, far exceeding the threshold for sustainable use (10 ton ha-1). Rainfed farming systems are dominant on the Loess Plateau, and the farmers in this area have been exposed to a steadily increasing temperature as well as an erratic, but slightly decreasing rainfall since 1970. Therefore, adaptation of the regional agriculture is required to adapt to climate change and may be even engaged in mitigation. This study analyzed the potential contribution of conservation tillage to adaptation and mitigation of climate change on the Loess Plateau. In total, 15 papers published in English were reviewed, comparing two tillage practices, conventional tillage (CT) and conservation tillage typically represented by no-tillage (NT). Soil organic carbon (SOC) stock across soil depths as well yields and the inter-annual variations with regards to and their annual rainfall precipitation were compared for NT and CT. Our results show that: 1) The benefit of NT compared to CT in terms of increasing total SOC stocks diminishes with soil depth, questioning the use of average SOC stocks observed in topsoil to estimate the potential

  13. Potential soil organic carbon stocks in semi arid areas under climate change scenarios: an application of CarboSOIL model in northern Egypt

    NASA Astrophysics Data System (ADS)

    Muñoz-Rojas, Miriam; Abd-Elmabod, Sameh K.; Jordán, Antonio; Zavala, Lorena M.; Anaya-Romero, Maria; De la Rosa, Diego

    2014-05-01

    1. INTRODUCTION Climate change is predicted to have a large impact on semi arid areas which are often degraded and vulnerable to environmental changes (Muñoz-Rojas et al., 2012a; 2012b; 2013). However, these areas might play a key role in mitigation of climate change effects through sequestration of carbon in soils (United Nations, 2011). At the same time, increasing organic carbon in these environments could be beneficial for soil erosion control, soil fertility and, ultimately, food production (Lal, 2004). Several approaches have been carried out to evaluate climate change impacts on soil organic carbon (SOC) stocks, but soil carbon models are amongst the most effective tools to assess C stocks, dynamics and distribution and to predict trends under climate change scenarios (Jones et al., 2005 ). CarboSOIL is an empirical model based on regression techniques and developed to predict SOC contents at standard soil depths of 0 to 25, 25 to 50 and 50-75 cm (Muñoz-Rojas et al., 2013). CarboSOIL model has been designed as a GIS-integrated tool and is a new component of the agroecological decision support system for land evaluation MicroLEIS DSS (De la Rosa et al., 2004). 2. GENERAL METHODS In this research, CarboSOIL was applied in El-Fayoum depression, a semi arid region located in northern Egypt with a large potential for agriculture (Abd-Elmabod et al, 2012). The model was applied in a total of six soil-units classified according the USDA Soil Taxonomy system within the orders Entisols and Aridisols under different climate climate change scenarios. Global climate models based on the Organisation for Economic Co-operation and Development (Agrawala at al., 2004) and the Intergovernmental Panel on Climate Change (IPCC, 2007) were applied to predict short-, medium- and long-term trends (2030, 2050 and 2100) of SOC dynamics and sequestration at different soil depths (0-25, 25-50 and 50-75) and land use types (irrigated areas, olive groves, wheat, cotton and other annual

  14. The role of minerals and mean annual temperature on soil carbon accumulation: A modeling analysis

    NASA Astrophysics Data System (ADS)

    Abramoff, R. Z.; Georgiou, K.; Tang, J.; Torn, M. S.; Riley, W. J.

    2016-12-01

    Soil organic carbon (SOC) is the largest actively cycling terrestrial C pool with mean residence times that can exceed 10,000 years. There is strong evidence suggesting that SOC dynamics depend on soil temperature and C inputs to soil through net primary production (NPP), but it is unclear what the relative importance of these factors is relative to SOC protection by minerals. Recent empirical studies have suggested that mineral protection explains more variation in SOC stock sizes and C respiration fluxes than does NPP or climate. Our previous modeling has demonstrated that representing the chemistry of mineral sorption in a microbially-explicit model affects the temperature sensitivity of SOC dynamics. We apply this modeling framework to interpret observations of SOC stocks, mineral surface availability, mean annual temperature (MAT), and NPP collected along a 4,000 km transect in South America. We use a Random Forest machine learning algorithm and regression to analyze our model output and the empirical data. This analysis shows that mineral surface availability is the dominant control over C respiration and SOC stock, and is substantially larger than the effects of belowground NPP. We further show that minerals interact with MAT to determine the observed range of SOC stocks along this transect in the present day, as well as projected SOC stocks under long-term warming. Our model-data comparison suggests that soil mineralogy and MAT will explain the majority of the spatial variation in SOC stock over decadal-to-millennial timescales. We extend the analysis of these interactions using the ACME Land Model (ALM) coupled with an explicit representation of microbes, minerals, and vertical transport of solutes and gases. The model results confirm the dominant effects of minerals on organic matter decomposition throughout the soil column.

  15. Reduced Socs3 expression in adipose tissue protects female mice against obesity-induced insulin resistance

    PubMed Central

    Palanivel, R.; Fullerton, M. D.; Galic, S.; Honeyman, J.; Hewitt, K. A.; Jorgensen, S. B.; Steinberg, G. R.

    2017-01-01

    Aims/hypothesis Inflammation in obesity increases the levels of the suppressor of cytokine signalling-3 (SOCS3) protein in adipose tissue, but the physiological importance of this protein in regulating whole-body insulin sensitivity in obesity is not known. Methods We generated Socs3 floxed (wild-type, WT) and Socs3 aP2 (also known as Fabp4)-Cre null (Socs3 AKO) mice. Mice were maintained on either a regular chow or a high-fat diet (HFD) for 16 weeks during which time body mass, adiposity, glucose homeostasis and insulin sensitivity were assessed. Results The HFD increased SOCS3 levels in adipose tissue of WT but not Socs3 AKO mice. WT and Socs3 AKO mice had similar body mass and adiposity, assessed using computed tomography (CT) imaging, irrespective of diet or sex. On a control chow diet there were no differences in insulin sensitivity or glucose tolerance. When fed a HFD, female but not male Socs3 AKO mice had improved glucose tolerance as well as lower fasting glucose and insulin levels compared with WT littermates. Hyperinsulinaemic–euglycaemic clamps and positron emission tomography (PET) imaging demonstrated that improved insulin sensitivity was due to elevated adipose tissue glucose uptake. Increased insulin-stimulated glucose uptake in adipose tissue was associated with enhanced levels and activating phosphorylation of insulin receptor substrate-1 (IRS1). Conclusions/interpretation These data demonstrate that inhibiting SOCS3 production in adipose tissue of female mice is effective for improving whole-body insulin sensitivity in obesity. PMID:22872213

  16. Calcineurin inhibitor-induced complement system activation via ERK1/2 signalling is inhibited by SOCS-3 in human renal tubule cells.

    PubMed

    Loeschenberger, Beatrix; Niess, Lea; Würzner, Reinhard; Schwelberger, Hubert; Eder, Iris E; Puhr, Martin; Guenther, Julia; Troppmair, Jakob; Rudnicki, Michael; Neuwirt, Hannes

    2018-02-01

    One factor that significantly contributes to renal allograft loss is chronic calcineurin inhibitor (CNI) nephrotoxicity (CIN). Among other factors, the complement (C-) system has been proposed to be involved CIN development. Hence, we investigated the impact of CNIs on intracellular signalling and the effects on the C-system in human renal tubule cells. In a qPCR array, CNI treatment upregulated C-factors and downregulated SOCS-3 and the complement inhibitors CD46 and CD55. Additionally, ERK1/-2 was required for these regulations. Following knock-down and overexpression of SOCS-3, we found that SOCS-3 inhibits ERK1/-2 signalling. Finally, we assessed terminal complement complex formation, cell viability and apoptosis. Terminal complement complex formation was induced by CNIs. Cell viability was significantly decreased, whereas apoptosis was increased. Both effects were reversed under complement component-depleted conditions. In vivo, increased ERK1/-2 phosphorylation and SOCS-3 downregulation were observed at the time of transplantation in renal allograft patients who developed a progressive decline of renal function in the follow-up compared to stable patients. The progressive cohort also had lower total C3 levels, suggesting higher complement activity at baseline. In conclusion, our data suggest that SOCS-3 inhibits CNI-induced ERK1/-2 signalling, thereby blunting the negative control of C-system activation. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Distinguishing manipulated stocks via trading network analysis

    NASA Astrophysics Data System (ADS)

    Sun, Xiao-Qian; Cheng, Xue-Qi; Shen, Hua-Wei; Wang, Zhao-Yang

    2011-10-01

    Manipulation is an important issue for both developed and emerging stock markets. For the study of manipulation, it is critical to analyze investor behavior in the stock market. In this paper, an analysis of the full transaction records of over a hundred stocks in a one-year period is conducted. For each stock, a trading network is constructed to characterize the relations among its investors. In trading networks, nodes represent investors and a directed link connects a stock seller to a buyer with the total trade size as the weight of the link, and the node strength is the sum of all edge weights of a node. For all these trading networks, we find that the node degree and node strength both have tails following a power-law distribution. Compared with non-manipulated stocks, manipulated stocks have a high lower bound of the power-law tail, a high average degree of the trading network and a low correlation between the price return and the seller-buyer ratio. These findings may help us to detect manipulated stocks.

  18. Pasture degradation in Tibet: Drivers, mechanisms and consequences for C stocks and ecosystem stability

    NASA Astrophysics Data System (ADS)

    Kuzyakov, Yakov; Schleuss, Per-Marten; Guggenberger, Georg; Miehe, Georg; Coners, Heinz; Foken, Thomas; Wesche, Karsten; Hafner, Silke; Biermann, Tobias; Babel, Wolfgang; Gerken, Tobias; Unteregelsbacher, Sebastian; Seeber, Elke; Spielvogel, Sandra; Ingrisch, Johannes; Li, Xiaogang; Yue, Sun; Li, Qianru; Xu, Xingliang

    2017-04-01

    Kobresia grasslands on Tibetan Plateau have accumulated tremendous organic carbon (C) stocks, are an important grazing ground for local herdsmen, host a major portion of the regional terrestrial biodiversity, and supply large areas of SE Asia with water. All these ecosystem functions are threatened by large-scale soil degradation on the Tibetan Plateau. Nonetheless, the patterns and mechanisms of Kobresia pasture degradation, visible across the entire Tibetan Plateau, remain unknown. In the K. pygmaea core area, we studied natural and anthropogenic drivers of pasture degradation to discover new mechanisms and associated processes of soil organic carbon (SOC) loss. We show that livestock overgrazing and trampling in recent decades have triggered grassland degradation by initiating plant death and reducing grassland recovery. Combined with the harsh climate, this destroys the protective Kobresia turf. Considering these processes as well as other anthropogenic and natural drivers, a novel pasture degradation concept was developed. Pasture soils corresponding to the fiwe degradation stages were sampled and analyzed for physical, chemical and biological properties. Soil drought and frost lead to polygonal cracking of the Kobresia turf, already weakened by overgrazing. This induces gradual erosion by wind and water, extends the cracks and removes the upper carbon-enriched soil. Erosion-derived SOC losses amount to 5 kg C m-2 and are aggravated by decreasing root C input and increased SOC mineralization (both ca. 2.5 kg C m-2). Mineralization-derived SOC loss was reflected by a negative δ13C shift of SOC going from intact to severely degraded stages, and was caused by a relative enrichment of 13C-depleted lignin. In sum, degradation has released tremendous amounts of carbon back into the atmosphere as CO2, or as increased sediment load in rivers, connected with declining water quality off-site. Affected by changed local water budget, the regional clouds' formation starts

  19. Spatial variability in soil organic carbon in a tropical montane landscape: associations between soil organic carbon and land use, soil properties, vegetation, and topography vary across plot to landscape scales

    NASA Astrophysics Data System (ADS)

    de Blécourt, Marleen; Corre, Marife D.; Paudel, Ekananda; Harrison, Rhett D.; Brumme, Rainer; Veldkamp, Edzo

    2017-08-01

    Presently, the lack of data on soil organic carbon (SOC) stocks in relation to land-use types and biophysical characteristics prevents reliable estimates of ecosystem carbon stocks in montane landscapes of mainland SE Asia. Our study, conducted in a 10 000 ha landscape in Xishuangbanna, SW China, aimed at assessing the spatial variability in SOC concentrations and stocks, as well as the relationships of SOC with land-use types, soil properties, vegetation characteristics and topographical attributes at three spatial scales: (1) land-use types within a landscape (10 000 ha), (2) sampling plots (1 ha) nested within land-use types (plot distances ranging between 0.5 and 12 km), and (3) subplots (10 m radius) nested within sampling plots. We sampled 27 one-hectare plots - 10 plots in mature forests, 11 plots in regenerating or highly disturbed forests, and 6 plots in open land including tea plantations and grasslands. We used a sampling design with a hierarchical structure. The landscape was first classified according to land-use types. Within each land-use type, sampling plots were randomly selected, and within each plot we sampled within nine subplots. SOC concentrations and stocks did not differ significantly across the four land-use types. However, within the open-land category, SOC concentrations and stocks in grasslands were higher than in tea plantations (P < 0.01 for 0-0.15 m, P = 0.05 for 0.15-0.30 m, P = 0.06 for 0-0.9 m depth). The SOC stocks to a depth of 0.9 m were 177.6 ± 19.6 (SE) Mg C ha-1 in tea plantations, 199.5 ± 14.8 Mg C ha-1 in regenerating or highly disturbed forests, 228.6 ± 19.7 Mg C ha-1 in mature forests, and 236.2 ± 13.7 Mg C ha-1 in grasslands. In this montane landscape, variability within plots accounted for more than 50 % of the overall variance in SOC stocks to a depth of 0.9 m and the topsoil SOC concentrations. The relationships of SOC concentrations and stocks with land-use types, soil properties, vegetation characteristics, and

  20. Enhancement of antiproliferative activity of interferons by RNA interference-mediated silencing of SOCS gene expression in tumor cells.

    PubMed

    Takahashi, Yuki; Kaneda, Haruka; Takasuka, Nana; Hattori, Kayoko; Nishikawa, Makiya; Watanabe, Yoshihiko; Takakura, Yoshinobu

    2008-08-01

    The suppressor of cytokine signaling (SOCS) proteins, negative regulators of interferon (IFN)-induced signaling pathways, is involved in IFN resistance of tumor cells. To improve the growth inhibitory effect of IFN-beta and IFN-gamma on a murine melanoma cell line, B16-BL6, and a murine colon carcinoma cell line, Colon26 cells, SOCS-1 and SOCS-3 gene expression in tumor cells was downregulated by transfection of plasmid DNA expressing short hairpin RNA targeting one of these genes (pshSOCS-1 and pshSOCS-3, respectively). Transfection of pshSOCS-1 significantly increased the antiproliferative effect of IFN-gamma on B16-BL6 cells. However, any other combinations of plasmids and IFN had little effect on the growth of B16-BL6 cells. In addition, transfection of pshSOCS-1 and pshSOCS-3 produced little improvement in the effect of IFN on Colon26 cells. To understand the mechanism underlining these findings, the level of SOCS gene expression was measured by real time polymerase chain reaction. Addition of IFN-gamma greatly increased the SOCS-1 mRNA expression in B16-BL6 cells. Taking into account the synergistic effect of pshSOCS-1 and IFN-gamma on the growth of B16-BL6 cells, these findings suggest that IFN-gamma-induced high SOCS-1 gene expression in B16-BL6 cells significantly interferes with the antiproliferative effect of IFN-gamma. These results indicate that silencing SOCS gene expression can be an effective strategy to enhance the antitumor effect of IFN under conditions in which the SOCS gene expression is upregulated by IFN.

  1. Deforestation and Carbon Stock Loss in Brazil's Amazonian Settlements

    NASA Astrophysics Data System (ADS)

    Yanai, Aurora Miho; Nogueira, Euler Melo; de Alencastro Graça, Paulo Maurício Lima; Fearnside, Philip Martin

    2017-03-01

    We estimate deforestation and the carbon stock in 2740 (82 %) of the 3325 settlements in Brazil's Legal Amazonia region. Estimates are made both using available satellite data and a carbon map for the "pre-modern" period (prior to 1970). We used data from Brazil's Project for Monitoring Deforestation in Amazonia updated through 2013 and from the Brazilian Biomes Deforestation Monitoring Project (PMDBBS) updated through 2010. To obtain the pre-modern and recent carbon stocks we performed an intersection between a carbon map and a map derived from settlement boundaries and deforestation data. Although the settlements analyzed occupied only 8 % of Legal Amazonia, our results indicate that these settlements contributed 17 % (160,410 km2) of total clearing (forest + non-forest) in Legal Amazonia (967,003 km2). This represents a clear-cutting of 41 % of the original vegetation in the settlements. Out of this total, 72 % (115,634 km2) was in the "Federal Settlement Project" (PA) category. Deforestation in settlements represents 20 % (2.6 Pg C) of the total carbon loss in Legal Amazonia (13.1 Pg C). The carbon stock in remaining vegetation represents 3.8 Pg C, or 6 % of the total remaining carbon stock in Legal Amazonia (58.6 Pg C) in the periods analyzed. The carbon reductions in settlements are caused both by the settlers and by external actors. Our findings suggest that agrarian reform policies contributed directly to carbon loss. Thus, the implementation of new settlements should consider potential carbon stock losses, especially if settlements are created in areas with high carbon stocks.

  2. Soil carbon sequestration due to post-Soviet cropland abandonment: estimates from a large-scale soil organic carbon field inventory.

    PubMed

    Wertebach, Tim-Martin; Hölzel, Norbert; Kämpf, Immo; Yurtaev, Andrey; Tupitsin, Sergey; Kiehl, Kathrin; Kamp, Johannes; Kleinebecker, Till

    2017-09-01

    The break-up of the Soviet Union in 1991 triggered cropland abandonment on a continental scale, which in turn led to carbon accumulation on abandoned land across Eurasia. Previous studies have estimated carbon accumulation rates across Russia based on large-scale modelling. Studies that assess carbon sequestration on abandoned land based on robust field sampling are rare. We investigated soil organic carbon (SOC) stocks using a randomized sampling design along a climatic gradient from forest steppe to Sub-Taiga in Western Siberia (Tyumen Province). In total, SOC contents were sampled on 470 plots across different soil and land-use types. The effect of land use on changes in SOC stock was evaluated, and carbon sequestration rates were calculated for different age stages of abandoned cropland. While land-use type had an effect on carbon accumulation in the topsoil (0-5 cm), no independent land-use effects were found for deeper SOC stocks. Topsoil carbon stocks of grasslands and forests were significantly higher than those of soils managed for crops and under abandoned cropland. SOC increased significantly with time since abandonment. The average carbon sequestration rate for soils of abandoned cropland was 0.66 Mg C ha -1  yr -1 (1-20 years old, 0-5 cm soil depth), which is at the lower end of published estimates for Russia and Siberia. There was a tendency towards SOC saturation on abandoned land as sequestration rates were much higher for recently abandoned (1-10 years old, 1.04 Mg C ha -1  yr -1 ) compared to earlier abandoned crop fields (11-20 years old, 0.26 Mg C ha -1  yr -1 ). Our study confirms the global significance of abandoned cropland in Russia for carbon sequestration. Our findings also suggest that robust regional surveys based on a large number of samples advance model-based continent-wide SOC prediction. © 2017 John Wiley & Sons Ltd.

  3. In-Class Simulation of Pooling Safety Stock

    ERIC Educational Resources Information Center

    Bandy, D. Brent

    2005-01-01

    In managing business process flows, safety stock can be used to protect against stockouts due to demand variability. When more than one location is involved, the concept of aggregation enables the pooling of demands and associated inventories, resulting in improved service levels without increasing the total level of safety stock. This pooling of…

  4. c-myc as a mediator of accelerated apoptosis and involution in mammary glands lacking Socs3

    PubMed Central

    Sutherland, Kate D; Vaillant, François; Alexander, Warren S; Wintermantel, Tim M; Forrest, Natasha C; Holroyd, Sheridan L; McManus, Edward J; Schutz, Gunther; Watson, Christine J; Chodosh, Lewis A; Lindeman, Geoffrey J; Visvader, Jane E

    2006-01-01

    Suppressor of cytokine signalling (SOCS) proteins are critical attenuators of cytokine-mediated signalling in diverse tissues. To determine the importance of Socs3 in mammary development, we generated mice in which Socs3 was deleted in mammary epithelial cells. No overt phenotype was evident during pregnancy and lactation, indicating that Socs3 is not a key physiological regulator of prolactin signalling. However, Socs3-deficient mammary glands exhibited a profound increase in epithelial apoptosis and tissue remodelling, resulting in precocious involution. This phenotype was accompanied by augmented Stat3 activation and a marked increase in the level of c-myc. Moreover, induction of c-myc before weaning using an inducible transgenic model recapitulated the Socs3 phenotype, and elevated expression of likely c-myc target genes, E2F-1, Bax and p53, was observed. Our data establish Socs3 as a critical attenuator of pro-apoptotic pathways that act in the developing mammary gland and provide evidence that c-myc regulates apoptosis during involution. PMID:17139252

  5. Critical and Independent Role for SOCS3 in Either Myeloid or T Cells in Resistance to Mycobacterium tuberculosis

    PubMed Central

    Carow, Berit; Reuschl, Ann-Kathrin; Gavier-Widén, Dolores; Jenkins, Brendan J.; Ernst, Matthias; Yoshimura, Akihiko; Chambers, Benedict J.; Rottenberg, Martin E.

    2013-01-01

    Suppressor of cytokine signalling 3 (SOCS3) negatively regulates STAT3 activation in response to several cytokines such as those in the gp130-containing IL-6 receptor family. Thus, SOCS3 may play a major role in immune responses to pathogens. In the present study, the role of SOCS3 in M. tuberculosis infection was examined. All Socs3fl/fl LysM cre, Socs3fl/fl lck cre (with SOCS3-deficient myeloid and lymphoid cells, respectively) and gp130F/F mice, with a mutation in gp130 that impedes binding to SOCS3, showed increased susceptibility to infection with M. tuberculosis. SOCS3 binding to gp130 in myeloid cells conveyed resistance to M. tuberculosis infection via the regulation of IL-6/STAT3 signalling. SOCS3 was redundant for mycobacterial control by macrophages in vitro. Instead, SOCS3 expression in infected macrophages and DCs prevented the IL-6-mediated inhibition of TNF and IL-12 secretion and contributed to a timely CD4+ cell-dependent IFN-γ expression in vivo. In T cells, SOCS3 expression was essential for a gp130-independent control of infection with M. tuberculosis, but was neither required for the control of infection with attenuated M. bovis BCG nor for M. tuberculosis in BCG-vaccinated mice. Socs3fl/fl lck cre mice showed an increased frequency of γδ+ T cells in different organs and an enhanced secretion of IL-17 by γδ+ T cells in response to infection. Socs3fl/fl lck cre γδ+ T cells impaired the control of infection with M. tuberculosis. Thus, SOCS3 expression in either lymphoid or myeloid cells is essential for resistance against M. tuberculosis via discrete mechanisms. PMID:23853585

  6. miR-122-SOCS1-JAK2 axis regulates allergic inflammation and allergic inflammation-promoted cellular interactions

    PubMed Central

    Kim, Hanearl; Kim, Hyuna; Byun, Jaehwan; Park, Yeongseo; Lee, Hansoo; Lee, Yun Sil; Choe, Jongseon; Kim, Young Myeong; Jeoung, Dooil

    2017-01-01

    The regulatory role of suppressor of cytokine signaling 1 (SOCS1) in inflammation has been reported. However, its role in allergic inflammation has not been previously reported. SOCS1 mediated in vitro and in vivo allergic inflammation. Histone deacetylase-3 (HDAC3), a mediator of allergic inflammation, interacted with SOCS1, and miR-384 inhibitor, a positive regulator of HDAC3, induced features of allergic inflammation in an SOCS1-dependent manner. miRNA array analysis showed that the expression of miR-122 was decreased by antigen-stimulation. TargetScan analysis predicted the binding of miR-122 to the 3′-UTR of SOCS1. miR-122 inhibitor induced in vitro and in vivo allergic features in SOCS1-dependent manner. SOCS1 was necessary for allergic inflammation-promoted enhanced tumorigenic and metastatic potential of cancer cells. SOCS1 and miR-122 regulated cellular interactions involving cancer cells, mast cells and macrophages during allergic inflammation. SOCS1 mimetic peptide, D-T-H-F-R-T-F-R-S-H-S-D-Y-R-R-I, inhibited in vitro and in vivo allergic inflammation, allergic inflammation-promoted enhanced tumorigenic and metastatic potential of cancer cells, and cellular interactions during allergic inflammation. Janus kinase 2 (JAK2) exhibited binding to SOCS1 mimetic peptide and mediated allergic inflammation. Transforming growth factor- Δ1 (TGF-Δ1) was decreased during allergic inflammation and showed an anti-allergic effect. SOCS1 and JAK2 regulated the production of anti-allergic TGF-Δ1. Taken together, our results show that miR-122-SOCS1 feedback loop can be employed as a target for the development of anti-allergic and anti-cancer drugs. PMID:28968979

  7. Predicted soil management and climate change effects on SOC in South Carolina

    USDA-ARS?s Scientific Manuscript database

    Extensive use of inversion tillage has contributed to the loss of soil organic carbon (SOC) and degraded soil health in the southeast U.S.A. Our objective was to predict changes in SOC in a Norfolk loamy sand in Florence, SC under several crop rotations (corn (Zea mays L.)-cotton (Gossypium ssp.), C...

  8. The Immunohistochemical Analysis of SOCS3 Protein Identifies a Subgroup of Prostatic Cancer Biopsies With Aggressive Behavior.

    PubMed

    Pierconti, Francesco; Martini, Maurizio; Cenci, Tonia; Larocca, Luigi M

    Recently, we demonstrated that hypermethylation of SOCS3 determines a significant reduction of its mRNA and protein expression and identifies a subgroup of prostate cancer with aggressive behavior. In this paper, our objective was to investigate whether the immunohistochemical expression of the SOCS3 protein could represent an alternative method to molecular analysis for the individualization of aggressive prostate carcinoma. We analyzed the SOCS3 immunohistochemical expression in 65 patients undergoing biopsies at the Institute of Urology of our hospital between September 2011 and October 2011 (median age, 66.4 y; range, 50 to 73 y), and in 35 cases, a subset of 65 cases originally used for the immunohistochemical study, we studied the methylation status of the SOCS3 promoter. We found that the percentage of cases with SOCS3 negativity (-) or with SOCS3 weak staining in <50% of the neoplastic glands (+/-) correlated to the worst prognosis in terms of the Gleason score (P=0.0001; Fisher's exact test), the pT stage (P=0.012; Fisher's exact test), and progression-free survival (P=0.0334; hazard ratio, 0.34; and 95% confidence interval, from 0.1261 to 0.9188). Moreover, some cases with an SOCS3 unmethylated pattern showed SOCS3-negative immunostaining (-) or SOCS3-negative glands with weak cytoplasmatic staining in <50% of the neoplastic glands (+/-). Our data suggest that in prostatic cancer biopsies, the immunohistochemical analysis of SOCS3 protein expression may provide a method that is less expensive and easier to apply than SOCS3 methylation analysis for the distinction of a subgroup of prostate cancer with a more aggressive behavior.

  9. Changes in Leptin Signaling by SOCS3 Modulate Fasting-Induced Hyperphagia and Weight Regain in Mice.

    PubMed

    Pedroso, João A B; Silveira, Marina A; Lima, Leandro B; Furigo, Isadora C; Zampieri, Thais T; Ramos-Lobo, Angela M; Buonfiglio, Daniella C; Teixeira, Pryscila D S; Frazão, Renata; Donato, Jose

    2016-10-01

    Weight regain frequently follows interventions that reduce body weight, leading to a failure in long-term obesity treatment. Inhibitory proteins of the leptin signaling pathway, such as the suppressor of cytokine signaling 3 (SOCS3), have been studied in conditions that predispose animals to obesity. However, whether SOCS3 modulates postrestriction hyperphagia and weight regain remains unknown. Mice lacking SOCS3 protein specifically in leptin receptor (LepR)-expressing cells (LepR SOCS3 knockout [KO]) were generated and studied in fasting and refeeding conditions. LepR SOCS3 KO mice exhibited increased leptin sensitivity in the hypothalamus. Notably, LepR SOCS3 KO males and females showed attenuated food intake and weight regain after 48 hours of fasting. Postrestriction hyperleptinemia was also prevented in LepR SOCS3 KO mice. Next, we studied possible mechanisms and neural circuits involved in the SOCS3 effects. SOCS3 deletion did not prevent fasting- or refeeding-induced c-Fos expression in the arcuate nucleus of the hypothalamus (ARH) nor fasting-induced increased excitability of ARH LepR-expressing cells. On the other hand, SOCS3 ablation reduced the mRNA levels of hypothalamic orexigenic neuropeptides during fasting (neuropeptide Y, agouti-related protein, orexin, and melanin-concentrating hormone). In summary, our findings suggest that increased leptin sensitivity contributes to the maintenance of a reduced body weight after food deprivation. In addition, the attenuated postrestriction food intake observed in mutant mice was not explained by fasting-induced changes in the activity of ARH neurons but exclusively by a lower transcription of orexigenic neuropeptides during fasting. These results indicate a partial dissociation between the regulation of neuronal activity and gene expression in ARH LepR-expressing cells.

  10. Purification of SOCS (Suppressor of Cytokine Signaling) SH2 Domains for Structural and Functional Studies.

    PubMed

    Liau, Nicholas P D; Laktyushin, Artem; Babon, Jeffrey J

    2017-01-01

    Src Homology 2 (SH2) domains are protein domains which have a high binding affinity for specific amino acid sequences containing a phosphorylated tyrosine residue. The Suppressors of Cytokine Signaling (SOCS) proteins use an SH2 domain to bind to components of certain cytokine signaling pathways to downregulate the signaling cascade. The recombinantly produced SH2 domains of various SOCS proteins have been used to undertake structural and functional studies elucidating the method of how such targeting occurs. Here, we describe the protocol for the recombinant production and purification of SOCS SH2 domains, with an emphasis on SOCS3.

  11. The 2010 Standard Occupational Classification (SOC): A Classification System Gets an Update

    ERIC Educational Resources Information Center

    Emmel, Alissa; Cosca, Theresa

    2010-01-01

    Making sense of occupational data isn't always easy. But the task is less daunting when the data are well organized. For Federal occupational statistics, the Standard Occupational Classification (SOC) system establishes that organization. And a recent revision to the SOC means that the data will be current, in addition to being well organized. The…

  12. Comparison of SOC estimates and uncertainties from aerosol chemical composition and gas phase data in Atlanta

    NASA Astrophysics Data System (ADS)

    Pachon, Jorge E.; Balachandran, Sivaraman; Hu, Yongtao; Weber, Rodney J.; Mulholland, James A.; Russell, Armistead G.

    2010-10-01

    In the Southeastern US, organic carbon (OC) comprises about 30% of the PM 2.5 mass. A large fraction of OC is estimated to be of secondary origin. Long-term estimates of SOC and uncertainties are necessary in the evaluation of air quality policy effectiveness and epidemiologic studies. Four methods to estimate secondary organic carbon (SOC) and respective uncertainties are compared utilizing PM 2.5 chemical composition and gas phase data available in Atlanta from 1999 to 2007. The elemental carbon (EC) tracer and the regression methods, which rely on the use of tracer species of primary and secondary OC formation, provided intermediate estimates of SOC as 30% of OC. The other two methods, chemical mass balance (CMB) and positive matrix factorization (PMF) solve mass balance equations to estimate primary and secondary fractions based on source profiles and statistically-derived common factors, respectively. CMB had the highest estimate of SOC (46% of OC) while PMF led to the lowest (26% of OC). The comparison of SOC uncertainties, estimated based on propagation of errors, led to the regression method having the lowest uncertainty among the four methods. We compared the estimates with the water soluble fraction of the OC, which has been suggested as a surrogate of SOC when biomass burning is negligible, and found a similar trend with SOC estimates from the regression method. The regression method also showed the strongest correlation with daily SOC estimates from CMB using molecular markers. The regression method shows advantages over the other methods in the calculation of a long-term series of SOC estimates.

  13. Global Distribution of Pyrogenic Carbon

    NASA Astrophysics Data System (ADS)

    Reisser, Moritz; Abiven, Samuel; Schmidt, Michael W. I.

    2016-04-01

    Pyrogenic Carbon (PyC) is ubiquitous in the environment and represents presumably one of the most stable compounds of the total organic carbon. Due to its persistence in the soil, it might play an important role in the global carbon cycle. In order to model future CO2 emissions from soils it is thus crucial to know where and how much of PyC exists on a global scale. Yet, only rough estimates for global PyC stocks in soils could be made, and even less is known about the distribution across ecosystems. Therefore we propose here literature analysis of data on PyC concentrations and stocks worldwide. We extracted PyC values in soils from the literature (n = 600) and analysed the percentage of PyC in the soil organic carbon (SOC) as a function of climate (temperature, precipitation), soil parameters (pH, clay content), fire characteristics (fire frequency and fire regime) and land use. Overall, the average contribution of PyC to SOC was 13 %, ranging from 0.1 % up to 60 %. We observed that the PyC content was significantly higher with high clay content, higher pH, and in cultivated land as compared to forest and grassland. We did not observe any relationships between fire activity, frequency or intensity and PyC % at a global scale. When the fire regime was monitored on site (only 12 % of the data we collected), we observed higher PyC concentrations with higher fire frequencies. We hypothesise that the resolution of global fire datasets is neither temporally nor spatially high enough to explain the very local fire history of the soil samples. Data points were not homogeneously distributed on the globe, but rather aggregated in places like Central Europe, the Russian Steppe or North America. Therefore, a global interpolation is not directly possible. We modelled PyC concentrations, based on the five most significant parameters, which were clay content, pH, mean annual temperature and precipitation as well as land use. We then predicted worldwide PyC using global datasets

  14. Deforestation and Carbon Stock Loss in Brazil's Amazonian Settlements.

    PubMed

    Yanai, Aurora Miho; Nogueira, Euler Melo; de Alencastro Graça, Paulo Maurício Lima; Fearnside, Philip Martin

    2017-03-01

    We estimate deforestation and the carbon stock in 2740 (82 %) of the 3325 settlements in Brazil's Legal Amazonia region. Estimates are made both using available satellite data and a carbon map for the "pre-modern" period (prior to 1970). We used data from Brazil's Project for Monitoring Deforestation in Amazonia updated through 2013 and from the Brazilian Biomes Deforestation Monitoring Project (PMDBBS) updated through 2010. To obtain the pre-modern and recent carbon stocks we performed an intersection between a carbon map and a map derived from settlement boundaries and deforestation data. Although the settlements analyzed occupied only 8 % of Legal Amazonia, our results indicate that these settlements contributed 17 % (160,410 km 2 ) of total clearing (forest + non-forest) in Legal Amazonia (967,003 km 2 ). This represents a clear-cutting of 41 % of the original vegetation in the settlements. Out of this total, 72 % (115,634 km 2 ) was in the "Federal Settlement Project" (PA) category. Deforestation in settlements represents 20 % (2.6 Pg C) of the total carbon loss in Legal Amazonia (13.1 Pg C). The carbon stock in remaining vegetation represents 3.8 Pg C, or 6 % of the total remaining carbon stock in Legal Amazonia (58.6 Pg C) in the periods analyzed. The carbon reductions in settlements are caused both by the settlers and by external actors. Our findings suggest that agrarian reform policies contributed directly to carbon loss. Thus, the implementation of new settlements should consider potential carbon stock losses, especially if settlements are created in areas with high carbon stocks.

  15. Functional characterization of GhSOC1 and GhMADS42 homologs from upland cotton (Gossypium hirsutum L.).

    PubMed

    Zhang, Xiaohong; Wei, Jianghui; Fan, Shuli; Song, Meizhen; Pang, Chaoyou; Wei, Hengling; Wang, Chengshe; Yu, Shuxun

    2016-01-01

    In Arabidopsis flowering pathway, MADS-box genes encode transcription factors, with their structures and functions highly conserved in many species. In our study, two MADS-box genes GhSOC1 and GhMADS42 (Gossypium hirsutum L.) were cloned from upland cotton CCRI36 and transformed into Arabidopsis. GhSOC1 was additionally transformed into upland cotton. Comparative analysis demonstrated sequence conservation between GhSOC1 and GhMADS42 and genes of other plant species. Tissue-specific expression analysis of GhSOC1 and GhMADS42 revealed spatiotemporal expression patterns involving high transcript levels in leaves, shoot apical buds, and flowers. In addition, overexpression of both GhSOC1 and GhMADS42 in Arabidopsis accelerated flowering, with GhMADS42 transgenic plants showing abnormal floral organ phenotypes. Overexpression of GhSOC1 in upland cotton also produced variations in floral organs. Furthermore, chromatin immunoprecipitation assay demonstrated that GhSOC1 could regulate GhMADS41 and GhMADS42, but not FLOWERING LOCUS T, by directly binding to the genes promoter. Finally, yeast two-hybrid and bimolecular fluorescence complementation approaches were undertaken to better understand the interaction of GhSOC1 and other MADS-box factors. These experiments showed that GhSOC1 can interact with APETALA1/FRUITFULL-like proteins in cotton. Copyright © 2015 Elsevier Ireland Ltd. All rights reserved.

  16. A Novel Hybrid MADM Based Competence Set Expansions of a SOC Design Service Firm

    NASA Astrophysics Data System (ADS)

    Huang, Chi-Yo; Tzeng, Gwo-Hshiung; Lue, Yeou-Feng; Chuang, Hsiu-Tyan

    As the IC (integrated circuit) industry migrates to the System-on-Chip (SOC) era, a novel business model, the SOC design service (DS), is emerging. However, how to expand a firm’s innovation competences while satisfying multiple objectives including highest quality, lowest cost, and fastest time to market as well as most revenues for economics of scale are always problems for a design service firm. Therefore, attempts to expand the innovation competences, and thus the competitiveness, of latecomers in the SOC DS industry have already become the most critical issue facing the top managers of SOC design service firms. In this paper, a novel multiple attribute decision making (MADM) analytic framework based on the concept of competence set expansion, as well as MADM methods consisting with DEMATEL, ANP and multiple objective decision making (MODM) will be proposed in order to define a path for expanding a late-coming SOC DS firm’s innovation capabilities. An empirical study on expanding innovation competence sets, of a late-coming Taiwanese DS firm then will be presented.

  17. Low-Power Differential SRAM design for SOC Based on the 25-um Technology

    NASA Astrophysics Data System (ADS)

    Godugunuri, Sivaprasad; Dara, Naveen; Sambasiva Nayak, R.; Nayeemuddin, Md; Singh, Yadu, Dr.; Veda, R. N. S. Sunil

    2017-08-01

    In recent, the SOC styles area unit the vast complicated styles in VLSI these SOC styles having important low-power operations problems, to comprehend this we tend to enforced low-power SRAM. However these SRAM Architectures critically affects the entire power of SOC and competitive space. To beat the higher than disadvantages, during this paper, a low-power differential SRAM design is planned. The differential SRAM design stores multiple bits within the same cell, operates at minimum in operation low-tension and space per bit. The differential SRAM design designed supported the 25-um technology using Tanner-EDA Tool.

  18. Sense of Coherence (SOC) Among Psychotherapists in Austria, Differentiated According to Number of Individually Completed Training Therapy Sessions

    PubMed Central

    Binder, Heinz P.; Mesenholl-Strehler, Elke; Paß, Paul; Endler, P. Christian

    2006-01-01

    The sense of coherence (according Aaron Antonovsky, 1923—1994, when a persons sense that his/her own life and the world are sufficiently comprehensible, manageable, and meaningful) of Austrian psychotherapists was assessed and compared with a standard sample, as well as with the sense of coherence (SOC) of members of other professions. In addition, the question as to whether psychotherapists who had completed more extensive individual training therapy/self-awareness sessions had a higher SOC than do those with fewer, was addressed. Forty psychotherapists who worked in private practices and various psychosocial health care institutions in Styria, Austria took part in the study. The investigation was conducted in the form of a questionnaire assessment. The evaluation showed that the overall SOC value of the professional group in question was significantly higher than that of the standard sample (162.3 vs. 145.7), as well as other samples (physicians: SOC = 153.8; teachers: SOC = 156.1; physiotherapists SOC = 158.1). Concerning whether psychotherapists who had completed more individual training therapy/self-awareness sessions had higher SOC values than did those with fewer, we found no difference in regard to the overall SOC score or SOC scores for individual components. The SOC of psychotherapists did not seem to depend on the number of additional training therapy/self-awareness sessions. PMID:17370015

  19. Effects of enhancing soil organic carbon sequestration in the topsoil by fertilization on crop productivity and stability: Evidence from long-term experiments with wheat-maize cropping systems in China.

    PubMed

    Zhang, Xubo; Sun, Nan; Wu, Lianhai; Xu, Minggang; Bingham, Ian J; Li, Zhongfang

    2016-08-15

    Although organic carbon sequestration in agricultural soils has been recommended as a 'win-win strategy' for mitigating climate change and ensuring food security, great uncertainty still remains in identifying the relationships between soil organic carbon (SOC) sequestration and crop productivity. Using data from 17 long-term experiments in China we determined the effects of fertilization strategies on SOC stocks at 0-20cm depth in the North, North East, North West and South. The impacts of changes in topsoil SOC stocks on the yield and yield stability of winter wheat (Triticum aestivum L.) and maize (Zea mays L.) were determined. Results showed that application of inorganic fertilizers (NPK) plus animal manure over 20-30years significantly increased SOC stocks to 20-cm depth by 32-87% whilst NPK plus wheat/maize straw application increased it by 26-38% compared to controls. The efficiency of SOC sequestration differed between regions with 7.4-13.1% of annual C input into the topsoil being retained as SOC over the study periods. In the northern regions, application of manure had little additional effect on yield compared to NPK over a wide range of topsoil SOC stocks (18->50MgCha(-1)). In the South, average yield from manure applied treatments was 2.5 times greater than that from NPK treatments. Moreover, the yield with NPK plus manure increased until SOC stocks (20-cm depth) increased to ~35MgCha(-1). In the northern regions, yield stability was not increased by application of NPK plus manure compared to NPK, whereas in the South there was a significant improvement. We conclude that manure application and straw incorporation could potentially lead to SOC sequestration in topsoil in China, but beneficial effects of this increase in SOC stocks to 20-cm depth on crop yield and yield stability may only be achieved in the South. Copyright © 2016 Elsevier B.V. All rights reserved.

  20. Potential fate of SOC eroded from natural crusted soil surface under simulated wind driven storm

    NASA Astrophysics Data System (ADS)

    Xiao, Liangang; Fister, Wolfgang; Greenwood, Philip; Hu, Yaxian; Kuhn, Nikolaus J.

    2016-04-01

    Improving the assessment of the impact of soil erosion on carbon (C) cycling requires a better understanding of the redistribution of eroded sediment and associated soil organic carbon (SOC) across agricultural landscapes. Recent studies conducted on dry-sieved aggregates in the laboratory demonstrated that aggregation can profoundly skew SOC redistribution and its subsequent fate by accelerating settling velocities of aggregated sediment compared to mineral grains, which in turn can increase SOC mineralization into greenhouse gases. However, the erodibility of the soil in the field is more variable than in the laboratory due to tillage, crus formation, drying-wetting and freeze-thaw cycles, and biological effects. This study aimed to investigate the potential fate of the SOC eroded from naturally developed soil surface and to compare the observations with those made in the laboratory. Simulated, short, high intensity wind driven storms were conducted on a crusted loam in the field. The sediments were fractionated with a settling tube according to their potential transport distances. The soil mass, SOC concentration and cumulative 80-day CO2 emission of each fraction were identified. The results show: 1) 53% of eroded sediment and 62% of eroded SOC from the natural surface in the field would be deposited across landscapes, which is six times and three times higher compared to that implied by mineral grains, respectively; 2) the preferential deposition of SOC-rich fast-settling sediment potentially releases approximately 50% more CO2 than the same layer of the non-eroded soil; 3) the respiration of the slow-settling fraction that is potentially transported to the aquatic systems was much more active compared to the other fractions and the bulk soil. Our results confirm in general the conclusions drawn from laboratory and thus demonstrate that aggregation can affect the redistribution of sediment associated SOC under field conditions, including an increase in

  1. Prostaglandin E2 and SOCS1 have a role in intestinal immune tolerance

    PubMed Central

    Chinen, Takatoshi; Komai, Kyoko; Muto, Go; Morita, Rimpei; Inoue, Naoko; Yoshida, Hideyuki; Sekiya, Takashi; Yoshida, Ryoko; Nakamura, Kazuhiko; Takayanagi, Ryoichi; Yoshimura, Akihiko

    2011-01-01

    Interleukin 10 (IL-10) and regulatory T cells (Tregs) maintain tolerance to intestinal microorganisms. However, Il10−/−Rag2−/− mice, which lack IL-10 and Tregs, remain healthy, suggesting the existence of other mechanisms of tolerance. Here, we identify suppressor of cytokine signalling 1 (SOCS1) as an essential mediator of immune tolerance in the intestine. Socs1−/−Rag2−/− mice develop severe colitis, which can be prevented by the reduction of microbiota and the transfer of IL-10-sufficient Tregs. Additionally, we find an essential role for prostaglandin E2 (PGE2) in the maintenance of tolerance within the intestine in the absence of Tregs. Socs1−/− dendritic cells are resistant to PGE2-mediated immunosuppression because of dysregulated cytokine signalling. Thus, we propose that SOCS1 and PGE2, potentially interacting together, act as an alternative intestinal tolerance mechanism distinct from IL-10 and Tregs. PMID:21304519

  2. SOCS3 promotes TLR4 response in macrophages by feedback inhibiting TGF-beta1/Smad3 signaling.

    PubMed

    Liu, Xia; Zhang, Yongliang; Yu, Yizhi; Yang, Xiao; Cao, Xuetao

    2008-03-01

    Endogenous transforming growth factor-beta1 (TGF-beta1) plays an important role in the negative regulation of toll-like receptor (TLR) signaling in a feedback manner. Suppressors of cytokine signaling 3 (SOCS3) has been shown to be induced by TGF-beta1 in osteoclast/macrophage, while the reports on the role of SOCS3 in regulating TLR4 signaling were controversial. The functional relationship between SOCS3 and TGF-beta1/Smad3 pathway in TLR4 response also remains unclear. In this study, we demonstrate that LPS-induced endogenous TGF-beta1 contributes to the inducible SOCS3 expression in macrophages. SOCS3 silencing could markedly decrease the LPS-induced production of TNF-alpha and IL-6 in macrophages. Interestingly, less decrease of LPS-induced TNF-alpha, IL-6 by SOCS3 silencing was observed in Smad3 null macrophages. Furthermore, we found SOCS3 could interact with Smad3, and inhibit Smad3 nuclear translocation and transcriptional activity. Therefore, our data demonstrate that SOCS3 is a positive regulator of TLR4 response by feedback inhibiting endogenous TGF-beta1/Smad3 signaling, thus outlining a new feedback regulatory manner for TLR4 response in macrophages.

  3. Study on SOC wavelet analysis for LiFePO4 battery

    NASA Astrophysics Data System (ADS)

    Liu, Xuepeng; Zhao, Dongmei

    2017-08-01

    Improving the prediction accuracy of SOC can reduce the complexity of the conservative and control strategy of the strategy such as the scheduling, optimization and planning of LiFePO4 battery system. Based on the analysis of the relationship between the SOC historical data and the external stress factors, the SOC Estimation-Correction Prediction Model based on wavelet analysis is established. Using wavelet neural network prediction model is of high precision to achieve forecast link, external stress measured data is used to update parameters estimation in the model, implement correction link, makes the forecast model can adapt to the LiFePO4 battery under rated condition of charge and discharge the operating point of the variable operation area. The test results show that the method can obtain higher precision prediction model when the input and output of LiFePO4 battery are changed frequently.

  4. Gene Silencing of SOCS3 by siRNA Intranasal Delivery Inhibits Asthma Phenotype in Mice

    PubMed Central

    Mazzeo, Carla; Gámez, Cristina; Rodriguez Marco, Ainara; de Zulueta, Ana; Sanz, Veronica; Bilbao, Izaskun; Ruiz-Cabello, Jesús; Zubeldia, Jose M.; del Pozo, Victoria

    2014-01-01

    Suppresors of cytokine signaling (SOCS) proteins regulate cytokine responses and control immune balance. Several studies have confirmed that SOCS3 is increased in asthmatic patients, and SOCS3 expression is correlated with disease severity. The objective of this study was to evaluate if delivering of SOCS3 short interfering RNA (siRNA) intranasally in lungs could be a good therapeutic approach in an asthma chronic mouse model. Our results showed that intranasal treatment with SOCS3-siRNA led to an improvement in the eosinophil count and the normalization of hyperresponsiveness to methacholine. Concomitantly, this treatment resulted in an improvement in mucus secretion, a reduction in lung collagen, which are prominent features of airway remodeling. The mechanism implies JAK/STAT and RhoA/Rho-kinase signaling pathway, because we found a decreasing in STAT3 phosphorylation status and down regulation of RhoA/Rho-kinase protein expression. These results might lead to a new therapy for the treatment of chronic asthma. PMID:24637581

  5. A 0.7-V 17.4- μ W 3-lead wireless ECG SoC.

    PubMed

    Khayatzadeh, Mahmood; Zhang, Xiaoyang; Tan, Jun; Liew, Wen-Sin; Lian, Yong

    2013-10-01

    This paper presents a fully integrated sub-1 V 3-lead wireless ECG System-on-Chip (SoC) for wireless body sensor network applications. The SoC includes a two-channel ECG front-end with a driven-right-leg circuit, an 8-bit SAR ADC, a custom-designed 16-bit microcontroller, two banks of 16 kb SRAM, and a MICS band transceiver. The microcontroller and SRAM blocks are able to operate at sub-/near-threshold regime for the best energy consumption. The proposed SoC has been implemented in a standard 0.13- μ m CMOS process. Measurement results show the microcontroller consumes only 2.62 pJ per instruction at 0.35 V . Both microcontroller and memory blocks are functional down to 0.25 V. The entire SoC is capable of working at single 0.7-V supply. At the best case, it consumes 17.4 μ W in heart rate detection mode and 74.8 μW in raw data acquisition mode under sampling rate of 500 Hz. This makes it one of the best ECG SoCs among state-of-the-art biomedical chips.

  6. Resveratrol counteracts lipopolysaccharide-mediated microglial inflammation by modulating a SOCS-1 dependent signaling pathway.

    PubMed

    Dragone, Teresa; Cianciulli, Antonia; Calvello, Rosa; Porro, Chiara; Trotta, Teresa; Panaro, Maria Antonietta

    2014-09-01

    Brain damage or exposure to inflammatory agents provokes the activation of microglia and secretion of pro-inflammatory and neurotoxic mediators responsible for neuronal loss. Several lines of evidence show that resveratrol, a natural non-flavonoid polyphenol, may exert a neuroprotective action in neurodegenerative diseases. Suppressor of cytokine signaling (SOCS) proteins are a family of eight members expressed by immune cells and the central nervous system (CNS) cells, that regulate immune processes within the CNS, including microglia activation. We demonstrate that resveratrol had anti-inflammatory effects in murine N13 microglial cells stimulated with lipopolysaccharide (LPS), through up-regulating SOCS-1 expression. Interestingly, in SOCS-1-silenced cells resveratrol failed to play a protective role after LPS treatment. Our data demonstrate that resveratrol can impair microglia activation by activating a SOCS-1 mediated signaling pathway. Copyright © 2014 Elsevier Ltd. All rights reserved.

  7. Increased linear bone growth by GH in the absence of SOCS2 is independent of IGF-1.

    PubMed

    Dobie, Ross; Ahmed, Syed F; Staines, Katherine A; Pass, Chloe; Jasim, Seema; MacRae, Vicky E; Farquharson, Colin

    2015-11-01

    Growth hormone (GH) signaling is essential for postnatal linear bone growth, but the relative importance of GHs actions on the liver and/or growth plate cartilage remains unclear. The importance of liver derived insulin like-growth factor-1 (IGF-1) for endochondral growth has recently been challenged. Here, we investigate linear growth in Suppressor of Cytokine Signaling-2 (SOCS2) knockout mice, which have enhanced growth despite normal systemic GH/IGF-1 levels. Wild-type embryonic ex vivo metatarsals failed to exhibit increased linear growth in response to GH, but displayed increased Socs2 transcript levels (P < 0.01). In the absence of SOCS2, GH treatment enhanced metatarsal linear growth over a 12 day period. Despite this increase, IGF-1 transcript and protein levels were not increased in response to GH. In accordance with these data, IGF-1 levels were unchanged in GH-challenged postnatal Socs2(-/-) conditioned medium despite metatarsals showing enhanced linear growth. Growth-plate Igf1 mRNA levels were not elevated in juvenile Socs2(-/-) mice. GH did however elevate IGF-binding protein 3 levels in conditioned medium from GH challenged metatarsals and this was more apparent in Socs2(-/-) metatarsals. GH did not enhance the growth of Socs2(-/-) metatarsals when the IGF receptor was inhibited, suggesting that IGF receptor mediated mechanisms are required. IGF-2 may be responsible as IGF-2 promoted metatarsal growth and Igf2 expression was elevated in Socs2(-/-) (but not WT) metatarsals in response to GH. These studies emphasise the critical importance of SOCS2 in regulating GHs ability to promote bone growth. Also, GH appears to act directly on the metatarsals of Socs2(-/-) mice, promoting growth via a mechanism that is independent of IGF-1. © 2014 The Authors. Journal of Cellular Physiology Published by Wiley Periodicals, Inc.

  8. Suppressor of cytokine signaling 1 (SOCS1) limits NFkappaB signaling by decreasing p65 stability within the cell nucleus.

    PubMed

    Strebovsky, Julia; Walker, Patrick; Lang, Roland; Dalpke, Alexander H

    2011-03-01

    Suppressor of cytokine signaling (SOCS) proteins are inhibitors of cytoplasmic Janus kinases (Jak) and signal transducer and activator of transcription (STAT) signaling pathways. Previously the authors surprisingly observed that SOCS1 translocated into the nucleus, which was because of the presence of a nuclear localization sequence. This report now hypothesizes that SOCS1 mediates specific functions within the nuclear compartment because it is instantly transported into the nucleus, as shown by photoactivation and live cell imaging in human HEK293 cells. The NFκB component p65 is identified as an interaction partner for SOCS1 but not for other members of the SOCS family. SOCS1 bound to p65 only within the nucleus. By means of its SOCS box domain, SOCS1 operated as a ubiquitin ligase, leading to polyubiquitination and proteasomal degradation of nuclear p65. Thus, SOCS1 limited prolonged p65 signaling and terminated expression of NFκB inducible genes. Using mutants that lack either nuclear translocation or a functional SOCS box, this report identifies genes that are regulated in a manner dependent on the nuclear availability of SOCS1. Data show that beyond its receptor-proximal function in Jak/STAT signaling, SOCS1 also regulates the duration of NFκB signaling within the cell nucleus, thus exerting a heretofore unrecognized function.

  9. How does tillage intensity affect soil organic carbon? A systematic review

    NASA Astrophysics Data System (ADS)

    Haddaway, Neal Robert; Hedlund, Katarina; E Jackson, Louise; Kätterer, Thomas; Lugato, Emanuele; Thomsen, Ingrid; Bracht Jørgensen, Helene; Isberg, Per-Erik

    2017-04-01

    Background: The loss of carbon (C) from agricultural soils has been, in part, attributed to tillage, a common agricultural practice that provides a number of benefits to farmers. The promotion of less intensive tillage practices and no tillage (NT) aims to mitigate negative impacts on soil quality and to preserve soil organic carbon (SOC). Several reviews and meta-analyses have shown both beneficial and null effects on SOC due to no tillage relative to conventional tillage, hence there is a need for a comprehensive systematic review of the topic. Methods: We systematically review relevant research in warm temperate and boreal regions using, as a basis, evidence identified within a recently completed systematic map on the impacts of farming on SOC. We performed an update of the original systematic map searches to include studies published since the map search. We screened all evidence for relevance according to predetermined inclusion criteria. Studies were coded and subject to meta-data extraction. Quantitative study findings were then extracted and meta-analyses performed to investigate the impact of reducing tillage (from high (HT) to intermediate intensity (IT), HT to NT, and from IT to NT) for SOC concentration and SOC stock in the upper soil and at lower depths. Results: A total of 351 studies were included in the systematic review: some 18% coming from an update of research published in the 2 years following searches performed for the systematic map. SOC concentration was found to be significantly higher in NT relative to both IT (1.18 g/kg ± 0.34 (SE)) and HT (2.09 g/kg ± 0.34 (SE)) in the upper soil layer (0-15 cm). IT was also found to be significant higher (1.30 g/kg ± 0.22 (SE)) in SOC concentration than HT for the upper soil layer (0-15 cm). At lower depths, only IT SOC compared with HT at 15-30 cm showed a significant difference; being 0.89 g/kg (± 0.20 (SE)) lower in intermediate intensity tillage. For stock data NT had significantly higher SOC

  10. Corruption and stock market development: A quantitative approach

    NASA Astrophysics Data System (ADS)

    Bolgorian, Meysam

    2011-11-01

    Studying the relation between corruption and economic factors and examining its consequences for economic development have attracted many economists and physicists in recent years. The purpose of this paper is to focus on the role of stock market development on corruption. Analyzing a data set of corruption and stock market development measures such as market capitalization and total value of share trading for 46 countries around the world for the period 2007-2009, we examine the dependence of the Corruption Perception Index (CPI) on stock market development. Our findings suggest that there exists a power-law dependence between corruption and stock market development. We also observe a negative relation between level of corruption and financial system improvement.

  11. Evaluation of Four Methods for Predicting Carbon Stocks of Korean Pine Plantations in Heilongjiang Province, China

    PubMed Central

    Gao, Huilin; Dong, Lihu; Li, Fengri; Zhang, Lianjun

    2015-01-01

    A total of 89 trees of Korean pine (Pinus koraiensis) were destructively sampled from the plantations in Heilongjiang Province, P.R. China. The sample trees were measured and calculated for the biomass and carbon stocks of tree components (i.e., stem, branch, foliage and root). Both compatible biomass and carbon stock models were developed with the total biomass and total carbon stocks as the constraints, respectively. Four methods were used to evaluate the carbon stocks of tree components. The first method predicted carbon stocks directly by the compatible carbon stocks models (Method 1). The other three methods indirectly predicted the carbon stocks in two steps: (1) estimating the biomass by the compatible biomass models, and (2) multiplying the estimated biomass by three different carbon conversion factors (i.e., carbon conversion factor 0.5 (Method 2), average carbon concentration of the sample trees (Method 3), and average carbon concentration of each tree component (Method 4)). The prediction errors of estimating the carbon stocks were compared and tested for the differences between the four methods. The results showed that the compatible biomass and carbon models with tree diameter (D) as the sole independent variable performed well so that Method 1 was the best method for predicting the carbon stocks of tree components and total. There were significant differences among the four methods for the carbon stock of stem. Method 2 produced the largest error, especially for stem and total. Methods 3 and Method 4 were slightly worse than Method 1, but the differences were not statistically significant. In practice, the indirect method using the mean carbon concentration of individual trees was sufficient to obtain accurate carbon stocks estimation if carbon stocks models are not available. PMID:26659257

  12. Methylation and microRNA-mediated epigenetic regulation of SOCS3

    PubMed Central

    Boosani, Chandra S.; Agrawal, Devendra K.

    2017-01-01

    Epigenetic gene silencing of several genes causes different pathological conditions in humans, and DNA methylation has been identified as one of the key mechanisms that underlie this evolutionarily conserved phenomenon associated with developmental and pathological gene regulation. Recent advances in the miRNA technology with high throughput analysis of gene regulation further increased our understanding on the role of miRNAs regulating multiple gene expression. There is increasing evidence supporting that the miRNAs not only regulate gene expression but they also are involved in the hypermethylation of promoter sequences, which cumulatively contributes to the epigenetic gene silencing. Here, we critically evaluated the recent progress on the transcriptional regulation of an important suppressor protein that inhibits cytokine-mediated signaling, SOCS3, whose expression is directly regulated both by promoter methylation and also by microRNAs, affecting its vital cell regulating functions. SOCS3 was identified as a potent inhibitor of Jak/STAT signaling pathway which is frequently upregulated in several pathologies, including cardiovascular disease, cancer, diabetes, viral infections, and the expression of SOCS3 was inhibited or greatly reduced due to hypermethylation of the CpG islands in its promoter region or suppression of its expression by different microRNAs. Additionally, we discuss key intracellular signaling pathways regulated by SOCS3 involving cellular events, including cell proliferation, cell growth, cell migration and apoptosis. Identification of the pathway intermediates as specific targets would not only aid in the development of novel therapeutic drugs, but, would also assist in developing new treatment strategies that could successfully be employed in combination therapy to target multiple signaling pathways. PMID:25682267

  13. SOCS2 overexpression alleviates diabetic nephropathy in rats by inhibiting the TLR4/NF-κB pathway

    PubMed Central

    Yang, Suxia; Zhang, Junwei; Wang, Shiying; Zhao, Xinxin; Shi, Jun

    2017-01-01

    Suppressor of cytokine signaling 2 (SOCS2) was reported to be involved in the development of Diabetic Nephropathy (DN). However, its underlying mechanism remains undefined. Western blot was carried out to determine the expressions of SOCS2, Toll-like receptors 4 (TLR4) and nuclear factor kappa B (NF-κB) pathway-related proteins in DN patients, streptozotocin (STZ)-induced DN rats and high glucose (HG)-stimulated podocytes. The effects of SOCS2 overexpression on renal injury, the inflammatory cytokines production, renal pathological changes, apoptosis and the TLR4/NF-κB pathway in DN rats or HG-stimulated podocytes were investigated. TLR4 antagonist TAK-242 and NF-κB inhibitor PDTC were used to confirm the functional mechanism of SOCS2 overexpression in HG-stimulated podocytes. SOCS2 was down-regulated, while TLR4 and NF-κB were up-regulated in renal tissues of DN patients and DN rats. Ad-SOCS2 infection alleviated STZ-induced renal injury and pathological changes and inhibited STZ-induced IL-6, IL-1β and MCP-1 generation and activation of the TLR4/NF-κB pathway in DN rats. SOCS2 overexpression attenuated apoptosis, suppressed the inflammatory cytokines expression, and inactivated the TLR4/NF-κB pathway in HG-stimulated podocytes. Suppression of the TLR4/NF-κB pathway enhanced the inhibitory effect of SOCS2 overexpression on apoptosis and inflammatory cytokines expressions in HG-stimulated podocytes. SOCS2 overexpression alleviated the development of DN by inhibiting the TLR4/NF-κB pathway, contributing to developing new therapeutic strategies against DN. PMID:29207635

  14. Variation tolerant SoC design

    NASA Astrophysics Data System (ADS)

    Kozhikkottu, Vivek J.

    performance distribution. This task is particularly complex and challenging due to the inter-dependencies between components' execution, indirect effects of shared resources, and interactions between multiple system-level "execution paths". We argue that accurate variation-aware performance analysis requires Monte-Carlo based repeated system execution. Our proposed analysis framework leverages emulation to significantly speedup performance analysis without sacrificing the generality and accuracy achieved by Monte-Carlo based simulations. Our experiments show performance improvements of around 60x compared to state-of-the-art hardware-software co-simulation tools and also underscore the framework's potential to enable variation-aware design and exploration at the system level. Our second contribution addresses the problem of designing variation-tolerant SoCs using recovery based design, a popular circuit design paradigm that addresses variations by eliminating guard-bands and operating circuits at close to "zero margins" while detecting and recovering from timing errors. While previous efforts have demonstrated the potential benefits of recovery based design, we identify several challenges that need to be addressed in order to apply this technique to SoCs. We present a systematic design framework to apply recovery based design at the system level. We propose to partition SoCs into "recovery islands", wherein each recovery island consists of one or more SoC components that can recover independent of the rest of the SoC. We present a variation-aware design methodology that partitions a given SoC into recovery islands and computes the optimal operating points for each island, taking into account the various trade-offs involved. Our experiments demonstrate that the proposed design framework achieves an average of 32% energy savings over conventional worst-case designs, with negligible losses in performance. The third contribution of this thesis introduces disproportionate

  15. Compression stockings

    MedlinePlus

    ... medical supply store or online. Wash Your Stockings Every Day Keep the stockings clean: Wash the stockings each ... can, have two pairs. Wear one pair each day. Wash and dry the other pair. Replace your stockings every 3 to 6 months so that they maintain ...

  16. Accounting for biomass carbon stock change due to wildfire in temperate forest landscapes in Australia.

    PubMed

    Keith, Heather; Lindenmayer, David B; Mackey, Brendan G; Blair, David; Carter, Lauren; McBurney, Lachlan; Okada, Sachiko; Konishi-Nagano, Tomoko

    2014-01-01

    Carbon stock change due to forest management and disturbance must be accounted for in UNFCCC national inventory reports and for signatories to the Kyoto Protocol. Impacts of disturbance on greenhouse gas (GHG) inventories are important for many countries with large forest estates prone to wildfires. Our objective was to measure changes in carbon stocks due to short-term combustion and to simulate longer-term carbon stock dynamics resulting from redistribution among biomass components following wildfire. We studied the impacts of a wildfire in 2009 that burnt temperate forest of tall, wet eucalypts in south-eastern Australia. Biomass combusted ranged from 40 to 58 tC ha(-1), which represented 6-7% and 9-14% in low- and high-severity fire, respectively, of the pre-fire total biomass carbon stock. Pre-fire total stock ranged from 400 to 1040 tC ha(-1) depending on forest age and disturbance history. An estimated 3.9 TgC was emitted from the 2009 fire within the forest region, representing 8.5% of total biomass carbon stock across the landscape. Carbon losses from combustion were large over hours to days during the wildfire, but from an ecosystem dynamics perspective, the proportion of total carbon stock combusted was relatively small. Furthermore, more than half the stock losses from combustion were derived from biomass components with short lifetimes. Most biomass remained on-site, although redistributed from living to dead components. Decomposition of these components and new regeneration constituted the greatest changes in carbon stocks over ensuing decades. A critical issue for carbon accounting policy arises because the timeframes of ecological processes of carbon stock change are longer than the periods for reporting GHG inventories for national emissions reductions targets. Carbon accounts should be comprehensive of all stock changes, but reporting against targets should be based on human-induced changes in carbon stocks to incentivise mitigation activities.

  17. Linking the climatic and geochemical controls on global soil carbon cycling

    NASA Astrophysics Data System (ADS)

    Doetterl, Sebastian; Stevens, Antoine; Six, Johan; Merckx, Roel; Van Oost, Kristof; Casanova Pinto, Manuel; Casanova-Katny, Angélica; Muñoz, Cristina; Boudin, Mathieu; Zagal Venegas, Erick; Boeckx, Pascal

    2015-04-01

    Climatic and geochemical parameters are regarded as the primary controls for soil organic carbon (SOC) storage and turnover. However, due to the difference in scale between climate and geochemical-related soil research, the interaction of these key factors for SOC dynamics have rarely been assessed. Across a large geochemical and climatic transect in similar biomes in Chile and the Antarctic Peninsula we show how abiotic geochemical soil features describing soil mineralogy and weathering pose a direct control on SOC stocks, concentration and turnover and are central to explaining soil C dynamics at larger scales. Precipitation and temperature had an only indirect control by regulating geochemistry. Soils with high SOC content have low specific potential CO2 respiration rates, but a large fraction of SOC that is stabilized via organo-mineral interactions. The opposite was observed for soils with low SOC content. The observed differences for topsoil SOC stocks along this transect of similar biomes but differing geo-climatic site conditions are of the same magnitude as differences observed for topsoil SOC stocks across all major global biomes. Using precipitation and a set of abiotic geochemical parameters describing soil mineralogy and weathering status led to predictions of high accuracy (R2 0.53-0.94) for different C response variables. Partial correlation analyses revealed that the strength of the correlation between climatic predictors and SOC response variables decreased by 51 - 83% when controlling for geochemical predictors. In contrast, controlling for climatic variables did not result in a strong decrease in the strength of the correlations of between most geochemical variables and SOC response variables. In summary, geochemical parameters describing soil mineralogy and weathering were found to be essential for accurate predictions of SOC stocks and potential CO2 respiration, while climatic factors were of minor importance as a direct control, but are

  18. Quantifying changes in total and pyrogenic carbon stocks across fire severity gradients using active wildfire incidents

    NASA Astrophysics Data System (ADS)

    Miesel, Jessica; Reiner, Alicia; Ewell, Carol; Maestrini, Bernardo; Dickinson, Matthew

    2018-05-01

    Positive feedbacks between wildfire emissions and climate are expected to increase in strength in the future; however, fires not only release carbon (C) from terrestrial to atmospheric pools, they also produce pyrogenic C (PyC) which contributes to longer-term C stability. Our objective was to quantify wildfire impacts on total C and PyC stocks in California mixed-conifer forest, and to investigate relationships between C and PyC stocks and changes across gradients of fire severity, using metrics derived from remote sensing and field observations. Our unique study accessed active wildfires to establish and measure plots within days before and after fire, prior to substantial erosion. We measured pre- and post-fire aboveground forest structure and woody fuels to calculate aboveground biomass, C and PyC, and collected forest floor and 0-5 cm mineral soil samples. Tree mortality increased with severity, but overstory C loss was minimal and limited primarily to foliage. Fire released 85% of understory and herbaceous C (comprising <1.0% of total ecosystem C). The greatest C losses occurred from downed wood and forest floor pools (19.3±5.1 Mg ha-1 and 25.9±3.2 Mg ha-1, respectively). Tree bark and downed wood contributed the greatest PyC gains (1.5±0.3 Mg ha-1 and 1.9±0.8 Mg ha-1, respectively), and PyC in tree bark showed non-significant positive trends with increasing severity. Overall PyC losses of 1.9±0.3 Mg ha-1 and 0.5±0.1 Mg ha-1 occurred from forest floor and 0-5 cm mineral soil, with no clear patterns across severity. Fire resulted in a net ecosystem PyC gain (0.96±0.98 Mg ha-1) across aboveground and belowground components of these forests, and there were no differences among severity levels. Carbon emissions represented only 21.6% of total forest C; however, extensive conversion of C from live to dead pools will contribute to large downed wood C pools susceptible to release in a subsequent fire, indicating that there may be a delayed relationship

  19. Suppressor of cytokine signalling (SOCS) proteins as guardians of inflammatory responses critical for regulating insulin sensitivity.

    PubMed

    Galic, Sandra; Sachithanandan, Nirupa; Kay, Thomas W; Steinberg, Gregory R

    2014-07-15

    Overactivation of immune pathways in obesity is an important cause of insulin resistance and thus new approaches aimed to limit inflammation or its consequences may be effective for treating Type 2 diabetes. The SOCS (suppressors of cytokine signalling) are a family of proteins that play an essential role in mediating inflammatory responses in both immune cells and metabolic organs such as the liver, adipose tissue and skeletal muscle. In the present review we discuss the role of SOCS1 and SOCS3 in controlling immune cells such as macrophages and T-cells and the impact this can have on systemic inflammation and insulin resistance. We also dissect the mechanisms by which SOCS (1-7) regulate insulin signalling in different tissues including their impact on the insulin receptor and insulin receptor substrates. Lastly, we discuss the important findings from SOCS whole-body and tissue-specific null mice, which implicate an important role for these proteins in controlling insulin action and glucose homoeostasis in obesity.

  20. Projected loss of soil organic carbon in temperate agricultural soils in the 21st century: effects of climate change and carbon input trends

    PubMed Central

    Wiesmeier, Martin; Poeplau, Christopher; Sierra, Carlos A.; Maier, Harald; Frühauf, Cathleen; Hübner, Rico; Kühnel, Anna; Spörlein, Peter; Geuß, Uwe; Hangen, Edzard; Schilling, Bernd; von Lützow, Margit; Kögel-Knabner, Ingrid

    2016-01-01

    Climate change and stagnating crop yields may cause a decline of SOC stocks in agricultural soils leading to considerable CO2 emissions and reduced agricultural productivity. Regional model-based SOC projections are needed to evaluate these potential risks. In this study, we simulated the future SOC development in cropland and grassland soils of Bavaria in the 21st century. Soils from 51 study sites representing the most important soil classes of Central Europe were fractionated and derived SOC pools were used to initialize the RothC soil carbon model. For each site, long-term C inputs were determined using the C allocation method. Model runs were performed for three different C input scenarios as a realistic range of projected yield development. Our modelling approach revealed substantial SOC decreases of 11–16% under an expected mean temperature increase of 3.3 °C assuming unchanged C inputs. For the scenario of 20% reduced C inputs, agricultural SOC stocks are projected to decline by 19–24%. Remarkably, even the optimistic scenario of 20% increased C inputs led to SOC decreases of 3–8%. Projected SOC changes largely differed among investigated soil classes. Our results indicated that C inputs have to increase by 29% to maintain present SOC stocks in agricultural soils. PMID:27585648

  1. Servicemembers Opportunity Colleges 1972-1997. (Submitted as Part of SOC Final FY 96 Report.)

    ERIC Educational Resources Information Center

    Anderson, Clinton L.

    This book traces the history of the Servicemembers Opportunity College (SOC) program, which allows military personnel to obtain civilian education at more that 1,000 designated community colleges, technical institutes, and four-year institutions nationwide. Among the topics discussed in this history of the SOC program's development as the…

  2. Spatiotemporal soil organic carbon dynamics in irrigated corn silage-alfalfa production systems receiving liquid dairy manure

    USDA-ARS?s Scientific Manuscript database

    Accurately measuring soil organic C (SOC) stock changes over time is essential for verifying agronomic management effects on C sequestration. This study quantified the spatial and temporal changes in SOC stocks on adjacent 65-ha corn silage-alfalfa production fields receiving liquid dairy manure in...

  3. The role of composition, invasives, and maintenance emissions on urban forest carbon stocks.

    PubMed

    Horn, Josh; Escobedo, Francisco J; Hinkle, Ross; Hostetler, Mark; Timilsina, Nilesh

    2015-02-01

    There are few field-based, empirical studies quantifying the effect of invasive trees and palms and maintenance-related carbon emissions on changes in urban forest carbon stocks. We estimated carbon (C) stock changes and tree maintenance-related C emissions in a subtropical urban forest by re-measuring a subsample of residential permanent plots during 2009 and 2011, using regional allometric biomass equations, and surveying residential homeowners near Orlando, FL, USA. The effect of native, non-native, invasive tree species and palms on C stocks and sequestration was also quantified. Findings show 17.8 tC/ha in stocks and 1.2 tC/ha/year of net sequestration. The most important species both by frequency of C stocks and sequestration were Quercus laurifolia Michx. and Quercus virginiana Mill., accounting for 20% of all the trees measured; 60% of carbon stocks and over 75% of net C sequestration. Palms contributed to less than 1% of the total C stocks. Natives comprised two-thirds of the tree population and sequestered 90% of all C, while invasive trees and palms accounted for 5 % of net C sequestration. Overall, invasive and exotic trees had a limited contribution to total C stocks and sequestration. Annual tree-related maintenance C emissions were 0.1% of total gross C sequestration. Plot-level tree, palm, and litter cover were correlated to C stocks and net sequestration. Findings can be used to complement existing urban forest C offset accounting and monitoring protocols and to better understand the role of invasive woody plants on urban ecosystem service provision.

  4. The Role of Composition, Invasives, and Maintenance Emissions on Urban Forest Carbon Stocks

    NASA Astrophysics Data System (ADS)

    Horn, Josh; Escobedo, Francisco J.; Hinkle, Ross; Hostetler, Mark; Timilsina, Nilesh

    2015-02-01

    There are few field-based, empirical studies quantifying the effect of invasive trees and palms and maintenance-related carbon emissions on changes in urban forest carbon stocks. We estimated carbon (C) stock changes and tree maintenance-related C emissions in a subtropical urban forest by re-measuring a subsample of residential permanent plots during 2009 and 2011, using regional allometric biomass equations, and surveying residential homeowners near Orlando, FL, USA. The effect of native, non-native, invasive tree species and palms on C stocks and sequestration was also quantified. Findings show 17.8 tC/ha in stocks and 1.2 tC/ha/year of net sequestration. The most important species both by frequency of C stocks and sequestration were Quercus laurifolia Michx. and Quercus virginiana Mill., accounting for 20 % of all the trees measured; 60 % of carbon stocks and over 75 % of net C sequestration. Palms contributed to less than 1 % of the total C stocks. Natives comprised two-thirds of the tree population and sequestered 90 % of all C, while invasive trees and palms accounted for 5 % of net C sequestration. Overall, invasive and exotic trees had a limited contribution to total C stocks and sequestration. Annual tree-related maintenance C emissions were 0.1 % of total gross C sequestration. Plot-level tree, palm, and litter cover were correlated to C stocks and net sequestration. Findings can be used to complement existing urban forest C offset accounting and monitoring protocols and to better understand the role of invasive woody plants on urban ecosystem service provision.

  5. The role of SOCS3 in modulating leukaemia inhibitory factor signalling during murine placental development

    PubMed Central

    Boyle, Kristy; Robb, Lorraine

    2008-01-01

    Cytokines are an integral part of the adaptive and innate immune responses. The signalling pathways triggered by receptor engagement translate exposure to cytokine into a coordinated biological response. To contain these responses, the initiation, duration and magnitude of the signal is controlled at multiple levels. SOCS (suppressor of cytokine signalling) proteins act in a negative feedback loop to inhibit signal transduction. Mice with a deletion of SOCS3 die at midgestion due to placental insufficiency. SOCS3-null placentae have increased numbers of mature trophoblast giant cells, disruption of the labyrinthine layer and a decrease in the spongiotrophoblast layer. Genetic crosses have revealed that the phenotype is due to dysregulation of signalling downstream of the leukaemia inhibitory factor (LIF) receptor alpha (LIFRα) and that the ligand responsible for this, LIF, is produced by embryonic tissues and acts in a paracrine fashion. These observations highlight the role of LIF as an extrinsic factor regulating trophoblast differentiation in vivo. The creation of mice with conditional deletion of SOCS3 in different tissues has also uncovered critical roles for SOCS3 in the regulation of IL-6, G-CSF and leptin signalling. PMID:17408753

  6. The role of SOCS3 in modulating leukaemia inhibitory factor signalling during murine placental development.

    PubMed

    Boyle, Kristy; Robb, Lorraine

    2008-01-01

    Cytokines are an integral part of the adaptive and innate immune responses. The signalling pathways triggered by receptor engagement translate exposure to cytokine into a coordinated biological response. To contain these responses, the initiation, duration and magnitude of the signal is controlled at multiple levels. Suppressor of cytokine signalling (SOCS) proteins act in a negative feedback loop to inhibit signal transduction. Mice with a deletion of SOCS3 die at midgestion due to placental insufficiency. SOCS3-null placentae have increased numbers of mature trophoblast giant cells, disruption of the labyrinthine layer and a decrease in the spongiotrophoblast layer. Genetic crosses have revealed that the phenotype is due to dysregulation of signalling downstream of the leukaemia inhibitory factor (LIF) receptor alpha (LIFRalpha) and that the ligand responsible for this, LIF, is produced by embryonic tissues and acts in a paracrine fashion. These observations highlight the role of LIF as an extrinsic factor regulating trophoblast differentiation in vivo. The creation of mice with conditional deletion of SOCS3 in different tissues has also uncovered critical roles for SOCS3 in the regulation of IL-6, G-CSF and leptin signalling.

  7. Aberrant IL-4 production by SOCS3-over-expressing T cells during infection with Leishmania major exacerbates disease manifestations.

    PubMed

    Nakaya, Mako; Hamano, Shinjiro; Kawasumi, Miyuri; Yoshida, Hiroki; Yoshimura, Akihiko; Kobayashi, Takashi

    2011-03-01

    Suppressor of cytokine signaling (SOCS) 3 is a major negative feedback regulator of signal transducer and activator of transcription 3-activating cytokines. Studies using T-cell-specific SOCS3-deficient mice indicate that the absence of SOCS3 in T cells results in exacerbation of disease progression after infection by Leishmania major due to skewing of the T(h)3 cell phenotype accompanied by hyper-production of IL-10 and transforming growth factor β (TGF-β). Here we show that transgenic mice over-expressing the SOCS3 gene in T cells (Lck-SOCS3 Tg mice) are also susceptible to infection by L. major. Forced expression of SOCS3 in T cells did not affect the production of the anti-inflammatory cytokines IL-10 and TGF-β or that of the protective T(h)1 type cytokine IFN-γ, which is required for parasite clearance. CD4(+) T cells isolated from infected-Lck-SOCS3 Tg mice produced much higher levels of IL-4 when they were re-stimulated with L. major antigen in vitro. Exacerbation of disease progression in Lck-SOCS3 Tg mice was completely reversed by administration of a neutralizing antibody against IL-4. These data suggest that tight regulation of SOCS3 expression in T(h) cells is crucial for disease control during infection by L. major.

  8. Dynamics of biomass and carbon sequestration across a chronosequence of masson pine plantations

    NASA Astrophysics Data System (ADS)

    Justine, Meta Francis; Yang, Wanqin; Wu, Fuzhong; Khan, Muhammad Naeem

    2017-03-01

    The changes of forest biomass stock and carbon (C) sequestration with stand ages at fixed intervals in the different vegetation components remain unknown. Using the masson pine (Pinus massoniana) relative growth equation, biomass carbon stocks were obtained in four masson pine plantations at 12 year intervals (3 years, 15 years, 27 years, and 39 years). Meanwhile, the changes in soil organic C (SOC) stock with stand ages were also estimated. The biomass stock varied from 1.41 to 265.33 Mg ha-1, 6.87 to 7.49 Mg ha-1, and 2.66 to 4.86 Mg ha-1 in the tree, shrub, and herb layers. Carbon concentrations in plant tissues were 51.6%, 39.0%, and 42.2% in the tree, shrub, and herb layers. The aboveground biomass C contributed 81.7% and 60.5% in the tree and shrub layers, and the root to shoot (R/S) ratio of the tree and shrub layer biomass averaged 0.23 and 0.69. Biomass C stock increased significantly (p < 0.05) with forest age, whereas the changes in biomass accumulation rate decreased significantly (p < 0.05). The annual net C sequestration increased with age from 0.47 to 9.83 Mg ha-1 yr-1 in the tree layer but decreased in the shrub and herb layers. The SOC content decreased with soil depth but increased with age, whereas the SOC stock increased with depth and age. However, the total ecosystem C stock increased significantly (p < 0.05) with stand age suggesting that age is the controlling factor of photosynthetic and biological processes and thus changes in biomass accumulation and C sequestration in masson pine plantations. Therefore, in-depth studies are needed for continuous monitoring of the changes in nutrients and elements cycling with stand ages in this forest ecosystem.

  9. Effects of multiple interacting disturbances and salvage logging on forest carbon stocks

    USGS Publications Warehouse

    Bradford, J.B.; Fraver, S.; Milo, A.M.; D'Amato, A.W.; Palik, B.; Shinneman, D.J.

    2012-01-01

    Climate change is anticipated to increase the frequency of disturbances, potentially impacting carbon stocks in terrestrial ecosystems. However, little is known about the implications of either multiple disturbances or post-disturbance forest management activities on ecosystem carbon stocks. This study quantified how forest carbon stocks responded to stand-replacing blowdown and wildfire, both individually and in combination with and without post-disturbance salvage operations, in a sub-boreal jack pine ecosystem. Individually, blowdown or fire caused similar decreases in live carbon and total ecosystem carbon. However, whereas blowdown increased carbon in down woody material and forest floor, fire increased carbon in standing snags, a difference that may have consequences for long-term carbon cycling patterns. Fire after the blowdown caused substantial additional reduction in ecosystem carbon stocks, suggesting that potential increases in multiple disturbance events may represent a challenge for sustaining ecosystem carbon stocks. Salvage logging, as examined here, decreased carbon stored in snags and down woody material but had no significant effect on total ecosystem carbon stocks.

  10. Capturing spatial heterogeneity of soil organic carbon under changing climate

    NASA Astrophysics Data System (ADS)

    Mishra, U.; Fan, Z.; Jastrow, J. D.; Matamala, R.; Vitharana, U.

    2015-12-01

    The spatial heterogeneity of the land surface affects water, energy, and greenhouse gas exchanges with the atmosphere. Designing observation networks that capture land surface spatial heterogeneity is a critical scientific challenge. Here, we present a geospatial approach to capture the existing spatial heterogeneity of soil organic carbon (SOC) stocks across Alaska, USA. We used the standard deviation of 556 georeferenced SOC profiles previously compiled in Mishra and Riley (2015, Biogeosciences, 12:3993-4004) to calculate the number of observations that would be needed to reliably estimate Alaskan SOC stocks. This analysis indicated that 906 randomly distributed observation sites would be needed to quantify the mean value of SOC stocks across Alaska at a confidence interval of ± 5 kg m-2. We then used soil-forming factors (climate, topography, land cover types, surficial geology) to identify the locations of appropriately distributed observation sites by using the conditioned Latin hypercube sampling approach. Spatial correlation and variogram analyses demonstrated that the spatial structures of soil-forming factors were adequately represented by these 906 sites. Using the spatial correlation length of existing SOC observations, we identified 484 new observation sites would be needed to provide the best estimate of the present status of SOC stocks in Alaska. We then used average decadal projections (2020-2099) of precipitation, temperature, and length of growing season for three representative concentration pathway (RCP 4.5, 6.0, and 8.5) scenarios of the Intergovernmental Panel on Climate Change to investigate whether the location of identified observation sites will shift/change under future climate. Our results showed 12-41 additional observation sites (depending on emission scenarios) will be required to capture the impact of projected climatic conditions by 2100 on the spatial heterogeneity of Alaskan SOC stocks. Our results represent an ideal distribution

  11. Self-Regulation among Youth in Four Western Cultures: Is There an Adolescence-Specific Structure of the Selection-Optimization-Compensation (SOC) Model?

    ERIC Educational Resources Information Center

    Gestsdottir, Steinunn; Geldhof, G. John; Paus, Tomáš; Freund, Alexandra M.; Adalbjarnardottir, Sigrun; Lerner, Jacqueline V.; Lerner, Richard M.

    2015-01-01

    We address how to conceptualize and measure intentional self-regulation (ISR) among adolescents from four cultures by assessing whether ISR (conceptualized by the SOC model of Selection, Optimization, and Compensation) is represented by three factors (as with adult samples) or as one "adolescence-specific" factor. A total of 4,057 14-…

  12. Interleukin-6 inhibits hepatic growth hormone signaling via upregulation of Cis and Socs-3.

    PubMed

    Denson, Lee A; Held, Matthew A; Menon, Ram K; Frank, Stuart J; Parlow, Albert F; Arnold, Dodie L

    2003-04-01

    Cytokines may cause an acquired growth hormone (GH) resistance in patients with inflammatory diseases. Anabolic effects of GH are mediated through activation of STAT5 transcription factors. We have reported that TNF-alpha suppresses hepatic GH receptor (GHR) gene expression, whereas the cytokine-inducible SH2-containing protein 1 (Cis)/suppressors of cytokine signaling (Socs) genes are upregulated by TNF-alpha and IL-6 and inhibit GH activation of STAT5. However, the relative importance of these mechanisms in inflammatory GH resistance was not known. We hypothesized that IL-6 would prevent GH activation of STAT5 and that this would involve Cis/Socs protein upregulation. GH +/- LPS was administered to TNF receptor 1 (TNFR1) or IL-6 null mice and wild-type (WT) controls. STAT5, STAT3, GHR, Socs 1-3, and Cis phosphorylation and abundance were assessed by using immunoblots, EMSA, and/or real time RT-PCR. TNF-alpha and IL-6 abundance were assessed by using ELISA. GH activated STAT5 in WT and TNFR1 or IL-6 null mice. LPS pretreatment prevented STAT5 activation in WT and TNFR1 null mice; however, STAT5 activation was preserved in IL-6 null mice. GHR abundance did not change with LPS administration. Inhibition of STAT5 activation by LPS was temporally associated with phosphorylation of STAT3 and upregulation of Cis and Socs-3 protein in WT and TNFR1 null mice; STAT3, Cis, and Socs-3 were not induced in IL-6 null mice. IL-6 inhibits hepatic GH signaling by upregulating Cis and Socs-3, which may involve activation of STAT3. Therapies that block IL-6 may enhance GH signaling in inflammatory diseases.

  13. Stroke: a Hidden Danger of Margin Trading in Stock Markets.

    PubMed

    Lin, Shu-Hui; Wang, Chien-Ho; Liu, Tsai-Ching; Chen, Chin-Shyan

    2015-10-01

    Using 10-year population data from 2000 through 2009 in Taiwan, this is the first paper to analyze the relationship between margin trading in stock markets and stroke hospitalizations. The results show that 3 and 6 days after an increase of margin trading in the Taiwan stock markets are associated with greater stoke hospitalizations. In general, a 1 % increase in total margin trading positions is associated with an increment of 2.5 in the total number of stroke hospitalizations, where the mean number of hospital admissions is 233 cases a day. We further examine the effects of margin trading by gender and age groups and find that the effects of margin trading are significant for males and those who are 45-74 years old only. In summary, buying stocks with money you do not have is quite risky, especially if the prices of those stocks fall past a certain level or if there is a sudden and severe drop in the stock market. There is also a hidden danger to one's health from margin trading. A person should be cautious before conducting margin trading, because while it can be quite profitable, danger always lurks just around the corner.

  14. Climate and land use changes effects on soil organic carbon stocks in a Mediterranean semi-natural area.

    PubMed

    Lozano-García, Beatriz; Muñoz-Rojas, Miriam; Parras-Alcántara, Luis

    2017-02-01

    A thorough knowledge of the effects of climate and land use changes on the soil carbon pool is critical to planning effective strategies for adaptation and mitigation in future scenarios of global climate and land use change. In this study, we used CarboSOIL model to predict changes in soil organic carbon stocks in a semi-natural area of Southern Spain in three different time horizons (2040, 2070, 2100), considering two general circulation models (BCM2 and ECHAM5) and three IPCC scenarios (A1b, A2, B2). The effects of potential land use changes from natural vegetation (Mediterranean evergreen oak woodland) to agricultural land (olive grove and cereal) on soil organic carbon stocks were also evaluated. Predicted values of SOC contents correlated well those measured (R2 ranging from 0.71 at 0-25cm to 0.97 at 50-75cm) showing the efficiency of the model. Results showed substantial differences among time horizons, climate and land use scenarios and soil depth with larger decreases of soil organic carbon stocks in the long term (2100 time horizon) and particularly in olive groves. The combination of climate and land use scenarios (in particular conversion from current 'dehesa' to olive groves) resulted in yet higher losses of soil organic carbon stocks, e.g. -30, -15 and -33% in the 0-25, 25-50 and 50-75cm sections respectively. This study shows the importance of soil organic carbon stocks assessment under both climate and land use scenarios at different soil sections and point towards possible directions for appropriate land use management in Mediterranean semi natural areas. Copyright © 2016 Elsevier B.V. All rights reserved.

  15. Measurement of the complete core plasma flow across the LOC-SOC transition at ASDEX Upgrade

    NASA Astrophysics Data System (ADS)

    Lebschy, A.; McDermott, R. M.; Angioni, C.; Geiger, B.; Prisiazhniuk, D.; Cavedon, M.; Conway, G. D.; Dux, R.; Dunne, M. G.; Kappatou, A.; Pütterich, T.; Stroth, U.; Viezzer, E.; the ASDEX Upgrade Team

    2018-02-01

    A newly installed core charge exchange recombination spectroscopy (CXRS) diagnostic at ASDEX Upgrade (AUG) enables the evaluation of the core poloidal rotation (upol ) through the inboard-outboard asymmetry of the toroidal rotation with an accuracy of 0.5 to 1 km s-1 . Using this technique, the total plasma flow has been measured in Ohmic L-mode plasmas across the transition from the linear to saturated ohmic confinement (LOC-SOC) regimes. The core poloidal rotation of the plasma around mid-radius is found to be always in the ion diamagnetic direction, in disagreement with neoclassical (NC) predictions. The edge rotation is found to be electron-directed and consistent with NC codes. This measurement provides as well the missing ingredient to evaluate the core E×B velocity (uE×B ) from data only, which can then be compared to measurements of the perpendicular velocity of the turbulent fluctuations (u\\perp ) to gain information on the turbulent phase velocity (vph ). The non neoclassical upol from CXRS leads to good agreement between uE×B and u\\perp indicating that vph is small and at similar values as found with gyrokinetic simulations. Moreover, the data shows a shift of vph in the ion-diamagnetic direction at the edge after the transition from LOC to SOC consistent with a change in the dominant turbulence regime. The upgrade of the core CXRS system provides as well a deeper insight into the intrinsic rotation. This paper shows that the reversal of the core toroidal rotation occurs clearly after the LOC-SOC transition and concomitant with the peaking of the electron density.

  16. Organic carbon stock modelling for the quantification of the carbon sinks in terrestrial ecosystems

    NASA Astrophysics Data System (ADS)

    Durante, Pilar; Algeet, Nur; Oyonarte, Cecilio

    2017-04-01

    Given the recent environmental policies derived from the serious threats caused by global change, practical measures to decrease net CO2 emissions have to be put in place. Regarding this, carbon sequestration is a major measure to reduce atmospheric CO2 concentrations within a short and medium term, where terrestrial ecosystems play a basic role as carbon sinks. Development of tools for quantification, assessment and management of organic carbon in ecosystems at different scales and management scenarios, it is essential to achieve these commitments. The aim of this study is to establish a methodological framework for the modeling of this tool, applied to a sustainable land use planning and management at spatial and temporal scale. The methodology for carbon stock estimation in ecosystems is based on merger techniques between carbon stored in soils and aerial biomass. For this purpose, both spatial variability map of soil organic carbon (SOC) and algorithms for calculation of forest species biomass will be created. For the modelling of the SOC spatial distribution at different map scales, it is necessary to fit in and screen the available information of soil database legacy. Subsequently, SOC modelling will be based on the SCORPAN model, a quantitative model use to assess the correlation among soil-forming factors measured at the same site location. These factors will be selected from both static (terrain morphometric variables) and dynamic variables (climatic variables and vegetation indexes -NDVI-), providing to the model the spatio-temporal characteristic. After the predictive model, spatial inference techniques will be used to achieve the final map and to extrapolate the data to unavailable information areas (automated random forest regression kriging). The estimated uncertainty will be calculated to assess the model performance at different scale approaches. Organic carbon modelling of aerial biomass will be estimate using LiDAR (Light Detection And Ranging

  17. Accounting for Biomass Carbon Stock Change Due to Wildfire in Temperate Forest Landscapes in Australia

    PubMed Central

    Keith, Heather; Lindenmayer, David B.; Mackey, Brendan G.; Blair, David; Carter, Lauren; McBurney, Lachlan; Okada, Sachiko; Konishi-Nagano, Tomoko

    2014-01-01

    Carbon stock change due to forest management and disturbance must be accounted for in UNFCCC national inventory reports and for signatories to the Kyoto Protocol. Impacts of disturbance on greenhouse gas (GHG) inventories are important for many countries with large forest estates prone to wildfires. Our objective was to measure changes in carbon stocks due to short-term combustion and to simulate longer-term carbon stock dynamics resulting from redistribution among biomass components following wildfire. We studied the impacts of a wildfire in 2009 that burnt temperate forest of tall, wet eucalypts in south-eastern Australia. Biomass combusted ranged from 40 to 58 tC ha−1, which represented 6–7% and 9–14% in low- and high-severity fire, respectively, of the pre-fire total biomass carbon stock. Pre-fire total stock ranged from 400 to 1040 tC ha−1 depending on forest age and disturbance history. An estimated 3.9 TgC was emitted from the 2009 fire within the forest region, representing 8.5% of total biomass carbon stock across the landscape. Carbon losses from combustion were large over hours to days during the wildfire, but from an ecosystem dynamics perspective, the proportion of total carbon stock combusted was relatively small. Furthermore, more than half the stock losses from combustion were derived from biomass components with short lifetimes. Most biomass remained on-site, although redistributed from living to dead components. Decomposition of these components and new regeneration constituted the greatest changes in carbon stocks over ensuing decades. A critical issue for carbon accounting policy arises because the timeframes of ecological processes of carbon stock change are longer than the periods for reporting GHG inventories for national emissions reductions targets. Carbon accounts should be comprehensive of all stock changes, but reporting against targets should be based on human-induced changes in carbon stocks to incentivise mitigation activities

  18. Enhancement of leptin receptor signaling by SOCS3 deficiency induces development of gastric tumors in mice.

    PubMed

    Inagaki-Ohara, K; Mayuzumi, H; Kato, S; Minokoshi, Y; Otsubo, T; Kawamura, Y I; Dohi, T; Matsuzaki, G; Yoshimura, A

    2014-01-02

    Leptin acts on its receptor (ObR) in the hypothalamus to inhibit food intake and energy expenditure. Leptin and ObR are also expressed in the gastrointestinal tract; however, the physiological significance of leptin signaling in the gut remains uncertain. Suppressor of cytokine signaling 3 (SOCS3) is a key negative feedback regulator of ObR-mediated signaling in the hypothalamus. We now show that gastrointestinal epithelial cell-specific SOCS3 conditional knockout (T3b-SOCS3 cKO) mice developed gastric tumors by enhancing leptin production and the ObRb/signal transducer and activator of transcription 3 (STAT3) signaling pathway. All T3b-SOCS3 cKO mice developed tumors in the stomach but not in the bowels by 2 months of age, even though the SOCS3 deletion occurred in both the epithelium of stomach and bowels. The tumors developed in the absence of the inflammatory response and all cKO mice died within 6 months. These tumors displayed pathology and molecular alterations, such as an increase in MUC2 (Mucin 2, oligomeric mucus/gel-forming) and TFF3 (trefoil factor 3), resembling human intestinal-type gastric tumors. Administration of antileptin antibody to T3b-SOCS3 cKO mice reduced hyperplasia of gastric mucosa, which is the step of the initiation of gastric tumor. These data suggest that SOCS3 is an antigastric tumor gene that suppresses leptin overexpression and ObRb/STAT3 hyperactivation, supporting the hypothesis that the leptin/ObRb/STAT3 axis accelerates tumorigenesis and that it may represent a new therapeutic target for the treatment of gastric cancer.

  19. SOC Strategies and Organizational Citizenship Behaviors toward the Benefits of Co-workers: A Multi-Source Study.

    PubMed

    Müller, Andreas; Weigl, Matthias

    2017-01-01

    Background: Individuals' behavioral strategies like selection, optimization, and compensation (SOC) contribute to efficient use of available resources. In the work context, previous studies revealed positive associations between employees' SOC use and favorable individual outcomes, like engagement and job performance. However, the social implications of self-directed behaviors like SOC that are favorable for the employee but may imply consequences for coworkers have not been investigated yet in an interpersonal work context. Objective: This study aimed to assess associations between employees' use of SOC behaviors at work and their organizational citizenship behaviors (OCB) toward the benefits of co-workers rated by their peers at work. We further sought to identify age-specific associations between SOC use and OCB. Design and Method: A cross-sectional design combining multi-source data was applied in primary school teachers (age range: 23-58 years) who frequently teach in dyads. N = 114 dyads were finally included. Teachers reported on their SOC strategies at work. Their peer colleagues evaluated teachers' OCB. Control variables were gender, workload, working hours, and perceived proximity of relationship between the dyads. Results: We observed a positive effect of loss-based selection behaviors on peer-rated OCB. Moreover, there was a significant two-way interaction effect between the use of compensation strategies and age on OCB, such that there was a positive association for older employees and a negative association for younger employees. There were no significant main and age-related interaction effects of elective selection, optimization, and of overall SOC strategies on OCB. Conclusion: Our study suggests that high use of loss-based selection and high use of compensation strategies in older employees is positively related with OCB as perceived by their colleagues. However, high use of compensation strategies in younger employees is perceived negatively

  20. SOC Strategies and Organizational Citizenship Behaviors toward the Benefits of Co-workers: A Multi-Source Study

    PubMed Central

    Müller, Andreas; Weigl, Matthias

    2017-01-01

    Background: Individuals’ behavioral strategies like selection, optimization, and compensation (SOC) contribute to efficient use of available resources. In the work context, previous studies revealed positive associations between employees’ SOC use and favorable individual outcomes, like engagement and job performance. However, the social implications of self-directed behaviors like SOC that are favorable for the employee but may imply consequences for coworkers have not been investigated yet in an interpersonal work context. Objective: This study aimed to assess associations between employees’ use of SOC behaviors at work and their organizational citizenship behaviors (OCB) toward the benefits of co-workers rated by their peers at work. We further sought to identify age-specific associations between SOC use and OCB. Design and Method: A cross-sectional design combining multi-source data was applied in primary school teachers (age range: 23–58 years) who frequently teach in dyads. N = 114 dyads were finally included. Teachers reported on their SOC strategies at work. Their peer colleagues evaluated teachers’ OCB. Control variables were gender, workload, working hours, and perceived proximity of relationship between the dyads. Results: We observed a positive effect of loss-based selection behaviors on peer-rated OCB. Moreover, there was a significant two-way interaction effect between the use of compensation strategies and age on OCB, such that there was a positive association for older employees and a negative association for younger employees. There were no significant main and age-related interaction effects of elective selection, optimization, and of overall SOC strategies on OCB. Conclusion: Our study suggests that high use of loss-based selection and high use of compensation strategies in older employees is positively related with OCB as perceived by their colleagues. However, high use of compensation strategies in younger employees is perceived

  1. Muscle-specific deletion of SOCS3 increases the early inflammatory response but does not affect regeneration after myotoxic injury.

    PubMed

    Swiderski, Kristy; Thakur, Savant S; Naim, Timur; Trieu, Jennifer; Chee, Annabel; Stapleton, David I; Koopman, René; Lynch, Gordon S

    2016-01-01

    Muscles of old animals are injured more easily and regenerate poorly, attributed in part to increased levels of circulating pro-inflammatory cytokines. The Janus kinase/signal transducers and activators of transcription (JAK/STAT) signaling cascade is a key mediator of inflammatory cytokine action, and signaling via this pathway is increased in muscles with aging. As a negative regulator of JAK/STAT signaling, a key mediator of myogenic proliferation and differentiation, altered expression of suppressor of cytokine signaling (SOCS3) is likely to have important consequences for muscle regeneration. To model this scenario, we investigated the effect of SOCS3 deletion within mature muscle fibers on injury and repair. We tested the hypothesis that reduced SOCS3 function would alter the inflammatory response and impair muscle regeneration after myotoxic injury. Mice with a specific deletion of SOCS3 within mature skeletal muscle fibers were used to assess the effect of SOCS3 deletion on muscle injury and repair. Twelve-week-old or 24-month-old SOCS3 muscle-specific knockout (SOCS3 MKO) mice and littermate controls were either left uninjured or injured with a single injection of notexin (10 μg/ml) into the right tibialis anterior (TA) muscle. At 1, 2, 3, 5, 7, or 14 days post-injury, the right TA muscle was excised and subjected to histological, western immunoblotting, and gene expression analyses. Force production and fatigue were assessed in uninjured muscles and at 7 days post-notexin injury. In uninjured muscles, SOCS3 deletion decreased force production during fatigue but had no effect on the gross or histological appearance of the TA muscles. After notexin injury, deletion of SOCS3 increased STAT3 phosphorylation at day 1 and increased the mRNA expression of the inflammatory cytokine TNF-α , and the inflammatory cell markers F4/80 and CD68 at day 2. Gene expression analysis of the regeneration markers Pax7 , MyoD , and Myogenin indicated SOCS3 deletion had no

  2. Absence of SOCS3 in the cardiomyocyte increases mortality in a gp130 dependent manner accompanied by contractile dysfunction and ventricular arrhythmias

    PubMed Central

    Yajima, Toshitaka; Murofushi, Yoshiteru; Zhou, Hanbing; Park, Stanley; Housman, Jonathan; Zhong, Zhao-Hua; Nakamura, Michinari; Machida, Mitsuyo; Hwang, Kyung-Kuk; Gu, Yusu; Dalton, Nancy D.; Yajima, Tomoko; Yasukawa, Hideo; Peterson, Kirk L; Knowlton, Kirk U.

    2011-01-01

    Background Suppressor of cytokine signaling-3 (SOCS3) is a key negative-feedback regulator of gp130 receptor that provides crucial signaling for cardiac hypertrophy and survival; however, an in vivo role of SOCS3 regulation on cardiac gp130 signaling remains obscure. Methods and Results We generated cardiac-specific SOCS3 knockout (SOCS3 cKO) mice. These mice showed increased activation of gp130 downstream signaling targets (STAT3, ERK1/2, AKT and p38) from 15 weeks of age and developed cardiac dysfunction from around 25 weeks of age with signs of heart failure. Surprisingly, SOCS3 cKO failing hearts had minimal histological abnormalities with intact myofibril ultrastructure. In addition, Ca2+ transients were significantly increased in SOCS3 cKO failing hearts compared to wild-type (WT) hearts. We also found that Ser23/24 residues of troponin I were hypophosphorylated in SOCS3 cKO hearts before the manifestation of cardiac dysfunction. These data suggested the presence of abnormalities in myofilament Ca2+ sensitivity in SOCS3 cKO mice. In addition to the contractile dysfunction, we found various ventricular arrhythmias in SOCS3 cKO non-failing hearts accompanied by a sarcoplasmic reticulum Ca2+ overload. To determine the contribution of gp130 signaling to the cardiac phenotype that occurs with SOCS3 deficiency, we generated cardiac-specific gp130 and SOCS3 double knockout mice. Double KO mice lived significantly longer and had different histological abnormalities when compared to SOCS3 cKO mice; thus, demonstrating the importance of gp130 signaling in the SOCS3 cKO cardiac phenotype. Conclusions Our results demonstrate an important role of SOCS3 regulation on cardiac gp130 signaling in the pathogenesis of contractile dysfunction and ventricular arrhythmias. PMID:22082679

  3. A 6.45 μW Self-Powered SoC With Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems.

    PubMed

    Roy, Abhishek; Klinefelter, Alicia; Yahya, Farah B; Chen, Xing; Gonzalez-Guerrero, Luisa Patricia; Lukas, Christopher J; Kamakshi, Divya Akella; Boley, James; Craig, Kyle; Faisal, Muhammad; Oh, Seunghyun; Roberts, Nathan E; Shakhsheer, Yousef; Shrivastava, Aatmesh; Vasudevan, Dilip P; Wentzloff, David D; Calhoun, Benton H

    2015-12-01

    This paper presents a batteryless system-on-chip (SoC) that operates off energy harvested from indoor solar cells and/or thermoelectric generators (TEGs) on the body. Fabricated in a commercial 0.13 μW process, this SoC sensing platform consists of an integrated energy harvesting and power management unit (EH-PMU) with maximum power point tracking, multiple sensing modalities, programmable core and a low power microcontroller with several hardware accelerators to enable energy-efficient digital signal processing, ultra-low-power (ULP) asymmetric radios for wireless transmission, and a 100 nW wake-up radio. The EH-PMU achieves a peak end-to-end efficiency of 75% delivering power to a 100 μA load. In an example motion detection application, the SoC reads data from an accelerometer through SPI, processes it, and sends it over the radio. The SPI and digital processing consume only 2.27 μW, while the integrated radio consumes 4.18 μW when transmitting at 187.5 kbps for a total of 6.45 μW.

  4. Soil clay content controls the turnover of slow soil carbon across Chinese cropland

    NASA Astrophysics Data System (ADS)

    Feng, W.; Jiang, J.; Li, J.

    2017-12-01

    Improving the prediction of changes in global soil organic carbon (SOC) lies in accurate estimate of C inputs to soils and SOC turnover time. Since C inputs to soils in cropland can be estimated due to well documented data of crop yields, SOC turnover rate becomes critical for accurate prediction of changes in SOC. The laboratory incubation is widely used but cannot well represent the turnover of slow soil C that accounts for the majority of total SOC, while the long-term observation of temporal changes in SOC stock offers an opportunity to estimate the turnover of slow soil C. Using time series data of SOC stock of twenty long-term agricultural trials that have initiated since 1990 in China, we estimated SOC turnover rates based on changes in soil C pool size and aimed to identify the dominant controls on SOC turnover rate across Chinese cropland. We used the two-pool first-order kinetic soil C model and the inverse modeling with Markov chain the Monte Carlo algorithm, and estimated humification coefficient (h) of C inputs to soils, turnover rates of fast and slow soil C pools, and the transfer coefficient between these two soil C pools. The preliminary results show that the turnover rate of slow soil C is positively correlated with climate (i.e. mean annual temperature and precipitation) but negatively correlated with the clay content, demonstrating that the clay content is important in regulating SOC turnover rates. The ratio of humification coefficient to C turnover rate (h/k) that indicates soil C sequestration efficiency, is negatively correlated with climate and positively correlated with the clay content. In addition, the quantity of C inputs is correlated with h/k and the turnover rate of slow soil C, suggesting that the quantity of C inputs plays an important role in mediating C sequestration efficiency. Further results will inform us the main controls on SOC turnover in Chinese cropland. Keywords: SOC; turnover; long-term trial; temporal change; clay

  5. Global patterns and controls of soil organic carbon dynamics as simulated by multiple terrestrial biosphere models. Current status and future directions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tian, Hanqin; Lu, Chaoqun; Yang, Jia

    2015-06-05

    Soil is the largest organic carbon (C) pool of terrestrial ecosystems, and loss from soil accounts for a large pro portion of land-atmosphere C exchange. Due to large pool size and variable residence time from years to millennia, even small changes in soil organic C(SOC) have substantial effects on the terrestrial C budget, thereby affecting atmospheric carbon dioxide (CO2)concentration and climate change. In the past decades, a wide variety of studies have been conducted to quantify global SOC stocks and soil exchange with the atmosphere through site measurements, inventories, and empirical/process-based modeling. However, these estimates are highly uncertain and identifyingmore » major driving forces controlling soil C storage and fluxes remains a key research challenge his study has compiled century-long (1901-2010)estimates of SOC storage and heterotrophic respiration (Rh) from ten terrestrial biosphere models (TBMs) in the Multi-scale Synthesis and Terrestrial Model Intercomparison Project (MsTMIP) and two observation based datasets. The ten-TBM ensemble shows that global SOC estimate range from 4 to 2111 Pg C (1 Pg = 10 15g) with a median value of 1158 Pg C33 in 2010. Modeling approach estimates a broad range of Rh from 35 to 69 Pg C yr -1 with a median value of 51Pg C yr -1 during 200–2010. The largest uncertainty in SOC stocks exists in the 40–65°N latitude band while Rh differences are the largest in the tropics. All the models agreed that climate and land use changes have decreased SOC stocks while elevated CO 2 and atmospheric nitrogen deposition have increased SOC stocks though the response varied significantly among models. Model representations of temperature and moisture sensitivity,nutrient limitation and land use partially explain the divergent estimates of global SOC stocks and soil fluxes in this study. In addition, major sources of uncertainty from model estimation include exclusion of SOC storage in wetlands and peatlands as well as C

  6. Effects of seabird nitrogen input on biomass and carbon accumulation after 50 years of primary succession on a young volcanic island, Surtsey

    NASA Astrophysics Data System (ADS)

    Leblans, N. I. W.; Sigurdsson, B. D.; Roefs, P.; Thuys, R.; Magnússon, B.; Janssens, I. A.

    2014-05-01

    What happens during primary succession after the first colonizers have occupied a pristine surface largely depends on how they ameliorate living conditions for other species. For vascular plants the onset of soil development and associated increase in nutrient (mainly nitrogen, N) and water availability is especially important. Here, we report the relation between N accumulation and biomass- and ecosystem carbon (C) stocks in a 50 year old volcanic island, Surtsey, in Iceland, where N stocks are still exceptionally low. However, 27 year old seagull colony on the island provided nutrient-enriched areas, which enabled us to assess the relationship between N stock and biomass- and ecosystem C stocks across a much larger range in N stock. Further, we compared areas on shallow and deep tephra sands as we expected that deep-rooted systems would be more efficient in retaining N. The sparsely vegetated area outside the colony was more efficient in N retention than we expected and had accumulated 0.7 kg N ha-1 yr-1, which was ca. 60% of the estimated N input rate from wet deposition. The seagulls have added, on average, 47 kg N ha-1 yr-1, which induced a shift from belowground to aboveground in ecosystem N and C stocks and doubled the ecosystem "N use efficiency", determined as the ratio of biomass and C storage per unit N input. Soil depth did not significantly affect total N stocks, which suggests a high N retention potential. Both total ecosystem biomass and C stocks were strongly correlated with N stock inside the colony, which indicated the important role of N during the first steps of primary succession. Inside the colony, the ecosystem biomass C stocks (17-27 kg C ha-1) had reached normal values for grasslands, while the soil organic carbon stocks (SOC; 4-10 kg C ha-1) were only a fraction of normal grassland values. Thus, it will take a long time until the SOC stock reaches equilibrium with the current primary production; during which conditions for new colonists

  7. Moisture and vegetation controls on decadal-scale accrual of soil organic carbon and total nitrogen in restored grasslands

    USGS Publications Warehouse

    O'Brien, S. L.; Jastrow, J.D.; Grimley, D.A.; Gonzalez-Meler, M. A.

    2010-01-01

    Revitalization of degraded landscapes may provide sinks for rising atmospheric CO2, especially in reconstructed prairies where substantial belowground productivity is coupled with large soil organic carbon (SOC) deficits after many decades of cultivation. The restoration process also provides opportunities to study the often-elusive factors that regulate soil processes. Although the precise mechanisms that govern the rate of SOC accrual are unclear, factors such as soil moisture or vegetation type may influence the net accrual rate by affecting the balance between organic matter inputs and decomposition. A resampling approach was used to assess the control that soil moisture and plant community type each exert on SOC and total nitrogen (TN) accumulation in restored grasslands. Five plots that varied in drainage were sampled at least four times over two decades to assess SOC, TN, and C4- and C3-derived C. We found that higher long-term soil moisture, characterized by low soil magnetic susceptibility, promoted SOC and TN accrual, with twice the SOC and three times the TN gain in seasonally saturated prairies compared with mesic prairies. Vegetation also influenced SOC and TN recovery, as accrual was faster in the prairies compared with C3-only grassland, and C4-derived C accrual correlated strongly to total SOC accrual but C3-C did not. High SOC accumulation at the surface (0-10 cm) combined with losses at depth (10-20 cm) suggested these soils are recovering the highly stratified profiles typical of remnant prairies. Our results suggest that local hydrology and plant community are critical drivers of SOC and TN recovery in restored grasslands. Because these factors and the way they affect SOC are susceptible to modification by climate change, we contend that predictions of the C-sequestration performance of restored grasslands must account for projected climatic changes on both soil moisture and the seasonal productivity of C4 and C3 plants. ?? 2009 Blackwell

  8. Soil organic carbon quality in forested mineral wetlands at different mean annual temperature.

    Treesearch

    Cinzia Fissore; Christian P. Giardina; Randall K. Kolka; Carl C. Trettin

    2009-01-01

    Forested mineral soil wetlands (FMSW) store large stocks of soil organic carbon (SOC), but little is known on: (i) whether the quality of SOC stored in these soils (proportion of active versus more resistant SOC compounds) differs from SOC in upland soils; (ii) how the quality of SOC in FMSW varies with mean annual temperature (MAT); and (iii) whether SOC decomposition...

  9. Sequence and expression variation in SUPPRESSOR of OVEREXPRESSION of CONSTANS 1 (SOC1): homeolog evolution in Indian Brassicas.

    PubMed

    Sri, Tanu; Mayee, Pratiksha; Singh, Anandita

    2015-09-01

    Whole genome sequence analyses allow unravelling such evolutionary consequences of meso-triplication event in Brassicaceae (∼14-20 million years ago (MYA)) as differential gene fractionation and diversification in homeologous sub-genomes. This study presents a simple gene-centric approach involving microsynteny and natural genetic variation analysis for understanding SUPPRESSOR of OVEREXPRESSION of CONSTANS 1 (SOC1) homeolog evolution in Brassica. Analysis of microsynteny in Brassica rapa homeologous regions containing SOC1 revealed differential gene fractionation correlating to reported fractionation status of sub-genomes of origin, viz. least fractionated (LF), moderately fractionated 1 (MF1) and most fractionated (MF2), respectively. Screening 18 cultivars of 6 Brassica species led to the identification of 8 genomic and 27 transcript variants of SOC1, including splice-forms. Co-occurrence of both interrupted and intronless SOC1 genes was detected in few Brassica species. In silico analysis characterised Brassica SOC1 as MADS intervening, K-box, C-terminal (MIKC(C)) transcription factor, with highly conserved MADS and I domains relative to K-box and C-terminal domain. Phylogenetic analyses and multiple sequence alignments depicting shared pattern of silent/non-silent mutations assigned Brassica SOC1 homologs into groups based on shared diploid base genome. In addition, a sub-genome structure in uncharacterised Brassica genomes was inferred. Expression analysis of putative MF2 and LF (Brassica diploid base genome A (AA)) sub-genome-specific SOC1 homeologs of Brassica juncea revealed near identical expression pattern. However, MF2-specific homeolog exhibited significantly higher expression implying regulatory diversification. In conclusion, evidence for polyploidy-induced sequence and regulatory evolution in Brassica SOC1 is being presented wherein differential homeolog expression is implied in functional diversification.

  10. Structure of the Small Outer Capsid Protein, Soc: A Clamp for Stabilizing Capsids of T4-like Phages

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qin, Li; Fokine, Andrei; O'Donnell, Erin

    2010-07-22

    Many viruses need to stabilize their capsid structure against DNA pressure and for survival in hostile environments. The 9-kDa outer capsid protein (Soc) of bacteriophage T4, which stabilizes the virus, attaches to the capsid during the final stage of maturation. There are 870 Soc molecules that act as a 'glue' between neighboring hexameric capsomers, forming a 'cage' that stabilizes the T4 capsid against extremes of pH and temperature. Here we report a 1.9 {angstrom} resolution crystal structure of Soc from the bacteriophage RB69, a close relative of T4. The RB69 crystal structure and a homology model of T4 Soc weremore » fitted into the cryoelectron microscopy reconstruction of the T4 capsid. This established the region of Soc that interacts with the major capsid protein and suggested a mechanism, verified by extensive mutational and biochemical studies, for stabilization of the capsid in which the Soc trimers act as clamps between neighboring capsomers. The results demonstrate the factors involved in stabilizing not only the capsids of T4-like bacteriophages but also many other virus capsids.« less

  11. LCM-seq reveals the crucial role of LsSOC1 in heat-promoted bolting of lettuce (Lactuca sativa L.).

    PubMed

    Chen, Zijing; Zhao, Wensheng; Ge, Danfeng; Han, Yingyan; Ning, Kang; Luo, Chen; Wang, Shenglin; Liu, Renyi; Zhang, Xiaolan; Wang, Qian

    2018-05-17

    Lettuce (Lactuca sativa L.) is one of the most economically important vegetables. The floral transition in lettuce is accelerated under high temperatures, which can significantly decrease yields. However, the molecular mechanism underlying the floral tranition in lettuce is poorly known. Using laser capture microdissection coupled with RNA sequencing, we isolated shoot apical meristem cells from the bolting-sensitive lettuce line S39 at four critical stages of development. Subsequently, we screened specifically for the flowering-related gene LsSOC1 during the floral transition through comparative transcriptomic analysis. Molecular biology, developmental biology, and biochemical tools were combined to investigate the biological function of LsSOC1 in lettuce. LsSOC1 knockdown by RNA interference resulted in a significant delay in the timing of bolting and insensitivity to high temperature, which indicated that LsSOC1 functions as an activator during heat-promoted bolting in lettuce. We determined that two heat-shock transcription factors, HsfA1e and HsfA4c, bound to the promoter of LsSOC1 to confirm that LsSOC1 played an important role in heat-promoted bolting. This study indicates that LsSOC1 plays a crucial role in the heat-promoted bolting process in lettuce. Further investigation of LsSOC1 may be useful for clarification of the bolting mechanism in lettuce. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.

  12. A Small-Area and Low-Power SoC for Less-Invasive Pressure Sensing Capsules in Ambulatory Urodynamic Monitoring

    NASA Astrophysics Data System (ADS)

    Iwato, Hirofumi; Sakanushi, Keishi; Takeuchi, Yoshinori; Imai, Masaharu

    To measure the detrusor pressure for diagnosing lower urinary tract symptoms, we designed a small-area and low-power System on a Chip (SoC). The SoC should be small and low power because it is encapsulated in tiny air-tight capsules which are simultaneously inserted in the urinary bladder and rectum for several days. Since the SoC is also required to be programmable, we designed an Application Specific Instruction set Processor (ASIP) for pressure measurement and wireless communication, and implemented almost required functions on the ASIP. The SoC was fabricated using a 0.18µm CMOS mixed-signal process and the chip size is 2.5×2.5mm2. Evaluation results show that the power consumption of the SoC is 93.5µW, and that it can operate the capsule for seven days with a tiny battery.

  13. Comparable Stocks, Boundedly Rational Stock Markets and IPO Entry Rates

    PubMed Central

    Chok, Jay; Qian, Jifeng

    2013-01-01

    In this study, we examine how initial public offerings (IPO) entry rates are affected when stock markets are boundedly rational and IPO firms infer information from their counterparts in the market. We hypothesize a curvilinear relationship between the number of comparable stocks and initial public offerings (IPO) entry rates into the NASDAQ Stock Exchange. Furthermore, we argue that trading volume and changes in stock returns partially mediates the relationship between the number of comparable stocks and IPO entry rates. The statistical evidence provides strong support for the hypotheses. PMID:23690924

  14. Comparable stocks, boundedly rational stock markets and IPO entry rates.

    PubMed

    Chok, Jay; Qian, Jifeng

    2013-01-01

    In this study, we examine how initial public offerings (IPO) entry rates are affected when stock markets are boundedly rational and IPO firms infer information from their counterparts in the market. We hypothesize a curvilinear relationship between the number of comparable stocks and initial public offerings (IPO) entry rates into the NASDAQ Stock Exchange. Furthermore, we argue that trading volume and changes in stock returns partially mediates the relationship between the number of comparable stocks and IPO entry rates. The statistical evidence provides strong support for the hypotheses.

  15. Insulin resistance, adipokine profile and hepatic expression of SOCS-3 gene in chronic hepatitis C.

    PubMed

    Wójcik, Kamila; Jabłonowska, Elżbieta; Omulecka, Aleksandra; Piekarska, Anna

    2014-08-14

    To analyze adipokine concentrations, insulin resistance and hepatic expression of suppressor of cytokine signaling 3 (SOCS-3) in patients with chronic hepatitis C genotype 1 with normal body weight, glucose and lipid profile. The study group consisted of 31 patients with chronic hepatitis C and 9 healthy subjects. Total levels of adiponectin, leptin, resistin, visfatin, omentin, osteopontin and insulin were measured using an ELISA kit. The hepatic expression of SOCS-3 was determined by the use of the reverse transcription polymerase chain reaction method. Homeostasis model assessment for insulin resistance (HOMA-IR) values were significantly higher in hepatitis C virus (HCV) infected patients without metabolic disorders compared to healthy controls (2.24 vs 0.59, P = 0.0003). Hepatic steatosis was observed in 32.2% of patients with HCV infection and was found in patients with increased HOMA-IR index (2.81 vs 1.99, P = 0.05) and reduced adiponectin level (5.96 vs 8.37, P = 0.04). Inflammatory activity (G ≥ 2) was related to increased osteopontin concentration (34.04 vs 23.35, P = 0.03). Advanced liver fibrosis (S ≥ 2) was associated with increased levels of omentin and osteopontin (436.94 vs 360.09, P = 0.03 and 32.84 vs 20.29, P = 0.03) and reduced resistin concentration (1.40 vs 1.74, P = 0.047). No correlations were reported between adipokine profile, HOMA-IR values and hepatic expression of the SOCS-3 gene. We speculated that no relationship between adipokines and HOMA-IR values may indicate that HCV can induce insulin resistance itself. Some adipokines appear to be biochemical markers of steatosis, inflammation and fibrosis in patients with chronic HCV infection. © 2014 Baishideng Publishing Group Inc. All rights reserved.

  16. Carbon stocks of three secondary coniferous forests along an altitudinal gradient on Loess Plateau in inland China

    PubMed Central

    Liu, Ning; Nan, Hongwei

    2018-01-01

    Natural forests in inland China are generally distributed in montane area and secondary due to a semi-arid climate and past anthropogenic disturbances. However, quantification of carbon (C) stock in these forests and the role of altitude in determining C storage and its partition among ecosystem components are unclear. We sampled 54 stands of three secondary coniferous forests (Larix principis-rupprechtii (LP) forest, Picea meyerii (PM) forest and Pinus tabulaeformis (PT) forest) on Loess Plateau in an altitudinal range of 1200-2700m a.s.l. C stocks of tree layer, shrub layer, herb layer, coarse wood debris, forest floor and soil were estimated. We found these forests had relatively high total C stocks. Driven by both higher vegetation and soil C stocks, total C stocks of LP and PM forests in the high altitudinal range were 375.0 and 368.4 t C ha-1 respectively, significantly higher than that of PT forest in the low altitudinal range (230.2 t C ha-1). In addition, understory shrubs accounted for about 20% of total biomass in PT forest. The proportions of vegetation to total C stock were similar among in the three forests (below 45%), so were the proportions of soil C stock (over 54%). Necromass C stocks were also similar among these forests, but their proportions to total C stock were significantly lower in LP and PM forests (1.4% and 1.6%) than in PT forest (3.0%). Across forest types, vegetation biomass and soil C stock simultaneously increased with increasing altitude, causing fairly unchanged C partitioning among ecosystem components along the altitudinal gradient. Soil C stock also increased with altitude in LP and PT forests. Forest floor necromass decreased with increasing altitude across the three forests. Our results suggest the important role of the altitudinal gradient in C sequestration and floor necromass of these three forests in terms of alleviated water conditions and in soil C storage of LP and PM forests in terms of temperature change. PMID

  17. Sense of coherence (SOC) may reduce the effects of occupational stress on mental health status among Japanese factory workers.

    PubMed

    Urakawa, Kayoko; Yokoyama, Kazuhito

    2009-10-01

    To examine if sense of coherence (SOC) can reduce the adverse effects of job stress on mental health status, self-administered questionnaires were distributed among 740 workers in a manufacturing industry. The questionnaire contained SOC, Job Content Questionnaire (JCQ), and General Health Questionnaire (GHQ-12). Complete answers were recovered from 466 workers (62.8%), consisting of 387 males and 79 females, with ages of 45.1 + or - 12.0 yr, and used for the analysis. The logistic regression analysis revealed the followings: Both for males and females, high GHQ was significantly associated with scores on SOC and JCQ job demand subscale, i.e. the mental health status was adversely related to job demand whereas it was positively associated with SOC. Similarly, the mental health status was affected adversely by managerial work in males, whereas was positively by co-workers support in females. Thus, high SOC enables workers to cope with their job demand, which is a potent job stressor, indicating that SOC is an important factor determining their coping ability to job stress for both genders. Male managerial employees may cope with their strong job stress because of high SOC, protecting their mental health status. Social support seems also significant for prevention of mental well-being of female workers from work-related stressors.

  18. Soil organic carbon stock in grasslands: Effects of inorganic fertilizers, liming and grazing in different climate settings.

    PubMed

    Eze, Samuel; Palmer, Sheila M; Chapman, Pippa J

    2018-06-12

    Grasslands store about 34% of the global terrestrial carbon (C) and are vital for the provision of various ecosystem services such as forage and climate regulation. About 89% of this grassland C is stored in the soil and is affected by management activities but the effects of these management activities on C storage under different climate settings are not known. In this study, we synthesized the effects of fertilizer (nitrogen and phosphorus) application, liming and grazing regime on the stock of SOC in global grasslands, under different site specific climatic settings using a meta-analysis of 341 datasets. We found an overall significant reduction (-8.5%) in the stock of SOC in global managed grasslands, mainly attributable to grazing (-15.0%), and only partially attenuated by fertilizer addition (+6.7%) and liming (+5.8%), indicating that management to improve biomass production does not contribute sufficient organic matter to replace that lost by direct removal by animals. Management activities had the greatest effect in the tropics (-22.4%) due primarily to heavy grazing, and the least effect in the temperate zone (-4.5%). The negative management effect reduced significantly with increasing mean annual temperature and mean annual precipitation in the temperate zone, suggesting that temperate grassland soils are potential C sinks in the face of climate change. For a sustainable management of grasslands that will provide adequate forage for livestock and mitigate climate change through C sequestration, we recommend that future tropical grassland management policies should focus on reducing the intensity of grazing. Also, to verify our findings for temperate grasslands and to better inform land management policy, future research should focus on the impacts of the projected climate change on net greenhouse gas exchange and potential climate feedbacks. Copyright © 2018 Elsevier Ltd. All rights reserved.

  19. Assessing SOC labile fractions through respiration test, density-size fractionation and thermal analysis - A comparison of methods

    NASA Astrophysics Data System (ADS)

    Soucemarianadin, Laure; Cécillon, Lauric; Chenu, Claire; Baudin, François; Nicolas, Manuel; Savignac, Florence; Barré, Pierre

    2017-04-01

    ) were only weakly positively correlated (Spearman's ρ = 0.26, n = 93). Similarly, soil respiration had only a weak negative correlation (Spearman's ρ = -0.24, n = 93; ρ = -0.33, n = 222) with the RE6 parameter T50 CH pyrolysis. This parameter, previously used as an indicator of labile SOC (Gregorich et al., 2015), represents the temperature at which 50% of the OM was pyrolyzed to effluents (mainly hydrocarbons) during the pyrolysis phase of RE6. Conversely, POC content (% of total SOC) showed a higher negative correlation with T50 CH pyrolysis (ρ = -0.66, n = 93; ρ = -0.65, n = 103) and was positively and negatively correlated to the hydrogen index, HI (mg HC/g TOC; ρ = 0.56/0.53) and the oxygen index, OI (mg CO2/g TOC; ρ = -0.63/-0.62) respectively. Our results showed that RE6 results are consistent with respiration and fractionation results: SOC with higher respiration rate and higher POC content burns at a lower temperature. RE6 thermal analysis could therefore be viewed as a useful fast and cost effective alternative to more time-consuming methods used in SOM fractions determination. Barré, P. et al. Biogeochemistry 2016, 1-12, 130. Gregorich, E.G. et al. Soil Biol. Biochem. 2015, 182-191, 91.

  20. Cardiac-Specific SOCS3 Deletion Prevents In Vivo Myocardial Ischemia Reperfusion Injury through Sustained Activation of Cardioprotective Signaling Molecules.

    PubMed

    Nagata, Takanobu; Yasukawa, Hideo; Kyogoku, Sachiko; Oba, Toyoharu; Takahashi, Jinya; Nohara, Shoichiro; Minami, Tomoko; Mawatari, Kazutoshi; Sugi, Yusuke; Shimozono, Koutatsu; Pradervand, Sylvain; Hoshijima, Masahiko; Aoki, Hiroki; Fukumoto, Yoshihiro; Imaizumi, Tsutomu

    2015-01-01

    Myocardial ischemia reperfusion injury (IRI) adversely affects cardiac performance and the prognosis of patients with acute myocardial infarction. Although myocardial signal transducer and activator of transcription (STAT) 3 is potently cardioprotective during IRI, the inhibitory mechanism responsible for its activation is largely unknown. The present study aimed to investigate the role of the myocardial suppressor of cytokine signaling (SOCS)-3, an intrinsic negative feedback regulator of the Janus kinase (JAK)-STAT signaling pathway, in the development of myocardial IRI. Myocardial IRI was induced in mice by ligating the left anterior descending coronary artery for 1 h, followed by different reperfusion times. One hour after reperfusion, the rapid expression of JAK-STAT-activating cytokines was observed. We precisely evaluated the phosphorylation of cardioprotective signaling molecules and the expression of SOCS3 during IRI and then induced myocardial IRI in wild-type and cardiac-specific SOCS3 knockout mice (SOCS3-CKO). The activation of STAT3, AKT, and ERK1/2 rapidly peaked and promptly decreased during IRI. This decrease correlated with the induction of SOCS3 expression up to 24 h after IRI in wild-type mice. The infarct size 24 h after reperfusion was significantly reduced in SOCS3-CKO compared with wild-type mice. In SOCS3-CKO mice, STAT3, AKT, and ERK1/2 phosphorylation was sustained, myocardial apoptosis was prevented, and the expression of anti-apoptotic Bcl-2 family member myeloid cell leukemia-1 (Mcl-1) was augmented. Cardiac-specific SOCS3 deletion led to the sustained activation of cardioprotective signaling molecules including and prevented myocardial apoptosis and injury during IRI. Our findings suggest that SOCS3 may represent a key factor that exacerbates the development of myocardial IRI.

  1. Cardiac-Specific SOCS3 Deletion Prevents In Vivo Myocardial Ischemia Reperfusion Injury through Sustained Activation of Cardioprotective Signaling Molecules

    PubMed Central

    Nagata, Takanobu; Yasukawa, Hideo; Kyogoku, Sachiko; Oba, Toyoharu; Takahashi, Jinya; Nohara, Shoichiro; Minami, Tomoko; Mawatari, Kazutoshi; Sugi, Yusuke; Shimozono, Koutatsu; Pradervand, Sylvain; Hoshijima, Masahiko; Aoki, Hiroki; Fukumoto, Yoshihiro; Imaizumi, Tsutomu

    2015-01-01

    Myocardial ischemia reperfusion injury (IRI) adversely affects cardiac performance and the prognosis of patients with acute myocardial infarction. Although myocardial signal transducer and activator of transcription (STAT) 3 is potently cardioprotective during IRI, the inhibitory mechanism responsible for its activation is largely unknown. The present study aimed to investigate the role of the myocardial suppressor of cytokine signaling (SOCS)-3, an intrinsic negative feedback regulator of the Janus kinase (JAK)-STAT signaling pathway, in the development of myocardial IRI. Myocardial IRI was induced in mice by ligating the left anterior descending coronary artery for 1 h, followed by different reperfusion times. One hour after reperfusion, the rapid expression of JAK-STAT–activating cytokines was observed. We precisely evaluated the phosphorylation of cardioprotective signaling molecules and the expression of SOCS3 during IRI and then induced myocardial IRI in wild-type and cardiac-specific SOCS3 knockout mice (SOCS3-CKO). The activation of STAT3, AKT, and ERK1/2 rapidly peaked and promptly decreased during IRI. This decrease correlated with the induction of SOCS3 expression up to 24 h after IRI in wild-type mice. The infarct size 24 h after reperfusion was significantly reduced in SOCS3-CKO compared with wild-type mice. In SOCS3-CKO mice, STAT3, AKT, and ERK1/2 phosphorylation was sustained, myocardial apoptosis was prevented, and the expression of anti-apoptotic Bcl-2 family member myeloid cell leukemia-1 (Mcl-1) was augmented. Cardiac-specific SOCS3 deletion led to the sustained activation of cardioprotective signaling molecules including and prevented myocardial apoptosis and injury during IRI. Our findings suggest that SOCS3 may represent a key factor that exacerbates the development of myocardial IRI. PMID:26010537

  2. The effect of land use intensity on soil organic carbon stocks of European croplands

    NASA Astrophysics Data System (ADS)

    Dechow, Rene; Gebbert, Sören; Franko, Uwe; Kätterer, Thomas; Kolbe, Hartmut

    2013-04-01

    Croplands cover about one third of Europe and are assumed to be the biggest source of greenhouse gas emissions of the European biosphere with the degradation of soil organic carbon (SOC) being a major contributor of this source. Soil carbon stocks of croplands are subjected to ranges of natural and anthropogenic influences that control the release or uptake of CO2. The separation of drivers is essential for assessing recent and prospective GHG mitigation potentials by cropland management. Within the last decades the management of European croplands is characterized by an ongoing intensification. The increasing influence of the global market on farmers' decision and the establishment of industrialized farming practise in Europe had significant impact on the shift of crop rotations during the last decades. Due to the high spatial variability and the dominating fraction of slowly degradable carbon it needs at least decades to detect changes while agricultural management is characterized by short term system interventions. Long term observations representing time intervals of decades to hundreds of years are therefore essential to make reliable suggestions about the sensitivity of soil carbon turnover against external impacts because the temporal scale of these experiments corresponds to the temporal scale of soil C turnover. A data set of about 32 European long-term experiments (380 variants) was used to quantify the uncertainty of the RothC soil carbon model. The parameters of the model were adapted to represent the sensitivity of SOC on weather conditions and crop types found in the data set by applying an Monte Carlo Markov Chain algorithm. Integrated in a GIS environment the modified model was used to run scenarios that vary in terms of climate conditions and crop rotations within the time period 1970-2010 on a European scale. Regionalized sensitivities of SOC on natural drivers and crop rotations will be presented.

  3. Soil Organic Carbon Variability in High-Andean Ecosystems: Bringing Together Machine Learning and Proximal Soil Sensing

    NASA Astrophysics Data System (ADS)

    Gavilan, C.; Grunwald, S.; Quiroz, R.

    2017-12-01

    The Andes represent the largest and highest mountain range in the tropics and is considered an important reserve of biodiversity, water provision and soil organic carbon (SOC) stocks. Nevertheless, limited attention has been given to estimate these stocks due to the lack of recent soil data, the poor accessibility and the wide range of coexistent ecosystems. In addition, conventional methods to determine SOC are usually time consuming and expensive to use in large-scale studies, hindering the possibility to have an accurate SOC assessment in the region. Proximal soil sensing techniques, such as visible near infrared (VNIR) and mid infrared (MIR) spectroscopy, have proven to be useful as an alternative to conventional methods for characterizing SOC but have not been tested in Andean soils. The aim of this study was to evaluate the potential of using VNIR and MIR spectroscopy to predict SOC content in the Central Andean region, using multivariate methods. Three study areas were selected across the Peruvian Central Andes. A total of 400 topsoil samples (0-30 cm) were collected and analyzed for SOC. The VNIR and MIR reflectance of the soil samples was measured in the laboratory. Three modeling approaches: Partial least squares regression (PLSR), random forest (RF) and support vector machine (SVM) were used to predict SOC from VNIR and MIR spectra in the study areas. The data was preprocessed in order to minimize the noise and optimize the accuracy of predictions. The models, for each study area, were assessed using 10-fold cross validation. Independent validation was implemented in the whole dataset (400 observations) by splitting it into calibration (70 %) and validation (30%) sets. Overall, the results indicate potential for both VNIR and MIR spectra to predict SOC content in the Andean soils. SOC content predictions from MIR spectra outperformed those from VNIR spectra. The evaluation of model performance shows that RF and SVM provide more accurate SOC predictions

  4. [Effects of land cover change on soil organic carbon and light fraction organic carbon at river banks of Fuzhou urban area].

    PubMed

    Zeng, Hong-Da; Du, Zi-Xian; Yang, Yu-Sheng; Li, Xi-Bo; Zhang, Ya-Chun; Yang, Zhi-Feng

    2010-03-01

    By using Vario EL III element analyzer, the vertical distribution characteristics of soil organic carbon (SOC) and light-fraction organic carbon (LFOC) in the lawn, patch plantation, and reed wetland at river banks of Fuzhou urban area were studied in July 2007. For all the three land cover types, the SOC and LFOC contents were the highest in surface soil layer, and declined gradually with soil depth. Compared with reed wetland, the lawn and patch plantation had higher SOC and LFOC contents in each layer of the soil profile (0-60 cm), and the lawn had significantly higher contents of SOC and LFOC in 0-20 cm soil layer, compared with the patch plantation. After the reed wetland was converted into lawn and patch plantation, the SOC stock in the soil profile was increased by 94.8% and 72.0%, and the LFOC stock was increased by 225% and 93%, respectively. Due to the changes of plant species, plant density, and management measure, the conversion from natural wetland into human-manipulated green spaces increased the SOC and LFOC stocks in the soil profile, and improved the soil quality. Compared with the SOC, soil LFOC was more sensitive to land use/cover change, especially for those in 0-20 cm soil layer.

  5. SOCS3 tyrosine phosphorylation as a potential bio-marker for myeloproliferative neoplasms associated with mutant JAK2 kinases

    PubMed Central

    Elliott, Joanne; Suessmuth, Yvonne; Scott, Linda M.; Nahlik, Krystyna; McMullin, Mary Frances; Constantinescu, Stefan N.; Green, Anthony R.; Johnston, James A.

    2009-01-01

    JAK2 V617F, identified in the majority of patients with myeloproliferative neoplasms, tyrosine phosphorylates SOCS3 and escapes its inhibition. Here, we demonstrate that the JAK2 exon 12 mutants described in a subset of V617F-negative MPN cases, also stabilize tyrosine phosphorylated SOCS3. SOCS3 tyrosine phosphorylation was also observed in peripheral blood mononuclear cells and granulocytes isolated from patients with JAK2 H538QK539L or JAK2 F537-K539delinsL mutations. JAK kinase inhibitors, which effectively inhibited the proliferation of cells expressing V617F or K539L, also caused a dose-dependent reduction in both mutant JAK2 and SOCS3 tyrosine phosphorylation. We propose, therefore, that SOCS3 tyrosine phosphorylation may be a novel bio-marker of myeloproliferative neoplasms resulting from a JAK2 mutation and a potential reporter of effective JAK2 inhibitor therapy currently in clinical development. PMID:19229050

  6. Effect of stocking density on performance, diet selection, total-tract digestion, and nitrogen balance among heifers grazing cool-season annual forages.

    PubMed

    Brunsvig, B R; Smart, A J; Bailey, E A; Wright, C L; Grings, E E; Brake, D W

    2017-08-01

    Grazing annual cool-season forages after oat grain harvest in South Dakota may allow an opportunity to increase efficient use of tillable land. However, data are limited regarding effects of stocking density on diet selection, nutrient digestion, performance, and N retention by cattle grazing annual cool-season forage. Heifers were blocked by initial BW (261 ± 11.7 kg) and randomly assigned to 1 of 12 paddocks (1.1 ha) to graze a mixture of grass and brassica for 48 d. Each paddock contained 3, 4, or 5 heifers to achieve 4 replicates of each stocking density treatment. Ruminally cannulated heifers were used to measure diet and nutrient intake. Effects of stocking density on diet and nutrient selection were measured after 2, 24, and 46 d of grazing, and BW was measured at the beginning, middle, and end of the experiment as the average of d 1 and 2, d 22 and 23, and d 47 and 48 BW, respectively. Measures of DMI and DM, OM, NDF, and ADF digestion were collected from d 18 to 23. Increased stocking density increased intake of brassica relative to grass on d 24 (quadratic, = 0.02), but increased stocking density decreased (linear, ≤ 0.01) intake of brassica compared with grass on d 48 (stocking density × time, < 0.01). Increased stocking density increased DM (quadratic, < 0.01), OM (quadratic, = 0.01), and NDF (quadratic, = 0.05) digestion, and stocking density tended to increase DMI (quadratic, = 0.07). Additionally, increased stocking density quadratically increased ( = 0.05) N retention but did not affect overall BW gains. Increased stocking density did, however, contribute to linearly decreased ( = 0.05) BW gains from d 1 to 22 of grazing, but BW gains during the latter half of the experiment were greater than BW gains from d 1 to 22. Ruminal concentration of acetate:propionate was least on d 24 of grazing, and ruminal nitrate concentration tended to linearly decrease ( = 0.06) with greater amounts of time on pasture. Ruminal liquid and particulate fill and

  7. State-Space Estimation of Soil Organic Carbon Stock

    NASA Astrophysics Data System (ADS)

    Ogunwole, Joshua O.; Timm, Luis C.; Obidike-Ugwu, Evelyn O.; Gabriels, Donald M.

    2014-04-01

    Understanding soil spatial variability and identifying soil parameters most determinant to soil organic carbon stock is pivotal to precision in ecological modelling, prediction, estimation and management of soil within a landscape. This study investigates and describes field soil variability and its structural pattern for agricultural management decisions. The main aim was to relate variation in soil organic carbon stock to soil properties and to estimate soil organic carbon stock from the soil properties. A transect sampling of 100 points at 3 m intervals was carried out. Soils were sampled and analyzed for soil organic carbon and other selected soil properties along with determination of dry aggregate and water-stable aggregate fractions. Principal component analysis, geostatistics, and state-space analysis were conducted on the analyzed soil properties. The first three principal components explained 53.2% of the total variation; Principal Component 1 was dominated by soil exchange complex and dry sieved macroaggregates clusters. Exponential semivariogram model described the structure of soil organic carbon stock with a strong dependence indicating that soil organic carbon values were correlated up to 10.8m.Neighbouring values of soil organic carbon stock, all waterstable aggregate fractions, and dithionite and pyrophosphate iron gave reliable estimate of soil organic carbon stock by state-space.

  8. Expensing stock options: a fair-value approach.

    PubMed

    Kaplan, Robert S; Palepu, Krishna G

    2003-12-01

    Now that companies such as General Electric and Citigroup have accepted the premise that employee stock options are an expense, the debate is shifting from whether to report options on income statements to how to report them. The authors present a new accounting mechanism that maintains the rationale underlying stock option expensing while addressing critics' concerns about measurement error and the lack of reconciliation to actual experience. A procedure they call fair-value expensing adjusts and eventually reconciles cost estimates made at grant date with subsequent changes in the value of the options, and it does so in a way that eliminates forecasting and measurement errors over time. The method captures the chief characteristic of stock option compensation--that employees receive part of their compensation in the form of a contingent claim on the value they are helping to produce. The mechanism involves creating entries on both the asset and equity sides of the balance sheet. On the asset side, companies create a prepaid-compensation account equal to the estimated cost of the options granted; on the owners'-equity side, they create a paid-in capital stock-option account for the same amount. The prepaid-compensation account is then expensed through the income statement, and the stock option account is adjusted on the balance sheet to reflect changes in the estimated fair value of the granted options. The amortization of prepaid compensation is added to the change in the option grant's value to provide the total reported expense of the options grant for the year. At the end of the vesting period, the company uses the fair value of the vested option to make a final adjustment on the income statement to reconcile any difference between that fair value and the total of the amounts already reported.

  9. Genetic predictors of long-term response to growth hormone (GH) therapy in children with GH deficiency and Turner syndrome: the influence of a SOCS2 polymorphism.

    PubMed

    Braz, Adriana F; Costalonga, Everlayny F; Trarbach, Ericka B; Scalco, Renata C; Malaquias, Alexsandra C; Guerra-Junior, Gil; Antonini, Sonir R R; Mendonca, Berenice B; Arnhold, Ivo J P; Jorge, Alexander A L

    2014-09-01

    There is great interindividual variability in the response to GH therapy. Ascertaining genetic factors can improve the accuracy of growth response predictions. Suppressor of cytokine signaling (SOCS)-2 is an intracellular negative regulator of GH receptor (GHR) signaling. The objective of the study was to assess the influence of a SOCS2 polymorphism (rs3782415) and its interactive effect with GHR exon 3 and -202 A/C IGFBP3 (rs2854744) polymorphisms on adult height of patients treated with recombinant human GH (rhGH). Genotypes were correlated with adult height data of 65 Turner syndrome (TS) and 47 GH deficiency (GHD) patients treated with rhGH, by multiple linear regressions. Generalized multifactor dimensionality reduction was used to evaluate gene-gene interactions. Baseline clinical data were indistinguishable among patients with different genotypes. Adult height SD scores of patients with at least one SOCS2 single-nucleotide polymorphism rs3782415-C were 0.7 higher than those homozygous for the T allele (P < .001). SOCS2 (P = .003), GHR-exon 3 (P= .016) and -202 A/C IGFBP3 (P = .013) polymorphisms, together with clinical factors accounted for 58% of the variability in adult height and 82% of the total height SD score gain. Patients harboring any two negative genotypes in these three different loci (homozygosity for SOCS2 T allele; the GHR exon 3 full-length allele and/or the -202C-IGFBP3 allele) were more likely to achieve an adult height at the lower quartile (odds ratio of 13.3; 95% confidence interval of 3.2-54.2, P = .0001). The SOCS2 polymorphism (rs3782415) has an influence on the adult height of children with TS and GHD after long-term rhGH therapy. Polymorphisms located in GHR, IGFBP3, and SOCS2 loci have an influence on the growth outcomes of TS and GHD patients treated with rhGH. The use of these genetic markers could identify among rhGH-treated patients those who are genetically predisposed to have less favorable outcomes.

  10. Geographical distributions of lake trout strains stocked in Lake Ontario

    USGS Publications Warehouse

    Elrod, Joseph H.; O'Gorman, Robert; Schneider, Clifford P.; Schaner, Ted

    1996-01-01

    Geographical distributions of lake trout (Salvelinus namaycush) stocked at seven locations in U.S. waters and at four locations in Canadian waters of Lake Ontario were determined from fish caught with gill nets in September in 17 areas of U.S. waters and at 10 fixed locations in Canadian waters in 1986-95. For fish of a given strain stocked at a given location, geographical distributions were not different for immature males and immature females or for mature males and mature females. The proportion of total catch at the three locations nearest the stocking location was higher for mature fish than for immature fish in all 24 available comparisons (sexes combined) and was greater for fish stocked as yearlings than for those stocked as fingerlings in all eight comparisons. Mature fish were relatively widely dispersed from stocking locations indicating that their tendency to return to stocking locations for spawning was weak, and there was no appreciable difference in this tendency among strains. Mature lake trout were uniformly distributed among sampling locations, and the strain composition at stocking locations generally reflected the stocking history 5 to 6 years earlier. Few lake trout moved across Lake Ontario between the north and south shores or between the eastern outlet basin and the main lake basin. Limited dispersal from stocking sites supports the concept of stocking different genetic strains in various parts of the lake with the attributes of each strain selected to match environmental conditions in the portion of the lake where it is stocked.

  11. Research on SEU hardening of heterogeneous Dual-Core SoC

    NASA Astrophysics Data System (ADS)

    Huang, Kun; Hu, Keliu; Deng, Jun; Zhang, Tao

    2017-08-01

    The implementation of Single-Event Upsets (SEU) hardening has various schemes. However, some of them require a lot of human, material and financial resources. This paper proposes an easy scheme on SEU hardening for Heterogeneous Dual-core SoC (HD SoC) which contains three techniques. First, the automatic Triple Modular Redundancy (TMR) technique is adopted to harden the register heaps of the processor and the instruction-fetching module. Second, Hamming codes are used to harden the random access memory (RAM). Last, a software signature technique is applied to check the programs which are running on CPU. The scheme need not to consume additional resources, and has little influence on the performance of CPU. These technologies are very mature, easy to implement and needs low cost. According to the simulation result, the scheme can satisfy the basic demand of SEU-hardening.

  12. SOCS3 deletion in T lymphocytes suppresses development of chronic ocular inflammation via upregulation of CTLA-4 and expansion of regulatory T cells.

    PubMed

    Yu, Cheng-Rong; Kim, Sung-Hye; Mahdi, Rashid M; Egwuagu, Charles E

    2013-11-15

    Suppressors of cytokine signaling (SOCS) proteins are negative-feedback regulators of the JAK/STAT pathway, and SOCS3 contributes to host immunity by regulating the intensity and duration of cytokine signals and inflammatory responses. Mice with Socs3 deletion in myeloid cells exhibit enhanced STAT3 signaling, expansion of Th1 and Th17 cells, and develop severe experimental autoimmune encephalomyelitis. Interestingly, development of the unique IL-17/IFN-γ double-producing (Th17/IFN-γ and Tc17/IFN-γ) subsets that exhibit strong cytotoxic activities and are associated with pathogenesis of several autoimmune diseases has recently been shown to depend on epigenetic suppression of SOCS3 expression, further suggesting involvement of SOCS3 in autoimmunity and tumor immunity. In this study, we generated mice with Socs3 deletion in the CD4 T cell compartment (CD4-SOCS3 knockout [KO]) to determine in vivo effects of the loss of Socs3 in the T cell-mediated autoimmune disease, experimental autoimmune uveitis (EAU). In contrast to the exacerbation of experimental autoimmune encephalomyelitis in myeloid-specific SOCS3-deleted mice, CD4-SOCS3KO mice were protected from acute and chronic uveitis. Protection from EAU correlated with enhanced expression of CTLA-4 and expansion of IL-10-producing regulatory T cells with augmented suppressive activities. We further show that SOCS3 interacts with CTLA-4 and negatively regulates CTLA-4 levels in T cells, providing a mechanistic explanation for the expansion of regulatory T cells in CD4-SOCS3 during EAU. Contrary to in vitro epigenetic studies, Th17/IFN-γ and Tc17/IFN-γ populations were markedly reduced in CD4-SOCS3KO, suggesting that SOCS3 promotes expansion of the Th17/IFN-γ subset associated with development of severe uveitis. Thus, SOCS3 is a potential therapeutic target in uveitis and other autoinflammatory diseases.

  13. Critical review of the impacts of grazing intensity on soil organic carbon storage and other soil quality indicators in extensively managed grasslands.

    PubMed

    Abdalla, M; Hastings, A; Chadwick, D R; Jones, D L; Evans, C D; Jones, M B; Rees, R M; Smith, P

    2018-02-01

    Livestock grazing intensity (GI) is thought to have a major impact on soil organic carbon (SOC) storage and soil quality indicators in grassland agroecosystems. To critically investigate this, we conducted a global review and meta-analysis of 83 studies of extensive grazing, covering 164 sites across different countries and climatic zones. Unlike previous published reviews we normalized the SOC and total nitrogen (TN) data to a 30 cm depth to be compatible with IPCC guidelines. We also calculated a normalized GI and divided the data into four main groups depending on the regional climate (dry warm, DW; dry cool, DC; moist warm, MW; moist cool, MC). Our results show that taken across all climatic zones and GIs, grazing (below the carrying capacity of the systems) results in a decrease in SOC storage, although its impact on SOC is climate-dependent. When assessed for different regional climates, all GI levels increased SOC stocks under the MW climate (+7.6%) whilst there were reductions under the MC climate (-19%). Under the DW and DC climates, only the low (+5.8%) and low to medium (+16.1%) grazing intensities, respectively, were associated with increased SOC stocks. High GI significantly increased SOC for C4-dominated grassland compared to C3-dominated grassland and C3-C4 mixed grasslands. It was also associated with significant increases in TN and bulk density but had no effect on soil pH. To protect grassland soils from degradation, we recommend that GI and management practices should be optimized according to climate region and grassland type (C3, C4 or C3-C4 mixed).

  14. Soil carbon sequestration by three perennial legume pastures is greater in deeper soil layers than in the surface soil

    NASA Astrophysics Data System (ADS)

    Guan, X.-K.; Turner, N. C.; Song, L.; Gu, Y.-J.; Wang, T.-C.; Li, F.-M.

    2016-01-01

    Soil organic carbon (SOC) plays a vital role as both a sink for and source of atmospheric carbon. Revegetation of degraded arable land in China is expected to increase soil carbon sequestration, but the role of perennial legumes on soil carbon stocks in semiarid areas has not been quantified. In this study, we assessed the effect of alfalfa (Medicago sativa L.) and two locally adapted forage legumes, bush clover (Lespedeza davurica S.) and milk vetch (Astragalus adsurgens Pall.) on the SOC concentration and SOC stock accumulated annually over a 2 m soil profile. The results showed that the concentration of SOC in the bare soil decreased slightly over the 7 years, while 7 years of legume growth substantially increased the concentration of SOC over the 0-2.0 m soil depth. Over the 7-year growth period the SOC stocks increased by 24.1, 19.9 and 14.6 Mg C ha-1 under the alfalfa, bush clover and milk vetch stands, respectively, and decreased by 4.2 Mg C ha-1 in the bare soil. The sequestration of SOC in the 1-2 m depth of the soil accounted for 79, 68 and 74 % of the SOC sequestered in the 2 m deep soil profile under alfalfa, bush clover and milk vetch, respectively. Conversion of arable land to perennial legume pasture resulted in a significant increase in SOC, particularly at soil depths below 1 m.

  15. Land management effects on soil carbon in olive groves of Mediterranean areas

    NASA Astrophysics Data System (ADS)

    Fernández-Romero, Maria Luisa; Parras-Alcántara, Luis; Lozano-García, Beatriz; Clark, Joanna; Collins, Chris

    2015-04-01

    The study analysed soil organic carbon (SOC) and hot-water extractable carbon (HWC) in an agricultural Mediterranean area of Southern Spain under different land management: Conventional tillage (CT); Conventional tillage with the addition of oil mill waste, also known as alperujo (A); Conventional tillage with the addition of oil mill waste olive leaves (L); No tillage with chipped pruned branches (NT1); and No tillage with chipped pruned branches and weeds (NT2). SOC values in CT, A, NT1 and NT2 decreased with depth. In L, SOC also decreased with depth, although there was an increase of 89% from the first (0-10 cm) to the second horizon (10-16 cm). Total SOC stock (considering the entire soil profile) was very similar under A (101.9 Mg ha-1), CT (101.7 Mg ha-1), NT1 (105.8 Mg ha-1) and NT2 (111.3 Mg ha-1). However, SOC under L was significantly higher (p

  16. SOCS3 inhibits the pathological effects of IL-22 in non-melanoma skin tumor-derived keratinocytes

    PubMed Central

    Madonna, Stefania; Scarponi, Claudia; Morelli, Martina; Sestito, Rosanna; Scognamiglio, Pasqualina Liana; Marasco, Daniela; Albanesi, Cristina

    2017-01-01

    Basal cell carcinomas (BCC) and squamous-cell carcinomas (SCC) are common malignancies in humans, caused by neoplastic transformation of keratinocytes of the basal or suprabasal layers of epidermis, respectively. Tumor-infiltrating lymphocytes (TILs) are frequently found in BCC and SCC, and functionally promote epithelial carcinogenesis. TILs secreting IL-22, in particular, participate to BCC and SCC growth by inducing keratinocyte proliferation and migration, as well as the expression of inflammatory, anti-apoptotic and pro-angiogenic genes. In this study, we identified SOCS3 as a valid candidate to be manipulated for suppressing tumorigenic functions in BCC and SCC. We found that SOCS3 and SOCS1 expression was reduced in vivo, in tumor lesions of BCC and SCC, as compared to other skin inflammatory conditions such as psoriasis, despite the high number of IL-22-secreting TILs. Moreover, IL-22 was not able to induce in vitro the transcriptional expression of SOCS3 in BCC-or SCC-derived keratinocytes, contrarily to healthy cells. Aimed at rescuing SOCS3 activity in these tumor contexts, a SOCS3-derived peptide, named KIR-ESS, was synthesized, and its ability in suppressing IL-22-induced responses was evaluated in healthy and transformed keratinocytes. We found that KIR-ESS peptide efficiently suppressed the IL-22 molecular signaling in keratinocytes, by acting on STAT3 and Erk1/2 cascade, as well as on the expression of STAT3-dependent downstream genes. Interestingly, after treatment with peptide, both healthy and transformed keratinocytes could no longer aberrantly proliferate and migrate in response to IL-22. Finally, treatment of athymic nude mice bearing SCC xenografts with KIR-ESS peptide concomitantly reduced tumor growth and activated STAT3 levels. As a whole, these data provides the rationale for the use in BCC and SCC skin tumors of SOCS3 mimetics, being able to inhibit the deleterious effects of IL-22 in these contexts. PMID:28445952

  17. SOCS3 inhibits the pathological effects of IL-22 in non-melanoma skin tumor-derived keratinocytes.

    PubMed

    Madonna, Stefania; Scarponi, Claudia; Morelli, Martina; Sestito, Rosanna; Scognamiglio, Pasqualina Liana; Marasco, Daniela; Albanesi, Cristina

    2017-04-11

    Basal cell carcinomas (BCC) and squamous-cell carcinomas (SCC) are common malignancies in humans, caused by neoplastic transformation of keratinocytes of the basal or suprabasal layers of epidermis, respectively. Tumor-infiltrating lymphocytes (TILs) are frequently found in BCC and SCC, and functionally promote epithelial carcinogenesis. TILs secreting IL-22, in particular, participate to BCC and SCC growth by inducing keratinocyte proliferation and migration, as well as the expression of inflammatory, anti-apoptotic and pro-angiogenic genes.In this study, we identified SOCS3 as a valid candidate to be manipulated for suppressing tumorigenic functions in BCC and SCC. We found that SOCS3 and SOCS1 expression was reduced in vivo, in tumor lesions of BCC and SCC, as compared to other skin inflammatory conditions such as psoriasis, despite the high number of IL-22-secreting TILs. Moreover, IL-22 was not able to induce in vitro the transcriptional expression of SOCS3 in BCC-or SCC-derived keratinocytes, contrarily to healthy cells. Aimed at rescuing SOCS3 activity in these tumor contexts, a SOCS3-derived peptide, named KIR-ESS, was synthesized, and its ability in suppressing IL-22-induced responses was evaluated in healthy and transformed keratinocytes. We found that KIR-ESS peptide efficiently suppressed the IL-22 molecular signaling in keratinocytes, by acting on STAT3 and Erk1/2 cascade, as well as on the expression of STAT3-dependent downstream genes. Interestingly, after treatment with peptide, both healthy and transformed keratinocytes could no longer aberrantly proliferate and migrate in response to IL-22. Finally, treatment of athymic nude mice bearing SCC xenografts with KIR-ESS peptide concomitantly reduced tumor growth and activated STAT3 levels. As a whole, these data provides the rationale for the use in BCC and SCC skin tumors of SOCS3 mimetics, being able to inhibit the deleterious effects of IL-22 in these contexts.

  18. First Assessment of Carbon Stock in the Belowground Biomass of Brazilian Mangroves.

    PubMed

    Santos, Daniel M C; Estrada, Gustavo C D; Fernandez, Viviane; Estevam, Marciel R M; Souza, Brunna T DE; Soares, Mário L G

    2017-01-01

    Studies on belowground roots biomass have increasingly reported the importance of the contribution of this compartment in carbon stock maintenance in mangrove forests. To date, there are no estimates of this contribution in Brazilian mangrove forests, although the country has the second largest area of mangroves worldwide. For this study, trenches dug in fringing forests in Guaratiba State Biological Reserve (Rio de Janeiro, Brazil) were used to evaluate the contribution of the different classes of roots and the vertical stratification of carbon stock. The total carbon stock average in belowground roots biomass in these forests was 104.41 ± 20.73 tC.ha-1. From that, an average of 84.13 ± 21.34 tC.ha-1 corresponded to the carbon stock only in fine roots, which have diameters smaller than 5 mm and are responsible for over 80% of the total belowground biomass. Most of the belowground carbon stock is concentrated in the first 40 cm below the surface (about 70%). The root:shoot ratio in this study is 1.14. These estimates demonstrate that the belowground roots biomass significantly contributes, more than 50%, to the carbon stock in mangrove forests. And the mangrove root biomass can be greater than that of other Brazilian ecosystems.

  19. Benchmark map of forest carbon stocks in tropical regions across three continents.

    PubMed

    Saatchi, Sassan S; Harris, Nancy L; Brown, Sandra; Lefsky, Michael; Mitchard, Edward T A; Salas, William; Zutta, Brian R; Buermann, Wolfgang; Lewis, Simon L; Hagen, Stephen; Petrova, Silvia; White, Lee; Silman, Miles; Morel, Alexandra

    2011-06-14

    Developing countries are required to produce robust estimates of forest carbon stocks for successful implementation of climate change mitigation policies related to reducing emissions from deforestation and degradation (REDD). Here we present a "benchmark" map of biomass carbon stocks over 2.5 billion ha of forests on three continents, encompassing all tropical forests, for the early 2000s, which will be invaluable for REDD assessments at both project and national scales. We mapped the total carbon stock in live biomass (above- and belowground), using a combination of data from 4,079 in situ inventory plots and satellite light detection and ranging (Lidar) samples of forest structure to estimate carbon storage, plus optical and microwave imagery (1-km resolution) to extrapolate over the landscape. The total biomass carbon stock of forests in the study region is estimated to be 247 Gt C, with 193 Gt C stored aboveground and 54 Gt C stored belowground in roots. Forests in Latin America, sub-Saharan Africa, and Southeast Asia accounted for 49%, 25%, and 26% of the total stock, respectively. By analyzing the errors propagated through the estimation process, uncertainty at the pixel level (100 ha) ranged from ± 6% to ± 53%, but was constrained at the typical project (10,000 ha) and national (>1,000,000 ha) scales at ca. ± 5% and ca. ± 1%, respectively. The benchmark map illustrates regional patterns and provides methodologically comparable estimates of carbon stocks for 75 developing countries where previous assessments were either poor or incomplete.

  20. Benchmark map of forest carbon stocks in tropical regions across three continents

    PubMed Central

    Saatchi, Sassan S.; Harris, Nancy L.; Brown, Sandra; Lefsky, Michael; Mitchard, Edward T. A.; Salas, William; Zutta, Brian R.; Buermann, Wolfgang; Lewis, Simon L.; Hagen, Stephen; Petrova, Silvia; White, Lee; Silman, Miles; Morel, Alexandra

    2011-01-01

    Developing countries are required to produce robust estimates of forest carbon stocks for successful implementation of climate change mitigation policies related to reducing emissions from deforestation and degradation (REDD). Here we present a “benchmark” map of biomass carbon stocks over 2.5 billion ha of forests on three continents, encompassing all tropical forests, for the early 2000s, which will be invaluable for REDD assessments at both project and national scales. We mapped the total carbon stock in live biomass (above- and belowground), using a combination of data from 4,079 in situ inventory plots and satellite light detection and ranging (Lidar) samples of forest structure to estimate carbon storage, plus optical and microwave imagery (1-km resolution) to extrapolate over the landscape. The total biomass carbon stock of forests in the study region is estimated to be 247 Gt C, with 193 Gt C stored aboveground and 54 Gt C stored belowground in roots. Forests in Latin America, sub-Saharan Africa, and Southeast Asia accounted for 49%, 25%, and 26% of the total stock, respectively. By analyzing the errors propagated through the estimation process, uncertainty at the pixel level (100 ha) ranged from ±6% to ±53%, but was constrained at the typical project (10,000 ha) and national (>1,000,000 ha) scales at ca. ±5% and ca. ±1%, respectively. The benchmark map illustrates regional patterns and provides methodologically comparable estimates of carbon stocks for 75 developing countries where previous assessments were either poor or incomplete. PMID:21628575

  1. SOCS3 Deletion in T-Lymphocytes Suppresses Development of Chronic Ocular Inflammation Via Up-regulation of CTLA-4 and Expansion of Regulatory T cells

    PubMed Central

    Yu, Cheng-Rong; Kim, Sung-Hye; Mahdi, Rashid M.; Egwuagu, Charles E.

    2013-01-01

    Suppressors of cytokine signaling (SOCS) proteins are negative-feedback regulators of JAK/STAT pathway and SOCS3 contributes to host immunity by regulating the intensity/duration of cytokine signals and inflammatory responses. Mice with Socs3 deletion in myeloid cells exhibit enhanced STAT3-signaling, expansion of Th1 and Th17 cells and developed severe experimental autoimmune encephalomyelitis (EAE). Interestingly, development of the unique IL-17/IFN-γ-double producing (Th17/IFN-γ and Tc17/IFN-γ) subsets that exhibit strong cytotoxic activities and associated with pathogenesis of several autoimmune diseases, has recently been shown to depend on epigenetic suppression of SOCS3 expression, further suggesting involvement of SOCS3 in autoimmunity and tumor immunity. In this study, we generated mice with Socs3 deletion in CD4 T cell compartment (CD4-SOCS3KO) to determine in vivo effects of the loss of Socs3 in the T cell-mediated autoimmune disease, experimental autoimmune uveitis (EAU). In contrast to the exacerbation of EAE in myeloid-specific SOCS3-deleted mice, CD4-SOCS3KO mice were protected from acute and chronic uveitis. Protection from EAU correlated with enhanced expression of CTLA4 and expansion of IL-10 producing Tregs with augmented suppressive activities. We further show that SOCS3 interacts with CTLA4 and negatively regulates CTLA4 levels in T cells, providing mechanistic explanation for the expansion of Tregs in CD4-SOCS3 during EAU. Contrary to in vitro epigenetic studies, Th17/IFN-γ and Tc17/IFN-γ populations were markedly reduced in CD4-SOCS3KO, suggesting that SOCS3 promotes expansion of Th17/IFN-γ subset associated with development of severe uveitis. Thus, SOCS3 is a potential therapeutic target in uveitis and other auto-inflammatory diseases. PMID:24101549

  2. Soil carbon sequestration by three perennial legume pastures is greater in deeper soil layers than in the surface soil

    NASA Astrophysics Data System (ADS)

    Guan, X.-K.; Turner, N. C.; Song, L.; Gu, Y.-J.; Wang, T.-C.; Li, F.-M.

    2015-07-01

    Soil organic carbon (SOC) plays a vital role as both a sink for and source of atmospheric carbon. Revegetation of degraded arable land in China is expected to increase soil carbon sequestration, but the role of perennial legumes on soil carbon stocks in semiarid areas has not been quantified. In this study, we assessed the effect of alfalfa (Medicago sativa L.) and two locally adapted forage legumes, bush clover (Lespedeza davurica S.) and milk vetch (Astragalus adsurgens Pall.) on the SOC concentration and SOC stock accumulated annually over a 2 m soil profile, and to estimate the long-term potential for SOC sequestration in the soil under the three forage legumes. The results showed that the concentration of SOC of the bare soil decreased slightly over the 7 years, while 7 years of legume growth substantially increased the concentration of SOC over the 0-2.0 m soil depth measured. Over the 7 year growth period the SOC stocks increased by 24.1, 19.9 and 14.6 Mg C ha-1 under the alfalfa, bush clover and milk vetch stands, respectively, and decreased by 4.2 Mg C ha-1 under bare soil. The sequestration of SOC in the 1-2 m depth of soil accounted for 79, 68 and 74 % of SOC sequestered through the upper 2 m of soil under alfalfa, bush clover and milk vetch, respectively. Conversion of arable land to perennial legume pasture resulted in a significant increase in SOC, particularly at soil depths below 1 m.

  3. Global controls on carbon storage in mangrove soils

    NASA Astrophysics Data System (ADS)

    Rovai, André S.; Twilley, Robert R.; Castañeda-Moya, Edward; Riul, Pablo; Cifuentes-Jara, Miguel; Manrow-Villalobos, Marilyn; Horta, Paulo A.; Simonassi, José C.; Fonseca, Alessandra L.; Pagliosa, Paulo R.

    2018-06-01

    Global-scale variation in mangrove ecosystem properties has been explained using a conceptual framework linking geomorphological processes to distinct coastal environmental settings (CES) for nearly 50 years. However, these assumptions have not been empirically tested at the global scale. Here, we show that CES account for global variability in mangrove soil C:N:P stoichiometry and soil organic carbon (SOC) stocks. Using this ecogeomorphology framework, we developed a global model that captures variation in mangrove SOC stocks compatible with distinct CES. We show that mangrove SOC stocks have been underestimated by up to 50% (a difference of roughly 200 Mg ha-1) in carbonate settings and overestimated by up to 86% (around 400 Mg ha-1) in deltaic coastlines. Moreover, we provide information for 57 nations that currently lack SOC data, enabling these and other countries to develop or evaluate their blue carbon inventories.

  4. Effects of topographical position on soil organic carbon and nitrogen in Mediterranean olive groves

    NASA Astrophysics Data System (ADS)

    Lozano-García, Beatriz; Parras-Alcántara, Luis

    2014-05-01

    INTRODUCTION The most important and extensive crops in the Mediterranean area are olive groves. Within the last 50 years, the surface occupied by olive groves has progressively increased in Spain including more complex topographies, with steeper slopes and higher altitudes. This situation has caused serious erosion problems; there is a huge range of studies assessing possible solutions to this problem and new tillage and management techniques have been developed (Lozano-García and Parras-Alcántara, 2013). However, topography has influence in soil properties too. The impact of the topographical position on soil properties, including soil organic carbon (SOC) and Nitrogen (N) stocks, and soil quality (expressed as Stratification Ratios-SRs) was evaluated in a Mediterranean olive grove with traditional tillage. MATERIAL AND METHODS The study was carried out in a rain-fed olive grove in Jaén managed with traditional tillage (with disc harrow 25 cm) and receiving mineral fertilization. Three topographical positions with the same aspect: summit, backslope and toeslope were chosen for evaluation. The soil samples were taken from four soil sections of 0.25 m (0-1 m) in order to establish a good comparison. Soil properties determined were: soil particle size, SOC and total Nitrogen (N). SOC and N stock, expressed for a specific depth in Mg ha-1. Stratification ratios (that can be used as an indicator of dynamic soil quality) for SOC and N at three different depths were calculated. The effect of topographical position on SOC and N stocks and other soil properties was analyzed using a ANOVA, followed by a Tuckey test. RESULTS SOC decreased with depth, in addition, the SOC and N content increased along the downslope direction (5.5, 6.5 and 7.1 g C kg-1; and 0.3, 0.8 and 0.9 g N kg-1 in the surface layer in the summit, backslope and toeslope respectively) as well as SOC stock considering the two first soil sections. The N stock varied significantly along the topographical

  5. Use of 'sense of coherence (SOC)' scale to measure resilience in Eritrea: interrogating both the data and the scale.

    PubMed

    Almedom, Astier M; Tesfamichael, Berhe; Saeed Mohammed, Zein; Mascie-Taylor, C G N; Alemu, Zemui

    2007-01-01

    An adapted 'sense of coherence' scale short form (SOC-13) was administered in nine languages of Eritrea with a total of 265 participants (162 women and 103 men) in order to assess 'resilience' in quantitative terms. Statistical analysis yielded significant differences in SOC scores between the displaced and non-displaced: mean=54.84 (SD=6.48) in internally displaced person (IDP) camps, compared with mean=48.94 (SD=11.99) in urban and rural settlements (t=3.831, p<0.001). Post-hoc tests revealed that the main difference is between IDP camp dwellers and urban (non-displaced) residents. Those in rural but traditionally mobile (pastoralist or transhumant) communities scored more or less the same as the urban non-displaced - i.e. significantly higher than those in IDP camps (p<0.05). Analysis of variance showed that displacement has a significantly negative effect on women compared with men (RR=0.262, p<0.001). Repeating the analysis for the three groups confirmed that urban and pastoralist/transhumant groups are similar, while women in IDP camps are lower scoring (RR=0.268, p<0.001), Hamboka women being worst affected due to their experience of serial displacement. These findings are interpreted and discussed in the light of qualitative information gleaned from the study participants' interrogation of the content of the SOC scale; and in the wider context of historical, socio-political and cultural characteristics of Eritrea. The study's implications for humanitarian and public health policy are considered.

  6. Human T Cell Leukemia Virus Type 1 Tax Inhibits Innate Antiviral Signaling via NF-κB-Dependent Induction of SOCS1▿

    PubMed Central

    Charoenthongtrakul, Soratree; Zhou, Qinjie; Shembade, Noula; Harhaj, Nicole S.; Harhaj, Edward W.

    2011-01-01

    Human T cell leukemia virus type 1 (HTLV-1) inhibits host antiviral signaling pathways although the underlying mechanisms are unclear. Here we found that the HTLV-1 Tax oncoprotein induced the expression of SOCS1, an inhibitor of interferon signaling. Tax required NF-κB, but not CREB, to induce the expression of SOCS1 in T cells. Furthermore, Tax interacted with SOCS1 in both transfected cells and in HTLV-1-transformed cell lines. Although SOCS1 is normally a short-lived protein, in the presence of Tax, the stability of SOCS1 was greatly increased. Accordingly, Tax enhanced the replication of a heterologous virus, vesicular stomatitis virus (VSV), in a SOCS1-dependent manner. Surprisingly, Tax required SOCS1 to inhibit RIG-I-dependent antiviral signaling, but not the interferon-induced JAK/STAT pathway. Inhibition of SOCS1 by RNA-mediated interference in the HTLV-1-transformed cell line MT-2 resulted in increased IFN-β expression accompanied by reduced HTLV-1 replication and p19Gag levels. Taken together, our results reveal that Tax inhibits antiviral signaling, in part, by hijacking an interferon regulatory protein. PMID:21593151

  7. Why does carbon increase in highly weathered soil under no-till upon lime and gypsum use?

    PubMed

    Inagaki, Thiago Massao; de Moraes Sá, João Carlos; Caires, Eduardo Fávero; Gonçalves, Daniel Ruiz Potma

    2017-12-01

    Field experiments have been used to explain how soil organic carbon (SOC) dynamics is affected by lime and gypsum applications, however, how SOC storage occurs is still debatable. We hypothesized that although many studies conclude that Ca-based soil amendments such as lime and gypsum may lead to SOC depletion due to the enhancement of microbial activity, the same does not occur under conservation agriculture conditions. Thus, the objective of this study was to elucidate the effects of lime and gypsum applications on soil microbial activity and SOC stocks in a no-till field and in a laboratory incubation study simulating no-till conditions. The field experiment was established in 1998 in a clayey Oxisol in southern Brazil following a completely randomized blocks design with a split-plot arrangement and three replications. Lime and gypsum were surface applied in 1998 and reapplied in 2013. Undisturbed soil samples were collected before the treatments reapplications, and one year after. The incubation experiment was carried out during 16months using these samples adding crop residues on the soil surface to simulate no-till field conditions. Lime and gypsum applications significantly increased the labile SOC stocks, microbial activity and soil fertility attributes in both field and laboratory experiments. Although the microbial activity was increased, no depletion of SOC stocks was observed in both experiments. Positive correlations were observed between microbial activity increase and SOC gains. Labile SOC and Ca 2+ content increase leads to forming complex with mineral soil fractions. Gypsum applications performed a higher influence on labile SOC pools in the field than in the laboratory experiment, which may be related to the presence of active root system in the soil profile. We conclude that incubation experiments using lime and gypsum in undisturbed samples confirm that soil microbial activity increase does not deplete SOC stocks under conservation agriculture

  8. Kepler: A Search for Terrestrial Planets - SOC 9.3 DR25 Pipeline Parameter Configuration Reports

    NASA Technical Reports Server (NTRS)

    Campbell, Jennifer R.

    2017-01-01

    This document describes the manner in which the pipeline and algorithm parameters for the Kepler Science Operations Center (SOC) science data processing pipeline were managed. This document is intended for scientists and software developers who wish to better understand the software design for the final Kepler codebase (SOC 9.3) and the effect of the software parameters on the Data Release (DR) 25 archival products.

  9. Working your SOCS off: The role of ASB10 and protein degradation pathways in glaucoma.

    PubMed

    Keller, Kate E; Wirtz, Mary K

    2017-05-01

    Evidence is accumulating to suggest that mutations in the Ankyrin and SOCS Box-containing protein-10 (ASB10) gene are associated with glaucoma. Since its identification in a large Oregon family with primary open-angle glaucoma (POAG), ASB10 variants have been associated with disease in US, German and Pakistani cohorts. ASB10 is a member of the ASB family of proteins, which have a common structure including a unique N-terminus, a variable number of central ankyrin (ANK) repeat domains and a suppressor of cytokine signaling (SOCS) box at the C-terminus. Mutations in ASB10 are distributed throughout the entire length of the gene including the two alternatively spliced variants of exon 1. A homozygous mutation in a Pakistani individual with POAG, which lies in the center of the SOCS box, is associated with a particularly severe form of the disease. Like other SOCS box-containing proteins, ASB10 functions in ubiquitin-mediated degradation pathways. The ANK repeats bind to proteins destined for degradation. The SOCS box recruits ubiquitin ligase proteins to form a complex to transfer ubiquitin to a substrate bound to the ANK repeats. The ubiquitin-tagged protein then enters either the proteasomal degradation pathway or the autophagic-lysosomal pathway. The choice of pathway appears to be dependent on which lysine residues are used to build polyubiquitin chains. However, these reciprocal pathways work in tandem to degrade proteins because inhibition of one pathway increases degradation via the other pathway. In this publication, we will review the literature that supports identification of ASB10 as a glaucoma-associated gene and the current knowledge of the function of the ASB10 protein. In addition, we present new data that indicates ASB10 expression is up-regulated by the inflammatory cytokines tumor necrosis factor-α and interleukin-1α. Finally, we will describe the emerging role of other SOCS box-containing proteins in protein degradation pathways in ocular cells

  10. Genetic reduction of embryonic leukemia-inhibitory factor production rescues placentation in SOCS3-null embryos but does not prevent inflammatory disease.

    PubMed

    Robb, Lorraine; Boyle, Kristy; Rakar, Steven; Hartley, Lynne; Lochland, Janelle; Roberts, Andrew W; Alexander, Warren S; Metcalf, Donald

    2005-11-08

    The suppressor of cytokine-signaling (SOCS) proteins act as negative-feedback inhibitors of cytokine and growth-factor-induced signal transduction. In vivo studies have implicated SOCS3 as a negative regulator of signaling downstream of gp130, the receptor subunit shared by IL-6-like cytokines. Mice lacking SOCS3 die at midgestation because of placental failure, and SOCS3 ablation in a cell-type-specific manner results in changes in the functional outcome of gp130 signaling in response to IL-6. In this study, we show that genetic reduction of leukemia-inhibitory factor (LIF) production by embryo-derived tissues is sufficient to prevent the placental defect. This establishes LIF signaling as a major physiological regulator of trophoblast differentiation in vivo. Mice deficient in both SOCS3 and LIF are born in predicted numbers and appear normal at birth but exhibit failure to thrive and high neonatal mortality. Adult SOCS3-null mice on a LIF-null background succumb to a spontaneous fatal inflammatory disease characterized by neutrophilia and inflammatory-cell tissue infiltrates. The disease spectrum mimics that seen in mice with a conditional deletion of SOCS3 in hematopoietic and endothelial cells, extending the evidence for a major role for SOCS3 in the homeostatic regulation of the inflammatory response and indicates that LIF is not required for this process.

  11. Genetic reduction of embryonic leukemia-inhibitory factor production rescues placentation in SOCS3-null embryos but does not prevent inflammatory disease

    PubMed Central

    Robb, Lorraine; Boyle, Kristy; Rakar, Steven; Hartley, Lynne; Lochland, Janelle; Roberts, Andrew W.; Alexander, Warren S.; Metcalf, Donald

    2005-01-01

    The suppressor of cytokine-signaling (SOCS) proteins act as negative-feedback inhibitors of cytokine and growth-factor-induced signal transduction. In vivo studies have implicated SOCS3 as a negative regulator of signaling downstream of gp130, the receptor subunit shared by IL-6-like cytokines. Mice lacking SOCS3 die at midgestation because of placental failure, and SOCS3 ablation in a cell-type-specific manner results in changes in the functional outcome of gp130 signaling in response to IL-6. In this study, we show that genetic reduction of leukemia-inhibitory factor (LIF) production by embryo-derived tissues is sufficient to prevent the placental defect. This establishes LIF signaling as a major physiological regulator of trophoblast differentiation in vivo. Mice deficient in both SOCS3 and LIF are born in predicted numbers and appear normal at birth but exhibit failure to thrive and high neonatal mortality. Adult SOCS3-null mice on a LIF-null background succumb to a spontaneous fatal inflammatory disease characterized by neutrophilia and inflammatory-cell tissue infiltrates. The disease spectrum mimics that seen in mice with a conditional deletion of SOCS3 in hematopoietic and endothelial cells, extending the evidence for a major role for SOCS3 in the homeostatic regulation of the inflammatory response and indicates that LIF is not required for this process. PMID:16258063

  12. Major limitations to achieving "4 per 1000" increases in soil organic carbon stock in temperate regions: Evidence from long-term experiments at Rothamsted Research, United Kingdom.

    PubMed

    Poulton, Paul; Johnston, Johnny; Macdonald, Andy; White, Rodger; Powlson, David

    2018-01-21

    We evaluated the "4 per 1000" initiative for increasing soil organic carbon (SOC) by analysing rates of SOC increase in treatments in 16 long-term experiments in southeast United Kingdom. The initiative sets a goal for SOC stock to increase by 4‰ per year in the 0-40 cm soil depth, continued over 20 years. Our experiments, on three soil types, provided 114 treatment comparisons over 7-157 years. Treatments included organic additions (incorporated by inversion ploughing), N fertilizers, introducing pasture leys into continuous arable systems, and converting arable land to woodland. In 65% of cases, SOC increases occurred at >7‰ per year in the 0-23 cm depth, approximately equivalent to 4‰ per year in the 0-40 cm depth. In the two longest running experiments (>150 years), annual farmyard manure (FYM) applications at 35 t fresh material per hectare (equivalent to approx. 3.2 t organic C/ha/year) gave SOC increases of 18‰ and 43‰ per year in the 23 cm depth during the first 20 years. Increases exceeding 7‰ per year continued for 40-60 years. In other experiments, with FYM applied at lower rates or not every year, there were increases of 3‰-8‰ per year over several decades. Other treatments gave increases between zero and 19‰ per year over various periods. We conclude that there are severe limitations to achieving the "4 per 1000" goal in practical agriculture over large areas. The reasons include (1) farmers not having the necessary resources (e.g. insufficient manure); (2) some, though not all, practices favouring SOC already widely adopted; (3) practices uneconomic for farmers-potentially overcome by changes in regulations or subsidies; (4) practices undesirable for global food security. We suggest it is more realistic to promote practices for increasing SOC based on improving soil quality and functioning as small increases can have disproportionately large beneficial impacts, though not necessarily translating into increased crop yield

  13. The mechanics of erosion on soil organic redistribution

    NASA Astrophysics Data System (ADS)

    Papanicolaou, T.

    2014-12-01

    Soil Organic Carbon (SOC) is an important constituent of the earth's fabric derived from the breakdown of above ground plant litter, plant rhizomes and root exudates in the form of organic by-products. Stocks of SOC can be affected by a variety of natural and human-induced drivers, including climate and land management practices which collectively could affect intrinsic and extrinsic factors related to SOC, for example, soil texture, soil microclimate, and biomass accumulation rates . In intensely managed agricultural landscapes (IMLs), i.e., regions of significant land use change where significant degradation of SOC has been reported due to soil erosion, enhancing the sequestration or storage potential of SOC is of paramount importance to the ecosystem well-being of these landscapes. A literature review reveals that aspects of the SOC research have received considerable attention in the bioegeochemical, ecological, and agricultural disciplines because available SOC stocks within a soil column affect the evolution of key soil biogeochemical constituents. However, at the landscape scale the quantitative assessment of the SOC storage potential suffers in parts from lack of understanding of the collective effects that tillage and water-driven erosion have on the transport and burial of the eroded SOC. In this study an integrative process-based modeling framework that couples an established biogeochemical soil column model with a physically-based, landscape oriented watershed model capable of replicating the collective erosion effects on the mobilization and redistribution of SOC is developed. All simulations are conducted in an agricultural watershed in the U.S. Midwest Clear Creek, IA which has experienced intense agriculture since the beginning of the century to also assess the legacy effects that land use change and SOC initialization periods have on current SOC stock estimations.

  14. Genetic stock identification of Russian honey bees.

    PubMed

    Bourgeois, Lelania; Sheppard, Walter S; Sylvester, H Allen; Rinderer, Thomas E

    2010-06-01

    A genetic stock certification assay was developed to distinguish Russian honey bees from other European (Apis mellifera L.) stocks that are commercially produced in the United States. In total, 11 microsatellite and five single-nucleotide polymorphism loci were used. Loci were selected for relatively high levels of homogeneity within each group and for differences in allele frequencies between groups. A baseline sample consisted of the 18 lines of Russian honey bees released to the Russian Bee Breeders Association and bees from 34 queen breeders representing commercially produced European honey bee stocks. Suitability tests of the baseline sample pool showed high levels of accuracy. The probability of correct assignment was 94.2% for non-Russian bees and 93.3% for Russian bees. A neighbor-joining phenogram representing genetic distance data showed clear distinction of Russian and non-Russian honey bee stocks. Furthermore, a test of appropriate sample size showed a sample of eight bees per colony maximizes accuracy and consistency of the results. An additional 34 samples were tested as blind samples (origin unknown to those collecting data) to determine accuracy of individual assignment tests. Only one of these samples was incorrectly assigned. The 18 current breeding lines were represented among the 2009 blind sampling, demonstrating temporal stability of the genetic stock identification assay. The certification assay will be used through services provided by a service laboratory, by the Russian Bee Breeders Association to genetically certify their stock. The genetic certification will be used in conjunction with continued selection for favorable traits, such as honey production and varroa and tracheal mite resistance.

  15. Aboveground vs. Belowground Carbon Stocks in African Tropical Lowland Rainforest: Drivers and Implications.

    PubMed

    Doetterl, Sebastian; Kearsley, Elizabeth; Bauters, Marijn; Hufkens, Koen; Lisingo, Janvier; Baert, Geert; Verbeeck, Hans; Boeckx, Pascal

    2015-01-01

    African tropical rainforests are one of the most important hotspots to look for changes in the upcoming decades when it comes to C storage and release. The focus of studying C dynamics in these systems lies traditionally on living aboveground biomass. Belowground soil organic carbon stocks have received little attention and estimates of the size, controls and distribution of soil organic carbon stocks are highly uncertain. In our study on lowland rainforest in the central Congo basin, we combine both an assessment of the aboveground C stock with an assessment of the belowground C stock and analyze the latter in terms of functional pools and controlling factors. Our study shows that despite similar vegetation, soil and climatic conditions, soil organic carbon stocks in an area with greater tree height (= larger aboveground carbon stock) were only half compared to an area with lower tree height (= smaller aboveground carbon stock). This suggests that substantial variability in the aboveground vs. belowground C allocation strategy and/or C turnover in two similar tropical forest systems can lead to significant differences in total soil organic C content and C fractions with important consequences for the assessment of the total C stock of the system. We suggest nutrient limitation, especially potassium, as the driver for aboveground versus belowground C allocation. However, other drivers such as C turnover, tree functional traits or demographic considerations cannot be excluded. We argue that large and unaccounted variability in C stocks is to be expected in African tropical rain-forests. Currently, these differences in aboveground and belowground C stocks are not adequately verified and implemented mechanistically into Earth System Models. This will, hence, introduce additional uncertainty to models and predictions of the response of C storage of the Congo basin forest to climate change and its contribution to the terrestrial C budget.

  16. Aboveground vs. Belowground Carbon Stocks in African Tropical Lowland Rainforest: Drivers and Implications

    PubMed Central

    Bauters, Marijn; Hufkens, Koen; Lisingo, Janvier; Baert, Geert; Verbeeck, Hans; Boeckx, Pascal

    2015-01-01

    Background African tropical rainforests are one of the most important hotspots to look for changes in the upcoming decades when it comes to C storage and release. The focus of studying C dynamics in these systems lies traditionally on living aboveground biomass. Belowground soil organic carbon stocks have received little attention and estimates of the size, controls and distribution of soil organic carbon stocks are highly uncertain. In our study on lowland rainforest in the central Congo basin, we combine both an assessment of the aboveground C stock with an assessment of the belowground C stock and analyze the latter in terms of functional pools and controlling factors. Principal Findings Our study shows that despite similar vegetation, soil and climatic conditions, soil organic carbon stocks in an area with greater tree height (= larger aboveground carbon stock) were only half compared to an area with lower tree height (= smaller aboveground carbon stock). This suggests that substantial variability in the aboveground vs. belowground C allocation strategy and/or C turnover in two similar tropical forest systems can lead to significant differences in total soil organic C content and C fractions with important consequences for the assessment of the total C stock of the system. Conclusions/Significance We suggest nutrient limitation, especially potassium, as the driver for aboveground versus belowground C allocation. However, other drivers such as C turnover, tree functional traits or demographic considerations cannot be excluded. We argue that large and unaccounted variability in C stocks is to be expected in African tropical rain-forests. Currently, these differences in aboveground and belowground C stocks are not adequately verified and implemented mechanistically into Earth System Models. This will, hence, introduce additional uncertainty to models and predictions of the response of C storage of the Congo basin forest to climate change and its contribution to

  17. Test Scheduling for Core-Based SOCs Using Genetic Algorithm Based Heuristic Approach

    NASA Astrophysics Data System (ADS)

    Giri, Chandan; Sarkar, Soumojit; Chattopadhyay, Santanu

    This paper presents a Genetic algorithm (GA) based solution to co-optimize test scheduling and wrapper design for core based SOCs. Core testing solutions are generated as a set of wrapper configurations, represented as rectangles with width equal to the number of TAM (Test Access Mechanism) channels and height equal to the corresponding testing time. A locally optimal best-fit heuristic based bin packing algorithm has been used to determine placement of rectangles minimizing the overall test times, whereas, GA has been utilized to generate the sequence of rectangles to be considered for placement. Experimental result on ITC'02 benchmark SOCs shows that the proposed method provides better solutions compared to the recent works reported in the literature.

  18. A Cryptographic SoC for Robust Protection of Secret Keys in IPTV DRM Systems

    NASA Astrophysics Data System (ADS)

    Lee, Sanghan; Yang, Hae-Yong; Yeom, Yongjin; Park, Jongsik

    The security level of an internet protocol television (IPTV) digital right management (DRM) system ultimately relies on protection of secret keys. Well known devices for the key protection include smartcards and battery backup SRAMs (BB-SRAMs); however, these devices could be vulnerable to various physical attacks. In this paper, we propose a secure and cost-effective design of a cryptographic system on chip (SoC) that integrates the BB-SRAM with a cell-based design technique. The proposed SoC provides robust safeguard against the physical attacks, and satisfies high-speed and low-price requirements of IPTV set-top boxes. Our implementation results show that the maximum encryption rate of the SoC is 633Mb/s. In order to verify the data retention capabilities, we made a prototype chip using 0.18µm standard cell technology. The experimental results show that the integrated BB-SRAM can reliably retain data with a 1.4µA leakage current.

  19. How Population Growth and Land-Use Change Increased Fluvial Dissolved Organic Carbon Fluxes over 130 Years in the Thames Basin (UK)

    NASA Astrophysics Data System (ADS)

    Noacco, V.; Howden, N. J. K.; Wagener, T.; Worrall, F.; Burt, T. P.

    2015-12-01

    This study investigates drivers of changing dissolved organic carbon (DOC) export in the UK's River Thames basin between 1884 and 2014. Specifically, we consider how the impacts of land-use change and population growth drive increases in DOC concentrations and fluxes at the basin outlet. Such key factors for the long-term increase in riverine DOC in temperate, mineral-soil catchments are still widely debated. First, we estimate soil organic carbon (SOC) stocks in the Thames basin for the period. Second, we convert SOC losses due to land-use change into DOC loss to surface waters through runoff. Finally, we combine this input of DOC with an export coefficient model that considers catchment drivers for DOC release to the river. SOC stocks for each year are calculated from a large database of typical SOC levels for land-uses present in the Thames basin and are combined with literature values of transition times for SOC to adjust to a new level following land-use change. We also account for climate change effects on SOC stock due to temperature increases, which reduces SOC stocks as soil organic matter turnover rates increase. Our work shows that the major driver for DOC increase to the river Thames was the rise in the catchment population, where the increase in urban area was used as a proxy. This highlights the role of sewage effluent in contributing to the rise of fluvial DOC, even though wastewater treatments were in place since the early 1990s. Land-use change had significant but short-term impacts in the increase in DOC, mainly due to massive conversion of permanent grassland into arable land during World War II.

  20. Carbon stocks across a chronosequence of thinned and unmanaged red pine (Pinus resinosa) stands

    USGS Publications Warehouse

    Powers, Matthew D.; Kolka, Randall K.; Bradford, John B.; Palik, Brian J.; Fraver, Shawn; Jurgensen, Martin F.

    2012-01-01

    Forests function as a major global C sink, and forest management strategies that maximize C stocks offer one possible means of mitigating the impacts of increasing anthropogenic CO2 emissions. We studied the effects of thinning, a common management technique in many forest types, on age-related trends in C stocks using a chronosequence of thinned and unmanaged red pine (Pinus resinosa) stands ranging from 9 to 306 years old. Live tree C stocks increased with age to a maximum near the middle of the chronosequence in unmanaged stands, and increased across the entire chronosequence in thinned stands. C in live understory vegetation and C in the mineral soil each declined rapidly with age in young stands but changed relatively little in middle-aged to older stands regardless of management. Forest floor C stocks increased with age in unmanaged stands, but forest floor C decreased with age after the onset of thinning around age 40 in thinned stands. Deadwood C was highly variable, but decreased with age in thinned stands. Total ecosystem C increased with stand age until approaching an asymptote around age 150. The increase in total ecosystem C was paralleled by an age-related increase in total aboveground C, but relatively little change in total belowground C. Thinning had surprisingly little impact on total ecosystem C stocks, but it did modestly alter age-related trends in total ecosystem C allocation between aboveground and belowground pools. In addition to characterizing the subtle differences in C dynamics between thinned and unmanaged stands, these results suggest that C accrual in red pine stands continues well beyond the 60–100 year management rotations typical for this system. Management plans that incorporate longer rotations and thinning in some stands could play an important role in maximizing C stocks in red pine forests while meeting other objectives including timber extraction, biodiversity conservation, restoration, and fuel reduction goals.

  1. SOCS1 cooperates with FLT3-ITD in the development of myeloproliferative disease by promoting the escape from external cytokine control.

    PubMed

    Reddy, Pavankumar N G; Sargin, Bülent; Choudhary, Chunaram; Stein, Stefan; Grez, Manuel; Müller-Tidow, Carsten; Berdel, Wolfgang E; Serve, Hubert; Brandts, Christian H

    2012-08-23

    Activating mutations in the receptor tyrosine kinase FLT3 are frequently found in acute myelogenous leukemia patients and confer poor clinical prognosis. It is unclear how leukemic blasts escape cytokine control that regulates normal hematopoiesis. We have recently demonstrated that FLT3-internal tandem duplication (ITD), when localized to the biosynthetic compartment, aberrantly activates STAT5. Here, we show that one of the target genes induced by STAT5 is suppressor of cytokine signaling (SOCS)1-a surprising finding for a known tumor suppressor. Although SOCS1 expression in murine bone marrow severely impaired cytokine-induced colony growth, it failed to inhibit FLT3-ITD-supported colony growth, indicating resistance of FLT3-ITD to SOCS1. In addition, SOCS1 coexpression did not affect FLT3-ITD-mediated signaling or proliferation. Importantly, SOCS1 coexpression inhibited interferon-α and interferon-γ signaling and protected FLT3-ITD hematopoietic cells from interferon-mediated growth inhibitory effects. In a murine bone marrow transplantation model, the coexpression of SOCS1 and FLT3-ITD significantly shortened the latency of a myeloproliferative disease compared with FLT3-ITD alone (P < .01). Mechanistically, SOCS proteins shield FLT3-ITD from external cytokine control, thereby promoting leukemogenesis. The data demonstrate that SOCS1 acts as a conditional oncogene, providing novel molecular insights into cytokine resistance in oncogenic transformation. Restoring cytokine control may provide a new way of therapeutic intervention.

  2. Land use Effects on Storage, Stability and Structure of Organic Carbon in Soil Density Fractions Revealed by 13C Natural Abundance and CPMAS 13C NMR

    NASA Astrophysics Data System (ADS)

    Flessa, H.; Helfrich, M.; John, B.; Yamashita, T.; Ludwig, B.

    2004-12-01

    The type of land use and soil cultivation are important factors controlling organic carbon storage (SOC) in soils and they can also influence the relative importance, the structure, and the stability of different SOC pools. The objectives of our study were: i) to quantify the SOC stocks in different density fractions (mineral-associated soil organic matter > 2 g cm-3 (Mineral-SOM), free particulate organic matter < 1.6 g cm-3 (free POM), light occluded particulate organic matter < 1.6 g cm-3 (occluded POM<1.6) and dense occluded particulate organic matter 1.6 to 2.0 g cm-3 (occluded POM1.6-2.0)) of silty soils under different land use (spruce forest, grassland, maize, wheat), ii) to determine the structure of these SOC fractions by CPMAS 13C NMR spectroscopy, and iii) to analyse the stability of these SOC fractions in the maize soil on the basis of the stable isotope composition of SOC. The SOC concentration in the A horizon increased in the order wheat (12.7 g kg-1) < maize (13.0 g kg-1) < grassland (24.5 g kg-1) < spruce (40.5 g kg-1). The major part (86-91%) of the SOC was associated with the heavy mineral fraction at the grassland, maize and wheat site. In the A horizon of the spruce soil, the particulate organic matter accounted for 52% of the total SOC content. The chemical structure of the soil organic matter (SOM) was influenced by litter quality, the intensity of litter decomposition and the related production and storage of microbially-derived substances. SOM of the acid forest soil was characterized by large amounts of POM with a high content of spruce litter-derived alkyl C. In the biologically more active grassland and maize soil, litter-derived POM was decomposed more rapidly and SOC stocks were dominated by mineral-associated SOM which contained greater proportions of aryl and carbonyl C. The cultivation of the grassland soil induced enhanced mineralization of POM and in particular of mineral-associated SOM. The faster SOC turnover was associated

  3. The role of c-Jun in controlling the EPAC1-dependent induction of the SOCS3 gene in HUVECs

    PubMed Central

    Wiejak, Jolanta; Dunlop, Julia; Yarwood, Stephen J.

    2014-01-01

    The cyclic AMP sensor, EPAC1, activates AP1-mediated transcription in HUVECs. Correspondingly, induction of the SOCS3 minimal promoter by EPAC1 requires a single AP1 site that constitutively binds phosphorylated (Ser63) c-Jun in DNA-pull-down assays. c-Jun (Ser63) becomes further phosphorylated following cyclic AMP stimulation and specific activation of protein kinase A (PKA), but not through selective activation of EPAC1. Moreover, despite a requirement for c-Jun for SOCS3 induction in fibroblasts, phospho-null c-Jun (Ser63/73Ala) had little effect on SOCS3 induction by cyclic AMP in HUVECs. AP1 activation and SOCS3 induction by EPAC1 in HUVECs therefore occur independently of c-Jun phosphorylation on Ser63. PMID:24631457

  4. The overnight effect on the Taiwan stock market

    NASA Astrophysics Data System (ADS)

    Tsai, Kuo-Ting; Lih, Jiann-Shing; Ko, Jing-Yuan

    2012-12-01

    This study examines statistical regularities among three components of stocks and indices: daytime (trading hour) return, overnight (off-hour session) return, and total (close-to-close) return. Owing to the fact that the Taiwan Stock Exchange (TWSE) has the longest non-trading periods among major markets, the TWSE is selected to explore the correlation among the three components and compare it with major markets such as the New York Stock Exchange (NYSE) and the National Association of Securities Dealers Automated Quotation (NASDAQ). Analysis results indicate a negative cross correlation between the sign of daytime return and the sign of overnight return; possibly explaining why most stocks feature a negative cross correlation between daytime return and overnight return [F. Wang, S.-J. Shieh, S. Havlin, H.E. Stanley, Statistical analysis of the overnight and daytime return, Phys. Rev. E 79 (2009) 056109]. Additionally, the cross correlation between the magnitude of returns is analyzed. According to those results, a larger magnitude of overnight return implies a higher probability that the sign of the following daytime return is the opposite of the sign of overnight return. Namely, the predictability of daytime return might be improved when a stock undergoes a large magnitude of overnight return. Furthermore, the cross correlations of 29 indices of worldwide markets are discussed.

  5. Space Operations Center system analysis. Volume 3, book 2: SOC system definition report, revision A

    NASA Technical Reports Server (NTRS)

    1982-01-01

    The Space Operations Center (SOC) orbital space station program operations are described. A work breakdown structure for the general purpose support equipment, construction and transportation support, and resupply and logistics support systems is given. The basis for the design of each element is presented, and a mass estimate for each element supplied. The SOC build-up operation, construction, flight support, and satellite servicing operations are described. Detailed programmatics and cost analysis are presented.

  6. Effects of seabird nitrogen input on biomass and carbon accumulation after 50 years of primary succession on a young volcanic island, Surtsey

    NASA Astrophysics Data System (ADS)

    Leblans, N. I. W.; Sigurdsson, B. D.; Roefs, P.; Thuys, R.; Magnússon, B.; Janssens, I. A.

    2014-11-01

    What happens during primary succession after the first colonizers have occupied a pristine surface largely depends on how they ameliorate living conditions for other species. For vascular plants the onset of soil development and associated increase in nutrient (mainly nitrogen; N) and water availability is especially important. Here, we report the relationship between N accumulation and biomass and ecosystem carbon (C) stocks in a 50-year-old volcanic island, Surtsey, Iceland, where N stocks are still exceptionally low. However, a 28-year-old seagull colony on the island provided nutrient-enriched areas, which enabled us to assess the relationship between N stock and biomass and ecosystem C stocks across a much larger range in N stock. Further, we compared areas on shallow and deep tephra sands as we expected that deep-rooted systems would be more efficient in retaining N. The sparsely vegetated area outside the colony had accumulated 0.7 kg N ha-1 yr-1, which was ca. 50-60% of the estimated N input rate from wet deposition. This approximates values for systems under low N input and bare dune habitats. The seagulls have added, on average, 47 kg N ha-1 yr-1, which induced a shift from belowground to aboveground in ecosystem N and C stocks and doubled the ecosystem N-use efficiency, determined as the ratio of biomass and C storage per unit N input. Soil depth did not significantly affect total N stocks, which suggests a high N retention potential. Both total ecosystem biomass and C stocks were strongly correlated with N stock inside the colony, which indicated the important role of N during the first steps of primary succession. Inside the colony, the ecosystem biomass C stocks (17-27 ton C ha-1) had reached normal values for grasslands, while the soil organic carbon (SOC) stocks (4-10 ton C ha-1 were only a fraction of normal grassland values. Thus, it will take a long time until the SOC stock reaches equilibrium with the current primary production, during which

  7. A historical perspective on soil organic carbon in Mediterranean cropland (Spain, 1900-2008).

    PubMed

    Aguilera, Eduardo; Guzmán, Gloria I; Álvaro-Fuentes, Jorge; Infante-Amate, Juan; García-Ruiz, Roberto; Carranza-Gallego, Guiomar; Soto, David; González de Molina, Manuel

    2018-04-15

    Soil organic carbon (SOC) management is key for soil fertility and for mitigation and adaptation to climate change, particularly in desertification-prone areas such as Mediterranean croplands. Industrialization and global change processes affect SOC dynamics in multiple, often opposing, ways. Here we present a detailed SOC balance in Spanish cropland from 1900 to 2008, as a model of a Mediterranean, industrialized agriculture. Net Primary Productivity (NPP) and soil C inputs were estimated based on yield and management data. Changes in SOC stocks were modeled using HSOC, a simple model with one inert and two active C pools, which combines RothC model parameters with humification coefficients. Crop yields increased by 227% during the studied period, but total C exported from the agroecosystem only increased by 73%, total NPP by 30%, and soil C inputs by 20%. There was a continued decline in SOC during the 20th century, and cropland SOC levels in 2008 were 17% below their 1933 peak. SOC trends were driven by historical changes in land uses, management practices and climate. Cropland expansion was the main driver of SOC loss until mid-20th century, followed by the decline in soil C inputs during the fast agricultural industrialization starting in the 1950s, which reduced harvest indices and weed biomass production, particularly in woody cropping systems. C inputs started recovering in the 1980s, mainly through increasing crop residue return. The upward trend in SOC mineralization rates was an increasingly important driver of SOC losses, triggered by irrigation expansion, soil cover loss and climate change-driven temperature rise. Copyright © 2017 Elsevier B.V. All rights reserved.

  8. Spatial representation of organic carbon and active-layer thickness of high latitude soils in CMIP5 earth system models

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mishra, Umakant; Drewniak, Beth; Jastrow, Julie D.

    Soil properties such as soil organic carbon (SOC) stocks and active-layer thickness are used in earth system models (F.SMs) to predict anthropogenic and climatic impacts on soil carbon dynamics, future changes in atmospheric greenhouse gas concentrations, and associated climate changes in the permafrost regions. Accurate representation of spatial and vertical distribution of these soil properties in ESMs is a prerequisite for redudng existing uncertainty in predicting carbon-climate feedbacks. We compared the spatial representation of SOC stocks and active-layer thicknesses predicted by the coupled Modellntercomparison Project Phase 5 { CMIP5) ESMs with those predicted from geospatial predictions, based on observation datamore » for the state of Alaska, USA. For the geospatial modeling. we used soil profile observations {585 for SOC stocks and 153 for active-layer thickness) and environmental variables (climate, topography, land cover, and surficial geology types) and generated fine-resolution (50-m spatial resolution) predictions of SOC stocks (to 1-m depth) and active-layer thickness across Alaska. We found large inter-quartile range (2.5-5.5 m) in predicted active-layer thickness of CMIP5 modeled results and small inter-quartile range (11.5-22 kg m-2) in predicted SOC stocks. The spatial coefficient of variability of active-layer thickness and SOC stocks were lower in CMIP5 predictions compared to our geospatial estimates when gridded at similar spatial resolutions (24.7 compared to 30% and 29 compared to 38%, respectively). However, prediction errors. when calculated for independent validation sites, were several times larger in ESM predictions compared to geospatial predictions. Primaly factors leading to observed differences were ( 1) lack of spatial heterogeneity in ESM predictions, (2) differences in assumptions concerning environmental controls, and (3) the absence of pedogenic processes in ESM model structures. Our results suggest that efforts to

  9. Group identification in Indonesian stock market

    NASA Astrophysics Data System (ADS)

    Nurriyadi Suparno, Ervano; Jo, Sung Kyun; Lim, Kyuseong; Purqon, Acep; Kim, Soo Yong

    2016-08-01

    The characteristic of Indonesian stock market is interesting especially because it represents developing countries. We investigate the dynamics and structures by using Random Matrix Theory (RMT). Here, we analyze the cross-correlation of the fluctuations of the daily closing price of stocks from the Indonesian Stock Exchange (IDX) between January 1, 2007, and October 28, 2014. The eigenvalue distribution of the correlation matrix consists of noise which is filtered out using the random matrix as a control. The bulk of the eigenvalue distribution conforms to the random matrix, allowing the separation of random noise from original data which is the deviating eigenvalues. From the deviating eigenvalues and the corresponding eigenvectors, we identify the intrinsic normal modes of the system and interpret their meaning based on qualitative and quantitative approach. The results show that the largest eigenvector represents the market-wide effect which has a predominantly common influence toward all stocks. The other eigenvectors represent highly correlated groups within the system. Furthermore, identification of the largest components of the eigenvectors shows the sector or background of the correlated groups. Interestingly, the result shows that there are mainly two clusters within IDX, natural and non-natural resource companies. We then decompose the correlation matrix to investigate the contribution of the correlated groups to the total correlation, and we find that IDX is still driven mainly by the market-wide effect.

  10. Quantifying Fire's Impacts on Total and Pyrogenic Carbon Stocks in Mixed-Conifer Forests: Results from Pre- and Post-Fire Measurements in Active Wildfire Incidents

    NASA Astrophysics Data System (ADS)

    Miesel, J. R.; Reiner, A. L.; Ewell, C. M.; Sanderman, J.; Maestrini, B.; Adkins, J.

    2016-12-01

    Widespread US fire suppression policy has contributed to an accumulation of vegetation in many western forests relative to historic conditions, and these changes can exacerbate wildfire severity and carbon (C) emissions. Serious concern exists about positive feedbacks between wildfire emissions and global climate; however, fires not only release C from terrestrial to atmospheric pools, they also create "black" or pyrogenic C (PyC) which contributes to longer-term C stability. Our objective was to quantify wildfire impacts on aboveground and belowground total C and PyC stocks in California mixed-conifer forests. We worked with incident management teams to access five active wildfires to establish and measure plots within days before and after fire. We measured pre- and post-fire aboveground forest structure and woody fuels to calculate aboveground biomass, biomass C, and PyC, and we collected pre- and post-fire forest floor and 0-5 cm mineral soil samples to measure belowground C and PyC stocks. Our preliminary results show that fire had minimal impact on the number of trees per hectare, whereas C losses from the tree layer occurred via consumption of foliage, and PyC gain occurred in tree bark. Fire released 54% to 100% of surface fuel C. In the forest floor layer, we observed 33 to 100% C loss, whereas changes in PyC stocks ranged from 100% loss to 186% gain relative to pre-fire samples. In general, fire had minimal to no impact on 0-5 cm mineral soil C. We will present relationships between total C, PyC and post-fire C and N dynamics in one of the five wildfire sites. Our data are unique because they represent nearly immediate pre- and post-fire measurements in major wildfires in a widespread western U.S. forest type. This research advances understanding of the role of fire on forest C fluxes and C sequestration potential as PyC.

  11. Stock or stroke? Stock market movement and stroke incidence in Taiwan.

    PubMed

    Chen, Chun-Chih; Chen, Chin-Shyan; Liu, Tsai-Ching; Lin, Ying-Tzu

    2012-12-01

    This paper investigates the impact of stock market movement on incidences of stroke utilizing population-based aggregate data in Taiwan. Using the daily data from the Taiwan Stock Exchange Capitalization Weighted Stock Index and from the National Health Insurance Research Database during 2001/1/1-2007/12/31, which consist of 2556 observations, we examine the effects of stock market on stroke incidence - the level effect and the daily change effects. In general, we find that both a low stock index level and a daily fall in the stock index are associated with greater incidences of stroke. We further partition the data on sex and age. The level effect is found to be significant for either gender, in the 45-64 and 65 ≥ age groups. In addition, two daily change effects are found to be significant for males and the elderly. Although stockholdings can increase wealth, they can also increase stroke incidence, thereby representing a cost to health. Copyright © 2012 Elsevier Ltd. All rights reserved.

  12. Validation of the Short Obsessive–Compulsive Disorder Screener (SOCS) in children and adolescents

    PubMed Central

    Rodríguez-Jiménez, Tíscar; Ortiz, Ana G.; Moreno, Elena; Lázaro, Luisa; Godoy, Antonio

    2015-01-01

    Background The Short Obsessive–Compulsive Disorder Screener (SOCS) is recommended by the National Institute for Health and Care Excellence as a suitable and validated screening tool for 11- to 15-year olds. Despite its excellent sensitivity and specificity in detecting obsessive–compulsive disorder (OCD), it has limitations. Aims To empirically examine whether the SOCS is suitable for assessing OCD symptoms across a wide age range of children and adolescents and to provide new data about its psychometric properties. Method Participants were 94 patients (9–19 years) with OCD, and 880 healthy controls. Results The results supported the SOCS’ unidimensional factor structure and metric invariance across samples. It showed good reliability in terms of internal consistency and temporal stability. Furthermore, it had significantly high correlations with other OCD measures and an acceptable sensitivity and specificity for detecting OCD. Conclusions The SOCS is a brief screening tool suitable for detecting OCD in children and adolescents. Declaration of interest None. Copyright and usage © The Royal College of Psychiatrists 2015. This is an open access article distributed under the terms of the Creative Commons Non-Commercial, No Derivatives (CC BY-NC-ND) licence. PMID:27703719

  13. The increase of soil organic carbon as proposed by the "4/1000 initiative" is strongly limited by the status of soil development - A case study along a substrate age gradient in Central Europe.

    PubMed

    Schiefer, Jasmin; Lair, Georg J; Lüthgens, Christopher; Wild, Eva Maria; Steier, Peter; Blum, Winfried E H

    2018-07-01

    During COP 21 in Paris 2015, several states and organizations agreed on the "4/1000" initiative for food security and climate. This initiative aims to increase world's soil organic carbon (SOC) stocks by 4‰ annually. The influence of soil development status on SOC dynamics is very important but usually not considered in studies. We analyse SOC accumulation under forest, grassland and cropping systems along a soil age gradient (10-17,000years) to show the influence of soil development status on SOC increase. SOC stocks (0-40cm) and accumulation rates along a chronosequence in alluvial soils of the Danube River in the Marchfeld (eastern Austria) were analysed. The analysed Fluvisols and Chernozems have been used as forest, grassland and cropland for decades or hundreds of years. The results showed that there is a fast build-up of OC stocks (0-40cm) in young soils with accumulation of ~1.3tha -1 a -1 OC in the first 100years and ~0.5tha -1 a -1 OC between 100 and 350years almost independent of land use. Chernozems with a sediment deposition age older than 5.000years have an accumulation rate<0.01tOCha -1 a -1 (0-40cm). Radiocarbon dating showed that the topsoil (0-10cm) consists mainly of ">modern" and "modern" carbon indicating a fast carbon cycling. Carbon in subsoil is less exposed to decomposition and OC can be stored at long-time scales in the subsoil ( 14 C age of 3670±35 BP). In view of the '4/1000' initiative, soils with constant carbon input (forest & grassland) fulfil the intended 4‰ growth rate of SOC stocks only in the first 60years of soil development. We proclaim that under the present climate in Central Europe, the increase of SOC stocks in soil is strongly affected by the state of soil development. Copyright © 2018 Elsevier B.V. All rights reserved.

  14. Rectangular pulsed LD pumped saturable output coupler (SOC) Q-switched microchip laser

    NASA Astrophysics Data System (ADS)

    Wang, Yan-biao; Wang, Sha; Feng, Guo-ying; Zhou, Shou-huan

    2017-02-01

    We studied the cw LD and rectangular pulsed LD pumped saturable output coupler (SOC) passively Q-switched Nd:YVO4 transmission microchip laser experimentally. We demonstrated that the SOC passively Q-switched Nd:YVO4 transmission microchip laser pumped by a highly stabilized narrow bandwidth pulsed LD has a much lower timing jitter than pumped by a continuous wave (CW) LD, especially at low output frequency regime. By changing the pump beam size in the rectangular shape pulsed pump scheme, the output frequency can be achieved from 333.3 kHz to 71.4 kHz, while the relative timing jitter decreased from 0.09865% to 0.03115% accordingly. Additionally, the microchip laser has a good stability of output power, the power fluctuation below 2%.

  15. Measuring Biomass and Carbon Stock in Resprouting Woody Plants

    PubMed Central

    Matula, Radim; Damborská, Lenka; Nečasová, Monika; Geršl, Milan; Šrámek, Martin

    2015-01-01

    Resprouting multi-stemmed woody plants form an important component of the woody vegetation in many ecosystems, but a clear methodology for reliable measurement of their size and quick, non-destructive estimation of their woody biomass and carbon stock is lacking. Our goal was to find a minimum number of sprouts, i.e., the most easily obtainable, and sprout parameters that should be measured for accurate sprout biomass and carbon stock estimates. Using data for 5 common temperate woody species, we modelled carbon stock and sprout biomass as a function of an increasing number of sprouts in an interaction with different sprout parameters. The mean basal diameter of only two to five of the thickest sprouts and the basal diameter and DBH of the thickest sprouts per stump proved to be accurate estimators for the total sprout biomass of the individual resprouters and the populations of resprouters, respectively. Carbon stock estimates were strongly correlated with biomass estimates, but relative carbon content varied among species. Our study demonstrated that the size of the resprouters can be easily measured, and their biomass and carbon stock estimated; therefore, resprouters can be simply incorporated into studies of woody vegetation. PMID:25719601

  16. A quantum mechanical model for the relationship between stock price and stock ownership

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cotfas, Liviu-Adrian

    2012-11-01

    The trade of a fixed stock can be regarded as the basic process that measures its momentary price. The stock price is exactly known only at the time of sale when the stock is between traders, that is, only in the case when the owner is unknown. We show that the stock price can be better described by a function indicating at any moment of time the probabilities for the possible values of price if a transaction takes place. This more general description contains partial information on the stock price, but it also contains partial information on the stock owner.more » By following the analogy with quantum mechanics, we assume that the time evolution of the function describing the stock price can be described by a Schroedinger type equation.« less

  17. SOCS3 deficiency in leptin receptor-expressing cells mitigates the development of pregnancy-induced metabolic changes.

    PubMed

    Zampieri, Thais T; Ramos-Lobo, Angela M; Furigo, Isadora C; Pedroso, João A B; Buonfiglio, Daniella C; Donato, Jose

    2015-03-01

    During pregnancy, women normally increase their food intake and body fat mass, and exhibit insulin resistance. However, an increasing number of women are developing metabolic imbalances during pregnancy, including excessive gestational weight gain and gestational diabetes mellitus. Despite the negative health impacts of pregnancy-induced metabolic imbalances, their molecular causes remain unclear. Therefore, the present study investigated the molecular mechanisms responsible for orchestrating the metabolic changes observed during pregnancy. Initially, we investigated the hypothalamic expression of key genes that could influence the energy balance and glucose homeostasis during pregnancy. Based on these results, we generated a conditional knockout mouse that lacks the suppressor of cytokine signaling-3 (SOCS3) only in leptin receptor-expressing cells and studied these animals during pregnancy. Among several genes involved in leptin resistance, only SOCS3 was increased in the hypothalamus of pregnant mice. Remarkably, SOCS3 deletion from leptin receptor-expressing cells prevented pregnancy-induced hyperphagia, body fat accumulation as well as leptin and insulin resistance without affecting the ability of the females to carry their gestation to term. Additionally, we found that SOCS3 conditional deletion protected females against long-term postpartum fat retention and streptozotocin-induced gestational diabetes. Our study identified the increased hypothalamic expression of SOCS3 as a key mechanism responsible for triggering pregnancy-induced leptin resistance and metabolic adaptations. These findings not only help to explain a common phenomenon of the mammalian physiology, but it may also aid in the development of approaches to prevent and treat gestational metabolic imbalances.

  18. Species of Concern (SOC) on Department of Defense Installations

    DTIC Science & Technology

    2002-07-15

    HODI, RUUR, SYAL , OEMLERIA CERASIFORMIS/DISPORUM HOOKERI, POLYSTICHUM, SMILACINA RACEMOSA, HYDROPHYLLUM TENUIPES, ADENOCAULON BICOLOR, TELLIMA...SPECIES: ACCI, SYAL ,RHAI,COCO. HERBS:POMU,POMU,DRAR,TROV,SMST,DIHO,VAHE,ACRU,RUUR,ADBI,LICO ,GATR,DSCH,TEGR.* SOC on DOD Installations FINAL – July...SITE. ORGANIC LAYER OVERSOIL. ASSOC. W/GASH, POMU, COCO, RHDI, ACTAEA RUBRA, SYAL .* OLD GROWTH PSME. UNDERSTORY OF CORYLUS CORNUTA, ACMA, ACCI. GROUND

  19. Trading network predicts stock price.

    PubMed

    Sun, Xiao-Qian; Shen, Hua-Wei; Cheng, Xue-Qi

    2014-01-16

    Stock price prediction is an important and challenging problem for studying financial markets. Existing studies are mainly based on the time series of stock price or the operation performance of listed company. In this paper, we propose to predict stock price based on investors' trading behavior. For each stock, we characterize the daily trading relationship among its investors using a trading network. We then classify the nodes of trading network into three roles according to their connectivity pattern. Strong Granger causality is found between stock price and trading relationship indices, i.e., the fraction of trading relationship among nodes with different roles. We further predict stock price by incorporating these trading relationship indices into a neural network based on time series of stock price. Experimental results on 51 stocks in two Chinese Stock Exchanges demonstrate the accuracy of stock price prediction is significantly improved by the inclusion of trading relationship indices.

  20. The synchronicity between the stock and the stock index via information in market

    NASA Astrophysics Data System (ADS)

    Gao, Hai-Ling; Li, Jiang-Cheng; Guo, Wei; Mei, Dong-Cheng

    2018-02-01

    The synchronicity between the stock and the stock-index in a market system is investigated. The results show that: (i) the synchronicity between the stock and the stock-index increases with the rising degree of market information capitalized into stock prices in certain range; (ii) the synchronicity decreases for large firm-specific information; (iii) the stock return synchronicity is small compared to the big noise trading, however the variance noise facilitates the synchronization within the tailored realms. These findings may be helpful in understanding the effect of market information on synchronicity, especially for the response of firm-specific information and noise trading to synchronicity.

  1. [Distribution and enrichment characteristics of organic carbon and total nitrogen in mollisols under long-term fertilization].

    PubMed

    Xu, Xiang-ru; Luo, Kun; Zhou, Bao-ku; Wang, Jing-kuan; Zhang, Wen-ju; Xu, Ming-gang

    2015-07-01

    The characteristics and changes of soil organic carbon (SOC) and total nitrogen (TN) in different size particles of soil under different agricultural practices are the basis for better understanding soil carbon sequestration of mollisols. Based on a 31-year long-term field experiment located at the Heilongjiang Academy of Agricultural Sciences (Harbin) , soil samples under six treatments were separated by size-fractionation method to explore changes and distribution of SOC and TN in coarse sand, fine sand, silt and clay from the top layer (0-20 cm) and subsurface layer (20-40 cm). Results showed that long-term application of manure (M) increased the percentages of SOC and TN in coarse sand and clay size fractions. In the top layer, application of nitrogen, phosphorus and potassium fertilizers combined with manure (NPKM) increased the percentages of SOC and TN in coarse sand by 191.3% and 179.3% compared with the control (CK), whereas M application increased the percentages of SOC and TN in clay by 45% and 47% respectively. For subsurface layers, the increase rates of SOC and TN in corresponding parts were lower than that in top layer. In the surface and subsurface layers, the percentages of SOC storage in silt size fraction accounted for 42%-63% and 48%-54%, TN storage accounted for 34%-59% and 41%-47%, respectively. The enrichment factors of SOC and TN in coarse sand and clay fractions of surface layers increased significantly under the treatments with manure. The SOC and TN enrichment factors were highest in the NPKM, being 2.30 and 1.88, respectively, while that in the clay fraction changed little in the subsurface layer.

  2. Blue carbon stocks in Baltic Sea eelgrass (Zostera marina) meadows

    NASA Astrophysics Data System (ADS)

    Röhr, Maria Emilia; Boström, Christoffer; Canal-Vergés, Paula; Holmer, Marianne

    2016-11-01

    Although seagrasses cover only a minor fraction of the ocean seafloor, their carbon sink capacity accounts for nearly one-fifth of the total oceanic carbon burial and thus play a critical structural and functional role in many coastal ecosystems. We sampled 10 eelgrass (Zostera marina) meadows in Finland and 10 in Denmark to explore seagrass carbon stocks (Corg stock) and carbon accumulation rates (Corg accumulation) in the Baltic Sea area. The study sites represent a gradient from sheltered to exposed locations in both regions to reflect expected minimum and maximum stocks and accumulation. The Corg stock integrated over the top 25 cm of the sediment averaged 627 g C m-2 in Finland, while in Denmark the average Corg stock was over 6 times higher (4324 g C m-2). A conservative estimate of the total organic carbon pool in the regions ranged between 6.98 and 44.9 t C ha-1. Our results suggest that the Finnish eelgrass meadows are minor carbon sinks compared to the Danish meadows, and that majority of the Corg produced in the Finnish meadows is exported. Our analysis further showed that > 40 % of the variation in the Corg stocks was explained by sediment characteristics, i.e. dry density, porosity and silt content. In addition, our analysis show that the root : shoot ratio of Z. marina explained > 12 % and the contribution of Z. marina detritus to the sediment surface Corg pool explained > 10 % of the variation in the Corg stocks. The mean monetary value for the present carbon storage and carbon sink capacity of eelgrass meadows in Finland and Denmark, were 281 and 1809 EUR ha-1, respectively. For a more comprehensive picture of seagrass carbon storage capacity, we conclude that future blue carbon studies should, in a more integrative way, investigate the interactions between sediment biogeochemistry, seascape structure, plant species architecture and the hydrodynamic regime.

  3. Thermal reactivity of SOC linked to iron oxide content: Pyrolysis-AMS study of mineral-associated SOC on Kohala Volcano, Hawaii

    NASA Astrophysics Data System (ADS)

    Grant, K. E.; Galy, V.; Derry, L. A.

    2016-12-01

    Soil organic carbon (SOC) is a heterogeneous mixture of carbon compounds. This mixture, especially under variable redox conditions, can form semi-stable associations with amorphous Fe and Al minerals, potentially leading to long-term stabilization of soil carbon. How stable are these interactions if soil conditions, such as precipitation, pH, or soil redox state, change? Sixteen samples characterized as andic haplustand to hydric haplaudand soils derived from a 400 ka Pololu (basaltic) lava flow formed on a precipitation gradient on Kohala Volcano, HI were analyzed on the ramped pyrolysis-AMS (PyRox) system at Woods Hole NOSAMS facility. Samples from 50-90 cm depth were analyzed to eliminate signals from converted pasture grasses on the lower, drier half of the precipitation gradient. Redox conditions change along the gradient, with increased Fe loss at higher, wetter elevations. Samples were freeze-dried, homogenized, and combusted under a programed temperature pyrolysis or oxidation regime from 25 to 900°C; evolved CO2 was collected in fractions for 14C analysis. Results comprise a combination of pyrolysis (no O2 during temperature ramp) and oxidation (6% O2 during temperature ramp) experiments. Subsamples were digested in a combination of HF/HNO3/HCL and analyzed by ICP-OES for major elements and ICP-MS for Ti and Zr. Soil samples with iron oxide concentration ranging from 3.8 to 57.3% Fe2O3 were run on the PyRox system. Iron loss, which becomes pronounced at high precipitation (>200 cm MAP), is associated with younger 14C ages. Bulk 14C ages ranged from 1,700 radiocarbon years to 10,100 radiocarbon years. The shape of the thermographs (i.e. thermal reactivity) and by extension chemical reactivity is a function of Fe content. Lower T-max values of the individual thermograms are correlated to increasing Fe2O3 values. PyRox analyses across Kohala transect sites have given uniform age distributions, meaning the 14C age of low and high temperature components is

  4. Trading Network Predicts Stock Price

    PubMed Central

    Sun, Xiao-Qian; Shen, Hua-Wei; Cheng, Xue-Qi

    2014-01-01

    Stock price prediction is an important and challenging problem for studying financial markets. Existing studies are mainly based on the time series of stock price or the operation performance of listed company. In this paper, we propose to predict stock price based on investors' trading behavior. For each stock, we characterize the daily trading relationship among its investors using a trading network. We then classify the nodes of trading network into three roles according to their connectivity pattern. Strong Granger causality is found between stock price and trading relationship indices, i.e., the fraction of trading relationship among nodes with different roles. We further predict stock price by incorporating these trading relationship indices into a neural network based on time series of stock price. Experimental results on 51 stocks in two Chinese Stock Exchanges demonstrate the accuracy of stock price prediction is significantly improved by the inclusion of trading relationship indices. PMID:24429767

  5. Low Cost SoC Design of H.264/AVC Decoder for Handheld Video Player

    NASA Astrophysics Data System (ADS)

    Wisayataksin, Sumek; Li, Dongju; Isshiki, Tsuyoshi; Kunieda, Hiroaki

    We propose a low cost and stand-alone platform-based SoC for H.264/AVC decoder, whose target is practical mobile applications such as a handheld video player. Both low cost and stand-alone solutions are particularly emphasized. The SoC, consisting of RISC core and decoder core, has advantages in terms of flexibility, testability and various I/O interfaces. For decoder core design, the proposed H.264/AVC coprocessor in the SoC employs a new block pipelining scheme instead of a conventional macroblock or a hybrid one, which greatly contribute to reducing drastically the size of the core and its pipelining buffer. In addition, the decoder schedule is optimized to block level which is easy to be programmed. Actually, the core size is reduced to 138 KGate with 3.5 kbyte memory. In our practical development, a single external SDRAM is sufficient for both reference frame buffer and display buffer. Various peripheral interfaces such as a compact flash, a digital broadcast receiver and a LCD driver are also provided on a chip.

  6. Spatial assessment of soil organic carbon and physicochemical properties in a horticultural orchard at arid zone of India using geostatistical approaches.

    PubMed

    Singh, Akath; Santra, Priyabrata; Kumar, Mahesh; Panwar, Navraten; Meghwal, P R

    2016-09-01

    Soil organic carbon (SOC) is a major indicator of long-term sustenance of agricultural production system. Apart from sustaining productivity, SOC plays a crucial role in context of climate change. Keeping in mind these potentials, spatial variation of SOC contents of a fruit orchard comprising several arid fruit plantations located at arid region of India is assessed in this study through geostatistical approaches. For this purpose, surface and subsurface soil samples from 175 locations from a fruit orchard spreading over 14.33 ha area were collected along with geographical coordinates. SOC content and soil physicochemical properties of collected soil samples were determined followed by geostatistical analysis for mapping purposes. Average SOC stock density of the orchard was 14.48 Mg ha(-1) for 0- to 30-cm soil layer ranging from 9.01 Mg ha(-1) in Carissa carandas to 19.52 Mg ha(-1) in Prosopis cineraria block. Range of spatial variation of SOC content was found about 100 m, while two other soil physicochemical properties, e.g., pH and electrical conductivity (EC) also showed similar spatial trend. This indicated that minimum sampling distance for future SOC mapping programme may be kept lower than 100 m for better accuracy. Ordinary kriging technique satisfactorily predicted SOC contents (in percent) at unsampled locations with root-mean-squared residual (RMSR) of 0.35-0.37. Co-kriging approach was found slightly superior (RMSR = 0.26-0.28) than ordinary kriging for spatial prediction of SOC contents because of significant correlations of SOC contents with pH and EC. Uncertainty of SOC estimation was also presented in terms of 90 % confidence interval. Spatial estimates of SOC stock through ordinary kriging or co-kriging approach were also found with low uncertainty of estimation than non-spatial estimates, e.g., arithmetic averaging approach. Among different fruit block plantations of the orchard, the block with Prosopis cineraria ('khejri') has

  7. Above- and below-ground carbon stocks in an indigenous tree (Mytilaria laosensis) plantation chronosequence in subtropical China.

    PubMed

    Ming, Angang; Jia, Hongyan; Zhao, Jinlong; Tao, Yi; Li, Yuanfa

    2014-01-01

    More than 60% of the total area of tree plantations in China is in subtropical, and over 70% of subtropical plantations consist of pure stands of coniferous species. Because of the poor ecosystem services provided by pure coniferous plantations and the ecological instability of these stands, a movement is under way to promote indigenous broadleaf plantation cultivation as a promising alternative. However, little is known about the carbon (C) stocks in indigenous broadleaf plantations and their dependence on stand age. Thus, we studied above- and below-ground biomass and C stocks in a chronosequence of Mytilaria laosensis plantations in subtropical China; stands were 7, 10, 18, 23, 29 and 33 years old. Our assessments included tree, shrub, herb and litter layers. We used plot-level inventories and destructive tree sampling to determine vegetation C stocks. We also measured soil C stocks by analyses of soil profiles to 100 cm depth. C stocks in the tree layer dominated the above-ground ecosystem C pool across the chronosequence. C stocks increased with age from 7 to 29 years and plateaued thereafter due to a reduction in tree growth rates. Minor C stocks were found in the shrub and herb layers of all six plantations and their temporal fluctuations were relatively small. C stocks in the litter and soil layers increased with stand age. Total above-ground ecosystem C also increased with stand age. Most increases in C stocks in below-ground and total ecosystems were attributable to increases in soil C content and tree biomass. Therefore, considerations of C sequestration potential in indigenous broadleaf plantations must take stand age into account.

  8. Above- and Below-Ground Carbon Stocks in an Indigenous Tree (Mytilaria laosensis) Plantation Chronosequence in Subtropical China

    PubMed Central

    Zhao, Jinlong; Tao, Yi

    2014-01-01

    More than 60% of the total area of tree plantations in China is in subtropical, and over 70% of subtropical plantations consist of pure stands of coniferous species. Because of the poor ecosystem services provided by pure coniferous plantations and the ecological instability of these stands, a movement is under way to promote indigenous broadleaf plantation cultivation as a promising alternative. However, little is known about the carbon (C) stocks in indigenous broadleaf plantations and their dependence on stand age. Thus, we studied above- and below-ground biomass and C stocks in a chronosequence of Mytilaria laosensis plantations in subtropical China; stands were 7, 10, 18, 23, 29 and 33 years old. Our assessments included tree, shrub, herb and litter layers. We used plot-level inventories and destructive tree sampling to determine vegetation C stocks. We also measured soil C stocks by analyses of soil profiles to 100 cm depth. C stocks in the tree layer dominated the above-ground ecosystem C pool across the chronosequence. C stocks increased with age from 7 to 29 years and plateaued thereafter due to a reduction in tree growth rates. Minor C stocks were found in the shrub and herb layers of all six plantations and their temporal fluctuations were relatively small. C stocks in the litter and soil layers increased with stand age. Total above-ground ecosystem C also increased with stand age. Most increases in C stocks in below-ground and total ecosystems were attributable to increases in soil C content and tree biomass. Therefore, considerations of C sequestration potential in indigenous broadleaf plantations must take stand age into account. PMID:25343446

  9. C-Lines of Stocking for Southern Bottomland Hardwoods: A Guide to Identifying Insuffiecient Stocking

    Treesearch

    J.C.G. Goelz

    1997-01-01

    A B-line on a stocking chart represents suggested residual stocking after thinning, or minimum full stocking. A stand at the C-line on a stocking chart will achieve the B-line after a period of growth usually specified as 10 years. Four C-lines that reflect insufficient stocking of southern bottomland hardwoods are presented. These C-lines represent 10,15,20, and 25...

  10. Parametric dense stereovision implementation on a system-on chip (SoC).

    PubMed

    Gardel, Alfredo; Montejo, Pablo; García, Jorge; Bravo, Ignacio; Lázaro, José L

    2012-01-01

    This paper proposes a novel hardware implementation of a dense recovery of stereovision 3D measurements. Traditionally 3D stereo systems have imposed the maximum number of stereo correspondences, introducing a large restriction on artificial vision algorithms. The proposed system-on-chip (SoC) provides great performance and efficiency, with a scalable architecture available for many different situations, addressing real time processing of stereo image flow. Using double buffering techniques properly combined with pipelined processing, the use of reconfigurable hardware achieves a parametrisable SoC which gives the designer the opportunity to decide its right dimension and features. The proposed architecture does not need any external memory because the processing is done as image flow arrives. Our SoC provides 3D data directly without the storage of whole stereo images. Our goal is to obtain high processing speed while maintaining the accuracy of 3D data using minimum resources. Configurable parameters may be controlled by later/parallel stages of the vision algorithm executed on an embedded processor. Considering hardware FPGA clock of 100 MHz, image flows up to 50 frames per second (fps) of dense stereo maps of more than 30,000 depth points could be obtained considering 2 Mpix images, with a minimum initial latency. The implementation of computer vision algorithms on reconfigurable hardware, explicitly low level processing, opens up the prospect of its use in autonomous systems, and they can act as a coprocessor to reconstruct 3D images with high density information in real time.

  11. Soil organic carbon dynamics as related to land use history in the northwestern Great Plains

    USGS Publications Warehouse

    Tan, Z.; Liu, S.; Johnston, C.A.; Loveland, Thomas R.; Tieszen, L.L.; Liu, J.; Kurtz, R.

    2005-01-01

    Strategies for mitigating the global greenhouse effect must account for soil organic carbon (SOC) dynamics at both spatial and temporal scales, which is usually challenging owing to limitations in data and approach. This study was conducted to characterize the SOC dynamics associated with land use change history in the northwestern Great Plains ecoregion. A sampling framework (40 sample blocks of 10 × 10 km2 randomly located in the ecoregion) and the General Ensemble Biogeochemical Modeling System (GEMS) were used to quantify the spatial and temporal variability in the SOC stock from 1972 to 2001. Results indicate that C source and sink areas coexisted within the ecoregion, and the SOC stock in the upper 20-cm depth increased by 3.93 Mg ha−1 over the 29 years. About 17.5% of the area was evaluated as a C source at 122 kg C ha−1 yr−1. The spatial variability of SOC stock was attributed to the dynamics of both slow and passive fractions, while the temporal variation depended on the slow fraction only. The SOC change at the block scale was positively related to either grassland proportion or negatively related to cropland proportion. We concluded that the slow C pool determined whether soils behaved as sources or sinks of atmospheric CO2, but the strength depended on antecedent SOC contents, land cover type, and land use change history in the ecoregion.

  12. Stock Market Project.

    ERIC Educational Resources Information Center

    Distel, Brenda D.

    This project is designed to teach students the process of buying stocks and to tracking their investments over the course of a semester. The goals of the course are to teach students about the relationships between conditions in the economy and the stock market; to predict the effect of an economic event on a specific stock or industry; to relate…

  13. The role of c-Jun in controlling the EPAC1-dependent induction of the SOCS3 gene in HUVECs.

    PubMed

    Wiejak, Jolanta; Dunlop, Julia; Yarwood, Stephen J

    2014-05-02

    The cyclic AMP sensor, EPAC1, activates AP1-mediated transcription in HUVECs. Correspondingly, induction of the SOCS3 minimal promoter by EPAC1 requires a single AP1 site that constitutively binds phosphorylated (Ser63) c-Jun in DNA-pull-down assays. c-Jun (Ser63) becomes further phosphorylated following cyclic AMP stimulation and specific activation of protein kinase A (PKA), but not through selective activation of EPAC1. Moreover, despite a requirement for c-Jun for SOCS3 induction in fibroblasts, phospho-null c-Jun (Ser63/73Ala) had little effect on SOCS3 induction by cyclic AMP in HUVECs. AP1 activation and SOCS3 induction by EPAC1 in HUVECs therefore occur independently of c-Jun phosphorylation on Ser63. Copyright © 2014 The Authors. Published by Elsevier B.V. All rights reserved.

  14. Implementation of QoSS (Quality-of-Security Service) for NoC-Based SoC Protection

    NASA Astrophysics Data System (ADS)

    Sepúlveda, Johanna; Pires, Ricardo; Strum, Marius; Chau, Wang Jiang

    Many of the current electronic systems embedded in a SoC (System-on-Chip) are used to capture, store, manipulate and access critical data, as well as to perform other key functions. In such a scenario, security is considered as an important issue. The Network-on-chip (NoC), as the foreseen communication structure of next-generation SoC devices, can be used to efficiently incorporate security. Our work proposes the implementation of QoSS (Quality of Security Service) to overcome present SoC vulnerabilities. QoSS is a novel concept for data protection that introduces security as a dimension of QoS. In this paper, we present the implementation of two security services (access control and authentication), that may be configured to assume one from several possible levels, the implementation of a technique to avoid denial-of-service (DoS) attacks, evaluate their effectiveness and estimate their impact on NoC performance.

  15. Suppressor of cytokine signalling (SOCS) 1 and 3 enhance cell adhesion and inhibit migration towards the chemokine eotaxin/CCL11.

    PubMed

    Stevenson, Nigel J; McFarlane, Cheryl; Ong, Seow Theng; Nahlik, Krystyna; Kelvin, Alyson; Addley, Mark R; Long, Aideen; Greaves, David R; O'Farrelly, Cliona; Johnston, James A

    2010-11-05

    Suppressors of cytokine signalling (SOCS) proteins regulate signal transduction, but their role in responses to chemokines remains poorly understood. We report that cells expressing SOCS1 and 3 exhibit enhanced adhesion and reduced migration towards the chemokine CCL11. Focal adhesion kinase (FAK) and the GTPase RhoA, control cell adhesion and migration and we show the presence of SOCS1 or 3 regulates expression and tyrosine phosphorylation of FAK, while also enhancing activation of RhoA. Our novel findings suggest that SOCS1 and 3 may control chemotaxis and adhesion by significantly enhancing both FAK and RhoA activity, thus localizing immune cells to the site of allergic inflammation. Copyright © 2010 Federation of European Biochemical Societies. Published by Elsevier B.V. All rights reserved.

  16. Global socioeconomic carbon stocks in long-lived products 1900-2008

    NASA Astrophysics Data System (ADS)

    Lauk, Christian; Haberl, Helmut; Erb, Karl-Heinz; Gingrich, Simone; Krausmann, Fridolin

    2012-09-01

    A better understanding of the global carbon cycle as well as of climate change mitigation options such as carbon sequestration requires the quantification of natural and socioeconomic stocks and flows of carbon. A so-far under-researched aspect of the global carbon budget is the accumulation of carbon in long-lived products such as buildings and furniture. We present a comprehensive assessment of global socioeconomic carbon stocks and the corresponding in- and outflows during the period 1900-2008. These data allowed calculation of the annual carbon sink in socioeconomic stocks during this period. The study covers the most important socioeconomic carbon fractions, i.e. wood, bitumen, plastic and cereals. Our assessment was mainly based on production and consumption data for plastic, bitumen and wood products and the respective fractions remaining in stocks in any given year. Global socioeconomic carbon stocks were 2.3 GtC in 1900 and increased to 11.5 GtC in 2008. The share of wood in total C stocks fell from 97% in 1900 to 60% in 2008, while the shares of plastic and bitumen increased to 16% and 22%, respectively. The rate of gross carbon sequestration in socioeconomic stocks increased from 17 MtC yr-1 in 1900 to a maximum of 247 MtC yr-1 in 2007, corresponding to 2.2%-3.4% of global fossil-fuel-related carbon emissions. We conclude that while socioeconomic carbon stocks are not negligible, their growth over time is not a major climate change mitigation option and there is an only modest potential to mitigate climate change by the increase of socioeconomic carbon stocks.

  17. SOCS3 Modulates the Response to Enzalutamide and Is Regulated by Androgen Receptor Signaling and CpG Methylation in Prostate Cancer Cells.

    PubMed

    Handle, Florian; Erb, Holger H H; Luef, Birgit; Hoefer, Julia; Dietrich, Dimo; Parson, Walther; Kristiansen, Glen; Santer, Frédéric R; Culig, Zoran

    2016-06-01

    The proinflammatory cytokine IL6 is associated with bad prognosis in prostate cancer and implicated in progression to castration resistance. Suppressor of cytokine signaling 3 (SOCS3) is an IL6-induced negative feedback regulator of the IL6/Janus kinase (JAK)/STAT3 pathway. This study reveals that the SOCS3 promoter is hypermethylated in cancerous regions compared with adjacent benign tissue in prostate cancer using methylation-specific qPCR. A series of in vitro experiments was performed to assess the functional impact of low SOCS3 expression during anti-androgen treatment. Using lentivirus-mediated knockdown, it was demonstrated for the first time that SOCS3 regulates IL6/JAK/STAT3 signaling in androgen receptor-positive LNCaP cells. In addition, SOCS3 mRNA is upregulated by the anti-androgens bicalutamide and enzalutamide. This effect is caused by androgen receptor-mediated suppression of IL6ST and JAK1 expression, which leads to altered STAT3 signaling. Functionally, knockdown of SOCS3 led to enhanced androgen receptor activity after 3 weeks of enzalutamide treatment in an inflammatory setting. Furthermore, the stemness/self-renewal associated genes SOX2 and NANOG were strongly upregulated by the long-term treatment, and modulation of SOCS3 expression was sufficient to counteract this effect. These findings prove that SOCS3 plays an important role during anti-androgen treatment in an inflammatory environment. SOCS3 is frequently inactivated by promoter hypermethylation in prostate cancer, which disrupts the feedback regulation of IL6 signaling and leads to reduced efficacy of enzalutamide in the presence of inflammatory cytokines. Mol Cancer Res; 14(6); 574-85. ©2016 AACR. ©2016 American Association for Cancer Research.

  18. Predation on stocked Atlantic salmon (Salmo salar) fry

    USGS Publications Warehouse

    Henderson, J.N.; Letcher, B.H.

    2003-01-01

    We studied predator-prey interactions between juvenile Atlantic salmon (Salmo salar) and trout in three Massachusetts, U.S.A., streams and in artificial streams. We sampled stomach contents of age-1+ and older salmon and trout (Salvelinus fontinalis, Salmo trutta) following salmon fry stocking in the spring of 1997 and 1998. Between 4.3 and 48.6% of the stocked fry were consumed within the first 2 days after stocking, and total fry mortality from predation varied from 4.3 to 60.7%. No significant differences were found between stomach weights of predators (without fry weight) that consumed fry and those that did not. Artificial stream experiments testing effects of habitat complexity and predator species on predator consumption rates revealed that consumption rates were not different between brook (S. fontinalis) and brown (S. trutta) trout (p = 0.59). Predation rate tended to decrease as the percentage of riffle habitat increased but the decrease was not significant (p = 0.22). Our results indicate that predation on stocked Atlantic salmon fry can be substantial (up to 60%), appears to be short lived (2 days), and is not related in a simple way to abiotic and biotic factors.

  19. An integrated material metabolism model for stocks of urban road system in Beijing, China.

    PubMed

    Guo, Zhen; Hu, Dan; Zhang, Fuhua; Huang, Guolong; Xiao, Qiang

    2014-02-01

    Rapid urbanization has greatly altered the urban metabolism of material and energy. As a significant part of the infrastructure, urban roads are being rapidly developed worldwide. Quantitative analysis of metabolic processes on urban road systems, especially the scale, composition and spatial distribution of their stocks, could help to assess the resource appropriation and potential environmental impacts, as well as improve urban metabolism models. In this paper, an integrated model, which covered all types of roads, intersection structures and ancillary facilities, was built for calculating the material stocks of urban road systems. Based on a bottom-up method, the total stocks were disassembled into a number of stock parts rather than obtained by input-output data, which provided an approach promoting data availability and inner structure understanding. The combination with GIS enabled the model to tackle the complex structures of road networks and avoid double counting. In the case study of Beijing, the following results are shown: 1) The total stocks for the entire road system reached 159 million tons, of which nearly 80% was stored in roads, and 20% in ancillary facilities. 2) Macadam was the largest stock (111 million tons), while stone mastic asphalt, polyurethane plastics, and atactic polypropylene accounted for smaller components of the overall system. 3) The stock per unit area of pedestrian overcrossing was higher than that of the other stock units in the entire system, and its steel stocks reached 0.49 t/m(2), which was 10 times as high as that in interchanges. 4) The high stock areas were mainly distributed in ring-shaped and radial expressways, as well as in major interchanges. 5) Expressways and arterials were excessively emphasized, while minor roads were relatively ignored. However, the variation of cross-sectional thickness in branches and neighborhood roads will have a significant impact on the scale of material stocks in the entire road system

  20. Growing stock and woody biomass assessment in Asola-Bhatti Wildlife Sanctuary, Delhi, India.

    PubMed

    Kushwaha, S P S; Nandy, S; Gupta, Mohini

    2014-09-01

    Biomass is an important entity to understand the capacity of an ecosystem to sequester and accumulate carbon over time. The present study, done in collaboration with the Delhi Forest Department, focused on the estimation of growing stock and the woody biomass in the so-called lungs of Delhi--the Asola-Bhatti Wildlife Sanctuary in northern Aravalli hills. The satellite-derived vegetation strata were field-inventoried using stratified random sampling procedure. Growing stock was calculated for the individual sample plots using field data and species-specific volume equations. Biomass was estimated from the growing stock and the specific gravity of the wood. Among the four vegetation types, viz. Prosopis juliflora, Anogeissus pendula, forest plantation and the scrub, the P. juliflora was found to be the dominant vegetation in the area, covering 23.43 km(2) of the total area. The study revealed that P. juliflora forest with moderate density had the highest (10.7 m(3)/ha) while A. pendula forest with moderate density had the lowest (3.6 m(3)/ha) mean volume. The mean woody biomass was also found to be maximum in P. juliflora forest with moderate density (10.3 t/ha) and lowest in A. pendula forest with moderate density (3.48 t/ha). The total growing stock was estimated to be 20,772.95 m(3) while total biomass worked out to be 19,366.83 t. A strong correlation was noticed between the normalized difference vegetation index (NDVI) and the growing stock (R(2) = 0.84)/biomass (R(2) = 0.88). The study demonstrated that growing stock and the biomass of the woody vegetation in Asola-Bhatti Wildlife Sanctuary could be estimated with high accuracy using optical remote sensing data.

  1. Hot money and China's stock market volatility: Further evidence using the GARCH-MIDAS model

    NASA Astrophysics Data System (ADS)

    Wei, Yu; Yu, Qianwen; Liu, Jing; Cao, Yang

    2018-02-01

    This paper investigates the influence of hot money on the return and volatility of the Chinese stock market using a nonlinear Granger causality test and a new GARCH-class model based on mixed data sampling regression (GARCH-MIDAS). The empirical results suggest that no linear or nonlinear causality exists between the growth rate of hot money and the Chinese stock market return, implying that the Chinese stock market is not driven by hot money and vice versa. However, hot money has a significant positive impact on the long-term volatility of the Chinese stock market. Furthermore, the dependence between the long-term volatility caused by hot money and the total volatility of the Chinese stock market is time-variant, indicating that huge volatilities in the stock market are not always triggered by international speculation capital flow and that Chinese authorities should further focus on more systemic reforms in the trading rules and on effectively regulating the stock market.

  2. Influence of stocking, site quality, stand age, low-severity canopy disturbance, and forest composition on sub-boreal aspen mixedwood carbon stocks

    USGS Publications Warehouse

    Reinikainen, Michael; D’Amato, Anthony W.; Bradford, John B.; Fraver, Shawn

    2014-01-01

    Low-severity canopy disturbance presumably influences forest carbon dynamics during the course of stand development, yet the topic has received relatively little attention. This is surprising because of the frequent occurrence of such events and the potential for both the severity and frequency of disturbances to increase as a result of climate change. We investigated the impacts of low-severity canopy disturbance and average insect defoliation on forest carbon stocks and rates of carbon sequestration in mature aspen mixedwood forests of varying stand age (ranging from 61 to 85 years), overstory composition, stocking level, and site quality. Stocking level and site quality positively affected the average annual aboveground tree carbon increment (CAAI), while stocking level, site quality, and stand age positively affected tree carbon stocks (CTREE) and total ecosystem carbon stocks (CTOTAL). Cumulative canopy disturbance (DIST) was reconstructed using dendroecological methods over a 29-year period. DIST was negatively and significantly related to soil carbon (CSOIL), and it was negatively, albeit marginally, related to CTOTAL. Minima in the annual aboveground carbon increment of trees (CAI) occurred at sites during defoliation of aspen (Populus tremuloides Michx.) by forest tent caterpillar (Malacosoma disstria Hubner), and minima were more extreme at sites dominated by trembling aspen than sites mixed with conifers. At sites defoliated by forest tent caterpillar in the early 2000s, increased sequestration by the softwood component (Abies balsamea (L.) Mill. and Picea glauca (Moench) Voss) compensated for overall decreases in CAI by 17% on average. These results underscore the importance of accounting for low-severity canopy disturbance events when developing regional forest carbon models and argue for the restoration and maintenance of historically important conifer species within aspen mixedwoods to enhance stand-level resilience to disturbance agents and maintain

  3. [Methylation Status of the SOCS3 Gene Promoter in H2228 Cells and 
EML4-ALK-positive Lung Cancer Tissues].

    PubMed

    Liu, Chunlai; Li, Yongwen; Dong, Yunlong; Zhang, Hongbing; Li, Ying; Liu, Hongyu; Chen, Jun

    2016-09-20

    The EML4-ALK fusion gene is a newly discovered driver gene of non-small cell lung cancer and exhibits special clinical and pathological features. The JAK-STAT signaling pathway, an important downstream signaling pathway of EML4-ALK, is aberrantly sustained and activated in EML4-ALK-positive lung cancer cells fusion gene, but the underlying reason remains unknown. The suppressor of cytokine signaling (SOCS) is a negative regulatory factor that mainly inhibits the proliferation, differentiation, and induction of apoptotic cells by inhibiting the JAK-STAT signaling pathway. The aberrant methylation of the SOCS gene leads to inactivation of tumors and abnormal activation of the JAK2-STAT signaling pathway. The aim of this study is to investigate the methylation status of the SOCS3 promoter in EML4-ALK-positive H2228 cells and lung cancer tissues. The methylation status of the SOCS3 promoter in EML4-ALK-positive H2228 lung cancer cells and lung cancer tissues was detected by methylation-specific PCR (MSP) analysis and verified by DNA sequencing. The expression levels of SOCS3 in H2228 cells were detected by Western blot and Real-time PCR analyses after treatment with the DNA methyltransferase inhibitor 5'-Aza-dC. MSP and DNA sequencing assay results indicated the presence of SOCS3 promoter methylation in H2228 cells as well as in three cases of seven EML4-ALK-positive lung cancer tissues. The expression level of SOCS3 significantly increased in H2228 cells after 5'-Aza-dC treatment. The aerrant methylation of the SOCS3 promoter region in EML4-ALK (+) H2228 cells and lung cancer tissues may be significantly involved in the pathogenesis of EML4-ALK-positive lung cancer.

  4. Dynamic Model for the Stocks and Release Flows of Engineered Nanomaterials.

    PubMed

    Song, Runsheng; Qin, Yuwei; Suh, Sangwon; Keller, Arturo A

    2017-11-07

    Most existing life-cycle release models for engineered nanomaterials (ENM) are static, ignoring the dynamics of stock and flows of ENMs. Our model, nanoRelease, estimates the annual releases of ENMs from manufacturing, use, and disposal of a product explicitly taking stock and flow dynamics into account. Given the variabilities in key parameters (e.g., service life of products and annual release rate during use) nanoRelease is designed as a stochastic model. We apply nanoRelease to three ENMs (TiO 2 , SiO 2 and FeO x ) used in paints and coatings through seven product applications, including construction and building, household and furniture, and automotive for the period from 2000 to 2020 using production volume and market projection information. We also consider model uncertainties using Monte Carlo simulation. Compared with 2016, the total annual releases of ENMs in 2020 will increase by 34-40%, and the stock will increase by 28-34%. The fraction of the end-of-life release among total release flows will increase from 11% in 2002 to 43% in 2020. As compared to static models, our dynamic model predicts about an order of magnitude lower values for the amount of ENM released from this sector in the near-term while stock continues to build up in the system.

  5. Optimising stocking rate and grazing management to enhance environmental and production outcomes for native temperate grasslands

    NASA Astrophysics Data System (ADS)

    Badgery, Warwick; Zhang, Yingjun; Huang, Ding; Broadfoot, Kim; Kemp, David; Mitchell, David

    2015-04-01

    lamb/ha), because individual animal performance was greater for continuous grazing than higher intensity grazing systems (4-Paddock and 20-Paddock). Differences in SOC, CO2 flux and erosion were determined by landscape position rather than grazing treatment. To remove the confounding influences of stocking rate and grazing management, the Ausfarm biophysical model, calibrated to the experimental treatments, examined the interaction between grazing management and stocking rates. Ground cover and profitability were similar between grazing systems at lower stocking rates (3 ewes per ha), but continuous grazing had higher profitability and lower ground cover above the optimum stocking rate of 4 ewes per ha. The findings of these two studies suggest that optimising stocking rate is more important than grazing management for a sustainable and profitable grazing system. Grazing management can further enhance environmental outcomes for an optimal stocking rate, but the findings from the Chinese study particularly highlight the need to look at multiple ecosystem services, when optimising systems. The Australian study also suggests the optimum stocking rate is dependent on the intensity of grazing management. Further work is required to understand the influence of landscape on grassland production and how stocking rates and grazing management can be sustainably optimised for different landscape areas to utilise this variation more effectively.

  6. Long-term no-till and stover retention each decrease the global warming potential of irrigated continuous corn.

    PubMed

    Jin, Virginia L; Schmer, Marty R; Stewart, Catherine E; Sindelar, Aaron J; Varvel, Gary E; Wienhold, Brian J

    2017-07-01

    Over the last 50 years, the most increase in cultivated land area globally has been due to a doubling of irrigated land. Long-term agronomic management impacts on soil organic carbon (SOC) stocks, soil greenhouse gas (GHG) emissions, and global warming potential (GWP) in irrigated systems, however, remain relatively unknown. Here, residue and tillage management effects were quantified by measuring soil nitrous oxide (N 2 O) and methane (CH 4 ) fluxes and SOC changes (ΔSOC) at a long-term, irrigated continuous corn (Zea mays L.) system in eastern Nebraska, United States. Management treatments began in 2002, and measured treatments included no or high stover removal (0 or 6.8 Mg DM ha -1  yr -1 , respectively) under no-till (NT) or conventional disk tillage (CT) with full irrigation (n = 4). Soil N 2 O and CH 4 fluxes were measured for five crop-years (2011-2015), and ΔSOC was determined on an equivalent mass basis to ~30 cm soil depth. Both area- and yield-scaled soil N 2 O emissions were greater with stover retention compared to removal and for CT compared to NT, with no interaction between stover and tillage practices. Methane comprised <1% of total emissions, with NT being CH 4 neutral and CT a CH 4 source. Surface SOC decreased with stover removal and with CT after 14 years of management. When ΔSOC, soil GHG emissions, and agronomic energy usage were used to calculate system GWP, all management systems were net GHG sources. Conservation practices (NT, stover retention) each decreased system GWP compared to conventional practices (CT, stover removal), but pairing conservation practices conferred no additional mitigation benefit. Although cropping system, management equipment/timing/history, soil type, location, weather, and the depth to which ΔSOC is measured affect the GWP outcomes of irrigated systems at large, this long-term irrigated study provides valuable empirical evidence of how management decisions can impact soil GHG emissions and surface

  7. A CMOS Self-Contained Quadrature Signal Generator for SoC Impedance Spectroscopy.

    PubMed

    Márquez, Alejandro; Pérez-Bailón, Jorge; Calvo, Belén; Medrano, Nicolás; Martínez, Pedro A

    2018-04-30

    This paper presents a low-power fully integrated quadrature signal generator for system-on-chip (SoC) impedance spectroscopy applications. It has been designed in a 0.18 μm-1.8 V CMOS technology as a self-contained oscillator, without the need for an external reference clock. The frequency can be digitally tuned from 10 to 345 kHz with 12-bit accuracy and a relative mean error below 1.7%, thus supporting a wide range of impedance sensing applications. The proposal is experimentally validated in two impedance spectrometry examples, achieving good magnitude and phase recovery results compared to the results obtained using a commercial LCR-meter. Besides the wide frequency tuning range, the proposed programmable oscillator features a total power consumption lower than 0.77 mW and an active area of 0.129 mm², thus constituting a highly suitable choice as stimulation module for instrument-on-a-chip devices.

  8. Modeling of SOC-700 Hyperspectral Imagery with the CAMEO-SIM Code

    DTIC Science & Technology

    2007-10-26

    Yannick, 2001, “SOC-700 and HS-Analysis 2 User’s Manual”, Surface Optics, San Diego [2] Cohen, Michael F. and Wallace, John R., 1993, “ Radiosity ...and Realistic Image Synthesis”, Academic Press, San Francisco [3] Sillion, Francois X. and Puech, Claude, 1994, “ Radiosity and Global Illumination

  9. Methylation of SOCS3 is inversely associated with metabolic syndrome in an epigenome-wide association study of obesity

    PubMed Central

    Ali, Omar; Cerjak, Diana; Kent, Jack W.; James, Roland; Blangero, John; Carless, Melanie A.; Zhang, Yi

    2016-01-01

    ABSTRACT Epigenetic mechanisms, including DNA methylation, mediate the interaction between gene and environment and may play an important role in the obesity epidemic. We assessed the relationship between DNA methylation and obesity in peripheral blood mononuclear cells (PBMCs) at 485,000 CpG sites across the genome in family members (8-90 y of age) using a discovery cohort (192 individuals) and a validation cohort (1,052 individuals) of Northern European ancestry. After Bonferroni-correction (Pα=0.05 = 1.31 × 10−7) for genome-wide significance, we identified 3 loci, cg18181703 (SOCS3), cg04502490 (ZNF771), and cg02988947 (LIMD2), where methylation status was associated with body mass index percentile (BMI%), a clinical index for obesity in children, adolescents, and adults. These sites were also associated with multiple metabolic syndrome (MetS) traits, including central obesity, fat depots, insulin responsiveness, and plasma lipids. The SOCS3 methylation locus was also associated with the clinical definition of MetS. In the validation cohort, SOCS3 methylation status was found to be inversely associated with BMI% (P = 1.75 × 10−6), waist to height ratio (P = 4.18 × 10−7), triglycerides (P = 4.01 × 10−4), and MetS (P = 4.01 × 10−7), and positively correlated with HDL-c (P = 4.57 × 10−8). Functional analysis in a sub cohort (333 individuals) demonstrated SOCS3 methylation and gene expression in PBMCs were inversely correlated (P = 2.93 × 10−4) and expression of SOCS3 was positively correlated with status of MetS (P = 0.012). We conclude that epigenetic modulation of SOCS3, a gene involved in leptin and insulin signaling, may play an important role in obesity and MetS. PMID:27564309

  10. Methylation of SOCS3 is inversely associated with metabolic syndrome in an epigenome-wide association study of obesity.

    PubMed

    Ali, Omar; Cerjak, Diana; Kent, Jack W; James, Roland; Blangero, John; Carless, Melanie A; Zhang, Yi

    2016-09-01

    Epigenetic mechanisms, including DNA methylation, mediate the interaction between gene and environment and may play an important role in the obesity epidemic. We assessed the relationship between DNA methylation and obesity in peripheral blood mononuclear cells (PBMCs) at 485,000 CpG sites across the genome in family members (8-90 y of age) using a discovery cohort (192 individuals) and a validation cohort (1,052 individuals) of Northern European ancestry. After Bonferroni-correction (P α=0.05 = 1.31 × 10 -7 ) for genome-wide significance, we identified 3 loci, cg18181703 (SOCS3), cg04502490 (ZNF771), and cg02988947 (LIMD2), where methylation status was associated with body mass index percentile (BMI%), a clinical index for obesity in children, adolescents, and adults. These sites were also associated with multiple metabolic syndrome (MetS) traits, including central obesity, fat depots, insulin responsiveness, and plasma lipids. The SOCS3 methylation locus was also associated with the clinical definition of MetS. In the validation cohort, SOCS3 methylation status was found to be inversely associated with BMI% (P = 1.75 × 10 -6 ), waist to height ratio (P = 4.18 × 10 -7 ), triglycerides (P = 4.01 × 10 -4 ), and MetS (P = 4.01 × 10 -7 ), and positively correlated with HDL-c (P = 4.57 × 10 -8 ). Functional analysis in a sub cohort (333 individuals) demonstrated SOCS3 methylation and gene expression in PBMCs were inversely correlated (P = 2.93 × 10 -4 ) and expression of SOCS3 was positively correlated with status of MetS (P = 0.012). We conclude that epigenetic modulation of SOCS3, a gene involved in leptin and insulin signaling, may play an important role in obesity and MetS.

  11. Macroaggregation and soil organic carbon restoration in a highly weathered Brazilian Oxisol after two decades under no-till.

    PubMed

    de Oliveira Ferreira, Ademir; de Moraes Sá, João Carlos; Lal, Rattan; Tivet, Florent; Briedis, Clever; Inagaki, Thiago Massao; Gonçalves, Daniel Ruiz Potma; Romaniw, Jucimare

    2018-04-15

    Conclusions based on studies of the impacts of soil organic carbon (SOC) fractions and soil texture on macroaggregation and SOC stabilization in long-term (>20years) no-till (NT) fields remain debatable. This study was based on the hypothesis that the amount and frequency of biomass-C input associated with NT can be a pathway to formation of macroaggregates and to SOC buildup. The objectives were to: 1) assess the macroaggregate distribution (proportional mass, class mass) and the SOC and particulate organic carbon (POC) stocks of extra-large (8-19mm), large (2-8mm) and small (0.25-2mm) macroaggregate size classes managed for two decades by NT, and 2) assess the recovery of SOC stocks in extra-large macroaggregates compared to adjacent native vegetation (Andropogon sp., Aristida sp., Paspalum sp., and Panicum sp.). The crop rotation systems were: soybean (Glycine max L.), maize (Zea mays L.) and beans (Phaseolus vulgaris L.) in summer; and black oat (Avena strigosa Schreb), white oat (Avena sativa), vetch (Vicia sativa L.), black oat.+vetch (Avena strigosa Schreb+vetch) and wheat (Triticum aestivum L.) in winter. The experimental was laid out as 2×2 randomized block factorial with 12 replicates of a NT experiment established in 1997 on two highly weathered Oxisols. The factors comprised of: (a) two soil textural types: clay loam and sandy clay, and (b) two sampling depths: 0-5 and 5-20cm. The three classes of macroaggregates were obtained by wet sieving, and the SOC content was determined by the dry combustion method. The extra-large macroaggregate classes in 0-20cm depth for sandy clay (SdC) and clay loam (CL) Oxisol represented 75.2 and 72.4% of proportional mass, respectively. The SOC and POC stocks among macroaggregate classes in 0-5 and 5-20cm depths decreased in the order: 8-19mm>2-8mm ≈ 0.25-2mm. The SdC plots under soybean/maize at 3:1 ratio recovered 58.3%, while those at 1:1 ratio (high maize frequency) in CL recovered 73.1% of SOC stock in the extra

  12. Greed, fear and stock market dynamics

    NASA Astrophysics Data System (ADS)

    Westerhoff, Frank H.

    2004-11-01

    We present a behavioral stock market model in which traders are driven by greed and fear. In general, the agents optimistically believe in rising markets and thus buy stocks. But if stock prices change too abruptly, they panic and sell stocks. Our model mimics some stylized facts of stock market dynamics: (1) stock prices increase over time, (2) stock markets sometimes crash, (3) stock prices show little pair correlation between successive daily changes, and (4) periods of low volatility alternate with periods of high volatility. A strong feature of the model is that stock prices completely evolve according to a deterministic low-dimensional nonlinear law of motion.

  13. A configurable and low-power mixed signal SoC for portable ECG monitoring applications.

    PubMed

    Kim, Hyejung; Kim, Sunyoung; Van Helleputte, Nick; Artes, Antonio; Konijnenburg, Mario; Huisken, Jos; Van Hoof, Chris; Yazicioglu, Refet Firat

    2014-04-01

    This paper describes a mixed-signal ECG System-on-Chip (SoC) that is capable of implementing configurable functionality with low-power consumption for portable ECG monitoring applications. A low-voltage and high performance analog front-end extracts 3-channel ECG signals and single channel electrode-tissue-impedance (ETI) measurement with high signal quality. This can be used to evaluate the quality of the ECG measurement and to filter motion artifacts. A custom digital signal processor consisting of 4-way SIMD processor provides the configurability and advanced functionality like motion artifact removal and R peak detection. A built-in 12-bit analog-to-digital converter (ADC) is capable of adaptive sampling achieving a compression ratio of up to 7, and loop buffer integration reduces the power consumption for on-chip memory access. The SoC is implemented in 0.18 μm CMOS process and consumes 32 μ W from a 1.2 V while heart beat detection application is running, and integrated in a wireless ECG monitoring system with Bluetooth protocol. Thanks to the ECG SoC, the overall system power consumption can be reduced significantly.

  14. Multifractal in Volatility of Family Business Stocks Listed on Casablanca STOCK Exchange

    NASA Astrophysics Data System (ADS)

    Lahmiri, Salim

    In this paper, we check for existence of multifractal in volatility of Moroccan family business stock returns and in volatility of Casablanca market index returns based on multifractal detrended fluctuation analysis (MF-DFA) technique. Empirical results show strong evidence of multifractal characteristics in volatility series of both family business stocks and market index. In addition, it is found that small variations in volatility of family business stocks are persistent, whilst small variations in volatility of market index are anti-persistent. However, large variations in family business volatility and market index volatility are both anti-persistent. Furthermore, multifractal spectral analysis based results show strong evidence that volatility in Moroccan family business companies exhibits more multifractality than volatility in the main stock market. These results may provide insightful information for risk managers concerned with family business stocks.

  15. Population sub-structuring among Trypanosoma evansi stocks.

    PubMed

    Njiru, Z K; Constantine, C C

    2007-10-01

    To investigate the population genetic structure of Trypanosoma evansi from domesticated animals, we have analysed 112 stocks from camels, buffaloes, cattle and horses using the tandemly repeated coding sequence (MORF2) and minisatellite markers 292 and cysteine-rich acidic integral membrane protein (CRAM). We recorded a total of six alleles at the MORF2 locus, seven at 292 and 12 at the CRAM loci. Nei's genetic distance showed reduced allelic diversity between buffaloes and cattle stocks (1.2) as compared to the diversity between camels and buffaloes (3.75) and camels and cattle stock (1.69). The mean index of association (IA=0.92) significantly deviated from zero, and the average number of multilocus genotypes (G/N ratio) was 0.21. Twenty-four multilocus genotypes were defined from the combination of alleles at the three loci. The Kenyan sub-populations showed Fst=0.28 and analysis of molecular variance showed significant divergence (22.7%) between the Laikipia, Kulal and Galana regions. The regional and host distribution of multi-locus genotypes significant population differentiation and high Nei's genetic distances suggest existence of genetic sub-structuring within T. evansi stocks while the few multi-locus genotypes and deviation of association index from zero indicate the lack of recombination. In conclusion, this study reveals that some genetic sub-structuring does occur within T. evansi, which has a clonal population structure.

  16. Global estimates of boreal forest carbon stocks and flux

    NASA Astrophysics Data System (ADS)

    Bradshaw, Corey J. A.; Warkentin, Ian G.

    2015-05-01

    The boreal ecosystem is an important global reservoir of stored carbon and a haven for diverse biological communities. The natural disturbance dynamics there have historically been driven by fire and insects, with human-mediated disturbances increasing faster than in other biomes globally. Previous research on the total boreal carbon stock and predictions of its future flux reveal high uncertainty in regional patterns. We reviewed and standardised this extensive body of quantitative literature to provide the most up-to-date and comprehensive estimates of the global carbon balance in the boreal forest. We also compiled century-scale predictions of the carbon budget flux. Our review and standardisation confirmed high uncertainty in the available data, but there is evidence that the region's total carbon stock has been underestimated. We found a total carbon store of 367.3 to 1715.8 Pg (1015 g), the mid-point of which (1095 Pg) is between 1.3 and 3.8 times larger than any previous mean estimates. Most boreal carbon resides in its soils and peatlands, although estimates are highly uncertain. We found evidence that the region might become a net carbon source following a reduction in carbon uptake rate from at least the 1980s. Given that the boreal potentially constitutes the largest terrestrial carbon source in the world, in one of the most rapidly warming parts of the globe (Walsh, 2014), how we manage these stocks will be influential on future climate dynamics.

  17. Variation of Soil Organic Carbon and Its Major Constraints in East Central Asia

    PubMed Central

    Lee, Xinqing; Huang, Yimin; Huang, Daikuan; Hu, Lu; Feng, Zhaodong; Cheng, Jianzhong; Wang, Bing; Ni, Jian; Shurkhuu, Tserenpil

    2016-01-01

    Variation of soil organic carbon (SOC) and its major constraints in large spatial scale are critical for estimating global SOC inventory and projecting its future at environmental changes. By analyzing SOC and its environment at 210 sites in uncultivated land along a 3020km latitudinal transect in East Central Asia, we examined the effect of environmental factors on the dynamics of SOC. We found that SOC changes dramatically with the difference as high as 5 times in north China and 17 times in Mongolia. Regardless, C:N remains consistent about 12. Path analysis indicated that temperature is the dominant factor in the variation of SOC with a direct effect much higher than the indirect one, the former breaks SOC down the year round while the latter results in its growth mainly via precipitation in the winter half year. Precipitation helps accumulate SOC, a large part of the effect, however, is taken via temperature. NH4+-N and topography also affect SOC, their roles are played primarily via climatic factors. pH correlates significantly with SOC, the effect, however, is taken only in the winter months, contributing to the decay of SOC primarily via temperature. These factors explained as much as 79% of SOC variations, especially in the summer months, representing the major constraints on the SOC stock. Soil texture gets increasingly fine southward, it does not, however, constitute an apparent factor. Our results suggested that recent global warming should have been adversely affecting SOC stock in the mid-latitude as temperature dominates other factors as the constraint. PMID:26934707

  18. Managing Semi-Arid Rangelands for Carbon Storage: Grazing and Woody Encroachment Effects on Soil Carbon and Nitrogen

    PubMed Central

    Yusuf, Hasen M.; Treydte, Anna C.; Sauerborn, Jauchim

    2015-01-01

    High grazing intensity and wide-spread woody encroachment may strongly alter soil carbon (C) and nitrogen (N) pools. However, the direction and quantity of these changes have rarely been quantified in East African savanna ecosystem. As shifts in soil C and N pools might further potentially influence climate change mitigation, we quantified and compared soil organic carbon (SOC) and total soil nitrogen (TSN) content in enclosures and communal grazing lands across varying woody cover i.e. woody encroachment levels. Estimated mean SOC and TSN stocks at 0–40 cm depth varied across grazing regimes and among woody encroachment levels. The open grazing land at the heavily encroached site on sandy loam soil contained the least SOC (30 ± 2.1 Mg ha-1) and TSN (5 ± 0.57 Mg ha-1) while the enclosure at the least encroached site on sandy clay soil had the greatest mean SOC (81.0 ± 10.6 Mg ha-1) and TSN (9.2 ± 1.48 Mg ha-1). Soil OC and TSN did not differ with grazing exclusion at heavily encroached sites, but were twice as high inside enclosure compared to open grazing soils at low encroached sites. Mean SOC and TSN in soils of 0–20 cm depth were up to 120% higher than that of the 21–40 cm soil layer. Soil OC was positively related to TSN, cation exchange capacity (CEC), but negatively related to sand content. Our results show that soil OC and TSN stocks are affected by grazing, but the magnitude is largely influenced by woody encroachment and soil texture. We suggest that improving the herbaceous layer cover through a reduction in grazing and woody encroachment restriction are the key strategies for reducing SOC and TSN losses and, hence, for climate change mitigation in semi-arid rangelands. PMID:26461478

  19. The molecular karyotype of the megabase chromosomes of Trypanosoma brucei stock 427.

    PubMed

    Melville, S E; Leech, V; Navarro, M; Cross, G A

    2000-12-01

    We present the molecular karyotype of the megabase chromosomes of Trypanosoma brucei stock 427, clone 221a. This cloned stock is most commonly used in research laboratories in genetic manipulation experiments and in studies of antigenic variation. Using 116 previously characterised chromosome-specific markers, we identify 11 diploid pairs of megabase chromosomes and detect no loss of synteny in EST and gene marker distribution between this stock and the genome project reference stock TREU 927/4. Nevertheless, the chromosomes of 427 are all larger than their homologues in 927, except chromosomes IIa and IXa. The greatest size variation is seen in chromosome I, the smallest of which is 1.1 Mb (927-Ia) and the largest 3.6 Mb (427-Ib). The total nuclear DNA content of both stocks has been estimated by comparison of the mobility of T. brucei and yeast chromosomes. Trypanosomes of stock 427 contain approximately 16.5 Mb more megabase chromosomal DNA than those of stock 927. We have detected the presence of bloodstream-form expression-site-associated sequences on eight or more megabase chromosomes. These sequences are not found on the same chromosomes in each stock. We have determined the chromosomal band location of nine characterised variant surface glycoprotein genes, including the currently expressed VSG 221. Our results demonstrate both the stability of the T. brucei genome, as illustrated by the conservation of syntenic groups of genes in the two stocks, and the polymorphic nature of the genomic regions involved in antigenic variation. We propose that the chromosomes of stock 427 be numbered to correspond to their homologues in the genome project reference stock TREU 927/4.

  20. The utilization of patin fish head for instant stock paste

    NASA Astrophysics Data System (ADS)

    Hartanto, Gabriela Mawi; Rahmawati, Della; Puteri, Maria Gunawan

    2017-01-01

    Patin fish is a developing industries that produce 67% of waste which 33% is the head. High protein content in patin fish head (PFH) open an opportunity to produce something that has higher economic value and can be used as human consumption, which is stock. In this study, PFH hydrolysis with acid, enzyme and heat were observed for their yield of protein, in correlation to taste & national standard for stock. Enzyme hydrolysis is chosen as the selected method with the highest protein and soluble amino acid which is 18.78 ± 7.50 mg BSA equivalent / ml and 0.854 ± 4.35 mg Tyrosine eq / ml. The hydrolysate was made into stock by mixing with other ingredient by the help of Design Expert to create selected formula. The formula composition is fish hydrolysate 27.29%, salt 19.17%, caramel 18.52%, garlic 6%, pepper 3.104%, oil 18.52% and water 7.47%. This formula receive 7.13 value from hedonic scale 1-9, which means the formula like moderately based on overall acceptance. For 4 gram stock, 150 ml of water was chosen as the preferable dilution volume for the stock with ranking test. Total nitrogen of the instant stock paste with selected hydrolysis method and formula fulfill National Standard according to SNI No. 01-4218 with 0.077% nitrogen amount.

  1. Parametrization consequences of constraining soil organic matter models by total carbon and radiocarbon using long-term field data

    NASA Astrophysics Data System (ADS)

    Menichetti, Lorenzo; Kätterer, Thomas; Leifeld, Jens

    2016-05-01

    Soil organic carbon (SOC) dynamics result from different interacting processes and controls on spatial scales from sub-aggregate to pedon to the whole ecosystem. These complex dynamics are translated into models as abundant degrees of freedom. This high number of not directly measurable variables and, on the other hand, very limited data at disposal result in equifinality and parameter uncertainty. Carbon radioisotope measurements are a proxy for SOC age both at annual to decadal (bomb peak based) and centennial to millennial timescales (radio decay based), and thus can be used in addition to total organic C for constraining SOC models. By considering this additional information, uncertainties in model structure and parameters may be reduced. To test this hypothesis we studied SOC dynamics and their defining kinetic parameters in the Zürich Organic Fertilization Experiment (ZOFE) experiment, a > 60-year-old controlled cropland experiment in Switzerland, by utilizing SOC and SO14C time series. To represent different processes we applied five model structures, all stemming from a simple mother model (Introductory Carbon Balance Model - ICBM): (I) two decomposing pools, (II) an inert pool added, (III) three decomposing pools, (IV) two decomposing pools with a substrate control feedback on decomposition, (V) as IV but with also an inert pool. These structures were extended to explicitly represent total SOC and 14C pools. The use of different model structures allowed us to explore model structural uncertainty and the impact of 14C on kinetic parameters. We considered parameter uncertainty by calibrating in a formal Bayesian framework. By varying the relative importance of total SOC and SO14C data in the calibration, we could quantify the effect of the information from these two data streams on estimated model parameters. The weighing of the two data streams was crucial for determining model outcomes, and we suggest including it in future modeling efforts whenever SO14C

  2. Ecosystem carbon stocks of mangroves across broad environmental gradients in West-Central Africa: Global and regional comparisons.

    PubMed

    Kauffman, J Boone; Bhomia, Rupesh K

    2017-01-01

    Globally, it is recognized that blue carbon ecosystems, especially mangroves, often sequester large quantities of carbon and are of interest for inclusion in climate change mitigation strategies. While 19% of the world's mangroves are in Africa, they are among the least investigated of all blue carbon ecosystems. We quantified total ecosystem carbon stocks in 33 different mangrove stands along the Atlantic coast of West-Central Africa from Senegal to Southern Gabon spanning large gradients of latitude, soil properties, porewater salinity, and precipitation. Mangrove structure ranged from low and dense stands that were <1m in height and >35,000 trees ha-1 to tall and open stands >40m in height and <100 ha-1. Tremendous variation in ecosystem carbon (C) stocks was measured ranging from 154 to 1,484 Mg C ha-1. The mean total ecosystem carbon stock for all mangroves of West-Central Africa was 799 Mg C ha-1. Soils comprised an average of 86% of the total carbon stock. The greatest carbon stocks were found in the tall mangroves of Liberia and Gabon North with a mean >1,000 Mg C ha-1. The lowest carbon stocks were found in the low mangroves of the semiarid region of Senegal (463 Mg C ha-1) and in mangroves on coarse-textured soils in Gabon South (541 Mg C ha-1). At the scale of the entirety of West-Central Africa, total ecosystem carbon stocks were poorly correlated to aboveground ecosystem carbon pools, precipitation, latitude and soil salinity (r2 = ≤0.07 for all parameters). Based upon a sample of 158 sites from Africa, Asia and Latin America that were sampled in a similar manner to this study, the global mean of carbon stocks for mangroves is 885 Mg C ha-1. The ecosystem carbon stocks of mangroves for West-Central Africa are slightly lower than those of Latin America (940 Mg C ha-1) and Asia (1049 Mg C ha-1) but substantially higher than the default Intergovernmental Panel on Climate Change (IPCC) values for mangroves (511 Mg C ha-1). This study provides an improved

  3. Ecosystem carbon stocks of mangroves across broad environmental gradients in West-Central Africa: Global and regional comparisons

    PubMed Central

    Bhomia, Rupesh K.

    2017-01-01

    Globally, it is recognized that blue carbon ecosystems, especially mangroves, often sequester large quantities of carbon and are of interest for inclusion in climate change mitigation strategies. While 19% of the world’s mangroves are in Africa, they are among the least investigated of all blue carbon ecosystems. We quantified total ecosystem carbon stocks in 33 different mangrove stands along the Atlantic coast of West-Central Africa from Senegal to Southern Gabon spanning large gradients of latitude, soil properties, porewater salinity, and precipitation. Mangrove structure ranged from low and dense stands that were <1m in height and >35,000 trees ha-1 to tall and open stands >40m in height and <100 ha-1. Tremendous variation in ecosystem carbon (C) stocks was measured ranging from 154 to 1,484 Mg C ha-1. The mean total ecosystem carbon stock for all mangroves of West-Central Africa was 799 Mg C ha-1. Soils comprised an average of 86% of the total carbon stock. The greatest carbon stocks were found in the tall mangroves of Liberia and Gabon North with a mean >1,000 Mg C ha-1. The lowest carbon stocks were found in the low mangroves of the semiarid region of Senegal (463 Mg C ha-1) and in mangroves on coarse-textured soils in Gabon South (541 Mg C ha-1). At the scale of the entirety of West-Central Africa, total ecosystem carbon stocks were poorly correlated to aboveground ecosystem carbon pools, precipitation, latitude and soil salinity (r2 = ≤0.07 for all parameters). Based upon a sample of 158 sites from Africa, Asia and Latin America that were sampled in a similar manner to this study, the global mean of carbon stocks for mangroves is 885 Mg C ha-1. The ecosystem carbon stocks of mangroves for West-Central Africa are slightly lower than those of Latin America (940 Mg C ha-1) and Asia (1049 Mg C ha-1) but substantially higher than the default Intergovernmental Panel on Climate Change (IPCC) values for mangroves (511 Mg C ha-1). This study provides an

  4. Matrine suppresses airway inflammation by downregulating SOCS3 expression via inhibition of NF-κB signaling in airway epithelial cells and asthmatic mice

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Daqing; Wang, Jing; Yang, Niandi

    Matrine has been demonstrated to attenuate allergic airway inflammation. Elevated suppressor of cytokine signaling 3 (SOCS3) was correlated with the severity of asthma. The aim of this study was to investigate the effect of matrine on SOCS3 expression in airway inflammation. In this study, we found that matrine significantly inhibited OVA-induced AHR, inflammatory cell infiltration, goblet cell differentiation, and mucous production in a dose-dependent manner in mice. Matrine also abrogated the level of interleukin (IL)-4 and IL-13, but enhanced interferon (IFN)-γ expression, both in BALF and in lung homogenates. Furthermore, matrine impeded TNF-α-induced the expression of IL-6 and adhesion moleculesmore » in airway epithelial cells (BEAS-2B and MLE-12). Additionally, we found that matrine inhibited SOCS3 expression, both in asthmatic mice and TNF-α-stimulated epithelial cells via suppression of the NF-κB signaling pathway by using pcDNA3.1-SOCS3 plasmid, SOCS3 siRNA, or nuclear factor kappa-B (NF-κB) inhibitor PDTC. Conclusions: Matrine suppresses airway inflammation by downregulating SOCS3 expression via inhibition of NF-κB signaling in airway epithelial cells and asthmatic mice. - Highlights: • Matrine attenuates asthmatic symptoms and regulates Th1/Th2 balance in vivo. • Matrine suppresses inflammation responses in vitro. • Matrine decreases SOCS3 expression both in vivo and in vitro. • Matrine inhibits SOCS3 expression by suppressing NF-κB signaling.« less

  5. Spatial pattern of soil organic carbon and total nitrogen, and analysis of related factors in an agro-pastoral zone in Northern China

    PubMed Central

    Wang, Xuyang; Chen, Yinping; Lian, Jie; Luo, Yongqing; Niu, Yayi; Gong, Xiangwen

    2018-01-01

    The spatial pattern of soil organic carbon (SOC) and total nitrogen (TN) densities plays a profound important role in estimating carbon and nitrogen budgets. Naiman Banner located in northern China was chosen as research site, a total of 332 soil samples were taken in a depth of 100 cm from the low hilly land in the southern part, sandy land in the middle part and an alluvial plain in the northern part of the county. The results showed that SOC and TN density initially decreased and then increased from the north to the south, The highest densities, were generally in the south, with the lowest generally in the middle part. The SOC and TN densities in cropland were significantly greater than those in woodland and grassland in the alluvial plains and for Naiman as a whole. The woodland SOC and TN density were higher than those of grassland in the low hilly land, and higher densities of SOC and TN in grassland than woodland in the sandy land and low hilly land. There were significant differences in SOC and TN densities among the five soil types of Cambisols, Arenosols, Gleysols, Argosols, and Kastanozems. In addition, SOC and TN contents generally decreased with increasing soil depth, but increased below a depth of 40 cm in the Cambisols and became roughly constant at this depth in the Kastanozems. There is considerable potential to sequester carbon and nitrogen in the soil via the conversion of degraded sandy land into woodland and grassland in alluvial plain, and more grassland should be established in sandy land and low hilly land. PMID:29771979

  6. The dynamics of a fish stock exploited in two fishing zones.

    PubMed

    Mchich, R; Auger, P; Raïss, N

    2000-12-01

    This work presents a specific stock-effort dynamical model. The stocks correspond to two populations of fish moving and growing between two fishery zones. They are harvested by two different fleets. The effort represents the number of fishing boats of the two fleets that operate in the two fishing zones. The bioeconomical model is a set of four ODE's governing the fishing efforts and the stocks in the two fishing areas. Furthermore, the migration of the fish between the two patches is assumed to be faster than the growth of the harvested stock. The displacement of the fleets is also faster than the variation in the number of fishing boats resulting from the investment of the fishing income. So, there are two time scales: a fast one corresponding to the migration between the two patches, and a slow time scale corresponding to growth. We use aggregation methods that allow us to reduce the dimension of the model and to obtain an aggregated model for the total fishing effort and fish stock of the two fishing zones. The mathematical analysis of the model is shown. Under some conditions, we obtain a stable equilibrium, which is a desired situation, as it leads to a sustainable harvesting equilibrium, keeping the stock at exploitable densities.

  7. Distribution characteristics of stock market liquidity

    NASA Astrophysics Data System (ADS)

    Luo, Jiawen; Chen, Langnan; Liu, Hao

    2013-12-01

    We examine the distribution characteristics of stock market liquidity by employing the generalized additive models for location, scale and shape (GAMLSS) model and three-minute frequency data from Chinese stock markets. We find that the BCPE distribution within the GAMLSS framework fits the distributions of stock market liquidity well with the diagnosis test. We also find that the stock market index exhibits a significant impact on the distributions of stock market liquidity. The stock market liquidity usually exhibits a positive skewness, but a normal distribution at a low level of stock market index and a high-peak and fat-tail shape at a high level of stock market index.

  8. System on chip (SOC) wi-fi microcontroller for multistation measurement of water surface level using ultrasonic sensor

    NASA Astrophysics Data System (ADS)

    Suryono, Suryono; Purnomo Putro, Sapto; Widowati; Adhy, Satriyo

    2018-05-01

    Experimental results of data acquisition and transmission of water surface level from the field using System on Chip (SOC) Wi-Fi microcontroller are described here. System on Chip (SOC) Wi-Fi microcontroller is useful in dealing with limitations of in situ measurement by people. It is expected to address the problem of field instrumentation such as complexities in electronic circuit, power supply, efficiency, and automation of digital data acquisition. The system developed here employs five (5) nodes consisting of ultrasonic water surface level sensor using (SOC) Wi-Fi microcontroller. The five nodes are connected to a Wi-Fi router as the gateway to send multi-station data to a computer host. Measurement of water surface level using SOC Wi-Fi microcontroller manages conduct multi-station communication via database service programming that is capable of inputting every data sent to the database record according to the identity of data sent. The system here has a measurement error of 0.65 cm, while in terms of range, communication between data node to gateway varies in distance from 25 m to 45 m. Communication has been successfully conducted from one Wi-Fi gateway to the other that further improvement for its multi-station range is a certain possibility.

  9. Predicting Soil Organic Carbon and Total Nitrogen in the Russian Chernozem from Depth and Wireless Color Sensor Measurements

    NASA Astrophysics Data System (ADS)

    Mikhailova, E. A.; Stiglitz, R. Y.; Post, C. J.; Schlautman, M. A.; Sharp, J. L.; Gerard, P. D.

    2017-12-01

    Color sensor technologies offer opportunities for affordable and rapid assessment of soil organic carbon (SOC) and total nitrogen (TN) in the field, but the applicability of these technologies may vary by soil type. The objective of this study was to use an inexpensive color sensor to develop SOC and TN prediction models for the Russian Chernozem (Haplic Chernozem) in the Kursk region of Russia. Twenty-one dried soil samples were analyzed using a Nix Pro™ color sensor that is controlled through a mobile application and Bluetooth to collect CIEL*a*b* (darkness to lightness, green to red, and blue to yellow) color data. Eleven samples were randomly selected to be used to construct prediction models and the remaining ten samples were set aside for cross validation. The root mean squared error (RMSE) was calculated to determine each model's prediction error. The data from the eleven soil samples were used to develop the natural log of SOC (lnSOC) and TN (lnTN) prediction models using depth, L*, a*, and b* for each sample as predictor variables in regression analyses. Resulting residual plots, root mean square errors (RMSE), mean squared prediction error (MSPE) and coefficients of determination ( R 2, adjusted R 2) were used to assess model fit for each of the SOC and total N prediction models. Final models were fit using all soil samples, which included depth and color variables, for lnSOC ( R 2 = 0.987, Adj. R 2 = 0.981, RMSE = 0.003, p-value < 0.001, MSPE = 0.182) and lnTN ( R 2 = 0.980 Adj. R 2 = 0.972, RMSE = 0.004, p-value < 0.001, MSPE = 0.001). Additionally, final models were fit for all soil samples, which included only color variables, for lnSOC ( R 2 = 0.959 Adj. R 2 = 0.949, RMSE = 0.007, p-value < 0.001, MSPE = 0.536) and lnTN ( R 2 = 0.912 Adj. R 2 = 0.890, RMSE = 0.015, p-value < 0.001, MSPE = 0.001). The results suggest that soil color may be used for rapid assessment of SOC and TN in these agriculturally important soils.

  10. The Interactive Influence of Perceived Ownership and Perceived Choosership of Stocks on Brain Response to Stock Outcomes

    PubMed Central

    Shang, Zhe; Wang, Lei; Wu, Han

    2017-01-01

    The present research examined the influence of perceived ownership (self/other) and perceived chooser (self/other) of stocks on brain activity, and investigated whether differential brain responses to stock outcomes as a result of perceived differences in ownership of stock would be modulated by perceived chooser of stock. We used a 2 (stock chooser: self, other) × 2 (stock owner: self, other) within-subject design to represent four types of chooser-owner relationships. Brain potentials were recorded while participants observed increasing and decreasing stock prices. Results showed that observations of stock outcomes among four types of chooser-owner relationships elicited differentiated feedback-related negativity (d-FRN: differences in FRN waves between losses and gains, reflecting violations of expectancy to stock outcomes): (1) Self-chosen-other-owned stocks evoked significantly larger d-FRN discrepancies than self-chosen-self-owned stocks, indicating a greater expectancy violation to others' losses than to one's own, demonstrating a reversed ownership effect. Moreover, people high in conscientiousness showed an increase in this trend, suggesting a stronger other-consideration; (2) Self-chosen-self-owned stocks and other-chosen-self-owned stocks revealed no significant d-FRN discrepancy, showing no choosership effect beyond the ownership effect; (3) Other-chosen-self-owned stocks evoked a significantly stronger d-FRN discrepancy than other-chosen-other-owned stocks, demonstrating an ownership effect; (4) Self-chosen-other-owned stocks evoked a significantly stronger d-FRN discrepancy than other-chosen-other-owned stocks, revealing a choosership effect. These findings suggest that the ownership effect could be reversed by conscientiousness induced by perceived choosership in the agency relationship, while the choosership effect is attenuated and even disappears under the influence of perceived ownership. PMID:28194118

  11. SOCS3 Deficiency in Myeloid Cells Promotes Tumor Development: Involvement of STAT3 Activation and Myeloid-Derived Suppressor Cells

    PubMed Central

    Yu, Hao; Liu, Yudong; McFarland, Braden C.; Deshane, Jessy S.; Hurst, Douglas R.; Ponnazhagan, Selvarangan; Benveniste, Etty N.; Qin, Hongwei

    2015-01-01

    Suppressor of cytokine signaling (SOCS) proteins are negative regulators of the JAK/STAT pathway, and generally function as tumor suppressors. The absence of SOCS3 in particular leads to heightened activation of the STAT3 transcription factor, which has a striking ability to promote tumor survival while suppressing antitumor immunity. We report for the first time that genetic deletion of SOCS3 specifically in myeloid cells significantly enhances tumor growth, which correlates with elevated levels of myeloid-derived suppressor cells (MDSC) in the tumor microenvironment, and diminished CD8+ T-cell infiltration in tumors. The importance of MDSCs in promoting tumor growth is documented by reduced tumor growth upon depletion of MDSCs. Furthermore, SOCS3-deficient bone-marrow-derived cells exhibit heightened STAT3 activation and preferentially differentiate into the Gr-1+CD11b+Ly6G+ MDSC phenotype. Importantly, we identify granulocyte colony-stimulating factor (G-CSF) as a critical factor secreted by the tumor microenvironment that promotes development of MDSCs via a STAT3-dependent pathway. Abrogation of tumor-derived G-CSF reduces the proliferation and accumulation of Gr-1+CD11b+ MDSCs and inhibits tumor growth. These findings highlight the critical function of SOCS3 as a negative regulator of MDSC development and function, via inhibition of STAT3 activation. PMID:25649351

  12. 26 CFR 1.1081-3 - Exchanges of stock or securities solely for stock or securities.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 26 Internal Revenue 11 2010-04-01 2010-04-01 true Exchanges of stock or securities solely for stock or securities. 1.1081-3 Section 1.1081-3 Internal Revenue INTERNAL REVENUE SERVICE, DEPARTMENT OF... § 1.1081-3 Exchanges of stock or securities solely for stock or securities. The exchange, without the...

  13. The Stock Market Game: A Simulation of Stock Market Trading. Grades 5-8.

    ERIC Educational Resources Information Center

    Draze, Dianne

    This guide to a unit on a simulation game about the stock market contains an instructional text and two separate simulations. Through directed lessons and reproducible worksheets, the unit teaches students about business ownership, stock exchanges, benchmarks, commissions, why prices change, the logistics of buying and selling stocks, and how to…

  14. IL-6 modulates hepatocyte proliferation via induction of HGF/p21{sup cip1}: Regulation by SOCS3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun Rui; Jaruga, Barbara; Kulkarni, Shailin

    2005-12-30

    The precise role of IL-6 in liver regeneration and hepatocyte proliferation is controversial and the role of SOCS3 in liver regeneration remains unknown. Here we show that in vitro treatment with IL-6 inhibited primary mouse hepatocyte proliferation. IL-6 induced p21{sup cip1} protein expression in primary mouse hepatocytes. Disruption of the p21{sup cip1} gene abolished the inhibitory effect of IL-6 on cell proliferation. Co-culture with nonparenchymal liver cells diminished IL-6 inhibition of hepatocyte proliferation, which was likely due to IL-6 stimulation of nonparenchymal cells to produce HGF. Finally, IL-6 induced higher levels of p21{sup cip1} protein expression and a slightly strongermore » inhibition of cell proliferation in SOCS3{sup +/-} mouse hepatocytes compared to wild-type hepatocytes, while liver regeneration was enhanced and prolonged in SOCS3{sup +/-} mice. Our findings suggest that IL-6 directly inhibits hepatocyte proliferation via a p21{sup cip1}-dependent mechanism and indirectly enhances hepatocyte proliferation via stimulating nonparenchymal cells to produce HGF. SOCS3 negatively regulates liver regeneration.« less

  15. A Canadian upland forest soil profile and carbon stocks database.

    PubMed

    Shaw, Cindy; Hilger, Arlene; Filiatrault, Michelle; Kurz, Werner

    2018-04-01

    "A Canadian upland forest soil profile and carbon stocks database" was compiled in phases over a period of 10 years to address various questions related to modeling upland forest soil carbon in a national forest carbon accounting model. For 3,253 pedons, the SITES table contains estimates for soil organic carbon stocks (Mg/ha) in organic horizons and mineral horizons to a 100-cm depth, soil taxonomy, leading tree species, mean annual temperature, annual precipitation, province or territory, terrestrial ecozone, and latitude and longitude, with an assessment of the quality of information about location. The PROFILES table contains profile data (16,167 records by horizon) used to estimate the carbon stocks that appear in the SITES table, plus additional soil chemical and physical data, where provided by the data source. The exceptions to this are estimates for soil carbon stocks based on Canadian National Forest Inventory data (NFI [2006] in REFERENCES table), where data were collected by depth increment rather than horizon and, therefore, total soil carbon stocks were calculated separately before being entered into the SITES table. Data in the PROFILES table include the carbon stock estimate for each horizon (corrected for coarse fragment content), and the data used to calculate the carbon stock estimate, such as horizon thickness, bulk density, and percent organic carbon. The PROFILES table also contains data, when reported by the source, for percent carbonate carbon, pH, percent total nitrogen, particle size distribution (percent sand, silt, clay), texture class, exchangeable cations, cation and total exchange capacity, and percent Fe and Al. An additional table provides references (REFERENCES table) for the source data. Earlier versions of the database were used to develop national soil carbon modeling categories based on differences in carbon stocks linked to soil taxonomy and to examine the potential of using soil taxonomy and leading tree species to improve

  16. Soil organic carbon dynamics jointly controlled by climate, carbon inputs, soil properties and soil carbon fractions.

    PubMed

    Luo, Zhongkui; Feng, Wenting; Luo, Yiqi; Baldock, Jeff; Wang, Enli

    2017-10-01

    Soil organic carbon (SOC) dynamics are regulated by the complex interplay of climatic, edaphic and biotic conditions. However, the interrelation of SOC and these drivers and their potential connection networks are rarely assessed quantitatively. Using observations of SOC dynamics with detailed soil properties from 90 field trials at 28 sites under different agroecosystems across the Australian cropping regions, we investigated the direct and indirect effects of climate, soil properties, carbon (C) inputs and soil C pools (a total of 17 variables) on SOC change rate (r C , Mg C ha -1  yr -1 ). Among these variables, we found that the most influential variables on r C were the average C input amount and annual precipitation, and the total SOC stock at the beginning of the trials. Overall, C inputs (including C input amount and pasture frequency in the crop rotation system) accounted for 27% of the relative influence on r C , followed by climate 25% (including precipitation and temperature), soil C pools 24% (including pool size and composition) and soil properties (such as cation exchange capacity, clay content, bulk density) 24%. Path analysis identified a network of intercorrelations of climate, soil properties, C inputs and soil C pools in determining r C . The direct correlation of r C with climate was significantly weakened if removing the effects of soil properties and C pools, and vice versa. These results reveal the relative importance of climate, soil properties, C inputs and C pools and their complex interconnections in regulating SOC dynamics. Ignorance of the impact of changes in soil properties, C pool composition and C input (quantity and quality) on SOC dynamics is likely one of the main sources of uncertainty in SOC predictions from the process-based SOC models. © 2017 John Wiley & Sons Ltd.

  17. Spatiotemporal models of global soil organic carbon stock to support land degradation assessments at regional and global scales: limitations, challenges and opportunities

    NASA Astrophysics Data System (ADS)

    Hengl, Tomislav; Heuvelink, Gerard; Sanderman, Jonathan; MacMillan, Robert

    2017-04-01

    There is an increasing interest in fitting and applying spatiotemporal models that can be used to assess and monitor soil organic carbon stocks (SOCS), for example, in support of the '4 pourmille' initiative aiming at soil carbon sequestration towards climate change adaptation and mitigation and UN's Land Degradation Neutrality indicators and similar degradation assessment projects at regional and global scales. The land cover mapping community has already produced several spatiotemporal data sets with global coverage and at relatively fine resolution e.g. USGS MODIS land cover annual maps for period 2000-2014; European Space Agency land cover maps at 300 m resolution for the year 2000, 2005 and 2010; Chinese GlobeLand30 dataset available for years 2000 and 2010; Columbia University's WRI GlobalForestWatch with deforestation maps at 30 m resolution for the period 2000-2016 (Hansen et al. 2013). These data sets can be used for land degradation assessment and scenario testing at global and regional scales (Wei et al 2014). Currently, however, no compatible global spatiotemporal data sets exist on status of soil quality and/or soil health (Powlson et al. 2013). This paper describes an initial effort to devise and evaluate a procedure for mapping spatio-temporal changes in SOC stocks using a complete stack of soil forming factors (climate, relief, land cover, land use, lithology and living organisms) represented mainly through remote sensing based time series of Earth images. For model building we used some 75,000 geo-referenced soil profiles and a stacks space-time covariates (land cover, land use, biomass, climate) at two standard resolutions: (1) 10 km resolution with data available for period 1920-2014 and (2) 1000 m resolution with data available for period 2000-2014. The initial results show that, although it is technically feasible to produce space time estimates of SOCS that demonstrate the procedure, the estimates are relatively uncertain (<45% of variation

  18. How do soil quality indicators (SOC and nutrients) change with long-term different crop residue management?

    NASA Astrophysics Data System (ADS)

    Spiegel, Heide; Lehtinen, Taru; Dersch, Georg; Baumgarten, Andreas

    2016-04-01

    Leaving the crop residues (cereal grain straw, maize stover, sugar beet leaves) on the field may enhance SOC and soil nutrient contents (e.g. P, K, Mg). In contrast, harvesting crop residues for livestock bedding or energy production are often connected with a loss of soil fertility (Lehtinen et al., 2014). We have evaluated the effects of different management of crop residues on selected soil parameters of the upper soil (0-25 cm) in two long-term field experiments in Austria focused on P-dynamics (Marchfeld, since 1982 and Alpenvorland, since 1986). In four P-fertilisation stages (0, 75, 150, 300 kg P2O5 ha-1y-1) all crop residues were incorporated in one treatment and all removed in the other one, respectively. The results show that the effects are different at the two investigated sites. At the site Marchfeld, a medium textured soil, on average SOC was significantly higher with the incorporation of crop residues (21.6 g kg-1) compared to the removal (19.9 g kg-1) after 32 years. In the long run, SOC levels could be maintained, if crop residues remained at the field, whereas the constant removal of crop residues resulted in a SOC decline. At the site Alpenvorland, SOC was only slightly higher with the incorporation of the crop residues after 28 years. In this case, in the long run, even with this management practice and, moreover, with the residue removal, SOC tended to decrease generally. At the Marchfeld, crop residue incorporation resulted in a significant increase of "plant available" phosphorus (P-CAL) only with very high P fertilization. However, "plant available" Mg (according to Schachtschabel) and potassium (K-CAL) were significantly higher in all P fertilisation stages compared to the residue removal treatments. At the site Alpenvorland, the soils are rich in silt and clay and with long-term incorporation of crop residues a significant increase only of „plant available" K of about 50% occurred. This indicates the necessity of taking into account the

  19. Analysis of TLR7, SOCS1 and ISG15 immune genes expression in the peripheral blood of responder and non-responder patients with chronic Hepatitis C

    PubMed Central

    Dowran, Razieh; Sarvari, Jamal; Moattari, Afagh; Fattahi, Mohammad-Reza; Ramezani, Amin; Hosseini, Seyed Younes

    2017-01-01

    Aim: To evaluate the baseline expression of the immune genes in PBMCs of responder and non-responder patients with chronic Hepatitis C. Background: Although the contribution of peripheral blood mononuclear cell (PBMC) gene expression in treatment outcome of hepatitis C virus (HCV) infection is supposed, it has remained to be distinctly delineated. The baseline expression of the immune genes inside PBMCs may reflect the responsiveness status following IFN treatment. Methods: Totally, 22 chronic HCV encompasses 10 responders and 12 non-responsive cases enrolled randomly regarding medical records. The PBMCs from the peripheral blood samples were isolated and then incubated for 6 hours in the culture media. The baseline expression of TLR7, SOCS1 and ISG15 was measured by Real time PCR. Results: The gene expression pattern in PBMCs of both groups showed a similar trend. The expression of SOCS1 and TLR7 genes showed higher levels in non-responder group (P>0.05). The result of ISG15 showed a higher but non-significant expression in the responder group (P>0.05). Conclusion: The similar pattern of TLR7, SOCS1 and ISG15 expression in the responder and non-responder patients indicated their poor discriminating and predictive value in PBMCs sample. PMID:29379591

  20. 12 CFR 925.20 - Stock purchase.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... 12 Banks and Banking 7 2010-01-01 2010-01-01 false Stock purchase. 925.20 Section 925.20 Banks and... BANKS Stock Requirements § 925.20 Stock purchase. (a) Minimum stock purchase. Each member shall purchase... outstanding advances. (b) Timing of minimum stock purchase. (1) Within 60 calendar days after an institution...

  1. 78 FR 59740 - Self-Regulatory Organizations; the NASDAQ Stock Market LLC; Notice of Filing and Immediate...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-09-27

    ...-Regulatory Organizations; the NASDAQ Stock Market LLC; Notice of Filing and Immediate Effectiveness of... is hereby given that on September 9, 2013, The NASDAQ Stock Market LLC (``NASDAQ'' or the ``Exchange.../or Professional liquidity based on increasing percentages of total industry customer equity and ETF...

  2. Current status, uncertainty and future needs in soil organic carbon monitoring.

    PubMed

    Jandl, Robert; Rodeghiero, Mirco; Martinez, Cristina; Cotrufo, M Francesca; Bampa, Francesca; van Wesemael, Bas; Harrison, Robert B; Guerrini, Iraê Amaral; Richter, Daniel Deb; Rustad, Lindsey; Lorenz, Klaus; Chabbi, Abad; Miglietta, Franco

    2014-01-15

    Increasing human demands on soil-derived ecosystem services requires reliable data on global soil resources for sustainable development. The soil organic carbon (SOC) pool is a key indicator of soil quality as it affects essential biological, chemical and physical soil functions such as nutrient cycling, pesticide and water retention, and soil structure maintenance. However, information on the SOC pool, and its temporal and spatial dynamics is unbalanced. Even in well-studied regions with a pronounced interest in environmental issues information on soil carbon (C) is inconsistent. Several activities for the compilation of global soil C data are under way. However, different approaches for soil sampling and chemical analyses make even regional comparisons highly uncertain. Often, the procedures used so far have not allowed the reliable estimation of the total SOC pool, partly because the available knowledge is focused on not clearly defined upper soil horizons and the contribution of subsoil to SOC stocks has been less considered. Even more difficult is quantifying SOC pool changes over time. SOC consists of variable amounts of labile and recalcitrant molecules of plant, and microbial and animal origin that are often operationally defined. A comprehensively active soil expert community needs to agree on protocols of soil surveying and lab procedures towards reliable SOC pool estimates. Already established long-term ecological research sites, where SOC changes are quantified and the underlying mechanisms are investigated, are potentially the backbones for regional, national, and international SOC monitoring programs. © 2013.

  3. 110 Years of change in urban tree stocks and associated carbon storage.

    PubMed

    Díaz-Porras, Daniel F; Gaston, Kevin J; Evans, Karl L

    2014-04-01

    Understanding the long-term dynamics of urban vegetation is essential in determining trends in the provision of key resources for biodiversity and ecosystem services and improving their management. Such studies are, however, extremely scarce due to the lack of suitable historical data. We use repeat historical photographs from the 1900s, 1950s, and 2010 to assess general trends in the quantity and size distributions of the tree stock in urban Sheffield and resultant aboveground carbon storage. Total tree numbers declined by a third from the 1900s to the 1950s, but increased by approximately 50% from the 1900s-2010, and by 100% from the 1950s-2010. Aboveground carbon storage in urban tree stocks had doubled by 2010 from the levels present in the 1900s and 1950s. The initial decrease occurred at a time when national and regional tree stocks were static and are likely to be driven by rebuilding following bombing of the urban area during the Second World War and by urban expansion. In 2010, trees greater than 10 m in height comprised just 8% of those present. The increases in total tree numbers are thus largely driven by smaller trees and are likely to be associated with urban tree planting programmes. Changes in tree stocks were not constant across the urban area but varied with the current intensity of urbanization. Increases from 1900 to 2010 in total tree stocks, and smaller sized trees, tended to be greatest in the most intensely urbanized areas. In contrast, the increases in the largest trees were more marked in areas with the most green space. These findings emphasize the importance of preserving larger fragments of urban green space to protect the oldest and largest trees that contribute disproportionately to carbon storage and other ecosystem services. Maintaining positive trends in urban tree stocks and associated ecosystem service provision will require continued investment in urban tree planting programmes in combination with additional measures, such as

  4. Evaluating stocking efficacy in an ecosystem undergoing oligotrophication

    USGS Publications Warehouse

    Kao, Yu-Chun; Rogers, Mark W.; Bunnell, David B.

    2018-01-01

    Oligotrophication has negatively affected fisheries production in many freshwater ecosystems and could conceivably reduce the efficacy of stockings used to enhance fisheries. In Lake Michigan, offshore oligotrophication has occurred since the 1970s, owing to reductions in total phosphorus (TP) inputs and nearshore sequestration of TP by nonindigenous dreissenid mussels. We evaluated simultaneous effects of stock enhancement and oligotrophication on salmonine species (Chinook salmon Oncorhynchus tshawytscha, lake trout Salvelinus namaycush, and steelhead O. mykiss) that support valuable recreational fisheries. We employed a novel application of an Ecopath with Ecosim model by conducting a full factorial simulation experiment. Our design included multiple levels of salmonine stocking, consumption by invasive quagga mussels (Dreissena bugensis), and TP that were informed by manager interests. Under all levels of TP and quagga mussel consumption, our results showed that stock enhancement could still increase salmonine biomass, but positive responses were stronger for lake trout and steelhead than Chinook salmon. Simulations showed that quagga mussel consumption has deleterious effects on pelagic-oriented prey fishes and Chinook salmon, which feed almost exclusively on the pelagic-oriented alewife (Alosa pseudoharengus). In summary, results from our simulation experiment suggested that lake trout and steelhead are better suited to the current ecosystem than Chinook salmon, and therefore, stock enhancement provides the highest gains for these two species. Furthermore, simulated biomass of all recreational salmonine species increased with increasing TP, indicating the need for managers to consider how potential future oligotrophication will limit the carrying capacity of salmonine biomass in Lake Michigan

  5. Monosodium glutamate in chicken and beef stock cubes using high-performance liquid chromatography.

    PubMed

    Demirhan, Buket Er; Demirhan, Burak; Sönmez, Ceren; Torul, Hilal; Tamer, Uğur; Yentür, Gülderen

    2015-01-01

    In this survey monosodium glutamate (MSG) levels in chicken and beef stock cube samples were determined. A total number of 122 stock cube samples (from brands A, B, C, D) were collected from local markets in Ankara, Turkey. High-performance liquid chromatography with diode array detection (HPLC-DAD) was used for quantitative MSG determination. Mean MSG levels (±SE) in samples of A, B, C and D brands were 14.6 ± 0.2 g kg⁻¹, 11.9 ± 0.3 g kg⁻¹, 9.7 ± 0.1 g kg⁻¹ and 7.2 ± 0.1 g kg⁻¹, respectively. Differences between mean levels of brands were significant. Also, mean levels of chicken stock cube samples were lower than in beef stock cubes. Maximum limits for MSG in stock cubes are not specified in the Turkish Food Codex (TFC). Generally the limit for MSG in foods (except some foods) is established as 10 g kg⁻¹ (individually or in combination).

  6. POLYMORPHISMS NEAR SOCS3 ARE ASSOCIATED WITH OBESITY AND GLUCOSE HOMEOSTASIS TRAITS IN HISPANIC AMERICANS FROM THE INSULIN RESISTANCE ATHEROSCLEROSIS FAMILY STUDY

    PubMed Central

    Talbert, Matthew E; Langefeld, Carl D; Ziegler, Julie; Mychaleckyj, Josyf C; Haffner, Steven M; Norris, Jill M; Bowden, Donald W

    2009-01-01

    The SOCS3 gene product participates in the feedback inhibition of a range of cytokine signals. Most notably, SOCS3 inhibits the functioning of leptin and downstream steps in insulin signaling after being expressed by terminal transcription factors, such as STAT3 and c-fos. The SOCS3 gene is located in the chromosome region 17q24–17q25, previously linked to body mass index (BMI), visceral adipose tissue (VAT), and waist circumference (WAIST) in Hispanic families in the Insulin Resistance Atherosclerosis Family Study (IRASFS). A high density map of 1536 single nucleotide polymorphisms (SNPs) was constructed to cover a portion of the 17q linkage interval in DNA samples from 1425 Hispanic subjects from 90 extended families in IRASFS. Analysis of this dense SNP map data revealed evidence of association of rs9914220 (located 10 kb 5’ of the SOCS3 gene) with BMI, VAT, and WAIST (P-value ranging from 0 003 to 0.017). Using a tagging SNP approach, rs9914220 and 22 additional SOCS3 SNPs were genotyped for genetic association analysis with measures of adiposity and glucose homeostasis. The adiposity phenotypes utilized in association analyses included BMI, WAIST, waist to hip ratio (WHR), subcutaneous adipose tissue (SAT), VAT, and visceral to subcutaneous ratio (VSR). Linkage disequilibrium (LD) calculations revealed three haplotype blocks near SOCS3. Haplotype Block 1 (5’ of SOCS3) contained SNPs consistently associated with BMI, WAIST, WHR, and VAT (P-values ranging from 2.00x10−4 to .036). Haplotype Block 3 contained single-SNPs that were associated with most adiposity traits except for VSR (P-values ranging from 0.002 to 0.047). When trait associated SNPs were included in linkage analyses as covariates, a reduction of VAT LOD score from 1.26 to .76 above the SOCS3 locus (110 cM) was observed. Multi-SNP haplotype testing using the quantitative pedigree disequilibrium test (QPDT) was broadly consistent with the single-SNP associations. In conclusion, these results

  7. Persistent collective trend in stock markets

    NASA Astrophysics Data System (ADS)

    Balogh, Emeric; Simonsen, Ingve; Nagy, Bálint Zs.; Néda, Zoltán

    2010-12-01

    Empirical evidence is given for a significant difference in the collective trend of the share prices during the stock index rising and falling periods. Data on the Dow Jones Industrial Average and its stock components are studied between 1991 and 2008. Pearson-type correlations are computed between the stocks and averaged over stock pairs and time. The results indicate a general trend: whenever the stock index is falling the stock prices are changing in a more correlated manner than in case the stock index is ascending. A thorough statistical analysis of the data shows that the observed difference is significant, suggesting a constant fear factor among stockholders.

  8. Stock Market Expectations of Dutch Households

    PubMed Central

    Hurd, Michael; van Rooij, Maarten; Winter, Joachim

    2013-01-01

    Despite its importance for the analysis of life-cycle behavior and, in particular, retirement planning, stock ownership by private households is poorly understood. Among other approaches to investigate this puzzle, recent research has started to elicit private households’ expectations of stock market returns. This paper reports findings from a study that collected data over a two-year period both on households’ stock market expectations (subjective probabilities of gains or losses) and on whether they own stocks. We document substantial heterogeneity in financial market expectations. Expectations are correlated with stock ownership. Over the two years of our data, stock market prices increased, and expectations of future stock market price changes also increased, lending support to the view that expectations are influenced by recent stock gains or losses. PMID:23997423

  9. 12 CFR 925.23 - Excess stock.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... the form of additional shares of Bank stock or otherwise issue any excess stock. A Bank shall not issue excess stock, as a dividend or otherwise, if after the issuance, the outstanding excess stock at...

  10. Wind erosion reduces soil organic carbon sequestration falsely indicating ineffective management practices

    NASA Astrophysics Data System (ADS)

    Chappell, Adrian; Baldock, Jeffrey A.

    2016-09-01

    Improved management of agricultural land has the potential to reduce greenhouse gas emissions and to reduce atmospheric CO2 via soil carbon sequestration. However, SOC stocks are reduced by soil erosion which is commonly omitted from calculations of crop production, C cycling, C sequestration and C accounting. We used fields from the wind eroded dryland cropping region of Western Australia to demonstrate the global implications for C sequestration and C accounting of omitting soil erosion. For the fields we previously estimated mean net (1950s-1990) soil erosion of 1.2 ± 1.0 t ha-1 y-1. The mean net (1990-2013) soil erosion increased by nearly four times to 4.4 ± 2.1 t ha-1 y-1. Conservation agriculture has evidently not reduced wind erosion in this region. The mean net (1990-2013) SOC erosion was up to 0.2 t C ha-1 y-1 across all sampled fields and similar to measured sequestration rates in the region (up to 0.5 t C ha-1 y-1; 10 years) for many management practices recommended for building SOC stocks. The minimum detectable change (MDC; 10 years) of SOC without erosion was up to 0.2 t C ha-1 y-1 whilst the MDC of SOC with erosion was up to 0.4 t C ha-1 y-1. These results illustrate the generally applicable outcome: (i) if SOC erosion is equal to (or greater than) the increase in SOC due to management practices, the change will not be detectable (or a loss will be evident); (ii) without including soil erosion in SOC sequestration calculations, the monitoring of SOC stocks will lead to, at best the inability to detect change and, at worst the false impression that management practices have failed to store SOC. Furthermore, continued omission of soil erosion in crop production, C accounting and C sequestration will most likely undermine confidence in policy designed to encourage adoption of C farming and the attendant benefits for soil stewardship and food security.

  11. 78 FR 60005 - Self-Regulatory Organizations; the NASDAQ Stock Market LLC; Notice of Filing and Immediate...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-09-30

    ...-Regulatory Organizations; the NASDAQ Stock Market LLC; Notice of Filing and Immediate Effectiveness of a... 12, 2013, The NASDAQ Stock Market LLC (``NASDAQ'' or ``Exchange'') filed with the Securities and... compute the numerator in the calculation of percentage of total industry customer equity and ETF option...

  12. Data-mining analysis of the global distribution of soil carbon in observational databases and Earth system models

    NASA Astrophysics Data System (ADS)

    Hashimoto, Shoji; Nanko, Kazuki; Ťupek, Boris; Lehtonen, Aleksi

    2017-03-01

    Future climate change will dramatically change the carbon balance in the soil, and this change will affect the terrestrial carbon stock and the climate itself. Earth system models (ESMs) are used to understand the current climate and to project future climate conditions, but the soil organic carbon (SOC) stock simulated by ESMs and those of observational databases are not well correlated when the two are compared at fine grid scales. However, the specific key processes and factors, as well as the relationships among these factors that govern the SOC stock, remain unclear; the inclusion of such missing information would improve the agreement between modeled and observational data. In this study, we sought to identify the influential factors that govern global SOC distribution in observational databases, as well as those simulated by ESMs. We used a data-mining (machine-learning) (boosted regression trees - BRT) scheme to identify the factors affecting the SOC stock. We applied BRT scheme to three observational databases and 15 ESM outputs from the fifth phase of the Coupled Model Intercomparison Project (CMIP5) and examined the effects of 13 variables/factors categorized into five groups (climate, soil property, topography, vegetation, and land-use history). Globally, the contributions of mean annual temperature, clay content, carbon-to-nitrogen (CN) ratio, wetland ratio, and land cover were high in observational databases, whereas the contributions of the mean annual temperature, land cover, and net primary productivity (NPP) were predominant in the SOC distribution in ESMs. A comparison of the influential factors at a global scale revealed that the most distinct differences between the SOCs from the observational databases and ESMs were the low clay content and CN ratio contributions, and the high NPP contribution in the ESMs. The results of this study will aid in identifying the causes of the current mismatches between observational SOC databases and ESM outputs

  13. A store-operated current (SOC) mediates oxytocin autocontrol in the developing rat hypothalamus.

    PubMed

    Tobin, Vicky; Gouty, Laurie-Anne; Moos, Françoise C; Desarménien, Michel G

    2006-07-01

    Oxytocin (OT) and vasopressin (VP) autocontrol their secreting neurons in the supraoptic nucleus (SON) by modulating action potential firing through activation of specific metabotropic receptors. However, the mechanisms linking receptor activation to firing remain unknown. In almost all cell types, activation of plasma membrane metabotropic receptors triggers signalling cascades that induce mobilization of calcium from intracellular stores. In turn, emptying the calcium stores may evoke calcium influx through store-operated channels (SOCs), the functions of which remain largely unknown in neurons. In this study, we show that these channels play a key role in the SON, at least in the response to OT. In isolated rat SON neurons, store depletion by thapsigargin induced an influx of calcium, demonstrating the presence of SOCs in these neurons. This calcium influx was specifically inhibited by 0.2 mM 1-(2-trifluoromethylphenyl-)imidazole (TRIM). At 2 mM, this compound affected neither the resting electrophysiological properties nor the voltage-dependant inward currents. In fresh slices, TRIM (2 mM) did not affect the resting potential of SON neurons, action potential characteristics, spontaneous action potential firing or synaptic activity; this compound thus appears to be a specific blocker of SOCs in SON neurons. TRIM (0.2 mM) specifically reduced the increase in action potential firing triggered by OT but did not affect the VP-induced response. These observations demonstrate that store operated channels exist in hypothalamic neurons and specifically mediate the response to OT in the SON.

  14. Exploring effective sampling design for monitoring soil organic carbon in degraded Tibetan grasslands.

    PubMed

    Chang, Xiaofeng; Bao, Xiaoying; Wang, Shiping; Zhu, Xiaoxue; Luo, Caiyun; Zhang, Zhenhua; Wilkes, Andreas

    2016-05-15

    The effects of climate change and human activities on grassland degradation and soil carbon stocks have become a focus of both research and policy. However, lack of research on appropriate sampling design prevents accurate assessment of soil carbon stocks and stock changes at community and regional scales. Here, we conducted an intensive survey with 1196 sampling sites over an area of 190 km(2) of degraded alpine meadow. Compared to lightly degraded meadow, soil organic carbon (SOC) stocks in moderately, heavily and extremely degraded meadow were reduced by 11.0%, 13.5% and 17.9%, respectively. Our field survey sampling design was overly intensive to estimate SOC status with a tolerable uncertainty of 10%. Power analysis showed that the optimal sampling density to achieve the desired accuracy would be 2, 3, 5 and 7 sites per 10 km(2) for lightly, moderately, heavily and extremely degraded meadows, respectively. If a subsequent paired sampling design with the optimum sample size were performed, assuming stock change rates predicted by experimental and modeling results, we estimate that about 5-10 years would be necessary to detect expected trends in SOC in the top 20 cm soil layer. Our results highlight the utility of conducting preliminary surveys to estimate the appropriate sampling density and avoid wasting resources due to over-sampling, and to estimate the sampling interval required to detect an expected sequestration rate. Future studies will be needed to evaluate spatial and temporal patterns of SOC variability. Copyright © 2016. Published by Elsevier Ltd.

  15. Converting partially-stocked aspen stands to fully-stocked stands in the Lake States: an economic analysis.

    Treesearch

    Jeffrey T. Olson; Allen L. Lundgren

    1978-01-01

    The 1968 Wisconsin Forest Survey showed large areas of aspen type that are not considered fully stocked. The economic feasibility of converting partially-stocked stands to full stocking is examined, and a rule presented for determining when a partially-stocked stand should be harvested to maximize its present value.

  16. Who continues to stock oral artemisinin monotherapy? Results of a provider survey in Myanmar.

    PubMed

    Thein, Si Thu; Sudhinaraset, May; Khin, Hnin Su Su; McFarland, Willi; Aung, Tin

    2016-06-22

    Artemisinin-based combination therapy (ACT) is a key strategy for global malaria elimination efforts. However, the development of artemisinin-resistant malaria parasites threatens progress and continued usage of oral artemisinin monotherapies (AMT) predisposes the selection of drug resistant strains. This is particularly a problem along the Myanmar/Thailand border. The artemisinin monotherapy replacement programme (AMTR) was established in 2012 to remove oral AMT from stocks in Myanmar, specifically by replacing oral AMT with quality-assured ACT and conducting behavioural change communication activities to the outlets dispensing anti-malarial medications. This study attempts to quantify the characteristics of outlet providers who continue to stock oral AMT despite these concerted efforts. A cross-sectional survey of all types of private sector outlets that were stocking anti-malarial drugs in 13 townships of Eastern Myanmar was implemented from July to August 2014. A total of 573 outlets were included. Bivariate and multivariable logistic regressions were conducted to assess outlet and provider-level characteristics associated with stocking oral AMT. In total, 2939 outlets in Eastern Myanmar were screened for presence of any anti-malarial drugs in August 2014. The study found that 573 (19.5 %) had some kind of oral anti-malarial drug in stock at the time of survey and among them, 96 (16.8 %) stocked oral AMT. In bivariate analyses, compared to health care facilities, itinerant drug vendors, retailers and health workers were less likely to stock oral AMT (33.3 vs 12.9, 10.0, 8.1 %, OR = 0.30, 0.22, 0.18, respectively). Providers who cut blister pack or sell partial courses (40.6 vs 11.7 %, OR 5.18, CI 3.18-8.44) and those who based their stock decision on consumer demand (32.8 vs 12.1 %, OR 3.54, CI 2.21-5.63) were more likely to stock oAMT. Multivariate logistic regressions produced similar significant associations. Private healthcare facilities and drug

  17. Which stocks are profitable? A network method to investigate the effects of network structure on stock returns

    NASA Astrophysics Data System (ADS)

    Chen, Kun; Luo, Peng; Sun, Bianxia; Wang, Huaiqing

    2015-10-01

    According to asset pricing theory, a stock's expected returns are determined by its exposure to systematic risk. In this paper, we propose a new method for analyzing the interaction effects among industries and stocks on stock returns. We construct a complex network based on correlations of abnormal stock returns and use centrality and modularity, two popular measures in social science, to determine the effect of interconnections on industry and stock returns. Supported by previous studies, our findings indicate that a relationship exists between inter-industry closeness and industry returns and between stock centrality and stock returns. The theoretical and practical contributions of these findings are discussed.

  18. IL-8 induces miR-424-5p expression and modulates SOCS2/STAT5 signaling pathway in oral squamous cell carcinoma.

    PubMed

    Peng, Hsuan-Yu; Jiang, Shih-Sheng; Hsiao, Jenn-Ren; Hsiao, Michael; Hsu, Yuan-Ming; Wu, Guan-Hsun; Chang, Wei-Min; Chang, Jang-Yang; Jin, Shiow-Lian Catherine; Shiah, Shine-Gwo

    2016-06-01

    Suppressor of cytokine signaling (SOCS) proteins are negative feedback regulators of the Janus kinase/signal transducer and activator of transcription (JAK/STAT) pathway. Dysregulation of SOCS protein expression in cancers can be one of the mechanisms that maintain STAT activation, but this mechanism is still poorly understood in oral squamous cell carcinoma (OSCC). Here, we report that SOCS2 protein is significantly downregulated in OSCC patients and its levels are inversely correlated with miR-424-5p expression. We identified the SOCS2 protein, which modulates STAT5 activity, as a direct target of miR-424-5p. The miR-424-5p-induced STAT5 phosphorylation, matrix metalloproteinases (MMPs) expression, and cell migration and invasion were blocked by SOCS2 restoration, suggesting that miR-424-5p exhibits its oncogenic activity through negatively regulating SOCS2 levels. Furthermore, miR-424-5p expression could be induced by the cytokine IL-8 primarily through enhancing STAT5 transcriptional activity rather than NF-κB signaling. Antagomir-mediated inactivation of miR-424-5p prevented the IL-8-induced cell migration and invasion, indicating that miR-424-5p is required for IL-8-induced cellular invasiveness. Taken together, these data indicate that STAT5-dependent expression of miR-424-5p plays an important role in mediating IL-8/STAT5/SOCS2 feedback loop, and scavenging miR-424-5p function using antagomir may have therapeutic potential for the treatment of OSCC. Copyright © 2016 The Authors. Published by Elsevier B.V. All rights reserved.

  19. Do Burst-over-threshold Distributions and Structure Functions allow us to Infer the Coexistence of SOC and Intermittent Turbulence in Natural Systems ?

    NASA Astrophysics Data System (ADS)

    Rosenberg, S.; Watkins, N. W.; Chapman, S.

    2008-12-01

    Space plasma physics provides an important arena for the study of natural hazards, because of the threat posed by space weather to space-based and ground based communications and other infrastructure. Extreme fluctuations are thus of interest, and there is by now abundant evidence for scaling in many quantities in the coupled solar-terrestrial system (solar wind, magnetosphere and ionosphere). Direct physical explanations for scaling have been sought through descriptions such as low dimensional chaos, intermittent turbulence (IT) and self-organised criticality (SOC). We have however advocated consideration of a complementary approach (Watkins [NPG, 2002]; Watkins et al. [Space Science Reviews, 2005]). This is the use of deliberately oversimplified mathematical "testbeds" to separate the proprties of the diagnostics used to infer IT or SOC from those of the models themselves. To demonstrate the need for this we consider a recent claim by Uritsky et al ([PRL, 2007]; U07) of direct observational evidence for the coexistence of SOC and IT in the magnetized plasma of the solar corona. By analyzing two dimensional (2D) EUV snapshots (typically 3-4000) of the solar corona, U07 found coexisting power law avalanche statistics and multiscaling of the structure functions. Avalanches were defined by "bursts" for which the signal exceeded a given threshold. These properties were asserted to be robust signatures of SOC and IT respectively. U07 took their coexistence to imply new physics with elements of both SOC and IT. We first point out that U07 assumed that their chosen signatures were unique to SOC and IT. We show however i) that a standard 1D multifractal model of IT, the p-model, straightforwardly generates U07's IT and SOC signatures simultaneously, and ii)that a stochastic process, linear fractional stable motion or LFSM can give the IT signatures and nonlinearity in the structure functions. We infer that not only may it not be necessary to invoke SOC to explain U07's

  20. SoCRocket: A Virtual Platform for SoC Design

    NASA Astrophysics Data System (ADS)

    Fossati, Luca; Schuster, Thomas; Meyer, Rolf; Berekovic, Mladen

    2013-08-01

    Both in the commercial and in the aerospace domain, the continuous increase of transistor density on a single die is leading towards the production of more and more complex systems on a single chip, with an increasing number of components. This brought to the introduction of the System-On-Chip (SoC) architecture, that integrates on a single circuit all the elements of a full system. This strive for efficient utilization of the available silicon has triggered several paradigm shifts in system design. Similarly to what happened in the early 1990s, when VHDL and Verilog took over from schematic design, today SystemC and Transaction Level Modeling [1] are about to further raise the design abstraction level. Such descriptions have to be accurate enough to describe the entire system throughout the phases of its development, and has to provide enough flexibility to be refined iteratively up to the point where the actual device can be produced using current process technology. Besides requiring new languages and methodologies, the complexity of current and future SoCs (SCOC3 [16] and NGMP [5] are example in the space domain) forces the SoC design process to rely on pre-designed or third party components. Components obtained from different providers, and even those designed by different teams of the same company, may be heterogeneous on several aspects: design domains, interfaces, abstraction levels, granularity, etc. Therefore, component integration is required at system level. Only by applying design re-use it is possible to successfully and timely design such complex SoCs. This transition to new languages and design methods is also motivated by the implementation with software of an increasing amount of system functionalities. Hence the need for methodologies to enable early software development and which allow the analysis of the performance of the combined Hw/Sw system, as their design and configuration cannot be performed separately. Virtual Prototyping is a key

  1. LOSS OF JAK2 REGULATION VIA VHL-SOCS1 E3 UBIQUITIN HETEROCOMPLEX UNDERLIES CHUVASH POLYCYTHEMIA

    PubMed Central

    Russell, Ryan C.; Sufan, Roxana I.; Zhou, Bing; Heir, Pardeep; Bunda, Severa; Sybingco, Stephanie S.; Greer, Samantha N.; Roche, Olga; Heathcote, Samuel A.; Chow, Vinca W.K.; Boba, Lukasz M.; Richmond, Terri D.; Hickey, Michele M.; Barber, Dwayne L.; Cheresh, David A.; Simon, M. Celeste; Irwin, Meredith S.; Kim, William Y.; Ohh, Michael

    2011-01-01

    SUMMARY Chuvash polycythemia (CP) is a rare congenital form of polycythemia caused by homozygous R200W and H191D mutations in the von Hippel-Lindau (VHL) gene whose gene product is the principal negative regulator of hypoxia-inducible factor. However, the molecular mechanisms underlying some of the hallmark features of CP such as hypersensitivity to erythropoietin are unclear. Here, we show that VHL directly binds suppressor of cytokine signalling 1 (SOCS1) to form a heterodimeric E3 ligase that targets phosphorylated (p)JAK2 for ubiquitin-mediated destruction. In contrast, CP-associated VHL mutants have altered affinity for SOCS1 and fail to engage and degrade pJAK2. Systemic administration of a highly selective JAK2 inhibitor, TG101209, reverses the disease phenotype in vhlR200W/R200W knock-in mice, a model that faithfully recapitulates human CP. These results reveal VHL as a SOCS1-cooperative negative regulator of JAK2 and provide compelling biochemical and preclinical evidence for JAK2- targeted therapy in CP patients. PMID:21685897

  2. Increasing biomass carbon stocks in trees outside forests in China over the last three decades

    NASA Astrophysics Data System (ADS)

    Guo, Z. D.; Hu, H. F.; Pan, Y. D.; Birdsey, R. A.; Fang, J. Y.

    2014-08-01

    Trees outside forests (TOF) play important roles in national economies, ecosystem services, and international efforts for mitigating climate warming. Detailed assessment of the dynamics of carbon (C) stocks in China's TOF is necessary for fully evaluating the role of the country's trees in the national C cycle. This study is the first to explore the changes in biomass C stocks of China's TOF over the last three decades, using the national forest inventory data in six periods from 1977 to 2008. According to the definition of the forest inventory, China's TOF could be categorized into three groups: woodlands, shrubberies, and trees on non-forest land (including four-side greening trees, defined in the article, and scattered trees). We estimated biomass C stocks of woodlands and trees on non-forest land by using the provincial biomass-volume conversion equations derived from the data of low-canopy forests, and estimated the biomass C stocks of shrubberies using the provincial mean biomass density. Total TOF biomass C stock increased by 62.7% from 823 Tg C (1 Tg = 1012 g) in the initial period of 1977-1981 to 1339 Tg C in the last period of 2004-2008. As a result, China's TOF have accumulated biomass C of 516 Tg during the study period, with 12, 270, and 234 Tg in woodlands, shrubberies, and trees on non-forest land, respectively. The annual biomass C sink of China's TOF averaged 19.1 Tg C yr-1, offsetting 2.1% of the contemporary fossil-fuel CO2 emissions in the country. These estimates are equal to 16.5-20.7% of the contemporary total forest biomass C stock and 27.2% of the total forest biomass C sink in the country, suggesting that TOF are substantial components in China's tree C budget.

  3. Evolutionary model of stock markets

    NASA Astrophysics Data System (ADS)

    Kaldasch, Joachim

    2014-12-01

    The paper presents an evolutionary economic model for the price evolution of stocks. Treating a stock market as a self-organized system governed by a fast purchase process and slow variations of demand and supply the model suggests that the short term price distribution has the form a logistic (Laplace) distribution. The long term return can be described by Laplace-Gaussian mixture distributions. The long term mean price evolution is governed by a Walrus equation, which can be transformed into a replicator equation. This allows quantifying the evolutionary price competition between stocks. The theory suggests that stock prices scaled by the price over all stocks can be used to investigate long-term trends in a Fisher-Pry plot. The price competition that follows from the model is illustrated by examining the empirical long-term price trends of two stocks.

  4. Molecular Cloning, Characterization, and Expression of MiSOC1: A Homolog of the Flowering Gene SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1 from Mango (Mangifera indica L).

    PubMed

    Wei, Junya; Liu, Debing; Liu, Guoyin; Tang, Jie; Chen, Yeyuan

    2016-01-01

    MADS-box transcription factor plays a crucial role in plant development, especially controlling the formation and development of floral organs. Mango ( Mangifera indica L) is an economically important fruit crop, but its molecular control of flowering is largely unknown. To better understand the molecular basis of flowering regulation in mango, we isolated and characterized the MiSOC1, a putative mango orthologs for the Arabidopsis SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1/AGAMOUS-LIKE 20 (SOC1/AGL20) with homology-based cloning and RACE. The full-length cDNA (GenBank accession No.: KP404094) is 945 bp in length including a 74 bp long 5' UTR and a 189 bp long 3' UTR and the open reading frame was 733 bps, encoding 223 amino acids with molecular weight 25.6 kD. Both sequence alignment and phylogenetic analysis all indicated that deduced protein contained a conservative MADS-box and semi-conservative K domain and belonged to the SOC1/TM3 subfamily of the MADS-box family. Quantitative real-time PCR was performed to investigate the expression profiles of MiSOC1 gene in different tissues/organs including root, stem, leaves, flower bud, and flower. The result indicated MiSOC1 was widely expressed at different levels in both vegetative and reproductive tissues/organs with the highest expression level in the stems' leaves and inflorescences, low expression in roots and flowers. The expression of MiSOC1 in different flower developmental stages was different while same tissue -specific pattern among different varieties. In addition, MiSOC1 gene expression was affect by ethephon while high concentration ethephon inhibit the expression of MiSOC1. Overexpression of MiSOC1 resulted in early flowering in Arabidopsis . In conclusion, these results suggest that MiSOC1 may act as induce flower function in mango.

  5. Molecular Cloning, Characterization, and Expression of MiSOC1: A Homolog of the Flowering Gene SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1 from Mango (Mangifera indica L)

    PubMed Central

    Wei, Junya; Liu, Debing; Liu, Guoyin; Tang, Jie; Chen, Yeyuan

    2016-01-01

    MADS-box transcription factor plays a crucial role in plant development, especially controlling the formation and development of floral organs. Mango (Mangifera indica L) is an economically important fruit crop, but its molecular control of flowering is largely unknown. To better understand the molecular basis of flowering regulation in mango, we isolated and characterized the MiSOC1, a putative mango orthologs for the Arabidopsis SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1/AGAMOUS-LIKE 20 (SOC1/AGL20) with homology-based cloning and RACE. The full-length cDNA (GenBank accession No.: KP404094) is 945 bp in length including a 74 bp long 5′ UTR and a 189 bp long 3′ UTR and the open reading frame was 733 bps, encoding 223 amino acids with molecular weight 25.6 kD. Both sequence alignment and phylogenetic analysis all indicated that deduced protein contained a conservative MADS-box and semi-conservative K domain and belonged to the SOC1/TM3 subfamily of the MADS-box family. Quantitative real-time PCR was performed to investigate the expression profiles of MiSOC1 gene in different tissues/organs including root, stem, leaves, flower bud, and flower. The result indicated MiSOC1 was widely expressed at different levels in both vegetative and reproductive tissues/organs with the highest expression level in the stems’ leaves and inflorescences, low expression in roots and flowers. The expression of MiSOC1 in different flower developmental stages was different while same tissue –specific pattern among different varieties. In addition, MiSOC1 gene expression was affect by ethephon while high concentration ethephon inhibit the expression of MiSOC1. Overexpression of MiSOC1 resulted in early flowering in Arabidopsis. In conclusion, these results suggest that MiSOC1 may act as induce flower function in mango. PMID:27965680

  6. Exchange protein activated by cyclic AMP (Epac)-mediated induction of suppressor of cytokine signaling 3 (SOCS-3) in vascular endothelial cells.

    PubMed

    Sands, William A; Woolson, Hayley D; Milne, Gillian R; Rutherford, Claire; Palmer, Timothy M

    2006-09-01

    Here, we demonstrate that elevation of intracellular cyclic AMP (cAMP) in vascular endothelial cells (ECs) by either a direct activator of adenylyl cyclase or endogenous cAMP-mobilizing G protein-coupled receptors inhibited the tyrosine phosphorylation of STAT proteins by an interleukin 6 (IL-6) receptor trans-signaling complex (soluble IL-6Ralpha/IL-6). This was associated with the induction of suppressor of cytokine signaling 3 (SOCS-3), a bona fide inhibitor in vivo of gp130, the signal-transducing component of the IL-6 receptor complex. Attenuation of SOCS-3 induction in either ECs or SOCS-3-null murine embryonic fibroblasts abolished the inhibitory effect of cAMP, whereas inhibition of SHP-2, another negative regulator of gp130, was without effect. Interestingly, the inhibition of STAT phosphorylation and SOCS-3 induction did not require cAMP-dependent protein kinase activity but could be recapitulated upon selective activation of the alternative cAMP sensor Epac, a guanine nucleotide exchange factor for Rap1. Consistent with this hypothesis, small interfering RNA-mediated knockdown of Epac1 was sufficient to attenuate both cAMP-mediated SOCS-3 induction and inhibition of STAT phosphorylation, suggesting that Epac activation is both necessary and sufficient to observe these effects. Together, these data argue for the existence of a novel cAMP/Epac/Rap1/SOCS-3 pathway for limiting IL-6 receptor signaling in ECs and illuminate a new mechanism by which cAMP may mediate its potent anti-inflammatory effects.

  7. Reductions of plant cover induced by sheep grazing change the above-belowground partition and chemistry of organic C stocks in arid rangelands of Patagonian Monte, Argentina.

    PubMed

    Larreguy, C; Carrera, A L; Bertiller, M B

    2017-09-01

    The objective of this study was to estimate the size and chemical quality of the total organic C stock and its partition between above-belowground plant parts and soil at sites with different plant cover induced by sheep grazing in the arid Patagonian Monte. This study was conducted at six representative sites with increasing signs of canopy disturbance attributed to grazing pressure. We used faeces density as a proxy of grazing pressure at each site. We assessed the total plant cover, shrub and perennial grass cover, total standing aboveground biomass (AGB), litter mass and belowground biomass (BGB) at each site. We further estimated the content of organic C, lignin and soluble phenols in plant compartments and the content of organic C, organic C in humic substances (recalcitrant C) and water soluble C (labile C) in soil at each site. Total plant cover was significantly related to grazing pressure. Standing AGB and litter mass decreased with increasing canopy disturbance while BGB did not vary across sites. Total organic C stock and the organic C stock in standing AGB increased with increasing total plant, shrub, and perennial grass cover. The organic C stock in litter mass increased with increasing total plant and shrub cover, while the organic C stock in BGB did not vary across sites. Lignin content in plant compartments increased with increasing total and shrub cover, while soluble phenols content did not change across sites. The organic C stock and the water soluble C content in soil were positively associated with perennial grass cover. Changes in total plant cover induced by grazing pressure negatively affected the size of the total organic C stock, having minor impact on the size of belowground than aboveground components. The reduction of perennial grass cover was reflected in decreasing chemical quality of the organic C stock in soil. Accordingly, plant managerial strategies should not only be focused on the amount of organic C sequestered but also on the

  8. Comparison between global financial crisis and local stock disaster on top of Chinese stock network

    NASA Astrophysics Data System (ADS)

    Xia, Lisi; You, Daming; Jiang, Xin; Guo, Quantong

    2018-01-01

    The science of complex network theory can be usefully applied in many important fields, one of which is the finance. In these practical cases, a massive dataset can be represented as a very large network with certain attributes associated with its nodes and edges. As one of the most important components of financial market, stock market has been attracting more and more attention. In this paper, we propose a threshold model to build Chinese stock market networks and study the topological properties of these networks. To be specific, we compare the effects of different crises, namely the 2008 global crisis and the stock market disaster in 2015, on the threshold networks. Prices of the stocks belonging to the Shanghai and Shenzhen 300 index are considered for three periods: the global crisis, common period and the stock market disaster. We find the probability distribution of the cross-correlations of the stocks during the stock market disaster is fatter than that of others. Besides, the thresholds of cross-correlations are assigned to obtain the threshold networks and the power-law of degree distribution in these networks are observed in a certain range of threshold values. The networks during the stock market disaster also appear to have larger mean degree and modularity, which reveals the strong correlations among these stock prices. Our findings to some extent crosscheck the liquidity shortage reason which is believed to result in the outbreak of the stock market disaster. Moreover, we hope that this paper could give us a deeper understanding of the market's behavior and also lead to interesting future research about the problems of modern finance theory.

  9. Soil organic carbon across scales.

    PubMed

    O'Rourke, Sharon M; Angers, Denis A; Holden, Nicholas M; McBratney, Alex B

    2015-10-01

    Mechanistic understanding of scale effects is important for interpreting the processes that control the global carbon cycle. Greater attention should be given to scale in soil organic carbon (SOC) science so that we can devise better policy to protect/enhance existing SOC stocks and ensure sustainable use of soils. Global issues such as climate change require consideration of SOC stock changes at the global and biosphere scale, but human interaction occurs at the landscape scale, with consequences at the pedon, aggregate and particle scales. This review evaluates our understanding of SOC across all these scales in the context of the processes involved in SOC cycling at each scale and with emphasis on stabilizing SOC. Current synergy between science and policy is explored at each scale to determine how well each is represented in the management of SOC. An outline of how SOC might be integrated into a framework of soil security is examined. We conclude that SOC processes at the biosphere to biome scales are not well understood. Instead, SOC has come to be viewed as a large-scale pool subjects to carbon flux. Better understanding exists for SOC processes operating at the scales of the pedon, aggregate and particle. At the landscape scale, the influence of large- and small-scale processes has the greatest interaction and is exposed to the greatest modification through agricultural management. Policy implemented at regional or national scale tends to focus at the landscape scale without due consideration of the larger scale factors controlling SOC or the impacts of policy for SOC at the smaller SOC scales. What is required is a framework that can be integrated across a continuum of scales to optimize SOC management. © 2015 John Wiley & Sons Ltd.

  10. Forecasting the realized volatility of the Chinese stock market: Do the G7 stock markets help?

    NASA Astrophysics Data System (ADS)

    Peng, Huan; Chen, Ruoxun; Mei, Dexiang; Diao, Xiaohua

    2018-07-01

    In this paper, we use a comprehensive look to investigate whether the G7 stock markets can contain predictive information to help in forecasting the Chinese stock market volatility. Our out-of-sample empirical results indicate the kitchen sink (HAR-RV-SK) model is able to attain better performance than the benchmark model (HAR-RV) and other models, implying that the G7 stock markets can help in predicting the one-day volatility of the Chinese stock market. Moreover, the kitchen sink strategy can beat the strategy of the simple combination forecasts. Finally, the G7 stock markets can indeed contain useful information, which can increase the accuracy forecasts of the Chinese stock market.

  11. Black Carbon Contribution to Organic Carbon Stocks in Urban Soil.

    PubMed

    Edmondson, Jill L; Stott, Iain; Potter, Jonathan; Lopez-Capel, Elisa; Manning, David A C; Gaston, Kevin J; Leake, Jonathan R

    2015-07-21

    Soil holds 75% of the total organic carbon (TOC) stock in terrestrial ecosystems. This comprises ecosystem-derived organic carbon (OC) and black carbon (BC), a recalcitrant product of the incomplete combustion of fossil fuels and biomass. Urban topsoils are often enriched in BC from historical emissions of soot and have high TOC concentrations, but the contribution of BC to TOC throughout the urban soil profile, at a regional scale is unknown. We sampled 55 urban soil profiles across the North East of England, a region with a history of coal burning and heavy industry. Through combined elemental and thermogravimetic analyses, we found very large total soil OC stocks (31-65 kg m(-2) to 1 m), exceeding typical values reported for UK woodland soils. BC contributed 28-39% of the TOC stocks, up to 23 kg C m(-2) to 1 m, and was affected by soil texture. The proportional contribution of the BC-rich fraction to TOC increased with soil depth, and was enriched in topsoil under trees when compared to grassland. Our findings establish the importance of urban ecosystems in storing large amounts of OC in soils and that these soils also capture a large proportion of BC particulates emitted within urban areas.

  12. 17 CFR 240.15g-2 - Penny stock disclosure document relating to the penny stock market.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 17 Commodity and Securities Exchanges 3 2013-04-01 2013-04-01 false Penny stock disclosure document relating to the penny stock market. 240.15g-2 Section 240.15g-2 Commodity and Securities Exchanges... Section 15(d) of the Act § 240.15g-2 Penny stock disclosure document relating to the penny stock market...

  13. 17 CFR 240.15g-2 - Penny stock disclosure document relating to the penny stock market.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 17 Commodity and Securities Exchanges 3 2011-04-01 2011-04-01 false Penny stock disclosure document relating to the penny stock market. 240.15g-2 Section 240.15g-2 Commodity and Securities Exchanges... Section 15(d) of the Act § 240.15g-2 Penny stock disclosure document relating to the penny stock market...

  14. 17 CFR 240.15g-2 - Penny stock disclosure document relating to the penny stock market.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 17 Commodity and Securities Exchanges 4 2014-04-01 2014-04-01 false Penny stock disclosure document relating to the penny stock market. 240.15g-2 Section 240.15g-2 Commodity and Securities Exchanges... Section 15(d) of the Act § 240.15g-2 Penny stock disclosure document relating to the penny stock market...

  15. 17 CFR 240.15g-2 - Penny stock disclosure document relating to the penny stock market.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 17 Commodity and Securities Exchanges 3 2012-04-01 2012-04-01 false Penny stock disclosure document relating to the penny stock market. 240.15g-2 Section 240.15g-2 Commodity and Securities Exchanges... Section 15(d) of the Act § 240.15g-2 Penny stock disclosure document relating to the penny stock market...

  16. Androgen-induced Long Noncoding RNA (lncRNA) SOCS2-AS1 Promotes Cell Growth and Inhibits Apoptosis in Prostate Cancer Cells*

    PubMed Central

    Misawa, Aya; Takayama, Ken-ichi; Urano, Tomohiko; Inoue, Satoshi

    2016-01-01

    Long noncoding RNAs (lncRNA) have been associated with the development of cancer. However, the interplay between lncRNAs and androgen receptor (AR) signaling in prostate cancer is still unclear. Here, we identified lncRNAs induced by androgen in AR-positive prostate cancer cells, where induction was abolished by AR knockdown as well as an anti-androgen, bicalutamide. By combining these data, we identified an androgen-regulated lncRNA, suppressor of cytokine signaling 2-antisense transcript 1 (SOCS2-AS1), the expression of which was higher in castration-resistant prostate cancer model cells, i.e. long-term androgen-deprived (LTAD) cells, than in parental androgen-dependent LNCaP cells. SOCS2-AS1 promoted castration-resistant and androgen-dependent cell growth. We found that SOCS2-AS1 knockdown up-regulated genes related to the apoptosis pathway, including tumor necrosis factor superfamily 10 (TNFSF10), and sensitized prostate cancer cells to docetaxel treatment. Moreover, we also demonstrated that SOCS2-AS1 promotes androgen signaling by modulating the epigenetic control for AR target genes including TNFSF10. These findings suggest that SOCS2-AS1 plays an important role in the development of castration-resistant prostate cancer by repressing apoptosis. PMID:27342777

  17. Baseline-dependent responses of soil organic carbon dynamics to climate and land disturbances

    USGS Publications Warehouse

    Tan, Zhengxi; Liu, Shuguang

    2013-01-01

    Terrestrial carbon (C) sequestration through optimizing land use and management is widely considered a realistic option to mitigate the global greenhouse effect. But how the responses of individual ecosystems to changes in land use and management are related to baseline soil organic C (SOC) levels still needs to be evaluated at various scales. In this study, we modeled SOC dynamics within both natural and managed ecosystems in North Dakota of the United States and found that the average SOC stock in the top 20 cm depth of soil lost at a rate of 450 kg C ha−1 yr−1 in cropland and 110 kg C ha−1 yr−1 in grassland between 1971 and 1998. Since 1998, the study area had become a SOC sink at a rate of 44 kg C ha−1 yr−1. The annual rate of SOC change in all types of lands substantially depends on the magnitude of initial SOC contents, but such dependency varies more with climatic variables within natural ecosystems and with management practices within managed ecosystems. Additionally, soils with high baseline SOC stocks tend to be C sources following any land surface disturbances, whereas soils having low baseline C contents likely become C sinks following conservation management.

  18. Common variants in SOCS7 gene predict obesity, disturbances in lipid metabolism and insulin resistance.

    PubMed

    Tellechea, M L; Steinhardt, A Penas; Rodriguez, G; Taverna, M J; Poskus, E; Frechtel, G

    2013-05-01

    Specific Suppressor of Cytokine Signaling (SOCS) members, such as SOCS7, may play a role in the development of insulin resistance (IR) owing to their ability to inhibit insulin signaling pathways. The objective was to explore the association between common variants and related haplotypes in SOCS7 gene and metabolic traits related to obesity, lipid metabolism and IR. 780 unrelated men were included in a cross-sectional study. We selected three tagged SNPs that capture 100% of SNPs with minor allele frequency ≥ 0.10. Analyses were done separately for each SNP and followed up by haplotype analysis. rs8074124C was associated with both obesity (p = 0.005) and abdominal obesity (p = 0.002) and allele C carriers showed, in comparison with TT carriers, lower BMI (p = 0.001) and waist circumference (p = 0.001). rs8074124CC- carriers showed lower fasting insulin (p = 0.017) and HOMA-IR (p = 0.018) than allele T carriers. rs12051836C was associated with hypertriglyceridemia (p = 0.009) and hypertriglyceridemic waist (p = 0.006). rs12051836CC- carriers showed lower fasting insulin (p = 0.043) and HOMA-IR (p = 0.042). Haplotype-based association analysis (rs8074124 and rs12051836 in that order) showed associations with lipid and obesity -related phenotypes, consistent with single locus analysis. Haplotype analysis also revealed association between haplotype CT and both decreased HDL-C (p = 0.026) and HDL-C (p = 0.014) as a continuous variable. We found, for the first time, significant associations between SOCS7 common variants and related haplotypes and obesity, IR and lipid metabolism disorders. Crown Copyright © 2011. Published by Elsevier B.V. All rights reserved.

  19. Growth, dispersal, mortality, and contribution of largemouth bass stocked into Chickamauga Lake, Tennessee

    USGS Publications Warehouse

    Hoffman, K.J.; Bettoli, P.W.

    2005-01-01

    Marked fingerling largemouth bass Micropterus salmoides (both northern M. s. salmoides and Florida subspecies M. s. floridanus and their hybrid) were stocked into Chickamauga Lake, Tennessee, to enhance angling and introgress the Florida subspecies into the local gene pool. We evaluated mass marking and stocking success by sampling the stocked fish for 1 year poststocking. More than 128,000 fingerlings (35-64 mm total length) were immersed in a solution of 500 mg/L oxytetracycline (OTC) for 6 h and stocked into four embayments in the lake in spring 2002; two additional embayments served as controls and were not stocked (these embayments contained only wild, indigenous fish). In a blind test, 97% of sagittal otoliths were correctly scored as marked or unmarked. In a subsequent test, the OTC marks were clearly visible on every otolith removed from 240 OTC-treated bass held for 30 d. Age-0 largemouth bass were sampled with DC electrofishing gear at 7-19, 44-61, and 119-139 d after stocking, and sampling was conducted along 100-m transects within 1 km of the stocking sites in each embayment. Of all recaptures in the first sample, 31% occurred more than 600 m from the nearest stocking site, indicating rapid dispersal by some fish. Survival of stocked and wild age-0 largemouth bass was similar and low (4.5-6.9%) in two embayments; in the other two embayments, stocked fish survived at lower rates (0-4.3%) than wild fish (33.7-49.9%). Mean catches of all age-0 largemouth bass in the first sample were positively related to the number of fish stocked. By October 2002, the mean catch of all age-0 largemouth bass was similar among embayments. Contribution of stocked fish declined to approximately 2% (2 of 91 fish) the following spring. Cost per fingerling increased from US$0.35 at stocking to $12.00 at 140 d poststocking. Increasing the abundance of largemouth bass was not the primary objective of this stocking effort, but stocked fish will have to survive much better if

  20. Effects of Nitrogen Fixing Pre-Crops and Fertilizers on Physical and Chemical Properties Down the Soil Profile

    NASA Astrophysics Data System (ADS)

    Hobley, E.; Honermeier, B.; Don, A.; Gocke, M. I.; Amelung, W.; Kogel-Knabner, I.

    2016-12-01

    We investigated the effects of pre-crops with and without biological nitrogen fixation capacity (fava beans, clover mulch, fodder maize) and fertilization (no fertilizer, NPK fertilizer, PK fertilizer) on soil physico-chemical properties (bulk density, electrical conductivity, soil organic carbon (SOC) concentration and stocks, N concentration and stocks) and their depth distribution (down to 1 m) at a long-term field experiment set up in 1982 in Gießen, Germany. Fertilization had significant but small impacts on the soil chemical environment, most particularly the salt content of the soil, with PK fertilization increasing electrical conductivity throughout the soil profile. Similarly, fertilization resulted in a small reduction of soil pH throughout the entire soil profile. The soil was physically and chemically affected by the type of pre-crop. Plots with fava beans and maize had lower bulk densities in the subsoil than those with clover. Pre-crop type also significantly affected the depth distribution of both N and SOC. Specifically, clover pre-cropping led to an enrichment of N at the surface compared with fava beans and maize. SOC enrichment at the surface was also observed under clover, with the effect most pronounced under PK fertilization. Combined with the bulk density effects, this shift in N distribution resulted in significantly higher N stocks under clover than under fava beans. However, the total stocks of SOC were not affected by pre-crop or fertilizer regime. Our results indicate that humans influence C and N cycling and distribution in soils through the selection of pre-crops and that the influence of crop type is greater than that of fertilization regimes. Pre-cropping with clover, which is used as a mulch, leads to N enrichment in the topsoil, reducing the need for N fertilizer for the subsequent cereal crop. In contrast, the use of fava beans as a pre-crop does not lead to N enrichment. We believe this is due to the greater rooting depth of