Sample records for ultrathin hfo2 dielectric

  1. Interfacial structure and electrical properties of ultrathin HfO2 dielectric films on Si substrates by surface sol-gel method

    NASA Astrophysics Data System (ADS)

    Gong, You-Pin; Li, Ai-Dong; Qian, Xu; Zhao, Chao; Wu, Di

    2009-01-01

    Ultrathin HfO2 films with about ~3 nm thickness were deposited on n-type (1 0 0) silicon substrates using hafnium chloride (HfCl4) source by the surface sol-gel method and post-deposition annealing (PDA). The interfacial structure and electrical properties of ultrathin HfO2 films were investigated. The HfO2 films show amorphous structures and smooth surface morphologies with a very thin interfacial oxide layer of ~0.5 nm and small surface roughness (~0.45 nm). The 500 °C PDA treatment forms stronger Hf-O bonds, leading to passivated traps, and the interfacial layer is mainly Hf silicate (HfxSiyOz). Equivalent oxide thickness of around 0.84 nm of HfO2/Si has been obtained with a leakage current density of 0.7 A cm-2 at Vfb + 1 V after 500 °C PDA. It was found that the current conduction mechanism of HfO2/Si varied from Schottky-Richardson emission to Fowler-Nordheim tunnelling at an applied higher positive voltage due to the activated partial traps remaining in the ultrathin HfO2 films.

  2. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric

    PubMed Central

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-01

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS2) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS2 and an ultra-thin HfO2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS2-HfO2 interface is responsible for the generation of interface states with a density (Dit) reaching ~7.03 × 1011 cm−2 eV−1. This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in Dit could be achieved by thermally diffusing S atoms to the MoS2-HfO2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS2 devices with carrier transport enhancement. PMID:28084434

  3. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric.

    PubMed

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-13

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS 2 ) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS 2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS 2 and an ultra-thin HfO 2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS 2 -HfO 2 interface is responsible for the generation of interface states with a density (D it ) reaching ~7.03 × 10 11  cm -2  eV -1 . This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS 2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in D it could be achieved by thermally diffusing S atoms to the MoS 2 -HfO 2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS 2 devices with carrier transport enhancement.

  4. Effects of HfO2 encapsulation on electrical performances of few-layered MoS2 transistor with ALD HfO2 as back-gate dielectric.

    PubMed

    Xu, Jingping; Wen, Ming; Zhao, Xinyuan; Liu, Lu; Song, Xingjuan; Lai, Pui-To; Tang, Wing-Man

    2018-08-24

    The carrier mobility of MoS 2 transistors can be greatly improved by the screening role of high-k gate dielectric. In this work, atomic-layer deposited (ALD) HfO 2 annealed in NH 3 is used to replace SiO 2 as the gate dielectric to fabricate back-gated few-layered MoS 2 transistors, and good electrical properties are achieved with field-effect mobility (μ) of 19.1 cm 2 V -1 s -1 , subthreshold swing (SS) of 123.6 mV dec -1 and on/off ratio of 3.76 × 10 5 . Furthermore, enhanced device performance is obtained when the surface of the MoS 2 channel is coated by an ALD HfO 2 layer with different thicknesses (10, 15 and 20 nm), where the transistor with a 15 nm HfO 2 encapsulation layer exhibits the best overall electrical properties: μ = 42.1 cm 2 V -1 s -1 , SS = 87.9 mV dec -1 and on/off ratio of 2.72 × 10 6 . These improvements should be associated with the enhanced screening effect on charged-impurity scattering and protection from absorption of environmental gas molecules by the high-k encapsulation. The capacitance equivalent thickness of the back-gate dielectric (HfO 2 ) is only 6.58 nm, which is conducive to scaling of the MoS 2 transistors.

  5. Effects of substrate heating and post-deposition annealing on characteristics of thin MOCVD HfO2 films

    NASA Astrophysics Data System (ADS)

    Gopalan, Sundararaman; Ramesh, Sivaramakrishnan; Dutta, Shibesh; Virajit Garbhapu, Venkata

    2018-02-01

    It is well known that Hf-based dielectrics have replaced the traditional SiO2 and SiON as gate dielectric materials for conventional CMOS devices. By using thicker high-k materials such as HfO2 rather than ultra-thin SiO2, we can bring down leakage current densities in MOS devices to acceptable levels. HfO2 is also one of the potential candidates as a blocking dielectric for Flash memory applications for the same reason. In this study, effects of substrate heating and oxygen flow rate while depositing HfO2 thin films using CVD and effects of post deposition annealing on the physical and electrical characteristics of HfO2 thin films are presented. It was observed that substrate heating during deposition helps improve the density and electrical characteristics of the films. At higher substrate temperature, Vfb moved closer to zero and also resulted in significant reduction in hysteresis. Higher O2 flow rates may improve capacitance, but also results in slightly higher leakage. The effect of PDA depended on film thickness and O2 PDA improved characteristics only for thick films. For thinner films forming gas anneal resulted in better electrical characteristics.

  6. Low-temperature fabrication of sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors

    NASA Astrophysics Data System (ADS)

    Yao, Rihui; Zheng, Zeke; Xiong, Mei; Zhang, Xiaochen; Li, Xiaoqing; Ning, Honglong; Fang, Zhiqiang; Xie, Weiguang; Lu, Xubing; Peng, Junbiao

    2018-03-01

    In this work, low temperature fabrication of a sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors (TFTs) on polyimide substrates was investigated. The effects of Ar-pressure during the sputtering process and then especially the post-annealing treatments at low temperature (≤200 °C) for HfO2 on reducing the density of defects in the bulk and on the surface were systematically studied. X-ray reflectivity, UV-vis and X-ray photoelectron spectroscopy, and micro-wave photoconductivity decay measurements were carried out and indicated that the high quality of optimized HfO2 film and its high dielectric properties contributed to the low concentration of structural defects and shallow localized defects such as oxygen vacancies. As a result, the well-structured HfO2 gate dielectric exhibited a high density of 9.7 g/cm3, a high dielectric constant of 28.5, a wide optical bandgap of 4.75 eV, and relatively low leakage current. The corresponding flexible a-IGZO TFT on polyimide exhibited an optimal device performance with a saturation mobility of 10.3 cm2 V-1 s-1, an Ion/Ioff ratio of 4.3 × 107, a SS value of 0.28 V dec-1, and a threshold voltage (Vth) of 1.1 V, as well as favorable stability under NBS/PBS gate bias and bending stress.

  7. Electrical characterization of ALD HfO2 high-k dielectrics on ( 2 ¯ 01) β-Ga2O3

    NASA Astrophysics Data System (ADS)

    Shahin, David I.; Tadjer, Marko J.; Wheeler, Virginia D.; Koehler, Andrew D.; Anderson, Travis J.; Eddy, Charles R.; Christou, Aris

    2018-01-01

    The electrical quality of HfO2 dielectrics grown by thermal atomic layer deposition at 175 °C on n-type ( 2 ¯ 01) β-Ga2O3 has been studied through capacitance- and current-voltage measurements on metal-oxide-semiconductor capacitors. These capacitors exhibited excellent electrical characteristics, including dual-sweep capacitance-voltage curves with low hysteresis and stretch-out and a frequency-stable dielectric constant of k˜14 when measured between 10 kHz and 1 MHz. The C-V curves exhibited a uniform and repeatable +1.05 V shift relative to the ideal case when swept from 3.5 to -5 V, yielding positively measured flatband (+2.15 V) and threshold (+1.05 V) voltages that may be useful for normally off n-channel Ga2O3 devices. Using the Terman method, an average interface trap density of 1.3 × 1011 cm-2.eV-1 was obtained between 0.2 and 0.6 eV below the conduction band edge. The forward bias current-voltage characteristic was successfully fitted to the Fowler-Nordheim tunneling model at a field strength of 5 MV/cm, allowing an extraction of a 1.3 eV conduction band offset between HfO2 and Ga2O3, which matches the value previously determined from x-ray photoelectron spectroscopy. However, a temperature dependence in the leakage current was observed. These results suggest that HfO2 is an appealing dielectric for Ga2O3 device applications.

  8. Effect of Dielectric Interface on the Performance of MoS2 Transistors.

    PubMed

    Li, Xuefei; Xiong, Xiong; Li, Tiaoyang; Li, Sichao; Zhang, Zhenfeng; Wu, Yanqing

    2017-12-27

    Because of their wide bandgap and ultrathin body properties, two-dimensional materials are currently being pursued for next-generation electronic and optoelectronic applications. Although there have been increasing numbers of studies on improving the performance of MoS 2 field-effect transistors (FETs) using various methods, the dielectric interface, which plays a decisive role in determining the mobility, interface traps, and thermal transport of MoS 2 FETs, has not been well explored and understood. In this article, we present a comprehensive experimental study on the effect of high-k dielectrics on the performance of few-layer MoS 2 FETs from 300 to 4.3 K. Results show that Al 2 O 3 /HfO 2 could boost the mobility and drain current. Meanwhile, MoS 2 transistors with Al 2 O 3 /HfO 2 demonstrate a 2× reduction in oxide trap density compared to that of the devices with the conventional SiO 2 substrate. Also, we observe a negative differential resistance effect on the device with 1 μm-channel length when using conventional SiO 2 as the gate dielectric due to self-heating, and this is effectively eliminated by using the Al 2 O 3 /HfO 2 gate dielectric. This dielectric engineering provides a highly viable route to realizing high-performance transition metal dichalcogenide-based FETs.

  9. Nanopore fabricated in pyramidal HfO2 film by dielectric breakdown method

    NASA Astrophysics Data System (ADS)

    Wang, Yifan; Chen, Qi; Deng, Tao; Liu, Zewen

    2017-10-01

    The dielectric breakdown method provides an innovative solution to fabricate solid-state nanopores on insulating films. A nanopore generation event via this method is considered to be caused by random charged traps (i.e., structural defects) and high electric fields in the membrane. Thus, the position and number of nanopores on planar films prepared by the dielectric breakdown method is hard to control. In this paper, we propose to fabricate nanopores on pyramidal HfO2 films (10-nm and 15-nm-thick) to improve the ability to control the location and number during the fabrication process. Since the electric field intensity gets enhanced at the corners of the pyramid-shaped film, the probability of nanopore occurrence at vertex and edge areas increases. This priority of appearance provides us chance to control the location and number of nanopores by monitoring a sudden irreversible discrete increase in current. The experimental results showed that the probability of nanopore occurrence decreases in an order from the vertex area, the edge area to the side face area. The sizes of nanopores ranging from 30 nm to 10 nm were obtained. Nanopores fabricated on the pyramid-shaped HfO2 film also showed an obvious ion current rectification characteristic, which might improve the nanopore performance as a biomolecule sequencing platform.

  10. Study of bulk Hafnium oxide (HfO2) under compression

    NASA Astrophysics Data System (ADS)

    Pathak, Santanu; Mandal, Guruprasad; Das, Parnika

    2018-04-01

    Hafnium oxide (HfO2) is a technologically important material. This material has K-value of 25 and band gap 5.8 eV. A k value of 25-30 is preferred for a gate dielectric [1]. As it shows good insulating and capacitive properties, HfO2 is being considered as a replacement to SiO2 in microelectronic devices as gate dielectrics. On the other hand because of toughening mechanism due to phase transformation induced by stress field observed in these oxides, HFO2 has been a material of investigations in various configurations for a very long time. However the controversies about phase transition of HfO2 under pressure still exists. High quality synchrotron radiation has been used to study the structural phase transition of HfO2 under pressure.

  11. Probing the thermal decomposition behaviors of ultrathin HfO2 films by an in situ high temperature scanning tunneling microscope.

    PubMed

    Xue, Kun; Wang, Lei; An, Jin; Xu, Jianbin

    2011-05-13

    The thermal decomposition of ultrathin HfO(2) films (∼0.6-1.2 nm) on Si by ultrahigh vacuum annealing (25-800 °C) is investigated in situ in real time by scanning tunneling microscopy. Two distinct thickness-dependent decomposition behaviors are observed. When the HfO(2) thickness is ∼ 0.6 nm, no discernible morphological changes are found below ∼ 700 °C. Then an abrupt reaction occurs at 750 °C with crystalline hafnium silicide nanostructures formed instantaneously. However, when the thickness is about 1.2 nm, the decomposition proceeds gradually with the creation and growth of two-dimensional voids at 800 °C. The observed thickness-dependent behavior is closely related to the SiO desorption, which is believed to be the rate-limiting step of the decomposition process.

  12. Energy-band alignment of (HfO2)x(Al2O3)1-x gate dielectrics deposited by atomic layer deposition on β-Ga2O3 (-201)

    NASA Astrophysics Data System (ADS)

    Yuan, Lei; Zhang, Hongpeng; Jia, Renxu; Guo, Lixin; Zhang, Yimen; Zhang, Yuming

    2018-03-01

    Energy band alignments between series band of Al-rich high-k materials (HfO2)x(Al2O3)1-x and β-Ga2O3 are investigated using X-Ray Photoelectron Spectroscopy (XPS). The results exhibit sufficient conduction band offsets (1.42-1.53 eV) in (HfO2)x(Al2O3)1-x/β-Ga2O3. In addition, it is also obtained that the value of Eg, △Ec, and △Ev for (HfO2)x(Al2O3)1-x/β-Ga2O3 change linearly with x, which can be expressed by 6.98-1.27x, 1.65-0.56x, and 0.48-0.70x, respectively. The higher dielectric constant and higher effective breakdown electric field of (HfO2)x(Al2O3)1-x compared with Al2O3, coupled with sufficient barrier height and lower gate leakage makes it a potential dielectric for high voltage β-Ga2O3 power MOSFET, and also provokes interest in further investigation of HfAlO/β-Ga2O3 interface properties.

  13. A study of nitrogen behavior in the formation of Ta/TaN and Ti/TaN alloyed metal electrodes on SiO2 and HfO2 dielectrics

    NASA Astrophysics Data System (ADS)

    Gassilloud, R.; Maunoury, C.; Leroux, C.; Piallat, F.; Saidi, B.; Martin, F.; Maitrejean, S.

    2014-04-01

    We studied Ta, TaN, and sub-stoichiometric TaNx electrodes (obtained by nitrogen redistribution in Ta/TaN or Ti/TaN bilayers) deposited on thermal SiO2 and HfO2/IL (0.8 nm SiO2 IL, i.e., interlayer) stacks. Effective work-functions (WF) were extracted on MOS capacitor structures on SiO2 bevelled insulator of 4.2 eV for pure Ta, 4.6 eV for TaN, and 4.3 eV for sub-stoichiometric TaNx. This intermediate WF value is explained by TaN nitrogen redistribution with reactive Ta or Ti elements shifting the gate work-function toward the Si conduction band. The same electrodes deposited on an HfO2/IL dielectric showed different behavior: First, the Ta/HfO2/IL stack shows a +200 meV WF increase (towards the Si valence band) compared to the SiO2 dielectric stack. This increase is explained by the well-known HfO2/IL dipole formation. Second, in contrast to electrodes deposited on SiO2, sub-stoichiometric TaNx/HfO2 is found to have a lower WF (4.3 eV), than pure Ta on HfO2 (4.4 eV). This inversion in work-function behavior measured on SiO2 vs. HfO2 is explained by the nitrogen redistribution in Ta/TaN bilayer together with diffusion of nitrogen through the HfO2 layer, leading to Si-N formation which prevents dipole formation at the HfO2/IL interface.

  14. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    PubMed Central

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  15. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  16. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition.

    PubMed

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-12-01

    In situ-formed SiO 2 was introduced into HfO 2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO 2 /SiO 2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO 2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO 2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10 -3 A/cm 2 at gate bias of V fb  + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO 2 /SiO 2 /Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO 2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  17. Corrosion Protection of Copper Using Al2O3, TiO2, ZnO, HfO2, and ZrO2 Atomic Layer Deposition.

    PubMed

    Daubert, James S; Hill, Grant T; Gotsch, Hannah N; Gremaud, Antoine P; Ovental, Jennifer S; Williams, Philip S; Oldham, Christopher J; Parsons, Gregory N

    2017-02-01

    Atomic layer deposition (ALD) is a viable means to add corrosion protection to copper metal. Ultrathin films of Al 2 O 3 , TiO 2 , ZnO, HfO 2 , and ZrO 2 were deposited on copper metal using ALD, and their corrosion protection properties were measured using electrochemical impedance spectroscopy (EIS) and linear sweep voltammetry (LSV). Analysis of ∼50 nm thick films of each metal oxide demonstrated low electrochemical porosity and provided enhanced corrosion protection from aqueous NaCl solution. The surface pretreatment and roughness was found to affect the extent of the corrosion protection. Films of Al 2 O 3 or HfO 2 provided the highest level of initial corrosion protection, but films of HfO 2 exhibited the best coating quality after extended exposure. This is the first reported instance of using ultrathin films of HfO 2 or ZrO 2 produced with ALD for corrosion protection, and both are promising materials for corrosion protection.

  18. Comparison of Multilayer Dielectric Thin Films for Future Metal-Insulator-Metal Capacitors: Al2O3/HfO2/Al2O3 versus SiO2/HfO2/SiO2

    NASA Astrophysics Data System (ADS)

    Park, Sang-Uk; Kwon, Hyuk-Min; Han, In-Shik; Jung, Yi-Jung; Kwak, Ho-Young; Choi, Woon-Il; Ha, Man-Lyun; Lee, Ju-Il; Kang, Chang-Yong; Lee, Byoung-Hun; Jammy, Raj; Lee, Hi-Deok

    2011-10-01

    In this paper, two kinds of multilayered metal-insulator-metal (MIM) capacitors using Al2O3/HfO2/Al2O3 (AHA) and SiO2/HfO2/SiO2 (SHS) were fabricated and characterized for radio frequency (RF) and analog mixed signal (AMS) applications. The experimental results indicate that the AHA MIM capacitor (8.0 fF/µm2) is able to provide a higher capacitance density than the SHS MIM capacitor (5.1 fF/µm2), while maintaining a low leakage current of about 50 nA/cm2 at 1 V. The quadratic voltage coefficient of capacitance, α gradually decreases as a function of stress time under constant voltage stress (CVS). The parameter variation of SHS MIM capacitors is smaller than that of AHA MIM capacitors. The effects of CVS on voltage linearity and time-dependent dielectric breakdown (TDDB) characteristics were also investigated.

  19. Study of Direct-Contact HfO2/Si Interfaces

    PubMed Central

    Miyata, Noriyuki

    2012-01-01

    Controlling monolayer Si oxide at the HfO2/Si interface is a challenging issue in scaling the equivalent oxide thickness of HfO2/Si gate stack structures. A concept that the author proposes to control the Si oxide interface by using ultra-high vacuum electron-beam HfO2 deposition is described in this review paper, which enables the so-called direct-contact HfO2/Si structures to be prepared. The electrical characteristics of the HfO2/Si metal-oxide-semiconductor capacitors are reviewed, which suggest a sufficiently low interface state density for the operation of metal-oxide-semiconductor field-effect-transistors (MOSFETs) but reveal the formation of an unexpected strong interface dipole. Kelvin probe measurements of the HfO2/Si structures provide obvious evidence for the formation of dipoles at the HfO2/Si interfaces. The author proposes that one-monolayer Si-O bonds at the HfO2/Si interface naturally lead to a large potential difference, mainly due to the large dielectric constant of the HfO2. Dipole scattering is demonstrated to not be a major concern in the channel mobility of MOSFETs. PMID:28817060

  20. Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics for metal-insulator-metal capacitor applications

    NASA Astrophysics Data System (ADS)

    Ding, Shi-Jin; Zhu, Chunxiang; Li, Ming-Fu; Zhang, David Wei

    2005-08-01

    Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics have been investigated to replace conventional silicon oxide and nitride for radio frequency and analog metal-insulator-metal capacitors applications. In the case of 1-nm-Al2O3, sufficiently good electrical performances are achieved, including a high dielectric constant of ˜17, a small dissipation factor of 0.018 at 100kHz, an extremely low leakage current of 7.8×10-9A/cm2 at 1MV/cm and 125°C, perfect voltage coefficients of capacitance (74ppm/V2 and 10ppm/V). The quadratic voltage coefficient of capacitance decreases with the applied frequency due to the change of relaxation time with different carrier mobility in insulator, and correlates with the dielectric composition and thickness, which is of intrinsic property owing to electric field polarization. Furthermore, the conduction mechanism of the AHA dielectrics is also discussed, indicating the Schottky emission dominated at room temperature.

  1. Ultrathin ZnS and ZnO Interfacial Passivation Layers for Atomic-Layer-Deposited HfO2 Films on InP Substrates.

    PubMed

    Kim, Seung Hyun; Joo, So Yeong; Jin, Hyun Soo; Kim, Woo-Byoung; Park, Tae Joo

    2016-08-17

    Ultrathin ZnS and ZnO films grown by atomic layer deposition (ALD) were employed as interfacial passivation layers (IPLs) for HfO2 films on InP substrates. The interfacial layer growth during the ALD of the HfO2 film was effectively suppressed by the IPLs, resulting in the decrease of electrical thickness, hysteresis, and interface state density. Compared with the ZnO IPL, the ZnS IPL was more effective in reducing the interface state density near the valence band edge. The leakage current density through the film was considerably lowered by the IPLs because the film crystallization was suppressed. Especially for the film with the ZnS IPL, the leakage current density in the low-voltage region was significantly lower than that observed for the film with the ZnO IPL, because the direct tunneling current was suppressed by the higher conduction band offset of ZnS with the InP substrate.

  2. Electrical Properties of Ultrathin Hf-Ti-O Higher k Gate Dielectric Films and Their Application in ETSOI MOSFET.

    PubMed

    Xiong, Yuhua; Chen, Xiaoqiang; Wei, Feng; Du, Jun; Zhao, Hongbin; Tang, Zhaoyun; Tang, Bo; Wang, Wenwu; Yan, Jiang

    2016-12-01

    Ultrathin Hf-Ti-O higher k gate dielectric films (~2.55 nm) have been prepared by atomic layer deposition. Their electrical properties and application in ETSOI (fully depleted extremely thin SOI) PMOSFETs were studied. It is found that at the Ti concentration of Ti/(Ti + Hf) ~9.4%, low equivalent gate oxide thickness (EOT) of ~0.69 nm and acceptable gate leakage current density of 0.61 A/cm 2 @ (V fb  - 1)V could be obtained. The conduction mechanism through the gate dielectric is dominated by the F-N tunneling in the gate voltage range of -0.5 to -2 V. Under the same physical thickness and process flow, lower EOT and higher I on /I off ratio could be obtained while using Hf-Ti-O as gate dielectric compared with HfO 2 . With Hf-Ti-O as gate dielectric, two ETSOI PMOSFETs with gate width/gate length (W/L) of 0.5 μm/25 nm and 3 μm/40 nm show good performances such as high I on , I on /I off ratio in the magnitude of 10 5 , and peak transconductance, as well as suitable threshold voltage (-0.3~-0.2 V). Particularly, ETSOI PMOSFETs show superior short-channel control capacity with DIBL <82 mV/V and subthreshold swing <70 mV/decade.

  3. Downscaling ferroelectric field effect transistors by using ferroelectric Si-doped HfO2

    NASA Astrophysics Data System (ADS)

    Martin, Dominik; Yurchuk, Ekaterina; Müller, Stefan; Müller, Johannes; Paul, Jan; Sundquist, Jonas; Slesazeck, Stefan; Schlösser, Till; van Bentum, Ralf; Trentzsch, Martin; Schröder, Uwe; Mikolajick, Thomas

    2013-10-01

    Throughout the 22 nm technology node HfO2 is established as a reliable gate dielectric in contemporary complementary metal oxide semiconductor (CMOS) technology. The working principle of ferroelectric field effect transistors FeFET has also been demonstrated for some time for dielectric materials like Pb[ZrxTi1-x]O3 and SrBi2Ta2O9. However, integrating these into contemporary downscaled CMOS technology nodes is not trivial due to the necessity of an extremely thick gate stack. Recent developments have shown HfO2 to have ferroelectric properties, given the proper doping. Moreover, these doped HfO2 thin films only require layer thicknesses similar to the ones already in use in CMOS technology. This work will show how the incorporation of Si induces ferroelectricity in HfO2 based capacitor structures and finally demonstrate non-volatile storage in nFeFETs down to a gate length of 100 nm. A memory window of 0.41 V can be retained after 20,000 switching cycles. Retention can be extrapolated to 10 years.

  4. First-principles study on leakage current caused by oxygen vacancies at HfO2/SiO2/Si interface

    NASA Astrophysics Data System (ADS)

    Takagi, Kensuke; Ono, Tomoya

    2018-06-01

    The relationship between the position of oxygen vacancies in HfO2/SiO2/Si gate stacks and the leakage current is studied by first-principles electronic-structure and electron-conduction calculations. We find that the increase in the leakage current due to the creation of oxygen vacancies in the HfO2 layer is much larger than that in the SiO2 interlayer. According to previous first-principles total energy calculations, the formation energy of oxygen vacancies is smaller in the SiO2 interlayer than that in the HfO2 layer under the same conditions. Therefore, oxygen vacancies will be attracted from the SiO2 interlayer to minimize the energy, thermodynamically justifying the scavenging technique. Thus, the scavenging process efficiently improves the dielectric constant of HfO2-based gate stacks without increasing the number of oxygen vacancies, which cause the dielectric breakdown.

  5. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    PubMed

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  6. MOCVD of HfO2 and ZrO2 high-k gate dielectrics for InAlN/AlN/GaN MOS-HEMTs

    NASA Astrophysics Data System (ADS)

    Abermann, S.; Pozzovivo, G.; Kuzmik, J.; Strasser, G.; Pogany, D.; Carlin, J.-F.; Grandjean, N.; Bertagnolli, E.

    2007-12-01

    We apply metal organic chemical vapour deposition (MOCVD) of HfO2 and of ZrO2 from β-diketonate precursors to grow high-k gate dielectrics for InAlN/AlN/GaN metal oxide semiconductor (MOS)-high electron mobility transistors (HEMTs). High-k oxides of about 12 nm-14 nm are deposited for the MOS-HEMTs incorporating Ni/Au gates, whereas as a reference, Ni-contact-based 'conventional' Schottky-barrier (SB)-HEMTs are processed. The processed dielectrics decrease the gate current leakage of the HEMTs by about four orders of magnitude if compared with the SB-gated HEMTs and show superior device characteristics in terms of IDS and breakdown.

  7. Nanoindentation investigation of HfO2 and Al2O3 films grown by atomic layer deposition

    Treesearch

    K. Tapily; Joseph E. Jakes; D. S. Stone; P. Shrestha; D. Gu; H. Baumgart; A. A. Elmustafa

    2008-01-01

    The challenges of reducing gate leakage current and dielectric breakdown beyond the 45 nm technology node have shifted engineers’ attention from the traditional and proven dielectric SiO2 to materials of higher dielectric constant also known as high-k materials such as hafnium oxide (HfO2) and aluminum oxide (Al2O3). These high-k materials are projected to...

  8. The electrical and interfacial properties of metal-high-k oxide-semiconductor field effect transistors with CeO2/HfO2 laminated gate dielectrics

    NASA Astrophysics Data System (ADS)

    Chang, Ingram Yin-ku; Chen, Chun-Heng; Chiu, Fu-Chien; Lee, Joseph Ya-min

    2007-11-01

    Metal-oxide-semiconductor field-effect transistors with CeO2/HfO2 laminated gate dielectrics were fabricated. The transistors have a subthreshold slope of 74.9mV/decade. The interfacial properties were measured using gated diodes. The surface state density Dit was 9.78×1011cm-2eV-1. The surface-recombination velocity (s0) and the minority carrier lifetime in the field-induced depletion region (τ0,FIJ) measured from the gated diode were about 6.11×103cm /s and 1.8×10-8s, respectively. The effective capture cross section of surface state (σs) extracted using the subthreshold-swing measurement and the gated diode was about 7.69×10-15cm2. The effective electron mobility of CeO2/HfO2 laminated gated transistors was determined to be 212cm2/Vs.

  9. Interface plasmonic properties of silver coated by ultrathin metal oxides

    NASA Astrophysics Data System (ADS)

    Sytchkova, A.; Zola, D.; Grilli, M. L.; Piegari, A.; Fang, M.; He, H.; Shao, J.

    2011-09-01

    Many fields of high technology take advantage of conductor-dielectric interface properties. Deeper knowledge of physical processes that determine the optical response of the structures containing metal-dielectric interfaces is important for improving the performance of thin film devices containing such materials. Here we present a study on optical properties of several ultrathin metal oxides deposited over thin silver layers. Some widely used materials (Al2O3, SiO2, Y2O3, HfO2) were selected for deposition by r.f. sputtering, and the created metal-dielectric structures with two of them, alumina and silica, were investigated in this work using attenuated total reflectance (ATR) technique and by variable-angle spectroscopic ellipsometry (VASE). VASE was performed with a help of a commercial ellipsometer at various incident angles and in a wide spectral range. A home-made sample holder manufactured for WVASE ellipsometer and operational in Otto configuration has been implemented for angle-resolved and spectral ATR measurements. Simultaneous analysis of data obtained by these two independent techniques allows elaboration of a representative model for plasmonic-related phenomena at metal-dielectric interface. The optical constants of the interface layers formed between metal and ultrathin oxide layers are investigated. A series of oxides chosen for this study allows a comparative analysis aimed for selection of the most appropriate materials for different applications.

  10. Remote N2 plasma treatment to deposit ultrathin high-k dielectric as tunneling contact layer for single-layer MoS2 MOSFET

    NASA Astrophysics Data System (ADS)

    Qian, Qingkai; Zhang, Zhaofu; Hua, Mengyuan; Wei, Jin; Lei, Jiacheng; Chen, Kevin J.

    2017-12-01

    Remote N2 plasma treatment is explored as a surface functionalization technique to deposit ultrathin high-k dielectric on single-layer MoS2. The ultrathin dielectric is used as a tunneling contact layer, which also serves as an interfacial layer below the gate region for fabricating top-gate MoS2 metal-oxide-semiconductor field-effect transistors (MOSFETs). The fabricated devices exhibited small hysteresis and mobility as high as 14 cm2·V-1·s-1. The contact resistance was significantly reduced, which resulted in the increase of drain current from 20 to 56 µA/µm. The contact resistance reduction can be attributed to the alleviated metal-MoS2 interface reaction and the preserved conductivity of MoS2 below the source/drain metal contact.

  11. Effect of native defects and Co doping on ferromagnetism in HfO2: first-principles calculations.

    PubMed

    Han, Chong; Yan, Shi-Shen; Lin, Xue-Ling; Hu, Shu-Jun; Zhao, Ming-Wen; Yao, Xin-Xin; Chen, Yan-Xue; Liu, Guo-Lei; Mei, Liang-Mo

    2011-05-01

    First-principles calculations of undoped HfO(2) and cobalt-doped HfO(2) have been carried out to study the magnetic properties of the dielectric material. In contrast to previous reports, it was found that the native defects in HfO(2) could not induce strong ferromagnetism. However, the cobalt substituting hafnium is the most stable defect under oxidation condition, and the ferromagnetic (FM) coupling between the cobalt substitutions is favorable in various configurations. We found that the FM coupling is mediated by the threefold-coordinated oxygen atoms in monoclinic HfO(2) and could be further enhanced in electron-rich condition. Copyright © 2010 Wiley Periodicals, Inc.

  12. Electrical properties of radio-frequency sputtered HfO2 thin films for advanced CMOS technology

    NASA Astrophysics Data System (ADS)

    Sarkar, Pranab Kumar; Roy, Asim

    2015-08-01

    The Hafnium oxide (HfO2) high-k thin films have been deposited by radio frequency (rf) sputtering technique on p-type Si (100) substrate. The thickness, composition and phases of films in relation to annealing temperatures have been investigated by using cross sectional FE-SEM (Field Emission Scanning Electron Microscope) and grazing incidence x-ray diffraction (GI-XRD), respectively. GI-XRD analysis revealed that at annealing temperatures of 350°C, films phases change to crystalline from amorphous. The capacitance-voltage (C-V) and current-voltage (I-V) characteristics of the annealed HfO2 film have been studied employing Al/HfO2/p-Si metal-oxide-semiconductor (MOS) structures. The electrical properties such as dielectric constant, interface trap density and leakage current density have been also extracted from C-V and I-V Measurements. The value of dielectric constant, interface trap density and leakage current density of annealed HfO2 film is obtained as 23,7.57×1011eV-1 cm-2 and 2.7×10-5 Acm-2, respectively. In this work we also reported the influence of post deposition annealing onto the trapping properties of hafnium oxide and optimized conditions under which no charge trapping is observed into the dielectric stack.

  13. Role of oxygen vacancies in HfO2-based gate stack breakdown

    NASA Astrophysics Data System (ADS)

    Wu, X.; Migas, D. B.; Li, X.; Bosman, M.; Raghavan, N.; Borisenko, V. E.; Pey, K. L.

    2010-04-01

    We study the influence of multiple oxygen vacancy traps in the percolated dielectric on the postbreakdown random telegraph noise (RTN) digital fluctuations in HfO2-based metal-oxide-semiconductor transistors. Our electrical characterization results indicate that these digital fluctuations are triggered only beyond a certain gate stress voltage. First-principles calculations suggest the oxygen vacancies to be responsible for the formation of a subband in the forbidden band gap region, which affects the triggering voltage (VTRIG) for the RTN fluctuations and leads to a shrinkage of the HfO2 band gap.

  14. Improved interfacial and electrical properties of atomic layer deposition HfO2 films on Ge with La2O3 passivation

    NASA Astrophysics Data System (ADS)

    Li, Xue-Fei; Liu, Xiao-Jie; Cao, Yan-Qiang; Li, Ai-Dong; Li, Hui; Wu, Di

    2013-01-01

    We report the characteristics of HfO2 films deposited on Ge substrates with and without La2O3 passivation at 250 °C by atomic layer deposition (ALD) using La[N(SiMe3)2]3 and Hf[N(CH3)(C2H5)]4 as the precursors. The HfO2 is observed to form defective HfGeOx at its interface during 500 °C postdeposition annealing. The insertion of an ultrathin La2O3 interfacial passivation layer effectively prevents the Ge outdiffusion and improves interfacial and electrical properties. Capacitance equivalent thickness (CET) of 1.35 nm with leakage current density JA of 8.3 × 10-4 A/cm2 at Vg = 1 V is achieved for the HfO2/La2O3 gate stacks on Ge substrates.

  15. Chlorine mobility during annealing in N2 in ZrO2 and HfO2 films grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Ferrari, S.; Scarel, G.; Wiemer, C.; Fanciulli, M.

    2002-12-01

    Atomic layer deposition (ALD) growth of high-κ dielectric films (ZrO2 and HfO2) was performed using ZrCl4, HfCl4, and H2O as precursors. In this work, we use time of flight secondary ion mass spectrometry to investigate the chlorine distribution in ALD grown ZrO2 and HfO2 films, and its evolution during rapid thermal processes in nitrogen atmosphere. Chlorine outdiffusion is found to depend strongly upon annealing temperature and weakly upon the annealing time. While in ZrO2 chlorine concentration is significantly decreased already at 900 °C, in HfO2 it is extremely stable, even at temperatures as high as 1050 °C.

  16. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2.

    PubMed

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-15

    Top-gated and bottom-gated transistors with multilayer MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on-off current ratio of 10 8 , high field-effect mobility of 10 2 cm 2 V -1 s -1 , and low subthreshold swing of 93 mV dec -1 . Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10 -3 -10 -2 V MV -1 cm -1 after 6 MV cm -1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 is a promising way to fabricate high-performance ML MoS 2 field-effect transistors for practical electron device applications.

  17. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2

    NASA Astrophysics Data System (ADS)

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-01

    Top-gated and bottom-gated transistors with multilayer MoS2 channel fully encapsulated by stacked Al2O3/HfO2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on–off current ratio of 108, high field-effect mobility of 102 cm2 V‑1 s‑1, and low subthreshold swing of 93 mV dec–1. Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10‑3–10‑2 V MV–1 cm–1 after 6 MV cm‑1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS2 channel fully encapsulated by stacked Al2O3/HfO2 is a promising way to fabricate high-performance ML MoS2 field-effect transistors for practical electron device applications.

  18. Thickness scaling of atomic-layer-deposited HfO2 films and their application to wafer-scale graphene tunnelling transistors

    PubMed Central

    Jeong, Seong-Jun; Gu, Yeahyun; Heo, Jinseong; Yang, Jaehyun; Lee, Chang-Seok; Lee, Min-Hyun; Lee, Yunseong; Kim, Hyoungsub; Park, Seongjun; Hwang, Sungwoo

    2016-01-01

    The downscaling of the capacitance equivalent oxide thickness (CET) of a gate dielectric film with a high dielectric constant, such as atomic layer deposited (ALD) HfO2, is a fundamental challenge in achieving high-performance graphene-based transistors with a low gate leakage current. Here, we assess the application of various surface modification methods on monolayer graphene sheets grown by chemical vapour deposition to obtain a uniform and pinhole-free ALD HfO2 film with a substantially small CET at a wafer scale. The effects of various surface modifications, such as N-methyl-2-pyrrolidone treatment and introduction of sputtered ZnO and e-beam-evaporated Hf seed layers on monolayer graphene, and the subsequent HfO2 film formation under identical ALD process parameters were systematically evaluated. The nucleation layer provided by the Hf seed layer (which transforms to the HfO2 layer during ALD) resulted in the uniform and conformal deposition of the HfO2 film without damaging the graphene, which is suitable for downscaling the CET. After verifying the feasibility of scaling down the HfO2 thickness to achieve a CET of ~1.5 nm from an array of top-gated metal-oxide-graphene field-effect transistors, we fabricated graphene heterojunction tunnelling transistors with a record-low subthreshold swing value of <60 mV/dec on an 8″ glass wafer. PMID:26861833

  19. Nanocomposites of polyimide and mixed oxide nanoparticles for high performance nanohybrid gate dielectrics in flexible thin film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Ju Hyun; Hwang, Byeong-Ung; Kim, Do-Il; Kim, Jin Soo; Seol, Young Gug; Kim, Tae Woong; Lee, Nae-Eung

    2017-05-01

    Organic gate dielectrics in thin film transistors (TFTs) for flexible display have advantages of high flexibility yet have the disadvantage of low dielectric constant (low- k). To supplement low- k characteristics of organic gate dielectrics, an organic/inorganic nanocomposite insulator loaded with high- k inorganic oxide nanoparticles (NPs) has been investigated but high loading of high- k NPs in polymer matrix is essential. Herein, compositing of over-coated polyimide (PI) on self-assembled (SA) layer of mixed HfO2 and ZrO2 NPs as inorganic fillers was used to make dielectric constant higher and leakage characteristics lower. A flexible TFT with lower the threshold voltage and high current on/off ratio could be fabricated by using the hybrid gate dielectric structure of the nanocomposite with SA layer of mixed NPs on ultrathin atomic-layer deposited Al2O3. [Figure not available: see fulltext.

  20. Electron holography on HfO2/HfO2-x bilayer structures with multilevel resistive switching properties

    NASA Astrophysics Data System (ADS)

    Niu, G.; Schubert, M. A.; Sharath, S. U.; Zaumseil, P.; Vogel, S.; Wenger, C.; Hildebrandt, E.; Bhupathi, S.; Perez, E.; Alff, L.; Lehmann, M.; Schroeder, T.; Niermann, T.

    2017-05-01

    Unveiling the physical nature of the oxygen-deficient conductive filaments (CFs) that are responsible for the resistive switching of the HfO2-based resistive random access memory (RRAM) devices represents a challenging task due to the oxygen vacancy related defect nature and nanometer size of the CFs. As a first important step to this goal, we demonstrate in this work direct visualization and a study of physico-chemical properties of oxygen-deficient amorphous HfO2-x by carrying out transmission electron microscopy electron holography as well as energy dispersive x-ray spectroscopy on HfO2/HfO2-x bilayer heterostructures, which are realized by reactive molecular beam epitaxy. Furthermore, compared to single layer devices, Pt/HfO2/HfO2-x /TiN bilayer devices show enhanced resistive switching characteristics with multilevel behavior, indicating their potential as electronic synapses in future neuromorphic computing applications.

  1. Hafnium oxide films for application as gate dielectrics

    NASA Astrophysics Data System (ADS)

    Hsu, Shuo-Lin

    The deposition and characterization of HfO2 films for potential application as a high-kappa gate dielectric in MOS devices has been investigated. DC magnetron reactive sputtering was utilized to prepare the HfO2 films. Structural, chemical, and electrical analyses were performed to characterize the various physical, chemical and electrical properties of the sputtered HfO2 films. The sputtered HfO2 films were annealed to simulate the dopant activation process used in semiconductor processing, and to study the thermal stability of the high-kappa, films. The changes in the film properties due to the annealing are also discussed in this work. Glancing angle XRD was used to analyse the atomic scale structure of the films. The as deposited films exhibit an amorphous, regardless of the film thickness. During post-deposition annealing, the thicker films crystallized at lower temperature (< 600°C), and ultra-thin (5.8 nm) film crystallized at higher temperature (600--720°C). The crystalline phase which formed depended on the thickness of the films. The low temperature phase (monoclinic) formed in the 10--20 nm annealed films, and high temperature phase (tetragonal) formed in the ultra-thin annealed HfO2 film. TEM cross-section studies of as deposited samples show that an interfacial layer (< 1nm) exists between HfO2/Si for all film thicknesses. The interfacial layer grows thicker during heat treatment, and grows more rapidly when grain boundaries are present. XPS surface analysis shows the as deposited films are fully oxidized with an excess of oxygen. Interfacial chemistry analysis indicated that the interfacial layer is a silicon-rich silicate layer, which tends to transform to silica-like layer during heat treatment. I-V measurements show the leakage current density of the Al/as deposited-HfO 2/Si MOS diode is of the order of 10-3 A/cm 2, two orders of magnitude lower than that of a ZrO2 film with similar physical thickness. Carrier transport is dominated by Schottky

  2. Flexible, Low-Power Thin-Film Transistors Made of Vapor-Phase Synthesized High-k, Ultrathin Polymer Gate Dielectrics.

    PubMed

    Choi, Junhwan; Joo, Munkyu; Seong, Hyejeong; Pak, Kwanyong; Park, Hongkeun; Park, Chan Woo; Im, Sung Gap

    2017-06-21

    A series of high-k, ultrathin copolymer gate dielectrics were synthesized from 2-cyanoethyl acrylate (CEA) and di(ethylene glycol) divinyl ether (DEGDVE) monomers by a free radical polymerization via a one-step, vapor-phase, initiated chemical vapor deposition (iCVD) method. The chemical composition of the copolymers was systematically optimized by tuning the input ratio of the vaporized CEA and DEGDVE monomers to achieve a high dielectric constant (k) as well as excellent dielectric strength. Interestingly, DEGDVE was nonhomopolymerizable but it was able to form a copolymer with other kinds of monomers. Utilizing this interesting property of the DEGDVE cross-linker, the dielectric constant of the copolymer film could be maximized with minimum incorporation of the cross-linker moiety. To our knowledge, this is the first report on the synthesis of a cyanide-containing polymer in the vapor phase, where a high-purity polymer film with a maximized dielectric constant was achieved. The dielectric film with the optimized composition showed a dielectric constant greater than 6 and extremely low leakage current densities (<3 × 10 -8 A/cm 2 in the range of ±2 MV/cm), with a thickness of only 20 nm, which is an outstanding thickness for down-scalable cyanide polymer dielectrics. With this high-k dielectric layer, organic thin-film transistors (OTFTs) and oxide TFTs were fabricated, which showed hysteresis-free transfer characteristics with an operating voltage of less than 3 V. Furthermore, the flexible OTFTs retained their low gate leakage current and ideal TFT characteristics even under 2% applied tensile strain, which makes them some of the most flexible OTFTs reported to date. We believe that these ultrathin, high-k organic dielectric films with excellent mechanical flexibility will play a crucial role in future soft electronics.

  3. Atomic layer deposited TaCy metal gates: Impact on microstructure, electrical properties, and work function on HfO2 high-k dielectrics

    NASA Astrophysics Data System (ADS)

    Triyoso, D. H.; Gregory, R.; Schaeffer, J. K.; Werho, D.; Li, D.; Marcus, S.; Wilk, G. D.

    2007-11-01

    TaCy has been reported to have the appropriate work function for negative metal-oxide semiconductor metal in high-k metal-oxide field-effect transistors. As device size continues to shrink, a conformal deposition for metal gate electrodes is needed. In this work, we report on the development and characterization of a novel TaCy process by atomic layer deposition (ALD). Detailed physical properties of TaCy films are studied using ellipsometry, a four-point probe, Rutherford backscattering spectrometry (RBS), x-ray photoelectron spectroscopy (XPS), and x-ray diffraction (XRD). RBS and XPS analysis indicate that TaCy films are near-stoichiometric, nitrogen free, and have low oxygen impurities. Powder XRD spectra showed that ALD films have a cubic microstructure. XPS carbon bonding studies revealed that little or no glassy carbon is present in the bulk of the film. Excellent electrical properties are obtained using ALD TaCy as a metal gate electrode. Well-behaved capacitance-voltage characteristics with ALD HfO2 gate dielectrics are demonstrated for TaCy thicknesses of 50, 100, and 250 Å. A low fixed charge (˜2-4×10-11 cm-2) is observed for all ALD HfO2/ALD TaCy devices. Increasing the thickness of ALD TaCy results in a decrease in work function (4.77 to 4.54 eV) and lower threshold voltages.

  4. Broadband enhancement of dielectric light trapping nanostructure used in ultra-thin solar cells

    NASA Astrophysics Data System (ADS)

    Yang, Dong; Xu, Zhaopeng; Bian, Fei; Wang, Haiyan; Wang, Jiazhuang; Sun, Lu

    2018-03-01

    A dielectric fishnet nanostructure is designed to increase the light trapping capability of ultra-thin solar cells. The complex performance of ultra-thin cells such as the optical response and electrical response are fully quantified in simulation through a complete optoelectronic investigation. The results show that the optimized light trapping nanostructure can enhances the electromagnetic resonance in active layer then lead to extraordinary enhancement of both absorption and light-conversion capabilities in the solar cell. The short-circuit current density increases by 49.46% from 9.40 mA/cm2 to 14.05 mA/cm2 and light-conversion efficiency increases by 51.84% from 9.51% to 14.44% compared to the benchmark, a solar cell with an ITO-GaAs-Ag structure.

  5. Solid-State Densification of Spun-Cast Self-Assembled Monolayers for Use in Ultra-Thin Hybrid Dielectrics.

    PubMed

    Hutchins, Daniel O; Acton, Orb; Weidner, Tobias; Cernetic, Nathan; Baio, Joe E; Castner, David G; Ma, Hong; Jen, Alex K-Y

    2012-11-15

    Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlO x (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7×10 -8 A cm -2 and capacitance density of 0.62 µF cm -2 at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to 1.1 cm 2 V -1 s -1 .

  6. Solid-State Densification of Spun-Cast Self-Assembled Monolayers for Use in Ultra-Thin Hybrid Dielectrics

    PubMed Central

    Hutchins, Daniel O.; Acton, Orb; Weidner, Tobias; Cernetic, Nathan; Baio, Joe E.; Castner, David G.; Ma, Hong; Jen, Alex K.-Y.

    2013-01-01

    Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlOx (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7×10−8 A cm−2 and capacitance density of 0.62 µF cm−2 at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to 1.1 cm2 V−1 s−1. PMID:24288423

  7. Stable tetragonal phase and magnetic properties of Fe-doped HfO2 nanoparticles

    NASA Astrophysics Data System (ADS)

    Sales, T. S. N.; Cavalcante, F. H. M.; Bosch-Santos, B.; Pereira, L. F. D.; Cabrera-Pasca, G. A.; Freitas, R. S.; Saxena, R. N.; Carbonari, A. W.

    2017-05-01

    In this paper, the effect in structural and magnetic properties of iron doping with concentration of 20% in hafnium dioxide (HfO2) nanoparticles is investigated. HfO2 is a wide band gap oxide with great potential to be used as high-permittivity gate dielectrics, which can be improved by doping. Nanoparticle samples were prepared by sol-gel chemical method and had their structure, morphology, and magnetic properties, respectively, investigated by X-ray diffraction (XRD), transmission electron microscopy (TEM) and scanning electron microscopy (SEM) with electron back scattering diffraction (EBSD), and magnetization measurements. TEM and SEM results show size distribution of particles in the range from 30 nm to 40 nm with small dispersion. Magnetization measurements show the blocking temperature at around 90 K with a strong paramagnetic contribution. XRD results show a major tetragonal phase (94%).

  8. Nonvolatile memories using deep traps formed in HfO2 by Nb ion implantation

    NASA Astrophysics Data System (ADS)

    Choul Kim, Min; Oh Kim, Chang; Taek Oh, Houng; Choi, Suk-Ho; Belay, K.; Elliman, R. G.; Russo, S. P.

    2011-03-01

    We report nonvolatile memories (NVMs) based on deep-energy trap levels formed in HfO2 by metal ion implantation. A comparison of Nb- and Ta-implanted samples shows that suitable charge-trapping centers are formed in Nb-implanted samples, but not in Ta-implanted samples. This is consistent with density-functional theory calculations which predict that only Nb will form deep-energy levels in the bandgap of HfO2. Photocurrent spectroscopy exhibits characteristics consistent with one of the trap levels predicted in these calculations. Nb-implanted samples showing memory windows in capacitance-voltage (V) curves always exhibit current (I) peaks in I-V curves, indicating that NVM effects result from deep traps in HfO2. In contrast, Ta-implanted samples show dielectric breakdowns during the I-V sweeps between 5 and 11 V, consistent with the fact that no trap levels are present. For a sample implanted with a fluence of 1013 Nb cm-2, the charge losses after 104 s are ˜9.8 and ˜25.5% at room temperature (RT) and 85°C, respectively, and the expected charge loss after 10 years is ˜34% at RT, very promising for commercial NVMs.

  9. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    PubMed

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  10. Damage evaluation in graphene underlying atomic layer deposition dielectrics

    PubMed Central

    Tang, Xiaohui; Reckinger, Nicolas; Poncelet, Olivier; Louette, Pierre; Ureña, Ferran; Idrissi, Hosni; Turner, Stuart; Cabosart, Damien; Colomer, Jean-François; Raskin, Jean-Pierre; Hackens, Benoit; Francis, Laurent A.

    2015-01-01

    Based on micro-Raman spectroscopy (μRS) and X-ray photoelectron spectroscopy (XPS), we study the structural damage incurred in monolayer (1L) and few-layer (FL) graphene subjected to atomic-layer deposition of HfO2 and Al2O3 upon different oxygen plasma power levels. We evaluate the damage level and the influence of the HfO2 thickness on graphene. The results indicate that in the case of Al2O3/graphene, whether 1L or FL graphene is strongly damaged under our process conditions. For the case of HfO2/graphene, μRS analysis clearly shows that FL graphene is less disordered than 1L graphene. In addition, the damage levels in FL graphene decrease with the number of layers. Moreover, the FL graphene damage is inversely proportional to the thickness of HfO2 film. Particularly, the bottom layer of twisted bilayer (t-2L) has the salient features of 1L graphene. Therefore, FL graphene allows for controlling/limiting the degree of defect during the PE-ALD HfO2 of dielectrics and could be a good starting material for building field effect transistors, sensors, touch screens and solar cells. Besides, the formation of Hf-C bonds may favor growing high-quality and uniform-coverage dielectric. HfO2 could be a suitable high-K gate dielectric with a scaling capability down to sub-5-nm for graphene-based transistors. PMID:26311131

  11. Influence of nitrogen-related defects on optical and electrical behaviour in HfO2-xNx deposited by high-power impulse magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Murdoch, B. J.; Ganesan, R.; McKenzie, D. R.; Bilek, M. M. M.; McCulloch, D. G.; Partridge, J. G.

    2015-09-01

    HfO2-xNx films have been deposited by high-power impulse magnetron sputtering in an Ar-O2-N2 atmosphere with a series of nitrogen partial pressures. X-ray absorption spectroscopy revealed the optimum deposition conditions required to passivate O vacancies in the HfO2-xNx films by nitrogen. Low-mobility interstitial species prevent crystallisation of nitrogen-incorporated films. These effects combine to remove leakage paths resulting in superior breakdown strengths compared to films deposited without nitrogen. The bandgap was maintained at ˜5.9 eV in the films in which nitrogen passivated the oxygen vacancies. This is essential to provide sufficient band offsets for HfO2-xNx films to be used an effective gate dielectric.

  12. Nano-scale zirconia and hafnia dielectrics grown by atomic layer deposition: Crystallinity, interface structures and electrical properties

    NASA Astrophysics Data System (ADS)

    Kim, Hyoungsub

    With the continued scaling of transistors, leakage current densities across the SiO2 gate dielectric have increased enormously through direct tunneling. Presently, metal oxides having higher dielectric constants than SiO2 are being investigated to reduce the leakage current by increasing the physical thickness of the dielectric. Many possible techniques exist for depositing high-kappa gate dielectrics. Atomic layer deposition (ALD) has drawn attention as a method for preparing ultrathin metal oxide layers with excellent electrical characteristics and near-perfect film conformality due to the layer-by-layer nature of the deposition mechanism. For this research, an ALD system using ZrCl4/HfCl4 and H2O was built and optimized. The microstructural and electrical properties of ALD-ZrO2 and HfO2 grown on SiO2/Si substrates were investigated and compared using various characterization tools. In particular, the crystallization kinetics of amorphous ALD-HfO2 films were studied using in-situ annealing experiments in a TEM. The effect of crystallization on the electrical properties of ALD-HfO 2 was also investigated using various in-situ and ex-situ post-deposition anneals. Our results revealed that crystallization had little effect on the magnitude of the gate leakage current or on the conduction mechanisms. Building upon the results for each metal oxide separately, more advanced investigations were made. Several nanolaminate structures using ZrO2 and HfO2 with different sequences and layer thicknesses were characterized. The effects of the starting microstructure on the microstructural evolution of nanolaminate stacks were studied. Additionally, a promising new approach for engineering the thickness of the SiO2-based interface layer between the metal oxide and silicon substrate after deposition of the metal oxide layer was suggested. Through experimental measurements and thermodynamic analysis, it is shown that a Ti overlayer, which exhibits a high oxygen solubility, can

  13. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface.

    PubMed

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-10-07

    Silicon nanowire field effect transistor sensors with SiO(2)/HfO(2) as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO(2) as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  14. Improved integration of ultra-thin high-k dielectrics in few-layer MoS2 FET by remote forming gas plasma pretreatment

    NASA Astrophysics Data System (ADS)

    Wang, Xiao; Zhang, Tian-Bao; Yang, Wen; Zhu, Hao; Chen, Lin; Sun, Qing-Qing; Zhang, David Wei

    2017-01-01

    The effective and high-quality integration of high-k dielectrics on two-dimensional (2D) crystals is essential to the device structure engineering and performance improvement of field-effect transistor (FET) based on the 2D semiconductors. We report a 2D MoS2 transistor with ultra-thin Al2O3 top-gate dielectric (6.1 nm) and extremely low leakage current. Remote forming gas plasma pretreatment was carried out prior to the atomic layer deposition, providing nucleation sites with the physically adsorbed ions on the MoS2 surface. The top gate MoS2 FET exhibited excellent electrical performance, including high on/off current ratio over 109, subthreshold swing of 85 mV/decade and field-effect mobility of 45.03 cm2/V s. Top gate leakage current less than 0.08 pA/μm2 at 4 MV/cm has been obtained, which is the smallest compared with the reported top-gated MoS2 transistors. Such an optimized integration of high-k dielectric in 2D semiconductor FET with enhanced performance is very attractive, and it paves the way towards the realization of more advanced 2D nanoelectronic devices and integrated circuits.

  15. Diffusion reaction of oxygen in HfO2/SiO2/Si stacks.

    PubMed

    Ferrari, S; Fanciulli, M

    2006-08-03

    We study the oxidation mechanism of silicon in the presence of a thin HfO2 layer. We performed a set of annealing in 18O2 atmosphere on HfO2/SiO2/Si stacks observing the 18O distribution in the SiO2 layer with time-of-flight secondary ion mass spectrometry (ToF-SIMS). The 18O distribution in HfO2/SiO2/Si stacks upon 18O2 annealing suggests that what is responsible for SiO2 growth is the molecular O2, whereas no contribution is found of the atomic oxygen to the oxidation. By studying the dependence of the oxidation velocity from oxygen partial pressure and annealing temperature, we demonstrate that the rate-determining step of the oxidation is the oxygen exchange at the HfO2/SiO2 interface. When moisture is chemisorbed in HfO2 films, the oxidation of the underlying silicon substrate becomes extremely fast and its kinetics can be described as a wet silicon oxidation process. The silicon oxidation during O2 annealing of the atomic layer deposited HfO2/Si is fast in its early stage due to chemisorbed moisture and becomes slow after the first 10 s.

  16. Electronic structure and relative stability of the coherent and semi-coherent HfO2/III-V interfaces

    NASA Astrophysics Data System (ADS)

    Lahti, A.; Levämäki, H.; Mäkelä, J.; Tuominen, M.; Yasir, M.; Dahl, J.; Kuzmin, M.; Laukkanen, P.; Kokko, K.; Punkkinen, M. P. J.

    2018-01-01

    III-V semiconductors are prominent alternatives to silicon in metal oxide semiconductor devices. Hafnium dioxide (HfO2) is a promising oxide with a high dielectric constant to replace silicon dioxide (SiO2). The potentiality of the oxide/III-V semiconductor interfaces is diminished due to high density of defects leading to the Fermi level pinning. The character of the harmful defects has been intensively debated. It is very important to understand thermodynamics and atomic structures of the interfaces to interpret experiments and design methods to reduce the defect density. Various realistic gap defect state free models for the HfO2/III-V(100) interfaces are presented. Relative energies of several coherent and semi-coherent oxide/III-V semiconductor interfaces are determined for the first time. The coherent and semi-coherent interfaces represent the main interface types, based on the Ga-O bridges and As (P) dimers, respectively.

  17. Random telegraph noise in 2D hexagonal boron nitride dielectric films

    NASA Astrophysics Data System (ADS)

    Ranjan, A.; Puglisi, F. M.; Raghavan, N.; O'Shea, S. J.; Shubhakar, K.; Pavan, P.; Padovani, A.; Larcher, L.; Pey, K. L.

    2018-03-01

    This study reports the observation of low frequency random telegraph noise (RTN) in a 2D layered hexagonal boron nitride dielectric film in the pre- and post-soft breakdown phases using conductive atomic force microscopy as a nanoscale spectroscopy tool. The RTN traces of the virgin and electrically stressed dielectric (after percolation breakdown) were compared, and the signal features were statistically analyzed using the Factorial Hidden Markov Model technique. We observe a combination of both two-level and multi-level RTN signals in h-BN, akin to the trends commonly observed for bulk oxides such as SiO2 and HfO2. Experimental evidence suggests frequent occurrence of unstable and anomalous RTN traces in 2D dielectrics which makes extraction of defect energetics challenging.

  18. High Efficient Ultra-Thin Flat Optics Based on Dielectric Metasurfaces

    NASA Astrophysics Data System (ADS)

    Ozdemir, Aytekin

    Metasurfaces which emerged as two-dimensional counterparts of metamaterials, facilitate the realization of arbitrary phase distributions using large arrays with subwavelength and ultra-thin features. Even if metasurfaces are ultra-thin, they still effectively manipulate the phase, amplitude, and polarization of light in transmission or reflection mode. In contrast, conventional optical components are bulky, and they lose their functionality at sub-wavelength scales, which requires conceptually new types of nanoscale optical devices. On the other hand, as the optical systems shrink in size day by day, conventional bulky optical components will have tighter alignment and fabrication tolerances. Since metasurfaces can be fabricated lithographically, alignment can be done during lithographic fabrication, thus eliminating the need for post-fabrication alignments. In this work, various types of metasurface applications are thoroughly investigated for robust wavefront engineering with enhanced characteristics in terms of broad bandwidth, high efficiency and active tunability, while beneficial for application. Plasmonic metasurfaces are not compatible with the CMOS process flow, and, additionally their high absorption and ohmic loss is problematic in transmission based applications. Dielectric metasurfaces, however, offer a strong magnetic response at optical frequencies, and thus they can offer great opportunities for interacting not only with the electric component of a light field, but also with its magnetic component. They show great potential to enable practical device functionalities at optical frequencies, which motivates us to explore them one step further on wavefront engineering and imaging sensor platforms. Therefore, we proposed an efficient ultra-thin flat metalens at near-infrared regime constituted by silicon nanodisks which can support both electric and magnetic dipolar Mie-type resonances. These two dipole resonances can be overlapped at the same frequency

  19. Ferroelectric transistors with monolayer molybdenum disulfide and ultra-thin aluminum-doped hafnium oxide

    NASA Astrophysics Data System (ADS)

    Yap, Wui Chung; Jiang, Hao; Liu, Jialun; Xia, Qiangfei; Zhu, Wenjuan

    2017-07-01

    In this letter, we demonstrate ferroelectric memory devices with monolayer molybdenum disulfide (MoS2) as the channel material and aluminum (Al)-doped hafnium oxide (HfO2) as the ferroelectric gate dielectric. Metal-ferroelectric-metal capacitors with 16 nm thick Al-doped HfO2 are fabricated, and a remnant polarization of 3 μC/cm2 under a program/erase voltage of 5 V is observed. The capability of potential 10 years data retention was estimated using extrapolation of the experimental data. Ferroelectric transistors based on embedded ferroelectric HfO2 and MoS2 grown by chemical vapor deposition are fabricated. Clockwise hysteresis is observed at low program/erase voltages due to slow bulk traps located near the 2D/dielectric interface, while counterclockwise hysteresis is observed at high program/erase voltages due to ferroelectric polarization. In addition, the endurances of the devices are tested, and the effects associated with ferroelectric materials, such as the wake-up effect and polarization fatigue, are observed. Reliable writing/reading in MoS2/Al-doped HfO2 ferroelectric transistors over 2 × 104 cycles is achieved. This research can potentially lead to advances of two-dimensional (2D) materials in low-power logic and memory applications.

  20. Interface composition of InAs nanowires with Al2O3 and HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Timm, R.; Hjort, M.; Fian, A.; Borg, B. M.; Thelander, C.; Andersen, J. N.; Wernersson, L.-E.; Mikkelsen, A.

    2011-11-01

    Vertical InAs nanowires (NWs) wrapped by a thin high-κ dielectric layer may be a key to the next generation of high-speed metal-oxide-semiconductor devices. Here, we have investigated the structure and chemical composition of the interface between InAs NWs and 2 nm thick Al2O3 and HfO2 films. The native oxide on the NWs is significantly reduced upon high-κ deposition, although less effective than for corresponding planar samples, resulting in a 0.8 nm thick interface layer with an In-/As-oxide composition of about 0.7/0.3. The exact oxide reduction and composition including As-suboxides and the role of the NW geometry are discussed in detail.

  1. Electrical properties of HfO2 high- k thin-film MOS capacitors for advanced CMOS technology

    NASA Astrophysics Data System (ADS)

    Khairnar, A. G.; Patil, L. S.; Salunke, R. S.; Mahajan, A. M.

    2015-11-01

    We deposited the hafnium dioxide (HfO2) thin films on p-Si (100) substrates. The thin films were deposited with deposition time variations, viz 2, 4, 7 and 20 min using RF-sputtering technique. The thickness and refractive index of the films were measured using spectroscopic ellipsometer. The thicknesses of the films were measured to be 13.7, 21.9, 35.38 and 92.2 nm and refractive indices of 1.90, 1.93, 1.99 and 1.99, respectively, of the films deposited for 2, 4, 7 and 20 min deposition time. The crystal structures of the deposited HfO2 thin films were determined using XRD spectra and showed the monoclinic structure, confirmed with the ICDD card no 34-0104. Aluminum metallization was carried to form the Al/HfO2/ p-Si MOS structures by using thermal evaporation system with electrode area of 12.56 × 10-4 cm2. Capacitance voltage and current voltage measurements were taken to know electrical behavior of these fabricated MOS structures. The electrical parameters such as dielectric constant, flat-band shift and interface trap density determined through CV measurement were 7.99, 0.11 V and 6.94 × 1011 eV-1 cm-2, respectively. The low leakage current density was obtained from IV measurement of fabricated MOS structure at 1.5 V is 4.85 × 10-10 Acm-2. Aforesaid properties explored the suitability of the fabricated HfO2 high- k-based MOS capacitors for advanced CMOS technology.

  2. Oxygen defect induced photoluminescence of HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Ni, Jie; Zhou, Qin; Li, Zhengcao; Zhang, Zhengjun

    2008-07-01

    Amorphous HfO2 films prepared by e-beam deposition exhibited room-temperature photoluminescence (PL) in the visible range, i.e., at ˜620 and 700nm, due to oxygen vacancies involved during deposition. This PL can be enhanced by two orders in intensity by crystallizing the amorphous films in flowing argon, where a large amount of oxygen vacancies were introduced, and can be diminished by removal of the oxygen vacancies by annealing HfO2 films in oxygen. This study could help understand the defect-property relationship and provides ways to tune the PL property of HfO2 films.

  3. Low voltage operation of IGZO thin film transistors enabled by ultrathin Al2O3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Ma, Pengfei; Du, Lulu; Wang, Yiming; Jiang, Ran; Xin, Qian; Li, Yuxiang; Song, Aimin

    2018-01-01

    An ultrathin, 5 nm, Al2O3 film grown by atomic-layer deposition was used as a gate dielectric for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). The Al2O3 layer showed a low surface roughness of 0.15 nm, a low leakage current, and a high breakdown voltage of 6 V. In particular, a very high gate capacitance of 720 nF/cm2 was achieved, making it possible for the a-IGZO TFTs to not only operate at a low voltage of 1 V but also exhibit desirable properties including a low threshold voltage of 0.3 V, a small subthreshold swing of 100 mV/decade, and a high on/off current ratio of 1.2 × 107. Furthermore, even under an ultralow operation voltage of 0.6 V, well-behaved transistor characteristics were still observed with an on/off ratio as high as 3 × 106. The electron transport through the Al2O3 layer has also been analyzed, indicating the Fowler-Nordheim tunneling mechanism.

  4. Synthesis, integration, and characterization of metal oxide films as alternative gate dielectric materials

    NASA Astrophysics Data System (ADS)

    Lin, You-Sheng

    ZrO2 and HfO2 were investigated in this study to replace SiO2 as the potential gate dielectric materials in metal-oxide-semiconductor field effect transistors. ZrO2 and HfO2 films were deposited on p-type Si (100) wafers by an atomic layer chemical vapor deposition (ALCVD) process using zirconium (IV) t-butoxide and hafnium (IV) t-butoxide as the metal precursors, respectively. Oxygen was used alternatively with these metal alkoxide precursors into the reactor with purging and evacuation in between. The as-deposited ZrO2 and HfO2 films were stoichiometric and uniform based on X-ray photoemission spectroscopy and ellipsometry measurements. X-ray diffraction analysis indicated that the deposited films were amorphous, however, the high-resolution transmission electron microscopy showed an interfacial layer formation on the silicon substrate. Time-of-flight secondary ion mass spectrometry and medium energy ion scattering analysis showed significant intermixing between metal oxides and Si, indicating the formation of metal silicates, which were confirmed by their chemical etching resistance in HF solutions. The thermal stability of ZrO2 and HfO2 thin films on silicon was examined by monitoring their decomposition temperatures in ultra-high vacuum, using in-situ synchrotron radiation ultra-violet photoemission spectroscopy. The as-deposited ZrO2 and HfO2 thin films were thermally stable up to 880°C and 950°C in vacuum, respectively. The highest achieveable dielectric constants of as-deposited ZrO 2 and HfO2 were 21 and 24, respectively, which were slightly lower than the reported dielectric constants of bulk ZrO2 and HfO 2. These slight reductions in dielectric constants were attributed to the formation of the interfacial metal silicate layers. Very small hysteresis and interface state density were observed for both metal oxide films. Their leakage currents were a few orders of magnitude lower than that of SiO 2 at the same equivalent oxide thickness. NMOSFETs were

  5. Improvement in negative bias illumination stress stability of In-Ga-Zn-O thin film transistors using HfO2 gate insulators by controlling atomic-layer-deposition conditions

    NASA Astrophysics Data System (ADS)

    Na, So-Yeong; Kim, Yeo-Myeong; Yoon, Da-Jeong; Yoon, Sung-Min

    2017-12-01

    The effects of atomic layer deposition (ALD) conditions for the HfO2 gate insulators (GI) on the device characteristics of the InGaZnO (IGZO) thin film transistors (TFTs) were investigated when the ALD temperature and Hf precursor purge time were varied to 200, 225, and 250 °C, and 15 and 30 s, respectively. The HfO2 thin films showed low leakage current density of 10-8 A cm-2, high dielectric constant of over 20, and smooth surface roughness at all ALD conditions. The IGZO TFTs using the HfO2 GIs showed good device characteristics such as a saturation mobility as high as 11 cm2 V-1 s-1, a subthreshold swing as low as 0.10 V/dec, and all the devices could be operated at a gate voltage as low as  ±3 V. While there were no marked differences in transfer characteristics and PBS stabilities among the fabricated devices, the NBIS instabilities could be improved by increasing the ALD temperature for the formation of HfO2 GIs by reducing the oxygen vacancies within the IGZO channel.

  6. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  7. Large-Scale Precise Printing of Ultrathin Sol-Gel Oxide Dielectrics for Directly Patterned Solution-Processed Metal Oxide Transistor Arrays.

    PubMed

    Lee, Won-June; Park, Won-Tae; Park, Sungjun; Sung, Sujin; Noh, Yong-Young; Yoon, Myung-Han

    2015-09-09

    Ultrathin and dense metal oxide gate di-electric layers are reported by a simple printing of AlOx and HfOx sol-gel precursors. Large-area printed indium gallium zinc oxide (IGZO) thin-film transistor arrays, which exhibit mobilities >5 cm(2) V(-1) s(-1) and gate leakage current of 10(-9) A cm(-2) at a very low operation voltage of 2 V, are demonstrated by continuous simple bar-coated processes. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. SIMS study of oxygen diffusion in monoclinic HfO2

    NASA Astrophysics Data System (ADS)

    Mueller, Michael P.; De Souza, Roger A.

    2018-01-01

    The diffusion of oxygen in dense ceramics of monoclinic HfO2 was studied by means of (18O/16O) isotope exchange annealing and subsequent determination of isotope depth profiles by Secondary Ion Mass Spectrometry. Anneals were performed in the temperature range of 573 ≤T /K ≤ 973 at an oxygen partial pressure of p O2=200 mbar . All measured isotope profiles exhibited two features: the first feature, closer to the surface, was attributed mainly to slow oxygen diffusion in an impurity silicate phase; the second feature, deeper in the sample, was attributed to oxygen diffusion in bulk monoclinic HfO2 . The activation enthalpy of oxygen tracer diffusion in bulk HfO2 was found to be ΔHD∗≈0.5 eV .

  9. Material parameters from frequency dispersion simulation of floating gate memory with Ge nanocrystals in HfO2

    NASA Astrophysics Data System (ADS)

    Palade, C.; Lepadatu, A. M.; Slav, A.; Lazanu, S.; Teodorescu, V. S.; Stoica, T.; Ciurea, M. L.

    2018-01-01

    Trilayer memory capacitors with Ge nanocrystals (NCs) floating gate in HfO2 were obtained by magnetron sputtering deposition on p-type Si substrate followed by rapid thermal annealing at relatively low temperature of 600 °C. The frequency dispersion of capacitance and resistance was measured in accumulation regime of Al/HfO2 gate oxide/Ge NCs in HfO2 floating gate/HfO2 tunnel oxide/SiOx/p-Si/Al memory capacitors. For simulation of the frequency dispersion a complex circuit model was used considering an equivalent parallel RC circuit for each layer of the trilayer structure. A series resistance due to metallic contacts and Si substrate was necessary to be included in the model. A very good fit to the experimental data was obtained and the parameters of each layer in the memory capacitor, i.e. capacitances and resistances were determined and in turn the intrinsic material parameters, i.e. dielectric constants and resistivities of layers were evaluated. The results are very important for the study and optimization of the hysteresis behaviour of floating gate memories based on NCs embedded in oxide.

  10. CMUTs with high-K atomic layer deposition dielectric material insulation layer.

    PubMed

    Xu, Toby; Tekes, Coskun; Degertekin, F

    2014-12-01

    Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (Six)Ny)) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2) such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD Six)Ny) and 100-nm HfO2) insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure.

  11. CMUTs with High-K Atomic Layer Deposition Dielectric Material Insulation Layer

    PubMed Central

    Xu, Toby; Tekes, Coskun; Degertekin, F. Levent

    2014-01-01

    Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (SixNy) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2 such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD SixNy and 100-nm HfO2 insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure. PMID:25474786

  12. Memristor and selector devices fabricated from HfO2-xNx

    NASA Astrophysics Data System (ADS)

    Murdoch, B. J.; McCulloch, D. G.; Ganesan, R.; McKenzie, D. R.; Bilek, M. M. M.; Partridge, J. G.

    2016-04-01

    Monoclinic HfO2-xNx has been incorporated into two-terminal devices exhibiting either memristor or selector operation depending on the controlled inclusion/suppression of mobile oxygen vacancies. In HfO2 memristors containing oxygen vacancies, gradual conductance modulation, short-term plasticity, and long-term potentiation were observed using appropriate voltage-spike stimulation, suggesting suitability for artificial neural networks. Passivation of oxygen vacancies, confirmed by X-ray absorption spectroscopy, was achieved in HfO2-xNx films by the addition of nitrogen during growth. Selector devices formed on these films exhibited threshold switching and current controlled negative differential resistance consistent with thermally driven insulator to metal transitions.

  13. Single layer of Ge quantum dots in HfO2 for floating gate memory capacitors.

    PubMed

    Lepadatu, A M; Palade, C; Slav, A; Maraloiu, A V; Lazanu, S; Stoica, T; Logofatu, C; Teodorescu, V S; Ciurea, M L

    2017-04-28

    High performance trilayer memory capacitors with a floating gate of a single layer of Ge quantum dots (QDs) in HfO 2 were fabricated using magnetron sputtering followed by rapid thermal annealing (RTA). The layer sequence of the capacitors is gate HfO 2 /floating gate of single layer of Ge QDs in HfO 2 /tunnel HfO 2 /p-Si wafers. Both Ge and HfO 2 are nanostructured by RTA at moderate temperatures of 600-700 °C. By nanostructuring at 600 °C, the formation of a single layer of well separated Ge QDs with diameters of 2-3 nm at a density of 4-5 × 10 15 m -2 is achieved in the floating gate (intermediate layer). The Ge QDs inside the intermediate layer are arranged in a single layer and are separated from each other by HfO 2 nanocrystals (NCs) about 8 nm in diameter with a tetragonal/orthorhombic structure. The Ge QDs in the single layer are located at the crossing of the HfO 2 NCs boundaries. In the intermediate layer, besides Ge QDs, a part of the Ge atoms is segregated by RTA at the HfO 2 NCs boundaries, while another part of the Ge atoms is present inside the HfO 2 lattice stabilizing the tetragonal/orthorhombic structure. The fabricated capacitors show a memory window of 3.8 ± 0.5 V and a capacitance-time characteristic with 14% capacitance decay in the first 3000-4000 s followed by a very slow capacitance decrease extrapolated to 50% after 10 years. This high performance is mainly due to the floating gate of a single layer of well separated Ge QDs in HfO 2 , distanced from the Si substrate by the tunnel oxide layer with a precise thickness.

  14. Ferroelectricity in epitaxial Y-doped HfO2 thin film integrated on Si substrate

    NASA Astrophysics Data System (ADS)

    Lee, K.; Lee, T. Y.; Yang, S. M.; Lee, D. H.; Park, J.; Chae, S. C.

    2018-05-01

    We report on the ferroelectricity of a Y-doped HfO2 thin film epitaxially grown on Si substrate, with an yttria-stabilized zirconia buffer layer pre-deposited on the substrate. Piezoresponse force microscopy results show the ferroelectric domain pattern, implying the existence of ferroelectricity in the epitaxial HfO2 film. The epitaxially stabilized HfO2 film in the form of a metal-ferroelectric-insulator-semiconductor structure exhibits ferroelectric hysteresis with a clear ferroelectric switching current in polarization-voltage measurements. The HfO2 thin film also demonstrates ferroelectric retention comparable to that of current perovskite-based metal-ferroelectric-insulator-semiconductor structures.

  15. Role of HfO 2/SiO 2 thin-film interfaces in near-ultraviolet absorption and pulsed laser damage

    DOE PAGES

    Papernov, Semyon; Kozlov, Alexei A.; Oliver, James B.; ...

    2016-07-15

    Here, the role of thin-film interfaces in the near-ultraviolet (near-UV) absorption and pulsed laser-induced damage was studied for ion-beam-sputtered and electron-beam-evaporated coatings comprised from HfO 2 and SiO 2 thin-film pairs. To separate contributions from the bulk of the film and from interfacial areas, absorption and damage threshold measurements were performed for a one-wave (355-nm wavelength) thick, HfO 2 single-layer film and for a film containing seven narrow HfO 2 layers separated by SiO 2 layers. The seven-layer film was designed to have a total optical thickness of HfO 2 layers, equal to one wave at 355 nm and anmore » E-field peak and average intensity similar to a single-layer HfO 2 film. Absorption in both types of films was measured using laser calorimetry and photothermal heterodyne imaging. The results showed a small contribution to total absorption from thin-film interfaces as compared to HfO 2 film material. The relevance of obtained absorption data to coating near-UV, nanosecond-pulse laser damage was verified by measuring the damage threshold and characterizing damage morphology. The results of this study revealed a higher damage resistance in the seven-layer coating as compared to the single-layer HfO 2 film in both sputtered and evaporated coatings. The results are explained through the similarity of interfacial film structure with structure formed during the codeposition of HfO 2 and SiO 2 materials.« less

  16. Evolutionary search for new high-k dielectric materials: methodology and applications to hafnia-based oxides.

    PubMed

    Zeng, Qingfeng; Oganov, Artem R; Lyakhov, Andriy O; Xie, Congwei; Zhang, Xiaodong; Zhang, Jin; Zhu, Qiang; Wei, Bingqing; Grigorenko, Ilya; Zhang, Litong; Cheng, Laifei

    2014-02-01

    High-k dielectric materials are important as gate oxides in microelectronics and as potential dielectrics for capacitors. In order to enable computational discovery of novel high-k dielectric materials, we propose a fitness model (energy storage density) that includes the dielectric constant, bandgap, and intrinsic breakdown field. This model, used as a fitness function in conjunction with first-principles calculations and the global optimization evolutionary algorithm USPEX, efficiently leads to practically important results. We found a number of high-fitness structures of SiO2 and HfO2, some of which correspond to known phases and some of which are new. The results allow us to propose characteristics (genes) common to high-fitness structures--these are the coordination polyhedra and their degree of distortion. Our variable-composition searches in the HfO2-SiO2 system uncovered several high-fitness states. This hybrid algorithm opens up a new avenue for discovering novel high-k dielectrics with both fixed and variable compositions, and will speed up the process of materials discovery.

  17. Al2O3 Passivation Effect in HfO2·Al2O3 Laminate Structures Grown on InP Substrates.

    PubMed

    Kang, Hang-Kyu; Kang, Yu-Seon; Kim, Dae-Kyoung; Baik, Min; Song, Jin-Dong; An, Youngseo; Kim, Hyoungsub; Cho, Mann-Ho

    2017-05-24

    The passivation effect of an Al 2 O 3 layer on the electrical properties was investigated in HfO 2 -Al 2 O 3 laminate structures grown on indium phosphide (InP) substrate by atomic-layer deposition. The chemical state obtained using high-resolution X-ray photoelectron spectroscopy showed that interfacial reactions were dependent on the presence of the Al 2 O 3 passivation layer and its sequence in the HfO 2 -Al 2 O 3 laminate structures. Because of the interfacial reaction, the Al 2 O 3 /HfO 2 /Al 2 O 3 structure showed the best electrical characteristics. The top Al 2 O 3 layer suppressed the interdiffusion of oxidizing species into the HfO 2 films, whereas the bottom Al 2 O 3 layer blocked the outdiffusion of In and P atoms. As a result, the formation of In-O bonds was more effectively suppressed in the Al 2 O 3 /HfO 2 /Al 2 O 3 /InP structure than that in the HfO 2 -on-InP system. Moreover, conductance data revealed that the Al 2 O 3 layer on InP reduces the midgap traps to 2.6 × 10 12 eV -1 cm -2 (compared to that of HfO 2 /InP, that is, 5.4 × 10 12 eV -1 cm -2 ). The suppression of gap states caused by the outdiffusion of In atoms significantly controls the degradation of capacitors caused by leakage current through the stacked oxide layers.

  18. Light Coupling and Trapping in Ultrathin Cu(In,Ga)Se2 Solar Cells Using Dielectric Scattering Patterns.

    PubMed

    van Lare, Claire; Yin, Guanchao; Polman, Albert; Schmid, Martina

    2015-10-27

    We experimentally demonstrate photocurrent enhancement in ultrathin Cu(In,Ga)Se2 (CIGSe) solar cells with absorber layers of 460 nm by nanoscale dielectric light scattering patterns printed by substrate conformal imprint lithography. We show that patterning the front side of the device with TiO2 nanoparticle arrays results in a small photocurrent enhancement in almost the entire 400-1200 nm spectral range due to enhanced light coupling into the cell. Three-dimensional finite-difference time-domain simulations are in good agreement with external quantum efficiency measurements. Patterning the Mo/CIGSe back interface using SiO2 nanoparticles leads to strongly enhanced light trapping, increasing the efficiency from 11.1% for a flat to 12.3% for a patterned cell. Simulations show that optimizing the array geometry could further improve light trapping. Including nanoparticles at the Mo/CIGSe interface leads to substantially reduced parasitic absorption in the Mo back contact. Parasitic absorption in the back contact can be further reduced by fabricating CIGSe cells on top of a SiO2-patterned In2O3:Sn (ITO) back contact. Simulations show that these semitransparent cells have similar spectrally averaged reflection and absorption in the CIGSe active layer as a Mo-based patterned cell, demonstrating that the absorption losses in the Mo can be partially turned into transmission through the semitransparent geometry.

  19. Nanomechanical study of amorphous and polycrystalline ALD HfO2 thin films

    Treesearch

    K. Tapily; J.E. Jakes; D. Gu; H. Baumgart; A.A. Elmustafa

    2011-01-01

    Thin films of hafnium oxide (HfO2) were deposited by atomic layer deposition (ALD). The structural properties of the deposited films were characterised by transmission electron microscopy (TEM) and X-ray diffraction (XRD). We investigated the effect of phase transformations induced by thermal treatments on the mechanical properties of ALD HfO

  20. Thermal Conductivity and Water Vapor Stability of Ceramic HfO2-Based Coating Materials

    NASA Technical Reports Server (NTRS)

    Zhu, Dong-Ming; Fox, Dennis S.; Bansal, Narottam P.; Miller, Robert A.

    2004-01-01

    HfO2-Y2O3 and La2Zr2O7 are candidate thermal/environmental barrier coating materials for gas turbine ceramic matrix composite (CMC) combustor liner applications because of their relatively low thermal conductivity and high temperature capability. In this paper, thermal conductivity and high temperature phase stability of plasma-sprayed coatings and/or hot-pressed HfO2-5mol%Y2O3, HfO2-15mol%Y2O3 and La2Zr2O7 were evaluated at temperatures up to 1700 C using a steady-state laser heat-flux technique. Sintering behavior of the plasma-sprayed coatings was determined by monitoring the thermal conductivity increases during a 20-hour test period at various temperatures. Durability and failure mechanisms of the HfO2-Y2O3 and La2Zr2O7 coatings on mullite/SiC Hexoloy or CMC substrates were investigated at 1650 C under thermal gradient cyclic conditions. Coating design and testing issues for the 1650 C thermal/environmental barrier coating applications will also be discussed.

  1. Evaluation of border traps and interface traps in HfO2/MoS2 gate stacks by capacitance–voltage analysis

    NASA Astrophysics Data System (ADS)

    Zhao, Peng; Khosravi, Ava; Azcatl, Angelica; Bolshakov, Pavel; Mirabelli, Gioele; Caruso, Enrico; Hinkle, Christopher L.; Hurley, Paul K.; Wallace, Robert M.; Young, Chadwin D.

    2018-07-01

    Border traps and interface traps in HfO2/few-layer MoS2 top-gate stacks are investigated by C–V characterization. Frequency dependent C–V data shows dispersion in both the depletion and accumulation regions for the MoS2 devices. The border trap density is extracted with a distributed model, and interface traps are analyzed using the high-low frequency and multi-frequency methods. The physical origins of interface traps appear to be caused by impurities/defects in the MoS2 layers, performing as band tail states, while the border traps are associated with the dielectric, likely a consequence of the low-temperature deposition. This work provides a method of using multiple C–V measurements and analysis techniques to analyze the behavior of high-k/TMD gate stacks and deconvolute border traps from interface traps.

  2. Ultrathin ZnO interfacial passivation layer for atomic layer deposited ZrO2 dielectric on the p-In0.2Ga0.8As substrate

    NASA Astrophysics Data System (ADS)

    Liu, Chen; Lü, Hongliang; Yang, Tong; Zhang, Yuming; Zhang, Yimen; Liu, Dong; Ma, Zhenqiang; Yu, Weijian; Guo, Lixin

    2018-06-01

    Interfacial and electrical properties were investigated on metal-oxidesemiconductor capacitors (MOSCAPs) fabricated with bilayer ZnO/ZrO2 films by atomic layer deposition (ALD) on p-In0.2Ga0.8As substrates. The ZnO passivated In0.2Ga0.8As MOSCAPs have exhibited significantly improved capacitance-voltage (C-V) characteristics with the suppressed "stretched out" effect, increased accumulation capacitance and reduced accumulation frequency dispersion as well as the lower gate leakage current. In addition, the interface trap density (Dit) estimated by the Terman method was decreased dramatically for ZnO passivated p-In0.2Ga0.8As. The inherent mechanism is attributed to the fact that an ultrathin ZnO IPL employed by ALD prior to ZrO2 dielectric deposition can effectively suppress the formation of defect-related low-k oxides and As-As dimers at the interface, thus effectively improving the interface quality by largely removing the border traps aligned near the valence band edge of the p-In0.2Ga0.8As substrate.

  3. Improved speed and data retention characteristics in flash memory using a stacked HfO2/Ta2O5 charge-trapping layer

    NASA Astrophysics Data System (ADS)

    Zheng, Zhiwei; Huo, Zongliang; Zhang, Manhong; Zhu, Chenxin; Liu, Jing; Liu, Ming

    2011-10-01

    This paper reports the simultaneous improvements in erase speed and data retention characteristics in flash memory using a stacked HfO2/Ta2O5 charge-trapping layer. In comparison to a memory capacitor with a single HfO2 trapping layer, the erase speed of a memory capacitor with a stacked HfO2/Ta2O5 charge-trapping layer is 100 times faster and its memory window is enlarged from 2.7 to 4.8 V for the same ±16 V sweeping voltage range. With the same initial window of ΔVFB = 4 V, the device with a stacked HfO2/Ta2O5 charge-trapping layer has a 3.5 V extrapolated 10-year retention window, while the control device with a single HfO2 trapping layer has only 2.5 V for the extrapolated 10-year window. The present results demonstrate that the device with the stacked HfO2/Ta2O5 charge-trapping layer has a strong potential for future high-performance nonvolatile memory application.

  4. Analysis of thermal stresses in HfO2/SiO2 high reflective optical coatings for high power laser applications

    NASA Astrophysics Data System (ADS)

    Gao, Chunxue; Zhao, Zhiwei; Zhu, Zhuoya; Li, Shuang; Mi, Changwen

    2015-02-01

    HfO2/SiO2 high reflective optical coatings are widely used in high power laser applications because of their high laser damage resistance and appropriate spectral performance. The residual stresses strongly influence the performance and longevity of the optical coatings. Thermal stresses are the primary components of the residual stresses. In the present work, the distribution of thermal stresses in HfO2/SiO2 high reflective optical coatings was investigated using two different computational methods: finite element method (FEM) and an analytical method based on force and moment balances and classical beam bending theory. The results by these two methods were compared and found to be in agreement with each other, demonstrating that these two methods are effective and accurate ways to predict the thermal stresses in HfO2/SiO2 optical coatings. In addition, these two methods were also used to obtain the thermal stresses in HfO2/SiO2 optical coatings with different layer number to investigate the effect of the layer number on the thermal stresses of the HfO2/SiO2 optical coatings. The results show that with the increase of the layer number, the stresses in the substrate increase, while the stresses in the respective SiO2 and HfO2 layers decrease. Besides, it was also found that the radius of curvature of the coating system decreases as the layer number increases, leading to larger bending curvature in the system.

  5. Loss/gain-induced ultrathin antireflection coatings

    PubMed Central

    Luo, Jie; Li, Sucheng; Hou, Bo; Lai, Yun

    2016-01-01

    Tradional antireflection coatings composed of dielectric layers usually require the thickness to be larger than quarter wavelength. Here, we demonstrate that materials with permittivity or permeability dominated by imaginary parts, i.e. lossy or gain media, can realize non-resonant antireflection coatings in deep sub-wavelength scale. Interestingly, while the reflected waves are eliminated as in traditional dielectric antireflection coatings, the transmitted waves can be enhanced or reduced, depending on whether gain or lossy media are applied, respectively. We provide a unified theory for the design of such ultrathin antireflection coatings, showing that under different polarizations and incident angles, different types of ultrathin coatings should be applied. Especially, under transverse magnetic polarization, the requirement shows a switch between gain and lossy media at Brewster angle. As a proof of principle, by using conductive films as a special type of lossy antireflection coatings, we experimentally demonstrate the suppression of Fabry-Pérot resonances in a broad frequency range for microwaves. This valuable functionality can be applied to remove undesired resonant effects, such as the frequency-dependent side lobes induced by resonances in dielectric coverings of antennas. Our work provides a guide for the design of ultrathin antireflection coatings as well as their applications in broadband reflectionless devices. PMID:27349750

  6. Structure and properties of a model conductive filament/host oxide interface in HfO2-based ReRAM

    NASA Astrophysics Data System (ADS)

    Padilha, A. C. M.; McKenna, K. P.

    2018-04-01

    Resistive random-access memory (ReRAM) is a promising class of nonvolatile memory capable of storing information via its resistance state. In the case of hafnium oxide-based devices, experimental evidence shows that a conductive oxygen-deficient filament is formed and broken inside of the device by oxygen migration, leading to switching of its resistance state. However, little is known about the nature of this conductive phase, its interface with the host oxide, or the associated interdiffusion of oxygen, presenting a challenge to understanding the switching mechanism and device properties. To address these problems, we present atomic-scale first-principles simulations of a prototypical conductive phase (HfO), the electronic properties of its interface with HfO2, as well as stability with respect to oxygen diffusion across the interface. We show that the conduction-band offset between HfO and HfO2 is 1.3 eV, smaller than typical electrode-HfO2 band offsets, suggesting that positive charging and band bending should occur at the conductive filament-HfO2 interface. We also show that transfer of oxygen across the interface, from HfO2 into HfO, costs around 1.2 eV per atom and leads to a gradual opening of the HfO band gap, and hence disruption of the electrical conductivity. These results provide invaluable insights into understanding the switching mechanism for HfO2-based ReRAM.

  7. Atomic Layer Deposition of HfO2 and Si Nitride on Ge Substrates

    NASA Astrophysics Data System (ADS)

    Zhu, Shiyang; Nakajima, Anri

    2007-12-01

    Hafnium oxide (HfO2) thin films were deposited on Ge substrates at 300 °C using atomic layer deposition (ALD) with tetrakis(diethylamino)hafnium (termed as TDEAH) as a precursor and water as an oxidant. The deposition rate was estimated to be 0.09 nm/cycle and the deposited HfO2 films have a smooth surface and an almost stoichiometric composition, indicating that the growth follows a layer-by-layer kinetics, similarly to that on Si substrates. Si nitride thin films were also deposited on Ge by ALD using SiCl4 as a precursor and NH3 as an oxidant. Si nitride has a smaller deposition rate of about 0.055 nm/cycle and a larger gate leakage current than HfO2 deposited on Ge by ALD.

  8. Distribution of electron traps in SiO2/HfO2 nMOSFET

    NASA Astrophysics Data System (ADS)

    Xiao-Hui, Hou; Xue-Feng, Zheng; Ao-Chen, Wang; Ying-Zhe, Wang; Hao-Yu, Wen; Zhi-Jing, Liu; Xiao-Wei, Li; Yin-He, Wu

    2016-05-01

    In this paper, the principle of discharge-based pulsed I-V technique is introduced. By using it, the energy and spatial distributions of electron traps within the 4-nm HfO2 layer have been extracted. Two peaks are observed, which are located at ΔE ˜ -1.0 eV and -1.43 eV, respectively. It is found that the former one is close to the SiO2/HfO2 interface and the latter one is close to the gate electrode. It is also observed that the maximum discharge time has little effect on the energy distribution. Finally, the impact of electrical stress on the HfO2 layer is also studied. During stress, no new electron traps and interface states are generated. Meanwhile, the electrical stress also has no impact on the energy and spatial distribution of as-grown traps. The results provide valuable information for theoretical modeling establishment, material assessment, and reliability improvement for advanced semiconductor devices. Project supported by the National Natural Science Foundation of China (Grant Nos. 61334002, 61106106, and 61474091), the New Experiment Development Funds for Xidian University, China (Grant No. SY1434), and the Scientific Research Foundation for the Returned Overseas Chinese Scholars, State Education Ministry, China (Grant No. JY0600132501).

  9. Conduction Mechanism and Improved Endurance in HfO2-Based RRAM with Nitridation Treatment

    NASA Astrophysics Data System (ADS)

    Yuan, Fang-Yuan; Deng, Ning; Shih, Chih-Cheng; Tseng, Yi-Ting; Chang, Ting-Chang; Chang, Kuan-Chang; Wang, Ming-Hui; Chen, Wen-Chung; Zheng, Hao-Xuan; Wu, Huaqiang; Qian, He; Sze, Simon M.

    2017-10-01

    A nitridation treatment technology with a urea/ammonia complex nitrogen source improved resistive switching property in HfO2-based resistive random access memory (RRAM). The nitridation treatment produced a high performance and reliable device which results in superior endurance (more than 109 cycles) and a self-compliance effect. Thus, the current conduction mechanism changed due to defect passivation by nitrogen atoms in the HfO2 thin film. At a high resistance state (HRS), it transferred to Schottky emission from Poole-Frenkel in HfO2-based RRAM. At low resistance state (LRS), the current conduction mechanism was space charge limited current (SCLC) after the nitridation treatment, which suggests that the nitrogen atoms form Hf-N-Ox vacancy clusters (Vo +) which limit electron movement through the switching layer.

  10. Customized binary and multi-level HfO2-x-based memristors tuned by oxidation conditions.

    PubMed

    He, Weifan; Sun, Huajun; Zhou, Yaxiong; Lu, Ke; Xue, Kanhao; Miao, Xiangshui

    2017-08-30

    The memristor is a promising candidate for the next generation non-volatile memory, especially based on HfO 2-x , given its compatibility with advanced CMOS technologies. Although various resistive transitions were reported independently, customized binary and multi-level memristors in unified HfO 2-x material have not been studied. Here we report Pt/HfO 2-x /Ti memristors with double memristive modes, forming-free and low operation voltage, which were tuned by oxidation conditions of HfO 2-x films. As O/Hf ratios of HfO 2-x films increase, the forming voltages, SET voltages, and R off /R on windows increase regularly while their resistive transitions undergo from gradually to sharply in I/V sweep. Two memristors with typical resistive transitions were studied to customize binary and multi-level memristive modes, respectively. For binary mode, high-speed switching with 10 3 pulses (10 ns) and retention test at 85 °C (>10 4 s) were achieved. For multi-level mode, the 12-levels stable resistance states were confirmed by ongoing multi-window switching (ranging from 10 ns to 1 μs and completing 10 cycles of each pulse). Our customized binary and multi-level HfO 2-x -based memristors show high-speed switching, multi-level storage and excellent stability, which can be separately applied to logic computing and neuromorphic computing, further suitable for in-memory computing chip when deposition atmosphere may be fine-tuned.

  11. High performance p-type organic thin film transistors with an intrinsically photopatternable, ultrathin polymer dielectric layer☆

    PubMed Central

    Petritz, Andreas; Wolfberger, Archim; Fian, Alexander; Krenn, Joachim R.; Griesser, Thomas; Stadlober, Barbara

    2013-01-01

    A high-performing bottom-gate top-contact pentacene-based oTFT technology with an ultrathin (25–48 nm) and electrically dense photopatternable polymeric gate dielectric layer is reported. The photosensitive polymer poly((±)endo,exo-bicyclo[2.2.1]hept-5-ene-2,3-dicarboxylic acid, diphenylester) (PNDPE) is patterned directly by UV-exposure (λ = 254 nm) at a dose typical for conventionally used negative photoresists without the need for any additional photoinitiator. The polymer itself undergoes a photo-Fries rearrangement reaction under UV illumination, which is accompanied by a selective cross-linking of the macromolecules, leading to a change in solubility in organic solvents. This crosslinking reaction and the negative photoresist behavior are investigated by means of sol–gel analysis. The resulting transistors show a field-effect mobility up to 0.8 cm2 V−1 s−1 at an operation voltage as low as −4.5 V. The ultra-low subthreshold swing in the order of 0.1 V dec−1 as well as the completely hysteresis-free transistor characteristics are indicating a very low interface trap density. It can be shown that the device performance is completely stable upon UV-irradiation and development according to a very robust chemical rearrangement. The excellent interface properties, the high stability and the small thickness make the PNDPE gate dielectric a promising candidate for fast organic electronic circuits. PMID:24748853

  12. Effect of oxygen vacancies and strain on the phonon spectrum of HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Gao, Lingyuan; Yalon, Eilam; Chew, Annabel R.; Deshmukh, Sanchit; Salleo, Alberto; Pop, Eric; Demkov, Alexander A.

    2017-06-01

    The effect of strain and oxygen deficiency on the Raman spectrum of monoclinic HfO2 is investigated theoretically using first-principles calculations. 1% in-plane compressive strain applied to a and c axes is found to blue shift the phonon frequencies, while 1% tensile strain does the opposite. The simulations are compared, and good agreement is found with the experimental results of Raman frequencies greater than 110 cm-1 for 50 nm HfO2 thin films. Several Raman modes measured below 110 cm-1 and previously assigned to HfO2 are found to be rotational modes of gases present in air ambient (nitrogen and oxygen). However, localized vibrational modes introduced by threefold-coordinated oxygen (O3) vacancies are identified at 96.4 cm-1 computationally. These results are important for a deeper understanding of vibrational modes in HfO2, which has technological applications in transistors and particularly in resistive random-access memory whose operation relies on oxygen-deficient HfOx.

  13. Ferroelectricity and antiferroelectricity of doped thin HfO2-based films.

    PubMed

    Park, Min Hyuk; Lee, Young Hwan; Kim, Han Joon; Kim, Yu Jin; Moon, Taehwan; Kim, Keum Do; Müller, Johannes; Kersch, Alfred; Schroeder, Uwe; Mikolajick, Thomas; Hwang, Cheol Seong

    2015-03-18

    The recent progress in ferroelectricity and antiferroelectricity in HfO2-based thin films is reported. Most ferroelectric thin film research focuses on perovskite structure materials, such as Pb(Zr,Ti)O3, BaTiO3, and SrBi2Ta2O9, which are considered to be feasible candidate materials for non-volatile semiconductor memory devices. However, these conventional ferroelectrics suffer from various problems including poor Si-compatibility, environmental issues related to Pb, large physical thickness, low resistance to hydrogen, and small bandgap. In 2011, ferroelectricity in Si-doped HfO2 thin films was first reported. Various dopants, such as Si, Zr, Al, Y, Gd, Sr, and La can induce ferro-electricity or antiferroelectricity in thin HfO2 films. They have large remanent polarization of up to 45 μC cm(-2), and their coercive field (≈1-2 MV cm(-1)) is larger than conventional ferroelectric films by approximately one order of magnitude. Furthermore, they can be extremely thin (<10 nm) and have a large bandgap (>5 eV). These differences are believed to overcome the barriers of conventional ferroelectrics in memory applications, including ferroelectric field-effect-transistors and three-dimensional capacitors. Moreover, the coupling of electric and thermal properties of the antiferroelectric thin films is expected to be useful for various applications, including energy harvesting/storage, solid-state-cooling, and infrared sensors. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Field-enhanced route to generating anti-Frenkel pairs in HfO2

    NASA Astrophysics Data System (ADS)

    Schie, Marcel; Menzel, Stephan; Robertson, John; Waser, Rainer; De Souza, Roger A.

    2018-03-01

    The generation of anti-Frenkel pairs (oxygen vacancies and oxygen interstitials) in monoclinic and cubic HfO2 under an applied electric field is examined. A thermodynamic model is used to derive an expression for the critical field strength required to generate an anti-Frenkel pair. The critical field strength of EaFcr˜101GVm-1 obtained for HfO2 exceeds substantially the field strengths routinely employed in the forming and switching operations of resistive switching HfO2 devices, suggesting that field-enhanced defect generation is negligible. Atomistic simulations with molecular static (MS) and molecular dynamic (MD) approaches support this finding. The MS calculations indicated a high formation energy of Δ EaF≈8 eV for the infinitely separated anti-Frenkel pair, and only a decrease to Δ EaF≈6 eV for the adjacent anti-Frenkel pair. The MD simulations showed no defect generation in either phase for E <3 GVm-1 , and only sporadic defect generation in the monoclinic phase (at E =3 GVm-1 ) with fast (trec<4 ps ) recombination. At even higher E but below EaFcr both monoclinic and cubic structures became unstable as a result of field-induced deformation of the ionic potential wells. Further MD investigations starting with preexisting anti-Frenkel pairs revealed recombination of all pairs within trec<1 ps , even for the case of neutral vacancies and charged interstitials, for which formally there is no electrostatic attraction between the defects. In conclusion, we find no physically reasonable route to generating point-defects in HfO2 by an applied field.

  15. Phosphorus recovery from biogas slurry by ultrasound/H2O2 digestion coupled with HFO/biochar adsorption process.

    PubMed

    He, Xuemeng; Zhang, Tao; Ren, Hongqiang; Li, Guoxue; Ding, Lili; Pawlowski, Lucjan

    2017-02-01

    Phosphorus (P) recovery from biogas slurry has recently attracted considerable interest. In this work, ultrasound/H 2 O 2 digestion coupled with ferric oxide hydrate/biochar (HFO/biochar) adsorption process was performed to promote P dissolution, release, and recovery from biogas slurry. The results showed that the optimal total phosphorus release efficiency was achieved at an inorganic phosphorus/total phosphorus ratio of 95.0% at pH 4, 1mL of added H 2 O 2 , and ultrasonication for 30min. The P adsorption by the HFO/biochar followed pseudo second-order kinetics and was mainly controlled by chemical processes. The Langmuir-Freundlich model matched the experimental data best for P adsorption by HFO/biochar at 298 and 308K, whereas the Freundlich model matched best at 318K. The maximum amount of P adsorbed was 220mg/g. The process was endothermic, spontaneous, and showed an increase in disorder at the solid-liquid interface. The saturated adsorbed HFO/biochar continually releases P and is most suitable for use in an alkaline environment. The amount of P released reached 29.1mg/g after five extractions. P mass balance calculation revealed that 11.3% of the total P can be made available. Copyright © 2016. Published by Elsevier Ltd.

  16. Nano-Photonic Structures for Light Trapping in Ultra-Thin Crystalline Silicon Solar Cells

    PubMed Central

    Pathi, Prathap; Peer, Akshit; Biswas, Rana

    2017-01-01

    Thick wafer-silicon is the dominant solar cell technology. It is of great interest to develop ultra-thin solar cells that can reduce materials usage, but still achieve acceptable performance and high solar absorption. Accordingly, we developed a highly absorbing ultra-thin crystalline Si based solar cell architecture using periodically patterned front and rear dielectric nanocone arrays which provide enhanced light trapping. The rear nanocones are embedded in a silver back reflector. In contrast to previous approaches, we utilize dielectric photonic crystals with a completely flat silicon absorber layer, providing expected high electronic quality and low carrier recombination. This architecture creates a dense mesh of wave-guided modes at near-infrared wavelengths in the absorber layer, generating enhanced absorption. For thin silicon (<2 μm) and 750 nm pitch arrays, scattering matrix simulations predict enhancements exceeding 90%. Absorption approaches the Lambertian limit at small thicknesses (<10 μm) and is slightly lower (by ~5%) at wafer-scale thicknesses. Parasitic losses are ~25% for ultra-thin (2 μm) silicon and just 1%–2% for thicker (>100 μm) cells. There is potential for 20 μm thick cells to provide 30 mA/cm2 photo-current and >20% efficiency. This architecture has great promise for ultra-thin silicon solar panels with reduced material utilization and enhanced light-trapping. PMID:28336851

  17. Nano-photonic structures for light trapping in ultra-thin crystalline silicon solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pathi, Prathap; Peer, Akshit; Biswas, Rana

    Thick wafer-silicon is the dominant solar cell technology. It is of great interest to develop ultra-thin solar cells that can reduce materials usage, but still achieve acceptable performance and high solar absorption. Accordingly, we developed a highly absorbing ultra-thin crystalline Si based solar cell architecture using periodically patterned front and rear dielectric nanocone arrays which provide enhanced light trapping. The rear nanocones are embedded in a silver back reflector. In contrast to previous approaches, we utilize dielectric photonic crystals with a completely flat silicon absorber layer, providing expected high electronic quality and low carrier recombination. This architecture creates a densemore » mesh of wave-guided modes at near-infrared wavelengths in the absorber layer, generating enhanced absorption. For thin silicon (<2 μm) and 750 nm pitch arrays, scattering matrix simulations predict enhancements exceeding 90%. Absorption approaches the Lambertian limit at small thicknesses (<10 μm) and is slightly lower (by ~5%) at wafer-scale thicknesses. Parasitic losses are ~25% for ultra-thin (2 μm) silicon and just 1%–2% for thicker (>100 μm) cells. There is potential for 20 μm thick cells to provide 30 mA/cm2 photo-current and >20% efficiency. Furthermore, this architecture has great promise for ultra-thin silicon solar panels with reduced material utilization and enhanced light-trapping.« less

  18. Nano-photonic structures for light trapping in ultra-thin crystalline silicon solar cells

    DOE PAGES

    Pathi, Prathap; Peer, Akshit; Biswas, Rana

    2017-01-13

    Thick wafer-silicon is the dominant solar cell technology. It is of great interest to develop ultra-thin solar cells that can reduce materials usage, but still achieve acceptable performance and high solar absorption. Accordingly, we developed a highly absorbing ultra-thin crystalline Si based solar cell architecture using periodically patterned front and rear dielectric nanocone arrays which provide enhanced light trapping. The rear nanocones are embedded in a silver back reflector. In contrast to previous approaches, we utilize dielectric photonic crystals with a completely flat silicon absorber layer, providing expected high electronic quality and low carrier recombination. This architecture creates a densemore » mesh of wave-guided modes at near-infrared wavelengths in the absorber layer, generating enhanced absorption. For thin silicon (<2 μm) and 750 nm pitch arrays, scattering matrix simulations predict enhancements exceeding 90%. Absorption approaches the Lambertian limit at small thicknesses (<10 μm) and is slightly lower (by ~5%) at wafer-scale thicknesses. Parasitic losses are ~25% for ultra-thin (2 μm) silicon and just 1%–2% for thicker (>100 μm) cells. There is potential for 20 μm thick cells to provide 30 mA/cm2 photo-current and >20% efficiency. Furthermore, this architecture has great promise for ultra-thin silicon solar panels with reduced material utilization and enhanced light-trapping.« less

  19. Feature Modeling of HfO2 Atomic Layer Deposition Using HfCl4/H2O

    NASA Astrophysics Data System (ADS)

    Stout, Phillip J.; Adams, Vance; Ventzek, Peter L. G.

    2003-03-01

    A Monte Carlo based feature scale model (Papaya) has been applied to atomic layer deposition (ALD) of HfO2 using HfCl_4/H_20. The model includes physical effects of transport to surface, specular and diffusive reflection within feature, adsorption, surface diffusion, deposition and etching. Discussed will be the 3D feature modeling of HfO2 deposition in assorted features (vias and trenches). The effect of feature aspect ratios, pulse times, cycle number, and temperature on film thickness, feature coverage, and film Cl fraction (surface/bulk) will be discussed. Differences between HfO2 ALD on blanket wafers and in features will be highlighted. For instance, the minimum pulse times sufficient for surface reaction saturation on blanket wafers needs to be increased when depositing on features. Also, HCl products created during the HfCl4 and H_20 pulses are more likely to react within a feature than at the field, reducing OH coverage within the feature (vs blanket wafer) thus limiting the maximum coverage attainable for a pulse over a feature.

  20. Lateral amorphous selenium metal-insulator-semiconductor-insulator-metal photodetectors using ultrathin dielectric blocking layers for dark current suppression

    NASA Astrophysics Data System (ADS)

    Chang, Cheng-Yi; Pan, Fu-Ming; Lin, Jian-Siang; Yu, Tung-Yuan; Li, Yi-Ming; Chen, Chieh-Yang

    2016-12-01

    We fabricated amorphous selenium (a-Se) photodetectors with a lateral metal-insulator-semiconductor-insulator-metal (MISIM) device structure. Thermal aluminum oxide, plasma-enhanced chemical vapor deposited silicon nitride, and thermal atomic layer deposited (ALD) aluminum oxide and hafnium oxide (ALD-HfO2) were used as the electron and hole blocking layers of the MISIM photodetectors for dark current suppression. A reduction in the dark current by three orders of magnitude can be achieved at electric fields between 10 and 30 V/μm. The effective dark current suppression is primarily ascribed to electric field lowering in the dielectric layers as a result of charge trapping in deep levels. Photogenerated carriers in the a-Se layer can be transported across the blocking layers to the Al electrodes via Fowler-Nordheim tunneling because a high electric field develops in the ultrathin dielectric layers under illumination. Since the a-Se MISIM photodetectors have a very low dark current without significant degradation in the photoresponse, the signal contrast is greatly improved. The MISIM photodetector with the ALD-HfO2 blocking layer has an optimal signal contrast more than 500 times the contrast of the photodetector without a blocking layer at 15 V/μm.

  1. Effects of nitrogen incorporation in HfO(2) grown on InP by atomic layer deposition: an evolution in structural, chemical, and electrical characteristics.

    PubMed

    Kang, Yu-Seon; Kim, Dae-Kyoung; Kang, Hang-Kyu; Jeong, Kwang-Sik; Cho, Mann-Ho; Ko, Dae-Hong; Kim, Hyoungsub; Seo, Jung-Hye; Kim, Dong-Chan

    2014-03-26

    We investigated the effects of postnitridation on the structural characteristics and interfacial reactions of HfO2 thin films grown on InP by atomic layer deposition (ALD) as a function of film thickness. By postdeposition annealing under NH3 vapor (PDN) at 600 °C, an InN layer formed at the HfO2/InP interface, and ionized NHx was incorporated in the HfO2 film. We demonstrate that structural changes resulting from nitridation of HfO2/InP depend on the film thickness (i.e., a single-crystal interfacial layer of h-InN formed at thin (2 nm) HfO2/InP interfaces, whereas an amorphous InN layer formed at thick (>6 nm) HfO2/InP interfaces). Consequently, the tetragonal structure of HfO2 transformed into a mixture structure of tetragonal and monoclinic because the interfacial InN layer relieved interfacial strain between HfO2 and InP. During postdeposition annealing (PDA) in HfO2/InP at 600 °C, large numbers of oxidation states were generated as a result of interfacial reactions between interdiffused oxygen impurities and out-diffused InP substrate elements. However, in the case of the PDN of HfO2/InP structures at 600 °C, nitrogen incorporation in the HfO2 film effectively blocked the out-diffusion of atomic In and P, thus suppressing the formation of oxidation states. Accordingly, the number of interfacial defect states (Dit) within the band gap of InP was significantly reduced, which was also supported by DFT calculations. Interfacial InN in HfO2/InP increased the electron-barrier height to ∼0.6 eV, which led to low-leakage-current density in the gate voltage region over 2 V.

  2. Nano-Photonic Structures for Light Trapping in Ultra-Thin Crystalline Silicon Solar Cells.

    PubMed

    Pathi, Prathap; Peer, Akshit; Biswas, Rana

    2017-01-13

    Thick wafer-silicon is the dominant solar cell technology. It is of great interest to develop ultra-thin solar cells that can reduce materials usage, but still achieve acceptable performance and high solar absorption. Accordingly, we developed a highly absorbing ultra-thin crystalline Si based solar cell architecture using periodically patterned front and rear dielectric nanocone arrays which provide enhanced light trapping. The rear nanocones are embedded in a silver back reflector. In contrast to previous approaches, we utilize dielectric photonic crystals with a completely flat silicon absorber layer, providing expected high electronic quality and low carrier recombination. This architecture creates a dense mesh of wave-guided modes at near-infrared wavelengths in the absorber layer, generating enhanced absorption. For thin silicon (<2 μm) and 750 nm pitch arrays, scattering matrix simulations predict enhancements exceeding 90%. Absorption approaches the Lambertian limit at small thicknesses (<10 μm) and is slightly lower (by ~5%) at wafer-scale thicknesses. Parasitic losses are ~25% for ultra-thin (2 μm) silicon and just 1%-2% for thicker (>100 μm) cells. There is potential for 20 μm thick cells to provide 30 mA/cm² photo-current and >20% efficiency. This architecture has great promise for ultra-thin silicon solar panels with reduced material utilization and enhanced light-trapping.

  3. Indium diffusion through high-k dielectrics in high-k/InP stacks

    NASA Astrophysics Data System (ADS)

    Dong, H.; Cabrera, W.; Galatage, R. V.; Santosh KC, Brennan, B.; Qin, X.; McDonnell, S.; Zhernokletov, D.; Hinkle, C. L.; Cho, K.; Chabal, Y. J.; Wallace, R. M.

    2013-08-01

    Evidence of indium diffusion through high-k dielectric (Al2O3 and HfO2) films grown on InP (100) by atomic layer deposition is observed by angle resolved X-ray photoelectron spectroscopy and low energy ion scattering spectroscopy. The analysis establishes that In-out diffusion occurs and results in the formation of a POx rich interface.

  4. Fabrication of ultrathin film capacitors by chemical solution deposition

    DOE PAGES

    Brennecka, Geoff L.; Tuttle, Bruce A.

    2007-10-01

    We present that a facile solution-based processing route using standard spin-coating deposition techniques has been developed for the production of reliable capacitors based on lead lanthanum zirconate titanate (PLZT) with active areas of ≥1 mm 2 and dielectric layer thicknesses down to 50 nm. With careful control of the dielectric phase development through improved processing, ultrathin capacitors exhibited slim ferroelectric hysteresis loops and dielectric constants of >1000, similar to those of much thicker films. Furthermore, it has been demonstrated that chemical solution deposition is a viable route to the production of capacitor films which are as thin as 50 nmmore » but are still macroscopically addressable with specific capacitance values >160 nF/mm 2.« less

  5. Low toxicity of HfO2, SiO2, Al2O3 and CeO2 nanoparticles to the yeast, Saccharomyces cerevisiae.

    PubMed

    García-Saucedo, Citlali; Field, James A; Otero-Gonzalez, Lila; Sierra-Álvarez, Reyes

    2011-09-15

    Increasing use of nanomaterials necessitates an improved understanding of their potential impact on environment health. This study evaluated the cytotoxicity of nanosized HfO(2), SiO(2), Al(2)O(3) and CeO(2) towards the eukaryotic model organism Saccharomyces cerevisiae, and characterized their state of dispersion in bioassay medium. Nanotoxicity was assessed by monitoring oxygen consumption in batch cultures and by analysis of cell membrane integrity. CeO(2), Al(2)O(3), and HfO(2) nanoparticles were highly unstable in yeast medium and formed micron-sized, settleable agglomerates. A non-toxic polyacrylate dispersant (Dispex A40) was used to improve nanoparticle stability and determine the impact of enhanced dispersion on toxicity. None of the NPs tested without dispersant inhibited O(2) uptake by yeast at concentrations as high as 1000 mg/L. Dispersant supplementation only enhanced the toxicity of CeO(2) (47% at 1000 mg/L). Dispersed SiO(2) and Al(2)O(3) (1000 mg/L) caused cell membrane damage, whereas dispersed HfO(2) and CeO(2) did not cause significant disruption of membrane integrity at the same concentration. These results suggest that the O(2) uptake inhibition observed with dispersed CeO(2) NPs was not due to reduced cell viability. This is the first study evaluating toxicity of nanoscale HfO(2), SiO(2), Al(2)O(3) and CeO(2) to S. cerevisiae. Overall the results obtained demonstrate that these nanomaterials display low or no toxicity to yeast. Copyright © 2011 Elsevier B.V. All rights reserved.

  6. Finite element analysis of hollow out-of-plane HfO2 microneedles for transdermal drug delivery applications.

    PubMed

    Zhang, Yong-Hua; A Campbell, Stephen; Karthikeyan, Sreejith

    2018-02-17

    Transdermal drug delivery (TDD) based on microneedles is an excellent approach due to its advantages of both traditional transdermal patch and hypodermic syringes. In this paper, the fabrication method of hollow out-of-layer hafnium oxide (HfO 2 ) microneedles mainly based on deep reactive ion etching of silicon and atomic layer deposition of HfO 2  is described, and the finite element analysis of the microneedles based on ANSYS software is also presented. The fabrication process is simplified by using a single mask. The finite element analysis of a single microneedle shows that the flexibility of the microneedles can be easily adjusted for various applications. The finite element analysis of a 3 × 3 HfO 2 microneedle array applied on the skin well explains the "bed of nail" effect, i.e., the skin is not liable to be pierced when the density of microneedles in array increases. The presented research work here provides useful information for design optimization of HfO 2 microneedles used for TDD applications.

  7. In-situ growth of HfO2 on clean 2H-MoS2 surface: Growth mode, interface reactions and energy band alignment

    NASA Astrophysics Data System (ADS)

    Chen, Chang Pang; Ong, Bin Leong; Ong, Sheau Wei; Ong, Weijie; Tan, Hui Ru; Chai, Jian Wei; Zhang, Zheng; Wang, Shi Jie; Pan, Ji Sheng; Harrison, Leslie John; Kang, Hway Chuan; Tok, Eng Soon

    2017-10-01

    Room temperature growth of HfO2 thin film on clean 2H-MoS2 via plasma-sputtering of Hf-metal target in an argon/oxygen environment was studied in-situ using x-ray photoelectron spectroscopy (XPS). The deposited film was observed to grow akin to a layer-by-layer growth mode. At the onset of growth, a mixture of sulfate- and sulfite-like species (SOx2- where x = 3, 4), and molybdenum trioxide (MoO3), are formed at the HfO2/MoS2 interface. An initial decrease in binding energies for both Mo 3d and S 2p core-levels of the MoS2 substrate by 0.4 eV was also observed. Their binding energies, however, did not change further with increasing HfO2 thickness. There was no observable change in the Hf4f core-level binding energy throughout the deposition process. With increasing HfO2 deposition, MoO3 becomes buried at the interface while SOx2- was observed to be present in the film. The shift of 0.4 eV for both Mo 3d and S 2p core-levels of the MoS2 substrate can be attributed to a charge transfer from the substrate to the MoO3/SOx2--like interface layer. Consequently, the Type I heterojunction valence band offset (conduction band offset) becomes 1.7 eV (2.9 eV) instead of 1.3 eV (3.3 eV) expected from considering the bulk HfO2 and MoS2 valence band offset (conduction band offset). The formation of these states and its influence on band offsets will need to be considered in their device applications.

  8. High-dose neutron irradiation performance of dielectric mirrors

    DOE PAGES

    Nimishakavi Anantha Phani Kiran Kumar; Leonard, Keith J.; Jellison, Jr., Gerald Earle; ...

    2015-05-01

    The study presents the high-dose behavior of dielectric mirrors specifically engineered for radiation-tolerance: alternating layers of Al 2O 3/SiO 2 and HfO 2/SiO 2 were grown on sapphire substrates and exposed to neutron doses of 1 and 4 dpa at 458 10K in the High Flux Isotope Reactor (HFIR). In comparison to previously reported results, these higher doses of 1 and 4 dpa results in a drastic drop in optical reflectance, caused by a failure of the multilayer coating. HfO 2/SiO 2 mirrors failed completely when exposed to 1 dpa, whereas the reflectance of Al 2O 3/SiO 2 mirrors reducedmore » to 44%, eventually failing at 4 dpa. Transmission electron microscopy (TEM) observation of the Al 2O 3/SiO 2 specimens showed SiO 2 layer defects which increases size with irradiation dose. The typical size of each defect was 8 nm in 1 dpa and 42 nm in 4 dpa specimens. Buckling type delamination of the interface between the substrate and first layer was typically observed in both 1 and 4 dpa HfO 2/SiO 2 specimens. Composition changes across the layers were measured in high resolution scanning-TEM mode using energy dispersive spectroscopy. A significant interdiffusion between the film layers was observed in Al 2O 3/SiO 2 mirror, though less evident in HfO 2/SiO 2 system. Lastly, the ultimate goal of this work is the provide insight into the radiation-induced failure mechanisms of these mirrors.« less

  9. The Impact of Dielectric Material and Temperature on Dielectric Charging in RF MEMS Capacitive Switches

    NASA Astrophysics Data System (ADS)

    Papaioannou, George

    The present work attempts to provide a better insight on the dielectric charging in RF-MEMS capacitive switches that constitutes a key issue limiting parameter of their commercialization. The dependence of the charging process on the nature of dielectric materials widely used in these devices, such as SiO2, Si3N4, AlN, Al2O3, Ta2O5, HfO2, which consist of covalent or ionic bonds and may exhibit piezoelectric properties is discussed taking into account the effect of deposition conditions and resulting material stoichiometry. Another key issue parameter that accelerates the charging and discharging processes by providing enough energy to trapped charges to be released and to dipoles to overcome potential barriers and randomize their orientation is the temperature will be investigated too. Finally, the effect of device structure will be also taken into account.

  10. On the persistence of polar domains in ultrathin ferroelectric capacitors.

    PubMed

    Zubko, Pavlo; Lu, Haidong; Bark, Chung-Wung; Martí, Xavi; Santiso, José; Eom, Chang-Beom; Catalan, Gustau; Gruverman, Alexei

    2017-07-19

    The instability of ferroelectric ordering in ultra-thin films is one of the most important fundamental issues pertaining realization of a number of electronic devices with enhanced functionality, such as ferroelectric and multiferroic tunnel junctions or ferroelectric field effect transistors. In this paper, we investigate the polarization state of archetypal ultrathin (several nanometres) ferroelectric heterostructures: epitaxial single-crystalline BaTiO 3 films sandwiched between the most habitual perovskite electrodes, SrRuO 3 , on top of the most used perovskite substrate, SrTiO 3 . We use a combination of piezoresponse force microscopy, dielectric measurements and structural characterization to provide conclusive evidence for the ferroelectric nature of the relaxed polarization state in ultrathin BaTiO 3 capacitors. We show that even the high screening efficiency of SrRuO 3 electrodes is still insufficient to stabilize polarization in SrRuO 3 /BaTiO 3 /SrRuO 3 heterostructures at room temperature. We identify the key role of domain wall motion in determining the macroscopic electrical properties of ultrathin capacitors and discuss their dielectric response in the light of the recent interest in negative capacitance behaviour.

  11. Analytical Modeling of Triple-Metal Hetero-Dielectric DG SON TFET

    NASA Astrophysics Data System (ADS)

    Mahajan, Aman; Dash, Dinesh Kumar; Banerjee, Pritha; Sarkar, Subir Kumar

    2018-02-01

    In this paper, a 2-D analytical model of triple-metal hetero-dielectric DG TFET is presented by combining the concepts of triple material gate engineering and hetero-dielectric engineering. Three metals with different work functions are used as both front- and back gate electrodes to modulate the barrier at source/channel and channel/drain interface. In addition to this, front gate dielectric consists of high-K HfO2 at source end and low-K SiO2 at drain side, whereas back gate dielectric is replaced by air to further improve the ON current of the device. Surface potential and electric field of the proposed device are formulated solving 2-D Poisson's equation and Young's approximation. Based on this electric field expression, tunneling current is obtained by using Kane's model. Several device parameters are varied to examine the behavior of the proposed device. The analytical model is validated with TCAD simulation results for proving the accuracy of our proposed model.

  12. Graphene-graphite oxide field-effect transistors.

    PubMed

    Standley, Brian; Mendez, Anthony; Schmidgall, Emma; Bockrath, Marc

    2012-03-14

    Graphene's high mobility and two-dimensional nature make it an attractive material for field-effect transistors. Previous efforts in this area have used bulk gate dielectric materials such as SiO(2) or HfO(2). In contrast, we have studied the use of an ultrathin layered material, graphene's insulating analogue, graphite oxide. We have fabricated transistors comprising single or bilayer graphene channels, graphite oxide gate insulators, and metal top-gates. The graphite oxide layers show relatively minimal leakage at room temperature. The breakdown electric field of graphite oxide was found to be comparable to SiO(2), typically ~1-3 × 10(8) V/m, while its dielectric constant is slightly higher, κ ≈ 4.3. © 2012 American Chemical Society

  13. Brillouin light scattering studies of the mechanical properties of ultrathin low-k dielectric films

    NASA Astrophysics Data System (ADS)

    Link, A.; Sooryakumar, R.; Bandhu, R. S.; Antonelli, G. A.

    2006-07-01

    In an effort to reduce RC time delays that accompany decreasing feature sizes, low-k dielectric films are rapidly emerging as potential replacements for silicon dioxide (SiO2) at the interconnect level in integrated circuits. The main challenge in low-k materials is their substantially weaker mechanical properties that accompany the increasing pore volume content needed to reduce k. We show that Brillouin light scattering is an excellent nondestructive technique to monitor and characterize the mechanical properties of these porous films at thicknesses well below 200nm that are pertinent to present applications. Observation of longitudinal and transverse standing wave acoustic resonances and the dispersion that accompany their transformation into traveling waves with finite in-plane wave vectors provides for a direct measure of the principal elastic constants that completely characterize the mechanical properties of these ultrathin films. The mode amplitudes of the standing waves, their variation within the film, and the calculated Brillouin intensities account for most aspects of the spectra. We further show that the values obtained by this method agree well with other experimental techniques such as nanoindentation and picosecond laser ultrasonics.

  14. Slow DNA Transport through Nanopores in Hafnium Oxide Membranes

    PubMed Central

    Bell, David C.; Cohen-Karni, Tzahi; Rosenstein, Jacob K.; Wanunu, Meni

    2016-01-01

    We present a study of double- and single-stranded DNA transport through nanopores fabricated in ultrathin (2–7 nm thick) free-standing hafnium oxide (HfO2) membranes. The high chemical stability of ultrathin HfO2 enables long-lived experiments with <2 nm diameter pores that last several hours, in which we observe >50 000 DNA translocations with no detectable pore expansion. Mean DNA velocities are slower than velocities through comparable silicon nitride pores, providing evidence that HfO2 nanopores have favorable physicochemical interactions with nucleic acids that can be leveraged to slow down DNA in a nanopore. PMID:24083444

  15. Electrical properties of spin coated ultrathin titanium oxide films on GaAs

    NASA Astrophysics Data System (ADS)

    Dutta, Shankar; Pal, Ramjay; Chatterjee, Ratnamala

    2015-04-01

    In recent years, ultrathin (<50 nm) metal oxide films have been being extensively studied as high-k dielectrics for future metal oxide semiconductor (MOS) technology. This paper discusses deposition of ultrathin TiO2 films (˜10 nm) on GaAs substrates (one sulfur-passivated, another unpassivated) by spin coating technique. The sulfur passivation is done to reduce the surface states of GaAs substrate. After annealing at 400 °C in a nitrogen environment, the TiO2 films are found to be polycrystalline in nature with rutile phase. The TiO2 films exhibit consistent grain size of 10-20 nm with thickness around 10-12 nm. Dielectric constants of the films are found to be 65.4 and 47.1 corresponding to S-passivated and unpassivated substrates, respectively. Corresponding threshold voltages of the MOS structures are measured to be -0.1 V to -0.3 V for the S-passivated and unpassivated samples, respectively. The S-passivated TiO2 film showed improved (lower) leakage current density (5.3 × 10-4 A cm-2 at 3 V) compared to the unpassivated film (1.8 × 10-3 A/cm2 at 3 V). Dielectric breakdown-field of the TiO2 films on S-passivated and unpassivated GaAs samples are found to be 8.4 MV cm-1 and 7.2 MV cm-1 respectively.

  16. Al-, Y-, and La-doping effects favoring intrinsic and field induced ferroelectricity in HfO2: A first principles study

    NASA Astrophysics Data System (ADS)

    Materlik, Robin; Künneth, Christopher; Falkowski, Max; Mikolajick, Thomas; Kersch, Alfred

    2018-04-01

    III-valent dopants have shown to be most effective in stabilizing the ferroelectric, crystalline phase in atomic layer deposited, polycrystalline HfO2 thin films. On the other hand, such dopants are commonly used for tetragonal and cubic phase stabilization in ceramic HfO2. This difference in the impact has not been elucidated so far. The prospect is a suitable doping to produce ferroelectric HfO2 ceramics with a technological impact. In this paper, we investigate the impact of Al, Y, and La doping, which have experimentally proven to stabilize the ferroelectric Pca21 phase in HfO2, in a comprehensive first-principles study. Density functional theory calculations reveal the structure, formation energy, and total energy of various defects in HfO2. Most relevant are substitutional electronically compensated defects without oxygen vacancy, substitutional mixed compensated defects paired with a vacancy, and ionically compensated defect complexes containing two substitutional dopants paired with a vacancy. The ferroelectric phase is strongly favored with La and Y in the substitutional defect. The mixed compensated defect favors the ferroelectric phase as well, but the strongly favored cubic phase limits the concentration range for ferroelectricity. We conclude that a reduction of oxygen vacancies should significantly enhance this range in Y doped HfO2 thin films. With Al, the substitutional defect hardly favors the ferroelectric phase before the tetragonal phase becomes strongly favored with the increasing concentration. This could explain the observed field induced ferroelectricity in Al-doped HfO2. Further Al defects are investigated, but do not favor the f-phase such that the current explanation remains incomplete for Al doping. According to the simulation, doping alone shows clear trends, but is insufficient to replace the monoclinic phase as the ground state. To explain this fact, some other mechanism is needed.

  17. Improved reliability from a plasma-assisted metal-insulator-metal capacitor comprising a high-k HfO2 film on a flexible polyimide substrate.

    PubMed

    Meena, Jagan Singh; Chu, Min-Ching; Kuo, Shiao-Wei; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-03-20

    We have used a sol-gel spin-coating process to fabricate a new metal-insulator-metal (MIM) capacitor comprising a 10 nm-thick high-k thin dielectric HfO(2) film on a flexible polyimide (PI) substrate. The surface morphology of this HfO(2) film was investigated using atomic force microscopy and scanning electron microscopy, which confirmed that continuous and crack-free film growth had occurred on the film surface. After oxygen (O(2)) plasma pretreatment and subsequent annealing at 250 degrees C, the film on the PI substrate exhibited a low leakage current density of 3.64 x 10(-9) A cm(-2) at 5 V and a maximum capacitance density of 10.35 fF microm(-2) at 1 MHz. The as-deposited sol-gel film was completely oxidized when employing O(2) plasma at a relatively low temperature (ca. 250 degrees C), thereby enhancing the electrical performance. We employed X-ray photoelectron spectroscopy (XPS) at both high and low resolution to examine the chemical composition of the film subjected to various treatment conditions. The shift of the XPS peaks towards higher binding energy, revealed that O(2) plasma treatment was the most effective process for the complete oxidation of hafnium atoms at low temperature. A study of the insulator properties indicated the excellent bendability of our MIM capacitor; the flexible PI substrate could be bent up to 10(5) times and folded to near 360 degrees without any deterioration in its electrical performance.

  18. The role of film interfaces in near-ultraviolet absorption and pulsed-laser damage in ion-beam-sputtered coatings based on HfO 2/SiO 2 thin-film pairs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ristau, Detlev; Papernov, S.; Kozlov, A. A.

    2015-11-23

    The role of thin-film interfaces in the near-ultraviolet absorption and pulsed-laser–induced damage was studied for ion-beam–sputtered and electron-beam–evaporated coatings comprised from HfO 2 and SiO 2 thin-film pairs. To separate contributions from the bulk of the film and from interfacial areas, absorption and damage-threshold measurements were performed for a one-wave (355-nm wavelength) thick, HfO 2 single-layer film and for a film containing seven narrow HfO 2 layers separated by SiO 2 layers. The seven-layer film was designed to have a total optical thickness of HfO 2 layers, equal to one wave at 355 nm and an E-field peak and averagemore » intensity similar to a single-layer HfO 2 film. Absorption in both types of films was measured using laser calorimetry and photothermal heterodyne imaging. The results showed a small contribution to total absorption from thin-film interfaces, as compared to HfO 2 film material. The relevance of obtained absorption data to coating near-ultraviolet, nanosecond-pulse laser damage was verified by measuring the damage threshold and characterizing damage morphology. The results of this study revealed a higher damage resistance in the seven-layer coating as compared to the single-layer HfO 2 film in both sputtered and evaporated coatings. Here, the results are explained through the similarity of interfacial film structure with structure formed during the co-deposition of HfO 2 and SiO 2 materials.« less

  19. Polarization-independent broadband dielectric bilayer gratings for spectral beam combining system

    NASA Astrophysics Data System (ADS)

    Li, Linxin; Liu, Quan; Chen, Junming; Wang, Leilei; Jin, Yunxia; Yang, Yifeng; Shao, Jianda

    2017-02-01

    We report on a polarization-independent all-dielectric trapezoidal bilayer grating with broadband and high diffraction efficiency. The bilayer trapezoidal grating ridge on a reflector consists of an HfO2 layer and a SiO2 layer. The theoretical -1st order efficiencies of the grating are more than 95% with wavelength range from 1010 nm to 1080 nm for both TE and TM polarizations. The fabrication tolerances depending on the HfO2 and SiO2 layer grating ridge depths are enough to obtain the designed grating using current craft. The fabricated grating with exceeding 94% efficiency from 1000 nm to 1085 nm measured by a non-polarization laser has been fabricated and applied in a spectral beam combining external cavity to combine eight beams into one beam output with 10.77 kW.

  20. InAs/GaAs quantum-dot intermixing: comparison of various dielectric encapsulants

    NASA Astrophysics Data System (ADS)

    Alhashim, Hala H.; Khan, Mohammed Zahed Mustafa; Majid, Mohammed A.; Ng, Tien K.; Ooi, Boon S.

    2015-10-01

    We report on the impurity-free vacancy-disordering effect in InAs/GaAs quantum-dot (QD) laser structure based on seven dielectric capping layers. Compared to the typical SiO2 and Si3N4 films, HfO2 and SrTiO3 dielectric layers showed superior enhancement and suppression of intermixing up to 725°C, respectively. A QD peak ground-state differential blue shift of >175 nm (>148 meV) is obtained for HfO2 capped sample. Likewise, investigation of TiO2, Al2O3, and ZnO capping films showed unusual characteristics, such as intermixing-control caps at low annealing temperature (650°C) and interdiffusion-promoting caps at high temperatures (≥675°C). We qualitatively compared the degree of intermixing induced by these films by extracting the rate of intermixing and the temperature for ground-state and excited-state convergences. Based on our systematic characterization, we established reference intermixing processes based on seven different dielectric encapsulation materials. The tailored wavelength emission of ˜1060-1200 nm at room temperature and improved optical quality exhibited from intermixed QDs would serve as key materials for eventual realization of low-cost, compact, and agile lasers. Applications include solid-state laser pumping, optical communications, gas sensing, biomedical imaging, green-yellow-orange coherent light generation, as well as addressing photonic integration via area-selective, and postgrowth bandgap engineering.

  1. Influence of oxygen vacancies in ALD HfO2-x thin films on non-volatile resistive switching phenomena with a Ti/HfO2-x/Pt structure

    NASA Astrophysics Data System (ADS)

    Sokolov, Andrey Sergeevich; Jeon, Yu-Rim; Kim, Sohyeon; Ku, Boncheol; Lim, Donghwan; Han, Hoonhee; Chae, Myeong Gyoon; Lee, Jaeho; Ha, Beom Gil; Choi, Changhwan

    2018-03-01

    We report a modulation of oxygen vacancies profile in atomic layer deposition (ALD) HfO2-x thin films by reducing oxidant pulse time (0.7 s-0.1 s) and study its effect on resistive switching behavior with a Ti/HfO2-x/Pt structure. Hf 4f spectra of x-ray photoelectron microscopy (XPS) and depth profile confirm varied oxygen vacancies profiles by shifts of binding energies of Hf 4f5/2 and Hf 4f7/2 main peaks and its according HfO2-x sub-oxides for each device. The ultraviolet photoelectron spectroscopy (UPS) confirms different electron affinity (χ) of HfO2 and HfO2-x thin films, implying that barrier height at Ti/oxide interface is reduced. Current transport mechanism is dictated by Ohmic conduction in fully oxidized HfO2 thin films - Device A (0.7 s) and by Trap Filled Space Charge Limited Conduction (TF-SCLC) in less oxidized HfO2-x thin films - Device B (0.3 s) and Device C (0.1 s). A switching mechanism related to the oxygen vacancies modulation in Ti/HfO2-x/Pt based resistive random access memory (RRAM) devices is used to explain carefully notified current transport mechanism variations from device-to-device. A proper endurance and long-time retention characteristics of the devices are also obtained.

  2. Chemical gating of epitaxial graphene through ultrathin oxide layers.

    PubMed

    Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano

    2015-08-07

    We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.

  3. HfO2 and SiO2 as barriers in magnetic tunneling junctions

    NASA Astrophysics Data System (ADS)

    Shukla, Gokaran; Archer, Thomas; Sanvito, Stefano

    2017-05-01

    SiO2 and HfO2 are both high-k, wide-gap semiconductors, currently used in the microelectronic industry as gate barriers. Here we investigate whether the same materials can be employed to make magnetic tunnel junctions, which in principle can be amenable for integration in conventional Si technology. By using a combination of density functional theory and the nonequilibrium Green's functions method for quantum transport we have studied the transport properties of Co [0001 ] /SiO2[001 ] /Co [0001 ] and Fe [001 ] /HfO2[001 ] /Fe [001 ] junctions. In both cases we found a quite large magnetoresistance, which is explained through the analysis of the real band structure of the magnets and the complex one of the insulator. We find that there is no symmetry spin filtering for the Co-based junction since the high transmission Δ2' band crosses the Fermi level, EF, for both spin directions. However, the fact that Co is a strong ferromagnet makes the orbital contribution to the two Δ2' spin subbands different, yielding magnetoresistance. In contrast for the Fe-based junction symmetry filtering is active for an energy window spanning between the Fermi level and 1 eV below EF, with Δ1 symmetry contributing to the transmission.

  4. Effect of heat treatment on properties of HfO2 film deposited by ion-beam sputtering

    NASA Astrophysics Data System (ADS)

    Liu, Huasong; Jiang, Yugang; Wang, Lishuan; Li, Shida; Yang, Xiao; Jiang, Chenghui; Liu, Dandan; Ji, Yiqin; Zhang, Feng; Chen, Deying

    2017-11-01

    The effects of atmosphere heat treatment on optical, stress, and microstructure properties of an HfO2 film deposited by ion-beam sputtering were systematically researched. The relationships among annealing temperature and refractive index, extinction coefficient, physical thickness, forbidden-band width, tape trailer width, Urbach energy, crystal phase structure, and stress were assessed. The results showed that 400 °C is the transformation point, and the microstructure of the HfO2 film changed from an amorphous into mixed-phase structure. Multistage phonons appeared on the HfO2 film, and the trends of the refractive index, extinction coefficient, forbidden-band width change, and Urbach energy shifted from decrease to increase. With the elevation of the annealing temperature, the film thickness increased monotonously, the compressive stress gradually turned to tensile stress, and the transformation temperature point for the stress was between 200 °C and 300 °C. Therefore, the change in the stress is the primary cause for the shifts in thin-film thickness.

  5. Ultrathin 2D Photocatalysts: Electronic-Structure Tailoring, Hybridization, and Applications.

    PubMed

    Di, Jun; Xiong, Jun; Li, Huaming; Liu, Zheng

    2018-01-01

    As a sustainable technology, semiconductor photocatalysis has attracted considerable interest in the past several decades owing to the potential to relieve or resolve energy and environmental-pollution issues. By virtue of their unique structural and electronic properties, emerging ultrathin 2D materials with appropriate band structure show enormous potential to achieve efficient photocatalytic performance. Here, the state-of-the-art progress on ultrathin 2D photocatalysts is reviewed and a critical appraisal of the classification, controllable synthesis, and formation mechanism of ultrathin 2D photocatalysts is presented. Then, different strategies to tailor the electronic structure of ultrathin 2D photocatalysts are summarized, including component tuning, thickness tuning, doping, and defect engineering. Hybridization with the introduction of a foreign component and maintaining the ultrathin 2D structure is presented to further boost the photocatalytic performance, such as quantum dots/2D materials, single atoms/2D materials, molecular/2D materials, and 2D-2D stacking materials. More importantly, the advancement of versatile photocatalytic applications of ultrathin 2D photocatalysts in the fields of water oxidation, hydrogen evolution, CO 2 reduction, nitrogen fixation, organic syntheses, and removal pollutants is discussed. Finally, the future opportunities and challenges regarding ultrathin 2D photocatalysts to bring about new opportunities for future research in the field of photocatalysis are also presented. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Measurement of Thicknesses of High-κ Gate-Dielectric Films on Silicon by Angle-Resolved XPS

    NASA Astrophysics Data System (ADS)

    Powell, Cedric; Smekal, Werner; Werner, Wolfgang

    2006-03-01

    We report on the use of a new NIST database for the Simulation of Electron Spectra for Surface Analysis (SESSA) in measuring thicknesses of candidate high-κ gate-dielectric materials (HfO2, HfSiO4, ZrO2, and ZrSiO4) on silicon by angle-resolved XPS. For conventional measurements of film thicknesses, effective attenuation lengths (EALs) have been computed for these materials from SESSA as a function of film thickness and photoelectron emission angle (i.e., to simulate the effects of tilting the sample). These EALs are believed to be more accurate than similar EALs obtained from the transport approximation because realistic cross sections are used for both elastic and inelastic scattering in the film and substrate materials. We also present ``calibration curves'' showing calculated ratios of selected photoelectron intensities from thin films of HfO2 on Si with an intermediate SiO2 layer. These ratios provide a simple and convenient means of determining the thicknesses of SiO2 and HfO2 films for particular measurement conditions.

  7. Ultrathin microwave absorber based on metamaterial

    NASA Astrophysics Data System (ADS)

    Kim, Y. J.; Yoo, Y. J.; Hwang, J. S.; Lee, Y. P.

    2016-11-01

    We suggest that ultrathin broadband metamaterial is a perfect absorber in the microwave regime by utilizing the properties of a resistive sheet and metamaterial. Meta-atoms are composed of four-leaf clover-shape metallic patterns and a metal plane separated by three intermediate resistive sheet layers between four dielectric layers. We interpret the absorption mechanism of the broadband by using the distribution of surface currents at specific frequencies. The simulated absorption was over 99% in 1.8-4.2 GHz. The corresponding experimental absorption was also over 99% in 2.62-4.2 GHz; however, the absorption was slightly lower than 99% in 1.8-2.62 GHz because of the sheet resistance and the changed values for the dielectric constant. Furthermore, it is independent of incident angle. The results of this research indicate the possibility of applications, due to the suppression of noxious exposure, in cell phones, computers and microwave equipments.

  8. Oxygen vacancy effects in HfO2-based resistive switching memory: First principle study

    NASA Astrophysics Data System (ADS)

    Dai, Yuehua; Pan, Zhiyong; Wang, Feifei; Li, Xiaofeng

    2016-08-01

    The work investigated the shape and orientation of oxygen vacancy clusters in HfO2-base resistive random access memory (ReRAM) by using the first-principle method based on the density functional theory. Firstly, the formation energy of different local Vo clusters was calculated in four established orientation systems. Then, the optimized orientation and charger conductor shape were identified by comparing the isosurface plots of partial charge density, formation energy, and the highest isosurface value of oxygen vacancy. The calculated results revealed that the [010] orientation was the optimal migration path of Vo, and the shape of system D4 was the best charge conductor in HfO2, which effectively influenced the SET voltage, formation voltage and the ON/OFF ratio of the device. Afterwards, the PDOS of Hf near Vo and total density of states of the system D4_010 were obtained, revealing the composition of charge conductor was oxygen vacancy instead of metal Hf. Furthermore, the migration barriers of the Vo hopping between neighboring unit cells were calculated along four different orientations. The motion was proved along [010] orientation. The optimal circulation path for Vo migration in the HfO2 super-cell was obtained.

  9. Study of structure and antireflective properties of LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 trilayers for UV applications

    NASA Astrophysics Data System (ADS)

    Marszalek, K.; Jaglarz, J.; Sahraoui, B.; Winkowski, P.; Kanak, J.

    2015-01-01

    The aim of this paper is to study antireflective properties of the tree-layer systems LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 deposited on heated optical glass substrates. The films were evaporated by the use two deposition techniques. In first method oxide films were prepared by means of e-gun evaporation in vacuum of 5 × 10-5 mbar in the presence of oxygen. The second was used for the deposition of fluoride films. They were obtained by means of thermal source evaporation. Simulation of reflectance was performed for 1M2H1L (Quarter Wavelength Optical Thickness) film stack on an optical quartz glass with the refractive index n = 1.46. The layer thickness was optimized to achieve the lowest light scattering from glass surface covered with dioxide and fluoride films. The values of the interface roughness were determined through atomic force microscopy measurements. The essence of performed calculation was to find minimum reflectance of light in wide ultraviolet region. The spectral dispersion of the refractive index needed for calculations was determined from ellipsometric measurements using the spectroscopic ellipsometer M2000. Additionally, the total reflectance measurements in integrating sphere coupled with Perkin Elmer 900 spectrophotometer were performed. These investigations allowed to determine the influence of such film features like surface and interface roughness on light scattering.

  10. Characterization of Ultrathin Ta-oxide Films Formed on Ge(100) by ALD and Layer-by-Layer Methods

    NASA Astrophysics Data System (ADS)

    Mishima, K.; Murakami, H.; Ohta, A.; Sahari, S. K.; Fujioka, T.; Higashi, S.; Miyazaki, S.

    2013-03-01

    Atomic layer deposition (ALD) and Layer-by-Layer deposition of Ta-oxide films on Ge(100) with using tris (tert-butoxy) (tert-butylimido) tantalum have been studied systematically. From the analysis of the chemical bonding features of the interface between TaOx and Ge(100) using x-ray photoelectron spectroscopy (XPS), Ge atom diffusion into the Ta oxide layer and resultant TaGexOy formation during deposition at temperatures higher than 200°C were confirmed. Also, we have demonstrated that nanometer-thick deposition of Tantalum oxide as an interfacial layer effectively suppresses the formation of GeOx in the HfO2 ALD on Ge. By the combination of TaOx pre-deposition on Ge(100) and subsequent ALD of HfO2, a capacitance equivalent thickness (CET) of 1.35 nm and relative dielectric constant of 23 were achieved.

  11. Leakage current conduction mechanisms and electrical properties of atomic-layer-deposited HfO2/Ga2O3 MOS capacitors

    NASA Astrophysics Data System (ADS)

    Zhang, Hongpeng; Jia, Renxu; Lei, Yuan; Tang, Xiaoyan; Zhang, Yimen; Zhang, Yuming

    2018-02-01

    In this paper, current conduction mechanisms in HfO2/β-Ga2O3 metal-oxide-semiconductor (MOS) capacitors under positive and negative biases are investigated using the current-voltage (I-V) measurements conducted at temperatures from 298 K to 378 K. The Schottky emission is dominant under positively biased electric fields of 0.37-2.19 MV cm-1, and the extracted Schottky barrier height ranged from 0.88 eV to 0.91 eV at various temperatures. The Poole-Frenkel emission dominates under negatively biased fields of 1.92-4.83 MV cm-1, and the trap energy levels are from 0.71 eV to 0.77 eV at various temperatures. The conduction band offset (ΔE c) of HfO2/β-Ga2O3 is extracted to be 1.31  ±  0.05 eV via x-ray photoelectron spectroscopy, while a large negative sheet charge density of 1.04  ×  1013 cm-2 is induced at the oxide layer and/or HfO2/β-Ga2O3 interface. A low C-V hysteresis of 0.76 V, low interface state density (D it) close to 1  ×  1012 eV-1 cm-2, and low leakage current density of 2.38  ×  10-5 A cm-2 at a gate voltage of 7 V has been obtained, suggesting the great electrical properties of HfO2/β-Ga2O3 MOSCAP. According to the above analysis, ALD-HfO2 is an attractive candidate for high voltage β-Ga2O3 power devices.

  12. OH Radical Reaction Rate Coefficients, Infrared Spectrum, and Global Warming Potential of (CF3)2CFCH═CHF (HFO-1438ezy(E)).

    PubMed

    Papadimitriou, Vassileios C; Burkholder, James B

    2016-08-25

    Rate coefficients, k(T), for the OH radical + (E)-(CF3)2CFCH═CHF ((E)-1,3,4,4,4-pentafluoro-3-(trifluoromethyl)-1-butene, HFO-1438ezy(E)) gas-phase reaction were measured using pulsed laser photolysis-laser-induced fluorescence (PLP-LIF) between 214 and 380 K and 50 and 450 Torr (He or N2 bath gas) and with a relative rate method at 296 K between 100 and 400 Torr (synthetic air). Over the range of pressures included in this study, no pressure dependence in k(T) was observed. k(296 K) obtained using the two techniques agreed to within ∼3% with (3.26 ± 0.26) × 10(-13) cm(3) molecule(-1) s(-1) (2σ absolute uncertainty) obtained using the PLP-LIF technique. k(T) displayed non-Arrhenius behavior that is reproduced by (7.34 ± 0.30) × 10(-19)T(2) exp[(481 ± 10)/T) cm(3) molecule(-1) s(-1). With respect to OH reactive loss, the atmospheric lifetime of HFO-1438ezy(E) is estimated to be ∼36 days and HFO-1438ezy(E) is considered a very short-lived substance (VSLS) (the actual lifetime will depend on the time and location of the HFO-1438ezy(E) emission). On the basis of the HFO-1438ezy(E) infrared absorption spectrum measured in this work and its estimated lifetime, a radiative efficiency of 0.306 W m(-2) ppb(-1) (well-mixed gas) was calculated and its 100-year time-horizon global warming potential, GWP100, was estimated to be 8.6. CF3CFO, HC(O)F, and CF2O were identified using infrared spectroscopy as stable end products in the oxidation of HFO-1438ezy(E) in the presence of O2. Two additional fluorinated products were observed and theoretical calculations of the infrared spectra of likely degradation products are presented. The photochemical ozone creation potential of HFO-1438ezy(E) was estimated to be ∼2.15.

  13. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers

    PubMed Central

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-01-01

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO2/nanoporous-Si and the TiO2/nanoporous-Si by I–V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO2/nanoporous Si are lower than that of the HfO2/nanoporous Si, the former is more stable than the later. PMID:28252106

  14. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers

    NASA Astrophysics Data System (ADS)

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-01

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO2/nanoporous-Si and the TiO2/nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO2/nanoporous Si are lower than that of the HfO2/nanoporous Si, the former is more stable than the later.

  15. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers.

    PubMed

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-02

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO 2 /nanoporous-Si and the TiO 2 /nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO 2 /nanoporous Si are lower than that of the HfO 2 /nanoporous Si, the former is more stable than the later.

  16. Probing nanoscale ion dynamics in ultrathin films of polymerized ionic liquids by broadband dielectric spectroscopy

    NASA Astrophysics Data System (ADS)

    Sangoro, Joshua; Heres, Maximilian; Cosby, Tyler

    Continuous progress in energy storage and conversion technologies necessitates novel experimental approaches that can provide fundamental insights regarding the impact of reduced dimensions on the functional properties of materials. In this talk, a nondestructive experimental approach to probe nanoscale ion dynamics in ultrathin films of polymerized ionic liquids over a broad frequency range spanning over six orders of magnitude by broadband dielectric spectroscopy will be presented. The approach involves using an electrode configuration with lithographically patterned silica nanostructures, which allow for an air gap between the confined ion conductor and one of the electrodes. It is observed that the characteristic ion dynamics rates significantly slow down with decreasing film thicknesses above the calorimetric glass transition of the bulk polymer. However, the mean rates remain bulk-like at lower temperatures. These results highlight the increasing influence of the polymer/substrate interactions with decreasing film thickness on ion dynamics. The authors gratefully acknowledge the National Science Foundation for financial support through the Polymers Program award DMR-1508394.

  17. Modeling and Implementation of HfO2-based Ferroelectric Tunnel Junctions

    NASA Astrophysics Data System (ADS)

    Pringle, Spencer Allen

    HfO2-based ferroelectric tunnel junctions (FTJs) represent a unique opportunity as both a next-generation digital non-volatile memory and as synapse devices in braininspired logic systems, owing to their higher reliability compared to filamentary resistive random-access memory (ReRAM) and higher speed and lower power consumption compared to competing devices, including phase-change memory (PCM) and state-of-the-art FTJ. Ferroelectrics are often easier to deposit and have simpler material structure than films for magnetic tunnel junctions (MTJs). Ferroelectric HfO2 also enables complementary metal-oxide-semiconductor (CMOS) compatibility, since lead zirconate titanate (PZT) and BaTiO3-based FTJs often are not. No other groups have yet demonstrated a HfO2-based FTJ (to best of the author's knowledge) or applied it to a suitable system. For such devices to be useful, system designers require models based on both theoretical physical analysis and experimental results of fabricated devices in order to confidently design control systems. Both the CMOS circuitry and FTJs must then be designed in layout and fabricated on the same die. This work includes modeling of proposed device structures using a custom python script, which calculates theoretical potential barrier heights as a function of material properties and corresponding current densities (ranging from 8x103 to 3x10-2 A/cm 2 with RHRS/RLRS ranging from 5x105 to 6, depending on ferroelectric thickness). These equations were then combined with polynomial fits of experimental timing data and implemented in a Verilog-A behavioral analog model in Cadence Virtuoso. The author proposes tristate CMOS control systems, and circuits, for implementation of FTJ devices as digital memory and presents simulated performance. Finally, a process flow for fabrication of FTJ devices with CMOS is presented. This work has therefore enabled the fabrication of FTJ devices at RIT and the continued investigation of them as applied to any

  18. Evaluation of thermal stability in spectrally selective few-layer metallo-dielectric structures for solar thermophotovoltaics

    NASA Astrophysics Data System (ADS)

    Shimizu, Makoto; Kohiyama, Asaka; Yugami, Hiroo

    2018-06-01

    The thermal stability of spectrally selective few-layer metallo-dielectric structures is evaluated to analyze their potential as absorber and emitter materials in solar thermophotovoltaic (STPV) systems. High-efficiency (e.g., STPV) systems require materials with spectrally selective properties, especially at high temperatures (>1273 K). Aiming to develop such materials for high-temperature applications, we propose a few-layer structure composed of a refractory metal (i.e., Mo) nanometric film sandwiched between the layers of a dielectric material (i.e., hafnium oxide, HfO2) deposited on a Mo bulk substrate. In vacuum conditions (<5 × 10-2 Pa), the few-layer structure shows thermal stability at 1423 K for at least 1 h. At 1473 K, the spectral selectivity was degraded. This could have been caused by the oxidation of the Mo thin film by the residual oxygen through the grain boundaries of the upper HfO2 layer. This experiment showed the potential stability of few-layer structures for applications working at temperatures greater than 1273 K as well as the degradation mechanism of the few-layer structure. This characteristic is expected to help improve the thermal stability in few-layer structures further.

  19. Chemical vapor deposited monolayer MoS2 top-gate MOSFET with atomic-layer-deposited ZrO2 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang

    2018-04-01

    For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.

  20. Development and characterization of ultrathin hafnium titanates as high permittivity gate insulators

    NASA Astrophysics Data System (ADS)

    Li, Min

    High permittivity or high-kappa materials are being developed for use as gate insulators for future ultrascaled metal oxide semiconductor field effect transistors (MOSFETs). Hafnium containing compounds are the leading candidates. Due to its moderate permittivity, however, it is difficult to achieve HfO2 gate structures with an EOT well below 1.0 nm. One approach to increase HfO2 permittivity is combining it with a very high-kappa material, such as TiO2. In this thesis, we systematically studied the electrical and physical characteristics of high-kappa hafnium titanates films as gate insulators. A series of HfxTi1-xO2 films with well-controlled composition were deposited using an MOCVD system. The physical properties of the films were analyzed using a variety of characterization techniques. X-ray micro diffraction indicates that the Ti-rich thin film is more immune to crystallization. TEM analysis showed that the thick stoichiometric HfTiO 4 film has an orthorhombic structure and large anisotropic grains. The C-V curves from the devices with the hafnium titanates films displayed relatively low hysteresis. In a certain composition range, the interfacial layer (IL) EOT and permittivity of HfxTi1-x O2 increases linearly with increasing Ti. The charge is negative for HfxTi1-xO2/IL and positive for Si/IL interface, and the magnitude increases as Hf increases. For ultra-thin films (less than 2 nm EOT), the leakage current increases with increasing HE Moreover, the Hf-rich sample has weaker temperature dependence of the current. In the MOSFET devices with the hafnium titanates films, normal transistor characteristics were observed, also electron mobility degradation. Next, we investigated the effects that different pre-deposition surface treatments, including HF dipping, NH3 surface nitridation, and HfO2 deposition, have on the electrical properties of hafnium titanates. Surface nitridation shows stronger effect than the thin HfO2 layer. The nitrided samples displayed a

  1. Thermal Conductivity and Stability of HfO2-Y2O3 and La2Zr2O7 Evaluated for 1650 Deg C Thermal/Environmental Barrier Coating Applications

    NASA Technical Reports Server (NTRS)

    Zhu, Dong-Ming; Bansal, Narottam P.; Miller, Robert A.

    2003-01-01

    HfO2-Y2O3 and La2Zr2O7 are candidate thermal and environmental barrier coating (T/EBC) materials for gas turbine ceramic matrix composite (CMC) combustor applications because of their relatively low thermal conductivity and high temperature capability. In this paper, thermal conductivity and high temperature stability of hot-pressed and plasma sprayed specimens with representative partially-stabilized and fully-cubic HfO2-Y2O3 compositions and La2Zr2O7 were evaluated at temperatures up to 1700 C using a steady-state laser heat-flux technique. Sintering behavior of the plasmasprayed coatings was determined by monitoring the thermal conductivity increases during a 20-hour test period at various temperatures. Durability and failure mechanisms of the HfO2-Y2O3 and La2Zr2O7 coatings on mullite/SiC hexoloy or SiC/SiC CMC substrates were investigated at 1650 C under thermal gradient cyclic conditions. Coating design and testing issues for the 1650 C thermal/environmental barrier coating applications are also discussed.

  2. Atomic layer deposition of sub-10 nm high-K gate dielectrics on top-gated MoS2 transistors without surface functionalization

    NASA Astrophysics Data System (ADS)

    Lin, Yu-Shu; Cheng, Po-Hsien; Huang, Kuei-Wen; Lin, Hsin-Chih; Chen, Miin-Jang

    2018-06-01

    Sub-10 nm high-K gate dielectrics are of critical importance in two-dimensional transition metal dichalcogenides (TMDs) transistors. However, the chemical inertness of TMDs gives rise to a lot of pinholes in gate dielectrics, resulting in large gate leakage current. In this study, sub-10 nm, uniform and pinhole-free Al2O3 high-K gate dielectrics on MoS2 were achieved by atomic layer deposition without surface functionalization, in which an ultrathin Al2O3 layer prepared with a short purge time at a low temperature of 80 °C offers the nucleation cites for the deposition of the overlaying oxide at a higher temperature. Conductive atomic force microscopy reveals the significant suppression of gate leakage current in the sub-10 nm Al2O3 gate dielectrics with the low-temperature nucleation layer. Raman and X-ray photoelectron spectroscopies indicate that no oxidation occurred during the deposition of the low-temperature Al2O3 nucleation layer on MoS2. With the high-quality sub-10 nm Al2O3 high-K gate dielectrics, low hysteresis and subthreshold swing were demonstrated on the normally-off top-gated MoS2 transistors.

  3. Low-temperature fabrication of an HfO2 passivation layer for amorphous indium-gallium-zinc oxide thin film transistors using a solution process.

    PubMed

    Hong, Seonghwan; Park, Sung Pyo; Kim, Yeong-Gyu; Kang, Byung Ha; Na, Jae Won; Kim, Hyun Jae

    2017-11-24

    We report low-temperature solution processing of hafnium oxide (HfO 2 ) passivation layers for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). At 150 °C, the hafnium chloride (HfCl 4 ) precursor readily hydrolyzed in deionized (DI) water and transformed into an HfO 2 film. The fabricated HfO 2 passivation layer prevented any interaction between the back surface of an a-IGZO TFT and ambient gas. Moreover, diffused Hf 4+ in the back-channel layer of the a-IGZO TFT reduced the oxygen vacancy, which is the origin of the electrical instability in a-IGZO TFTs. Consequently, the a-IGZO TFT with the HfO 2 passivation layer exhibited improved stability, showing a decrease in the threshold voltage shift from 4.83 to 1.68 V under a positive bias stress test conducted over 10,000 s.

  4. Tunneling current in HfO2 and Hf0.5Zr0.5O2-based ferroelectric tunnel junction

    NASA Astrophysics Data System (ADS)

    Dong, Zhipeng; Cao, Xi; Wu, Tong; Guo, Jing

    2018-03-01

    Ferroelectric tunnel junctions (FTJs) have been intensively explored for future low power data storage and information processing applications. Among various ferroelectric (FE) materials studied, HfO2 and H0.5Zr0.5O2 (HZO) have the advantage of CMOS process compatibility. The validity of the simple effective mass approximation, for describing the tunneling process in these materials, is examined by computing the complex band structure from ab initio simulations. The results show that the simple effective mass approximation is insufficient to describe the tunneling current in HfO2 and HZO materials, and quantitative accurate descriptions of the complex band structures are indispensable for calculation of the tunneling current. A compact k . p Hamiltonian is parameterized to and validated by ab initio complex band structures, which provides a method for efficiently and accurately computing the tunneling current in HfO2 and HZO. The device characteristics of a metal/FE/metal structure and a metal/FE/semiconductor (M-F-S) structure are investigated by using the non-equilibrium Green's function formalism with the parameterized effective Hamiltonian. The result shows that the M-F-S structure offers a larger resistance window due to an extra barrier in the semiconductor region at off-state. A FTJ utilizing M-F-S structure is beneficial for memory design.

  5. A comprehensive study on the structural evolution of HfO 2 thin films doped with various dopants

    DOE PAGES

    Park, Min Hyuk; Schenk, Tony; Fancher, Christopher M.; ...

    2017-04-19

    The origin of the unexpected ferroelectricity in doped HfO 2 thin films is now considered to be the formation of a non-centrosymmetric Pca2 1 orthorhombic phase. Due to the polycrystalline nature of the films as well as their extremely small thickness (~10 nm) and mixed orientation and phase composition, structural analysis of doped HfO 2 thin films remains a challenging task. As a further complication, the structural similarities of the orthorhombic and tetragonal phase are difficult to distinguish by typical structural analysis techniques such as X-ray diffraction. To resolve this issue, the changes in the grazing incidence X-ray diffraction (GIXRD)more » patterns of HfO 2 films doped with Si, Al, and Gd are systematically examined. For all dopants, the shift of o111/ t101 diffraction peak is observed with increasing atomic layer deposition (ALD) cycle ratio, and this shift is thought to originate from the orthorhombic to P4 2/ nmc tetragonal phase transition with decreasing aspect ratio (2 a/(b + c) for orthorhombic and c/a for the tetragonal phase). For quantitative phase analysis, Rietveld refinement is applied to the GIXRD patterns. A progressive phase transition from P2 1/c monoclinic to orthorhombic to tetragonal is confirmed for all dopants, and a strong relationship between orthorhombic phase fraction and remanent polarization value is uniquely demonstrated. The concentration range for the ferroelectric properties was the narrowest for the Si-doped HfO 2 films. As a result, the dopant size is believed to strongly affect the concentration range for the ferroelectric phase stabilization, since small dopants can strongly decrease the free energy of the tetragonal phase due to their shorter metal–oxygen bonds.« less

  6. A comprehensive study on the structural evolution of HfO 2 thin films doped with various dopants

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Min Hyuk; Schenk, Tony; Fancher, Christopher M.

    The origin of the unexpected ferroelectricity in doped HfO 2 thin films is now considered to be the formation of a non-centrosymmetric Pca2 1 orthorhombic phase. Due to the polycrystalline nature of the films as well as their extremely small thickness (~10 nm) and mixed orientation and phase composition, structural analysis of doped HfO 2 thin films remains a challenging task. As a further complication, the structural similarities of the orthorhombic and tetragonal phase are difficult to distinguish by typical structural analysis techniques such as X-ray diffraction. To resolve this issue, the changes in the grazing incidence X-ray diffraction (GIXRD)more » patterns of HfO 2 films doped with Si, Al, and Gd are systematically examined. For all dopants, the shift of o111/ t101 diffraction peak is observed with increasing atomic layer deposition (ALD) cycle ratio, and this shift is thought to originate from the orthorhombic to P4 2/ nmc tetragonal phase transition with decreasing aspect ratio (2 a/(b + c) for orthorhombic and c/a for the tetragonal phase). For quantitative phase analysis, Rietveld refinement is applied to the GIXRD patterns. A progressive phase transition from P2 1/c monoclinic to orthorhombic to tetragonal is confirmed for all dopants, and a strong relationship between orthorhombic phase fraction and remanent polarization value is uniquely demonstrated. The concentration range for the ferroelectric properties was the narrowest for the Si-doped HfO 2 films. As a result, the dopant size is believed to strongly affect the concentration range for the ferroelectric phase stabilization, since small dopants can strongly decrease the free energy of the tetragonal phase due to their shorter metal–oxygen bonds.« less

  7. Resistive switching characteristics of HfO2-based memory devices on flexible plastics.

    PubMed

    Han, Yong; Cho, Kyoungah; Park, Sukhyung; Kim, Sangsig

    2014-11-01

    In this study, we examine the characteristics of HfO2-based resistive switching random access memory (ReRAM) devices on flexible plastics. The Pt/HfO2/Au ReRAM devices exhibit the unipolar resistive switching behaviors caused by the conducting filaments. From the Auger depth profiles of the HfO2 thin film, it is confirmed that the relatively lower oxygen content in the interface of the bottom electrode is responsible for the resistive switching by oxygen vacancies. And the unipolar resistive switching behaviors are analyzed from the C-V characteristics in which negative and positive capacitances are measured in the low-resistance state and the high-resistance state, respectively. The devices have a high on/off ratio of 10(4) and the excellent retention properties even after a continuous bending test of two thousand cycles. The correlation between the device size and the memory characteristics is investigated as well. A relatively smaller-sized device having a higher on/off ratio operates at a higher voltage than a relatively larger-sized device.

  8. X-ray measurements of the strain and shape of dielectric/metallic wrap-gated InAs nanowires

    NASA Astrophysics Data System (ADS)

    Eymery, J.; Favre-Nicolin, V.; Fröberg, L.; Samuelson, L.

    2009-03-01

    Wrap-gate (111) InAs nanowires (NWs) were studied after HfO2 dielectric coating and Cr metallic deposition by a combination of grazing incidence x-ray techniques. In-plane and out-of-plane x-ray diffraction (crystal truncation rod analysis) allow determining the strain tensor. The longitudinal contraction, increasing with HfO2 and Cr deposition, is significantly larger than the radial dilatation. For the Cr coating, the contraction along the growth axis is quite large (-0.95%), and the longitudinal/radial deformation ratio is >10, which may play a role on the NW transport properties. Small angle x-ray scattering shows a smoothening of the initial hexagonal bare InAs NW shape and gives the respective core/shell thicknesses, which are compared to flat surface values.

  9. Ultrathin free-standing graphene oxide film based flexible touchless sensor

    NASA Astrophysics Data System (ADS)

    Liu, Lin; Wang, Yingyi; Li, Guanghui; Qin, Sujie; Zhang, Ting

    2018-01-01

    Ultrathin free-standing graphene oxide (GO) films were fabricated by vacuum filtration method assisted with Ni(OH)2 nanosheets as the sacrifice layer. The surface of the obtained GO film is very clean as the Ni(OH)2 nanosheets can be thoroughly etched by HCl. The thickness of the GO films can be well-controlled by changing the volume of GO dispersion, and the thinnest GO film reached ~12 nm. As a novel and transparent dielectric material, the GO film has been applied as the dielectric layer for the flexible touchless capacitive sensor which can effectively distinguish the approaching of an insulator or a conductor. Project supported by the National Natural Science Foundation of China (No. 61574163) and the Foundation Research Project of Jiangsu Province (Nos. BK20160392, BK20170008).

  10. The demonstration of significant ferroelectricity in epitaxial Y-doped HfO2 film

    PubMed Central

    Shimizu, Takao; Katayama, Kiliha; Kiguchi, Takanori; Akama, Akihiro; Konno, Toyohiko J.; Sakata, Osami; Funakubo, Hiroshi

    2016-01-01

    Ferroelectricity and Curie temperature are demonstrated for epitaxial Y-doped HfO2 film grown on (110) yttrium oxide-stabilized zirconium oxide (YSZ) single crystal using Sn-doped In2O3 (ITO) as bottom electrodes. The XRD measurements for epitaxial film enabled us to investigate its detailed crystal structure including orientations of the film. The ferroelectricity was confirmed by electric displacement filed – electric filed hysteresis measurement, which revealed saturated polarization of 16 μC/cm2. Estimated spontaneous polarization based on the obtained saturation polarization and the crystal structure analysis was 45 μC/cm2. This value is the first experimental estimations of the spontaneous polarization and is in good agreement with the theoretical value from first principle calculation. Curie temperature was also estimated to be about 450 °C. This study strongly suggests that the HfO2-based materials are promising for various ferroelectric applications because of their comparable ferroelectric properties including polarization and Curie temperature to conventional ferroelectric materials together with the reported excellent scalability in thickness and compatibility with practical manufacturing processes. PMID:27608815

  11. Logic circuits composed of flexible carbon nanotube thin-film transistor and ultra-thin polymer gate dielectric

    PubMed Central

    Lee, Dongil; Yoon, Jinsu; Lee, Juhee; Lee, Byung-Hyun; Seol, Myeong-Lok; Bae, Hagyoul; Jeon, Seung-Bae; Seong, Hyejeong; Im, Sung Gap; Choi, Sung-Jin; Choi, Yang-Kyu

    2016-01-01

    Printing electronics has become increasingly prominent in the field of electronic engineering because this method is highly efficient at producing flexible, low-cost and large-scale thin-film transistors. However, TFTs are typically constructed with rigid insulating layers consisting of oxides and nitrides that are brittle and require high processing temperatures, which can cause a number of problems when used in printed flexible TFTs. In this study, we address these issues and demonstrate a method of producing inkjet-printed TFTs that include an ultra-thin polymeric dielectric layer produced by initiated chemical vapor deposition (iCVD) at room temperature and highly purified 99.9% semiconducting carbon nanotubes. Our integrated approach enables the production of flexible logic circuits consisting of CNT-TFTs on a polyethersulfone (PES) substrate that have a high mobility (up to 9.76 cm2 V−1 sec−1), a low operating voltage (less than 4 V), a high current on/off ratio (3 × 104), and a total device yield of 90%. Thus, it should be emphasized that this study delineates a guideline for the feasibility of producing flexible CNT-TFT logic circuits with high performance based on a low-cost and simple fabrication process. PMID:27184121

  12. Logic circuits composed of flexible carbon nanotube thin-film transistor and ultra-thin polymer gate dielectric

    NASA Astrophysics Data System (ADS)

    Lee, Dongil; Yoon, Jinsu; Lee, Juhee; Lee, Byung-Hyun; Seol, Myeong-Lok; Bae, Hagyoul; Jeon, Seung-Bae; Seong, Hyejeong; Im, Sung Gap; Choi, Sung-Jin; Choi, Yang-Kyu

    2016-05-01

    Printing electronics has become increasingly prominent in the field of electronic engineering because this method is highly efficient at producing flexible, low-cost and large-scale thin-film transistors. However, TFTs are typically constructed with rigid insulating layers consisting of oxides and nitrides that are brittle and require high processing temperatures, which can cause a number of problems when used in printed flexible TFTs. In this study, we address these issues and demonstrate a method of producing inkjet-printed TFTs that include an ultra-thin polymeric dielectric layer produced by initiated chemical vapor deposition (iCVD) at room temperature and highly purified 99.9% semiconducting carbon nanotubes. Our integrated approach enables the production of flexible logic circuits consisting of CNT-TFTs on a polyethersulfone (PES) substrate that have a high mobility (up to 9.76 cm2 V-1 sec-1), a low operating voltage (less than 4 V), a high current on/off ratio (3 × 104), and a total device yield of 90%. Thus, it should be emphasized that this study delineates a guideline for the feasibility of producing flexible CNT-TFT logic circuits with high performance based on a low-cost and simple fabrication process.

  13. Direct evaluation of electrical dipole moment and oxygen density ratio at high-k dielectrics/SiO2 interface by X-ray photoelectron spectroscopy analysis

    NASA Astrophysics Data System (ADS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2018-04-01

    The electrical dipole moment at an ultrathin high-k (HfO2, Al2O3, TiO2, Y2O3, and SrO)/SiO2 interface and its correlation with the oxygen density ratio at the interface have been directly evaluated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. The electrical dipole moment at the high-k/SiO2 interface has been measured from the change in the cut-off energy of secondary photoelectrons. Moreover, the oxygen density ratio at the interface between high-k and SiO2 has been estimated from cation core-line signals, such as Hf 4f, Al 2p, Y 3d, Ti 2p, Sr 3d, and Si 2p. We have experimentally clarified the relationship between the measured electrical dipole moment and the oxygen density ratio at the high-k/SiO2 interface.

  14. Role of point defects and HfO2/TiN interface stoichiometry on effective work function modulation in ultra-scaled complementary metal-oxide-semiconductor devices

    NASA Astrophysics Data System (ADS)

    Pandey, R. K.; Sathiyanarayanan, Rajesh; Kwon, Unoh; Narayanan, Vijay; Murali, K. V. R. M.

    2013-07-01

    We investigate the physical properties of a portion of the gate stack of an ultra-scaled complementary metal-oxide-semiconductor (CMOS) device. The effects of point defects, such as oxygen vacancy, oxygen, and aluminum interstitials at the HfO2/TiN interface, on the effective work function of TiN are explored using density functional theory. We compute the diffusion barriers of such point defects in the bulk TiN and across the HfO2/TiN interface. Diffusion of these point defects across the HfO2/TiN interface occurs during the device integration process. This results in variation of the effective work function and hence in the threshold voltage variation in the devices. Further, we simulate the effects of varying the HfO2/TiN interface stoichiometry on the effective work function modulation in these extremely-scaled CMOS devices. Our results show that the interface rich in nitrogen gives higher effective work function, whereas the interface rich in titanium gives lower effective work function, compared to a stoichiometric HfO2/TiN interface. This theoretical prediction is confirmed by the experiment, demonstrating over 700 meV modulation in the effective work function.

  15. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    NASA Astrophysics Data System (ADS)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  16. A sextuple-band ultra-thin metamaterial absorber with perfect absorption

    NASA Astrophysics Data System (ADS)

    Yu, Dingwang; Liu, Peiguo; Dong, Yanfei; Zhou, Dongming; Zhou, Qihui

    2017-08-01

    This paper presents the design, simulation and measurement of a sextuple-band ultra-thin metamaterial absorber (MA). The unit cell of this proposed structure is composed of triangular spiral-shaped complementary structures imprinted on the dielectric substrate backed by a metal ground. The measured results are in good agreement with simulations with high absorptivities of more than 90% at all six absorption frequencies. In addition, this proposed absorber has good performances of ultra-thin, polarization insensitivity and a wide-angle oblique incidence, which can easily be used in many potential applications such as detection, imaging and sensing.

  17. Band alignment of 2D WS2/HfO2 interfaces from x-ray photoelectron spectroscopy and first-principles calculations

    NASA Astrophysics Data System (ADS)

    Zhu, H. L.; Zhou, C. J.; Tang, B. S.; Yang, W. F.; Chai, J. W.; Tay, W. L.; Gong, H.; Pan, J. S.; Zou, W. D.; Wang, S. J.; Chi, D. Z.

    2018-04-01

    We report on the growth of two-dimensional (2D) WS2 on high-k HfO2/Si substrates by reactive sputtering deposition. Raman, x-ray photoelectron spectroscopy (XPS), and high-resolution transmission electron microscopy characterizations indicate that the 2D WS2 layers exhibit high-quality crystallinity and exact stoichiometry. Through high-resolution XPS valence spectra, we find a type I alignment at the interface of monolayer WS2/HfO2 with a valence band offset (VBO) of 1.95 eV and a conduction band offset (CBO) of 1.57 eV. The VBO and CBO are also found to increase up to 2.24 eV and 2.09 eV, respectively, with increasing WS2 layers. This is consistent with the results obtained from our first-principles calculations. Our theoretical calculations reveal that the remarkable splitting and shift of the W 5 d z 2 orbital originating from interlayer orbital coupling in thicker WS2 films induce a reduction of its bandgap, leading to an increase in both the VBO and CBO. This observation can be attributed to the asymmetric splitting at different high symmetric k-points caused by the interlayer orbital coupling.

  18. Design and Fabrication of Interdigital Nanocapacitors Coated with HfO2

    PubMed Central

    González, Gabriel; Kolosovas-Machuca, Eleazar Samuel; López-Luna, Edgar; Hernández-Arriaga, Heber; González, Francisco Javier

    2015-01-01

    In this article nickel interdigital capacitors were fabricated on top of silicon substrates. The capacitance of the interdigital capacitor was optimized by coating the electrodes with a 60 nm layer of HfO2. An analytical solution of the capacitance was compared to electromagnetic simulations using COMSOL and with experimental measurements. Results show that modeling interdigital capacitors using Finite Element Method software such as COMSOL is effective in the design and electrical characterization of these transducers. PMID:25602271

  19. High efficient light absorption and nanostructure-dependent birefringence of a metal-dielectric symmetrical layered structure

    NASA Astrophysics Data System (ADS)

    Jen, Yi-Jun; Jhang, Yi-Ciang; Liu, Wei-Chih

    2017-08-01

    A multilayer that comprises ultra-thin metal and dielectric films has been investigated and applied as a layered metamaterial. By arranging metal and dielectric films alternatively and symmetrically, the equivalent admittance and refractive index can be tailored separately. The tailored admittance and refractive index enable us to design optical filters with more flexibility. The admittance matching is achieved via the admittance tracing in the normalized admittance diagram. In this work, an ultra-thin light absorber is designed as a multilayer composed of one or several cells. Each cell is a seven-layered film stack here. The design concept is to have the extinction as large as possible under the condition of admittance matching. For a seven-layered symmetrical film stack arranged as Ta2O5 (45 nm)/ a-Si (17 nm)/ Cr (30 nm)/ Al (30 nm)/ Cr (30 nm)/ a-Si (17 nm)/ Ta2O5 (45 nm), its mean equivalent admittance and extinction coefficient over the visible regime is 1.4+0.2i and 2.15, respectively. The unit cell on a transparent BK7 glass substrate absorbs 99% of normally incident light energy for the incident medium is glass. On the other hand, a transmission-induced metal-dielectric film stack is investigated by using the admittance matching method. The equivalent anisotropic property of the metal-dielectric multilayer varied with wavelength and nanostructure are investigated here.

  20. Vacuum ultraviolet thin films. I - Optical constants of BaF2, CaF2, LaF3, MgF2, Al2O3, HfO2, and SiO2 thin films. II - Vacuum ultraviolet all-dielectric narrowband filters

    NASA Technical Reports Server (NTRS)

    Zukic, Muamer; Torr, Douglas G.; Spann, James F.; Torr, Marsha R.

    1990-01-01

    An iteration process matching calculated and measured reflectance and transmittance values in the 120-230 nm VUV region is presently used to ascertain the optical constants of bulk MgF2, as well as films of BaF2, CaF2, LaF3, MgF2, Al2O3, HfO2, and SiO2 deposited on MgF2 substrates. In the second part of this work, a design concept is demonstrated for two filters, employing rapidly changing extinction coefficients, centered at 135 nm for BaF2 and 141 nm for SiO2. These filters are shown to yield excellent narrowband spectral performance in combination with narrowband reflection filters.

  1. Strain-induced phase variation and dielectric constant enhancement of epitaxial Gd{sub 2}O{sub 3}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekhter, P., E-mail: Pini@tx.technion.ac.il; Amouyal, Y.; Eizenberg, M.

    2016-07-07

    One of the approaches for realizing advanced high k insulators for metal oxide semiconductor field effect transistors based devices is the use of rare earth oxides. When these oxides are deposited as epitaxial thin films, they demonstrate dielectric properties that differ greatly from those that are known for bulk oxides. Using structural and spectroscopic techniques, as well as first-principles calculations, Gd{sub 2}O{sub 3} films deposited on Si (111) and Ge (111) were characterized. It was seen that the same 4 nm thick film, grown simultaneously on Ge and Si, presents an unstrained lattice on Ge while showing a metastable phase onmore » Si. This change from the cubic lattice to the distorted metastable phase is characterized by an increase in the dielectric constant of more than 30% and a change in band gap. The case in study shows that extreme structural changes can occur in ultra-thin epitaxial rare earth oxide films and modify their dielectric properties when the underlying substrate is altered.« less

  2. Internal filament modulation in low-dielectric gap design for built-in selector-less resistive switching memory application

    NASA Astrophysics Data System (ADS)

    Chen, Ying-Chen; Lin, Chih-Yang; Huang, Hui-Chun; Kim, Sungjun; Fowler, Burt; Chang, Yao-Feng; Wu, Xiaohan; Xu, Gaobo; Chang, Ting-Chang; Lee, Jack C.

    2018-02-01

    Sneak path current is a severe hindrance for the application of high-density resistive random-access memory (RRAM) array designs. In this work, we demonstrate nonlinear (NL) resistive switching characteristics of a HfO x /SiO x -based stacking structure as a realization for selector-less RRAM devices. The NL characteristic was obtained and designed by optimizing the internal filament location with a low effective dielectric constant in the HfO x /SiO x structure. The stacking HfO x /SiO x -based RRAM device as the one-resistor-only memory cell is applicable without needing an additional selector device to solve the sneak path issue with a switching voltage of ~1 V, which is desirable for low-power operating in built-in nonlinearity crossbar array configurations.

  3. Studies on Optical and Electrical Properties of Hafnium Oxide Nanoparticles

    NASA Astrophysics Data System (ADS)

    Jayaraman, Venkatachalam; Sagadevan, Suresh; Sudhakar, Rajesh

    2017-07-01

    In this paper, the synthesis and physico-chemical properties of hafnium oxide nanoparticles (HfO2 NPs) are analyzed and reported. The synthesis was carried out by the precipitation route by using hafnium tetrachloride (HfCl4) as precursor material with potassium hydroxide (KOH) dissolved in Millipore water. In the precipitation technique, the chemical reaction is comparatively simple, low-cost and non-toxic compared to other synthetic methods. The synthesized HfO2 NPs were characterized by using powder x-ray diffraction (PXRD), ultraviolet-visible (UV-Vis) spectroscopy, Raman analysis, and high-resolution transmission electron microscopy (HRTEM). The monoclinic structure of the HfO2 NPs was resolved utilizing x-ray diffraction (XRD). The optical properties were studied from the UV-Vis absorption spectrum. The optical band gap of the HfO2NPs was observed to be 5.1 eV. The Raman spectrum shows the presence of HfO2 NPs. The HRTEM image showed that the HfO2 NPs were of spherical shape with an average particle size of around 28 nm. The energy-dispersive x-ray spectroscopy (EDS) spectrum obviously demonstrated the presence of HfO2 NPs. Analysis and studies on the dielectric properties of the HfO2 NPs such as the dielectric constant, the dielectric loss, and alternating current (AC) conductivity were carried out at varying frequencies and temperatures.

  4. Ozone and TFA impacts in North America from degradation of 2,3,3,3-Tetrafluoropropene (HFO-1234yf), a potential greenhouse gas replacement.

    PubMed

    Luecken, Deborah J; L Waterland, Robert; Papasavva, Stella; Taddonio, Kristen N; Hutzell, William T; Rugh, John P; Andersen, Stephen O

    2010-01-01

    We use a regional-scale, three-dimensional atmospheric model to evaluate U.S. air quality effects that would result from replacing HFC-134a in automobile air conditioners in the U.S. with HFO-1234yf. Although HFO-1234yf produces tropospheric ozone, the incremental amount is small, averaging less than 0.01% of total ozone formed during the simulation. We show that this production of ozone could be compensated for by a modest improvement in air conditioner efficiency. Atmospheric decomposition of HFO-1234yf produces trifluoroacetic acid (TFA), which is subject to wet and dry deposition. Deposition and concentrations of TFA are spatially variable due to HFO-1234yf's short atmospheric lifetime, with more localized peaks and less global transport when compared to HFC-134a. Over the 2.5 month simulation, deposition of TFA in the continental U.S. from mobile air conditioners averages 0.24 kg km(-2), substantially higher than previous estimates from all sources of current hydrofluorocarbons. Automobile air conditioning HFO-1234yf emissions are predicted to produce concentrations of TFA in Eastern U.S. rainfall at least double the values currently observed from all sources, natural and man-made. Our model predicts peak concentrations in rainfall of 1264 ng L(-1), a level that is 80x lower than the lowest level considered safe for the most sensitive aquatic organisms.

  5. Electron tunneling through atomically flat and ultrathin hexagonal boron nitride

    NASA Astrophysics Data System (ADS)

    Lee, Gwan-Hyoung; Yu, Young-Jun; Lee, Changgu; Dean, Cory; Shepard, Kenneth L.; Kim, Philip; Hone, James

    2011-12-01

    Electron tunneling through atomically flat and ultrathin hexagonal boron nitride (h-BN) on gold-coated mica was investigated using conductive atomic force microscopy. Low-bias direct tunneling was observed in mono-, bi-, and tri-layer h-BN. For all thicknesses, Fowler-Nordheim tunneling (FNT) occurred at high bias, showing an increase of breakdown voltage with thickness. Based on the FNT model, the barrier height for tunneling (3.07 eV) and dielectric strength (7.94 MV/cm) of h-BN are obtained; these values are comparable to those of SiO2.

  6. Conformal surface plasmons propagating on ultrathin and flexible films

    PubMed Central

    Shen, Xiaopeng; Cui, Tie Jun; Martin-Cano, Diego; Garcia-Vidal, Francisco J.

    2013-01-01

    Surface plasmon polaritons (SPPs) are localized surface electromagnetic waves that propagate along the interface between a metal and a dielectric. Owing to their inherent subwavelength confinement, SPPs have a strong potential to become building blocks of a type of photonic circuitry built up on 2D metal surfaces; however, SPPs are difficult to control on curved surfaces conformably and flexibly to produce advanced functional devices. Here we propose the concept of conformal surface plasmons (CSPs), surface plasmon waves that can propagate on ultrathin and flexible films to long distances in a wide broadband range from microwave to mid-infrared frequencies. We present the experimental realization of these CSPs in the microwave regime on paper-like dielectric films with a thickness 600-fold smaller than the operating wavelength. The flexible paper-like films can be bent, folded, and even twisted to mold the flow of CSPs. PMID:23248311

  7. Atomic layer deposition of dielectrics on graphene using reversibly physisorbed ozone.

    PubMed

    Jandhyala, Srikar; Mordi, Greg; Lee, Bongki; Lee, Geunsik; Floresca, Carlo; Cha, Pil-Ryung; Ahn, Jinho; Wallace, Robert M; Chabal, Yves J; Kim, Moon J; Colombo, Luigi; Cho, Kyeongjae; Kim, Jiyoung

    2012-03-27

    Integration of graphene field-effect transistors (GFETs) requires the ability to grow or deposit high-quality, ultrathin dielectric insulators on graphene to modulate the channel potential. Here, we study a novel and facile approach based on atomic layer deposition through ozone functionalization to deposit high-κ dielectrics (such as Al(2)O(3)) without breaking vacuum. The underlying mechanisms of functionalization have been studied theoretically using ab initio calculations and experimentally using in situ monitoring of transport properties. It is found that ozone molecules are physisorbed on the surface of graphene, which act as nucleation sites for dielectric deposition. The physisorbed ozone molecules eventually react with the metal precursor, trimethylaluminum to form Al(2)O(3). Additionally, we successfully demonstrate the performance of dual-gated GFETs with Al(2)O(3) of sub-5 nm physical thickness as a gate dielectric. Back-gated GFETs with mobilities of ~19,000 cm(2)/(V·s) are also achieved after Al(2)O(3) deposition. These results indicate that ozone functionalization is a promising pathway to achieve scaled gate dielectrics on graphene without leaving a residual nucleation layer. © 2012 American Chemical Society

  8. Enhancement of absorption and color contrast in ultra-thin highly absorbing optical coatings

    NASA Astrophysics Data System (ADS)

    Kats, Mikhail A.; Byrnes, Steven J.; Blanchard, Romain; Kolle, Mathias; Genevet, Patrice; Aizenberg, Joanna; Capasso, Federico

    2013-09-01

    Recently a new class of optical interference coatings was introduced which comprises ultra-thin, highly absorbing dielectric layers on metal substrates. We show that these lossy coatings can be augmented by an additional transparent subwavelength layer. We fabricated a sample comprising a gold substrate, an ultra-thin film of germanium with a thickness gradient, and several alumina films. The experimental reflectivity spectra showed that the additional alumina layer increases the color range that can be obtained, in agreement with calculations. More generally, this transparent layer can be used to enhance optical absorption, protect against erosion, or as a transparent electrode for optoelectronic devices.

  9. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duan, Guo Xing; Hatchtel, Jordan; Shen, Xiao

    Here, we investigate negative-bias temperature instabilities in SiGe pMOSFETs with SiO 2/HfO 2 gate dielectrics. The activation energies we measured for interface-trap charge buildup during negative-bias temperature stress were lower for SiGe channel pMOSFETs with SiO 2/HfO 2 gate dielectrics and Si capping layers than for conventional Si channel pMOSFETs with SiO 2 gate dielectrics. Electron energy loss spectroscopy and scanning transmission electron microscopy images demonstrate that Ge atoms can diffuse from the SiGe layer into the Si capping layer, which is adjacent to the SiO 2/HfO 2 gate dielectric. Density functional calculations show that these Ge atoms reduce themore » strength of nearby Si-H bonds and that Ge-H bond energies are still lower, thereby reducing the activation energy for interface-trap generation for the SiGe devices. Moreover, activation energies for oxide-trap charge buildup during negative-bias temperature stress are similarly small for SiGe pMOSFETs with SiO 2/HfO 2 gate dielectrics and Si pMOSFETs with SiO 2 gate dielectrics, suggesting that, in both cases, the oxide-trap charge buildup likely is rate-limited by hole tunneling into the near-interfacial SiO 2.« less

  10. Mechanism for atmosphere dependence of laser damage morphology in HfO2/SiO2 high reflective films

    NASA Astrophysics Data System (ADS)

    Pu, Yunti; Ma, Ping; Chen, Songlin; Zhu, Jiliang; Wang, Gang; Pan, Feng; Sun, Ping; Zhu, Xiaohong; Zhu, Jianguo; Xiao, Dingquan

    2012-07-01

    We show in this paper single-shot and multi-shot laser-induced damage thresholds (LIDTs) of HfO2/SiO2 high reflective films (the reflectance = 99.9%) are affected by the presence of a water layer absorbed on the surface of the porous films. When the water layer was removed with the process of pumping, the single-shot LIDT measured in vacuum dropped to ˜48% of that measured in air, while the multi-shot LIDT in vacuum dropped to ˜47% of its atmospheric value for the high reflective films. Typical damage micrographs of the films in air and in vacuum were obtained, showing distinct damage morphologies. Such atmosphere dependence of the laser damage morphology was found to originate from that formation of a water layer on the surface of porous films could cause an increase of horizontal thermal conductivity and a reduction of vertical thermal conductivity. Moreover, laser-induced periodic ripple damages in air were found in the SiO2 layer from the micrographs. A model of deformation kinematics was used to illustrate the occurrence of the periodic ripple damage, showing that it could be attributed to a contraction of the HfO2 layer under irradiation by the 5-ns laser pulses in air.

  11. Single-Walled Carbon Nanotube Dominated Micron-Wide Stripe Patterned-Based Ferroelectric Field-Effect Transistors with HfO2 Defect Control Layer

    NASA Astrophysics Data System (ADS)

    Tan, Qiuhong; Wang, Qianjin; Liu, Yingkai; Yan, Hailong; Cai, Wude; Yang, Zhikun

    2018-04-01

    Ferroelectric field-effect transistors (FeFETs) with single-walled carbon nanotube (SWCNT) dominated micron-wide stripe patterned as channel, (Bi,Nd)4Ti3O12 films as insulator, and HfO2 films as defect control layer were developed and fabricated. The prepared SWCNT-FeFETs possess excellent properties such as large channel conductance, high on/off current ratio, high channel carrier mobility, great fatigue endurance performance, and data retention. Despite its thin capacitance equivalent thickness, the gate insulator with HfO2 defect control layer shows a low leakage current density of 3.1 × 10-9 A/cm2 at a gate voltage of - 3 V.

  12. Hybrid nanomembrane-based capacitors for the determination of the dielectric constant of semiconducting molecular ensembles.

    PubMed

    Petrini, Paula A; Silva, Ricardo M L; de Oliveira, Rafael F; Merces, Leandro; Bof Bufon, Carlos C

    2018-06-29

    Considerable advances in the field of molecular electronics have been achieved over the recent years. One persistent challenge, however, is the exploitation of the electronic properties of molecules fully integrated into devices. Typically, the molecular electronic properties are investigated using sophisticated techniques incompatible with a practical device technology, such as the scanning tunneling microscopy. The incorporation of molecular materials in devices is not a trivial task as the typical dimensions of electrical contacts are much larger than the molecular ones. To tackle this issue, we report on hybrid capacitors using mechanically-compliant nanomembranes to encapsulate ultrathin molecular ensembles for the investigation of molecular dielectric properties. As the prototype material, copper (II) phthalocyanine (CuPc) has been chosen as information on its dielectric constant (k CuPc ) at the molecular scale is missing. Here, hybrid nanomembrane-based capacitors containing metallic nanomembranes, insulating Al 2 O 3 layers, and the CuPc molecular ensembles have been fabricated and evaluated. The Al 2 O 3 is used to prevent short circuits through the capacitor plates as the molecular layer is considerably thin (<30 nm). From the electrical measurements of devices with molecular layers of different thicknesses, the CuPc dielectric constant has been reliably determined (k CuPc  = 4.5 ± 0.5). These values suggest a mild contribution of the molecular orientation on the CuPc dielectric properties. The reported nanomembrane-based capacitor is a viable strategy for the dielectric characterization of ultrathin molecular ensembles integrated into a practical, real device technology.

  13. Hybrid nanomembrane-based capacitors for the determination of the dielectric constant of semiconducting molecular ensembles

    NASA Astrophysics Data System (ADS)

    Petrini, Paula A.; Silva, Ricardo M. L.; de Oliveira, Rafael F.; Merces, Leandro; Bof Bufon, Carlos C.

    2018-06-01

    Considerable advances in the field of molecular electronics have been achieved over the recent years. One persistent challenge, however, is the exploitation of the electronic properties of molecules fully integrated into devices. Typically, the molecular electronic properties are investigated using sophisticated techniques incompatible with a practical device technology, such as the scanning tunneling microscopy. The incorporation of molecular materials in devices is not a trivial task as the typical dimensions of electrical contacts are much larger than the molecular ones. To tackle this issue, we report on hybrid capacitors using mechanically-compliant nanomembranes to encapsulate ultrathin molecular ensembles for the investigation of molecular dielectric properties. As the prototype material, copper (II) phthalocyanine (CuPc) has been chosen as information on its dielectric constant (k CuPc) at the molecular scale is missing. Here, hybrid nanomembrane-based capacitors containing metallic nanomembranes, insulating Al2O3 layers, and the CuPc molecular ensembles have been fabricated and evaluated. The Al2O3 is used to prevent short circuits through the capacitor plates as the molecular layer is considerably thin (<30 nm). From the electrical measurements of devices with molecular layers of different thicknesses, the CuPc dielectric constant has been reliably determined (k CuPc = 4.5 ± 0.5). These values suggest a mild contribution of the molecular orientation on the CuPc dielectric properties. The reported nanomembrane-based capacitor is a viable strategy for the dielectric characterization of ultrathin molecular ensembles integrated into a practical, real device technology.

  14. Atomic-layer-deposited Al2O3 and HfO2 on InAlAs: A comparative study of interfacial and electrical characteristics

    NASA Astrophysics Data System (ADS)

    Wu, Li-Fan; Zhang, Yu-Ming; Lv, Hong-Liang; Zhang, Yi-Men

    2016-10-01

    Al2O3 and HfO2 thin films are separately deposited on n-type InAlAs epitaxial layers by using atomic layer deposition (ALD). The interfacial properties are revealed by angle-resolved x-ray photoelectron spectroscopy (AR-XPS). It is demonstrated that the Al2O3 layer can reduce interfacial oxidation and trap charge formation. The gate leakage current densities are 1.37 × 10-6 A/cm2 and 3.22 × 10-6 A/cm2 at +1 V for the Al2O3/InAlAs and HfO2/InAlAs MOS capacitors respectively. Compared with the HfO2/InAlAs metal-oxide-semiconductor (MOS) capacitor, the Al2O3/InAlAs MOS capacitor exhibits good electrical properties in reducing gate leakage current, narrowing down the hysteresis loop, shrinking stretch-out of the C-V characteristics, and significantly reducing the oxide trapped charge (Q ot) value and the interface state density (D it). Project supported by the National Basic Research Program of China (Grant No. 2010CB327505), the Advanced Research Foundation of China (Grant No. 914xxx803-051xxx111), the National Defense Advance Research Project, China (Grant No. 513xxxxx306), the National Natural Science Foundation of China (Grant No. 51302215), the Scientific Research Program Funded by Shaanxi Provincial Education Department, China (Grant No. 14JK1656), and the Science and Technology Project of Shaanxi Province, China (Grant No. 2016KRM029).

  15. Stabilization of the cubic phase of HfO2 by Y addition in films grown by metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Rauwel, E.; Dubourdieu, C.; Holländer, B.; Rochat, N.; Ducroquet, F.; Rossell, M. D.; Van Tendeloo, G.; Pelissier, B.

    2006-07-01

    Addition of yttrium in HfO2 thin films prepared on silicon by metal organic chemical vapor deposition is investigated in a wide compositional range (2.0-99.5at.%). The cubic structure of HfO2 is stabilized for 6.5at.%. The permittivity is maximum for yttrium content of 6.5-10at.%; in this range, the effective permittivity, which results from the contribution of both the cubic phase and silicate phase, is of 22. These films exhibit low leakage current density (5×10-7A /cm2 at -1V for a 6.4nm film). The cubic phase is stable upon postdeposition high temperature annealing at 900°C under NH3.

  16. Surface and interfacial chemistry of high-k dielectric and interconnect materials on silicon

    NASA Astrophysics Data System (ADS)

    Kirsch, Paul Daniel

    Surfaces and interfaces play a critical role in the manufacture and function of silicon based integrated circuits. It is therefore reasonable to study the chemistries at these surfaces and interfaces to improve existing processes and to develop new ones. Model barium strontium titanate high-k dielectric systems have been deposited on ultrathin silicon oxynitride in ultrahigh vacuum. The resulting nanostructures are characterized with secondary ion mass spectroscopy (SIMS) and X-ray photoelectron spectroscopy (XPS). An interfacial reaction between Ba and Sr atoms and SiOxNy was found to create silicates, BaSixOy or SrSi xOy. Inclusion of N in the interfacial oxide decreased silicate formation in both Ba and Sr systems. Furthermore, inclusion of N in the interfacial oxide decreased the penetration of Ba and Sr containing species, such as silicides and silicates. Sputter deposited HfO2 was studied on nitrided and unnitrided Si(100) surfaces. XPS and SIMS were used to verify the presence of interfacial HfSixOy and estimate its relative amount on both nitrided and unnitrided samples. More HfSixOy formed without the SiNx interfacial layer. These interfacial chemistry results are then used to explain the electrical measurements obtained from metal oxide semiconductor (MOS) capacitors. MOS capacitors with interfacial SiNx exhibit reduced leakage current and increased capacitance. Lastly, surface science techniques were used to develop a processing technique for reducing thin films of copper (II) and copper (I) oxide to copper. Deuterium atoms (D*) and methyl radicals (CH3*) were shown to reduce Cu 2+ and/or Cu1+ to Cu0 within 30 min at a surface temperature of 400 K under a flux of 1 x 1015 atoms/cm2s. Temperature programmed desorption experiments suggest that oxygen leaves the surface as D2O and CO2 for the D* and CH3* treated surfaces, respectively.

  17. Magneto-optical Kerr rotation and color in ultrathin lossy dielectric

    NASA Astrophysics Data System (ADS)

    Zhang, Jing; Wang, Hai; Qu, Xin; Zhou, Yun song; Li, Li na

    2017-05-01

    Ultra-thin optical coating comprising nanometer-thick silicon absorbing films on iron substrates can display strong optical interference effects. A resonance peak of ∼1.6^\\circ longitudinal Kerr rotation with the silicon thickness of ∼47 \\text{nm} was found at the wavelength of 660 nm. The optical properties of silicon thin films were well controlled by the sputtering power. Non-iridescence color exhibition and Kerr rotation enhancement can be manipulated and encoded individually.

  18. Response to comment on "Environmental fate of the next generation refrigerant 2,3,3,3-tetrafluoropropene (HFO-1234yf)

    DOE PAGES

    Im, Jeongdae; Walshe-Langford, Gillian E.; Moon, Ji Won; ...

    2015-06-11

    In this study, refrigerant 2,3,3,3-tetrafluoropropene (HFO-1234yf) has been developed for use in mobile air conditioning systems to replace 1,1,1,2-tetrafluoroethane (HFC-134a), which has a much greater global warming potential.

  19. Single-Walled Carbon Nanotube Dominated Micron-Wide Stripe Patterned-Based Ferroelectric Field-Effect Transistors with HfO2 Defect Control Layer.

    PubMed

    Tan, Qiuhong; Wang, Qianjin; Liu, Yingkai; Yan, Hailong; Cai, Wude; Yang, Zhikun

    2018-04-27

    Ferroelectric field-effect transistors (FeFETs) with single-walled carbon nanotube (SWCNT) dominated micron-wide stripe patterned as channel, (Bi,Nd) 4 Ti 3 O 12 films as insulator, and HfO 2 films as defect control layer were developed and fabricated. The prepared SWCNT-FeFETs possess excellent properties such as large channel conductance, high on/off current ratio, high channel carrier mobility, great fatigue endurance performance, and data retention. Despite its thin capacitance equivalent thickness, the gate insulator with HfO 2 defect control layer shows a low leakage current density of 3.1 × 10 -9  A/cm 2 at a gate voltage of - 3 V.

  20. ZnO/(Hf,Zr)O2/ZnO-trilayered nanowire capacitor structure fabricated solely by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fujisawa, Hironori; Kuwamoto, Kei; Nakashima, Seiji; Shimizu, Masaru

    2016-02-01

    HfO2-based thin films are one of the key dielectric and ferroelectric materials in Si-CMOS LSIs as well as in oxide electronic nanodevices. In this study, we demonstrated the fabrication of a ZnO/(Hf,Zr)O2/ZnO-trilayered nanowire (NW) capacitor structure solely by metalorganic chemical vapor deposition (MOCVD). 15-nm-thick dielectric (Hf,Zr)O2 and 40-nm-thick top ZnO electrode layers were uniformly grown by MOCVD on a ZnO NW template with average diameter, length, and aspect ratio of 110 nm, 10 µm, and ˜90, respectively. The diameter and aspect ratio of the resultant trilayerd NWs are 200-300 nm and above 30, respectively. The crystalline phase of HfO2 and stacked the structure are also discussed.

  1. A force field for 3,3,3-fluoro-1-propenes, including HFO-1234yf.

    PubMed

    Raabe, Gabriele; Maginn, Edward J

    2010-08-12

    The European Union (EU) legislation 2006/40/EC bans from January 2011 the cooperative marketing of new car types that use refrigerants in their heating, ventilation, and air conditioning (HVAC) systems with global warming potentials (GWP) higher than 150. Thus, the phase-out of the presently used tetrafluoroethane refrigerant R134a necessitates the adoption of alternative refrigerants. Fluoropropenes such as 2,3,3,3-tetrafluoro-1-propene (HFO-1234yf) are currently regarded as promising low GWP refrigerants, but the lack of experimental data on their thermophysical properties hampers independent studies on their performance in HVAC systems or in other technical applications. In principle, molecular modeling can be used to predict the relevant properties of refrigerants, but adequate intermolecular potential functions ("force fields") are lacking for fluoropropenes. Thus, we developed a transferable force field for fluoropropenes composed of CF(3)-, -CF=, -CH=, CF(2)=, and CH(2)= groups and applied the force field to study 3,3,3 trifluoro-1-propene (HFO-1243zf), 2,3,3,3-tetrafluoro-1-propene (HFO-1234yf), and hexafluoro-1-propene (HFO-1216). We performed Gibbs ensemble simulations on these three fluoropropenes to compute the vapor pressure, saturated densities, and heats of vaporization. In addition, molecular dynamics simulations were conducted to provide predictions for the density, thermal expansivity, isobaric heat capacity, and transport properties of liquid HFO-1234yf in the temperature range from 263.15 to 310 K and pressures up to 2 MPa. Agreement between simulation results and experimental data and/or correlations (when available) was good, thereby validating the predictive ability of the force field.

  2. Magnetotransport Properties in High-Quality Ultrathin Two-Dimensional Superconducting Mo2C Crystals.

    PubMed

    Wang, Libin; Xu, Chuan; Liu, Zhibo; Chen, Long; Ma, Xiuliang; Cheng, Hui-Ming; Ren, Wencai; Kang, Ning

    2016-04-26

    Ultrathin transition metal carbides are a class of developing two-dimensional (2D) materials with superconductivity and show great potentials for electrical energy storage and other applications. Here, we report low-temperature magnetotransport measurements on high-quality ultrathin 2D superconducting α-Mo2C crystals synthesized by a chemical vapor deposition method. The magnetoresistance curves exhibit reproducible oscillations at low magnetic fields for temperature far below the superconducting transition temperature of the crystals. We interpret the oscillatory magnetoresistance as a consequence of screening currents circling around the boundary of triangle-shaped terraces found on the surface of ultrathin Mo2C crystals. As the sample thickness decreases, the Mo2C crystals exhibit negative magnetoresistance deep in the superconducting transition regime, which reveals strong phase fluctuations of the superconducting order parameters associated with the superconductor-insulator transition. Our results demonstrate that the ultrathin superconducting Mo2C crystals provide an interesting system for studying rich transport phenomena in a 2D crystalline superconductor with enhanced quantum fluctuations.

  3. HfO2 Gate Dielectric on (NH4)2S Passivated (100) GaAs Grown by Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, P.T.; /Stanford U., Materials Sci. Dept.; Sun, Y.

    2007-09-28

    The interface between hafnium oxide grown by atomic layer deposition and (100) GaAs treated with HCl cleaning and (NH{sub 4}){sub 2}S passivation has been characterized. Synchrotron radiation photoemission core level spectra indicated successful removal of the native oxides and formation of passivating sulfides on the GaAs surface. Layer-by-layer removal of the hafnia film revealed a small amount of As{sub 2}O{sub 3} formed at the interface during the dielectric deposition. Traces of arsenic and sulfur out-diffusion into the hafnia film were observed after a 450 C post-deposition anneal, and may be the origins for the electrically active defects. Transmission electron microscopymore » cross section images showed thicker HfO{sub 2} films for a given precursor exposure on S-treated GaAs versus the non-treated sample. In addition, the valence-band and the conduction-band offsets at the HfO{sub 2}/GaAs interface were deduced to be 3.18 eV and a range of 0.87-0.97 eV, respectively. It appears that HCl+(NH{sub 4})2{sub S} treatments provide a superior chemical passivation for GaAs and initial surface for ALD deposition.« less

  4. Electronic structure of strongly reduced (1 ‾ 1 1) surface of monoclinic HfO2

    NASA Astrophysics Data System (ADS)

    Cheng, YingXing; Zhu, Linggang; Ying, Yile; Zhou, Jian; Sun, Zhimei

    2018-07-01

    Material surface is playing an increasingly important role in electronic devices as their size down to nanoscale. Here, by first-principles calculations we studied the surface oxygen-vacancies (Vos) induced electronic-structure variation of HfO2 , in order to explore its potential applications in surface-controlled electronic devices. Firstly, it is found that single Vo tends to segregate onto the surface and attracts each other as they form pairs, making the formation of vacancies-contained functional surface possible. Then extensive Vo-chains whose formation/rupture can represent the high/low conductivity state are constructed. The electronic states induced by the Vos remain localized in the band-gap region for most of the Vo-chains studied here. A transition to a metallic conductance is found in metastable Vo-chain with formation energy increased by 0.25 eV per Vo. Moreover, we highlight the significance of the Hubbard U correction for density functional theory when studying the electronic-structure based conductance in the oxides. By comprehensive calculations, we find a conductivity-stability dilemma of the Vo-chains, providing guideline for understanding and designing the electronic devices based on HfO2 surface.

  5. An ultra-thin compact polarization-independent hexa-band metamaterial absorber

    NASA Astrophysics Data System (ADS)

    Munaga, Praneeth; Bhattacharyya, Somak; Ghosh, Saptarshi; Srivastava, Kumar Vaibhav

    2018-04-01

    In this paper, an ultra-thin compact hexa-band metamaterial absorber has been presented using single layer of dielectric. The proposed design is polarization independent in nature owing to its fourfold symmetry and exhibits high angular stability up to 60° angles of incidences for both TE and TM polarizations. The structure is ultrathin in nature with 2 mm thickness, which corresponds to λ/11.4 ( λ is the operating wavelength with respect to the highest frequency of absorption). Six distinct absorption frequencies are obtained from the design, which can be distributed among three regions, namely lower band, middle band and higher band; each region consists of two closely spaced frequencies. Thereafter, the dimensions of the proposed structure are adjusted in such a way that bandwidth enhancement occurs at each region separately. Simultaneous bandwidth enhancements at middle and higher bands have also been achieved by proper optimization of the geometrical parameters. The structure with simultaneous bandwidth enhancements at X- and Ku-bands is later fabricated and the experimental absorptivity response is in agreement with the simulated one.

  6. Photoluminescence properties of Eu3+ doped HfO2 coatings formed by plasma electrolytic oxidation of hafnium

    NASA Astrophysics Data System (ADS)

    Stojadinović, Stevan; Tadić, Nenad; Ćirić, Aleksandar; Vasilić, Rastko

    2018-03-01

    Plasma electrolytic oxidation was used for synthesis of Eu3+ doped monoclinic HfO2 coatings on hafnium substrate. Results of photoluminescence (PL) measurements show the existence of two distinct regions: one that is related to the blue emission originating from oxygen vacancy defects in HfO2 and the other one characterized with a series of sharp orange-red emission peaks related to f-f transitions of Eu3+ from excited level 5D0 to lower levels 7FJ (J = 0, 1, 2, 3, and 4). PL peaks appearing in excitation spectra of obtained coatings are attributed either to charge transfer state of Eu3+ or to direct excitation of the Eu3+ ground state 7F0 into higher levels of the 4f-manifold. PL of formed coatings increases with PEO time due to an increase of oxygen vacancy defects and the content of Eu3+. Acquired experimental data suggest that hypersensitive electrical dipole transition is much more intense than the magnetic dipole transition, indicating that Eu3+ ions occupy a non-inversion symmetry sites.

  7. Microwave digestion-assisted HFO/biochar adsorption to recover phosphorus from swine manure.

    PubMed

    Zhang, Tao; Xu, Haoyu; Li, Huanhuan; He, Xinyue; Shi, Yuanji; Kruse, Andrea

    2018-04-15

    A sustainable management option for dealing with waste straw is to pyrolyze it to create biochar, which can then be used as a sorbent in pollution treatments, such as the recovery of phosphorus (P) from swine manure. However, the inability to directly capture soluble organic P (OP) and sparingly soluble P and the low selectivity of biochar remain key issues in this process. To overcome these, we investigated a microwave (MW) digestion pretreatment with a HFO/biochar adsorption process. The MW digestion-assisted treatment showed good performance for the solubilization of OP and sparingly soluble P. Optimized conditions (temperature=348K, time=45min, H 2 O 2 =3mL/30mL, HCl=0.13%) achieved an inorganic phosphorus (IP) release ratio of 83.98% and a total phosphorus (TP) release ratio of 91.83%. The P adsorption on the HFO/biochar was confirmed to follow pseudo-second-order kinetics, indicating that the P adsorption process was mainly controlled by chemical processes. The Freundlich model offered the best fit to the experimental data. The maximum amount of P adsorbed on HFO/biochar was in the range of 51.71-56.15mg/g. Thermodynamic calculations showed that the P adsorption process was exothermic, spontaneous, and increased the disorder in the system. Saturated adsorbed HFO/biochar was able to continually release P and was most suitable for use in an alkaline soil. The amount of P released from saturated adsorbed HFO/biochar reached 8.16mg/g after five interval extractions. A P mass balance indicated that 8.76% of the TP was available after the solubilization, capture, and recovery processes. Copyright © 2017 Elsevier B.V. All rights reserved.

  8. Resistive switching of Sn-doped In2O3/HfO2 core-shell nanowire: geometry architecture engineering for nonvolatile memory.

    PubMed

    Huang, Chi-Hsin; Chang, Wen-Chih; Huang, Jian-Shiou; Lin, Shih-Ming; Chueh, Yu-Lun

    2017-05-25

    Core-shell NWs offer an innovative approach to achieve nanoscale metal-insulator-metal (MIM) heterostructures along the wire radial direction, realizing three-dimensional geometry architecture rather than planar type thin film devices. This work demonstrated the tunable resistive switching characteristics of ITO/HfO 2 core-shell nanowires with controllable shell thicknesses by the atomic layer deposition (ALD) process for the first time. Compared to planar HfO 2 thin film device configuration, ITO/HfO 2 core-shell nanowire shows a prominent resistive memory behavior, including lower power consumption with a smaller SET voltage of ∼0.6 V and better switching voltage uniformity with variations (standard deviation(σ)/mean value (μ)) of V SET and V RESET from 0.38 to 0.14 and from 0.33 to 0.05 for ITO/HfO 2 core-shell nanowire and planar HfO 2 thin film, respectively. In addition, endurance over 10 3 cycles resulting from the local electric field enhancement can be achieved, which is attributed to geometry architecture engineering. The concept of geometry architecture engineering provides a promising strategy to modify the electric-field distribution for solving the non-uniformity issue of future RRAM.

  9. Utilizing self-assembled-monolayer-based gate dielectrics to fabricate molybdenum disulfide field-effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kawanago, Takamasa, E-mail: kawanago.t.ab@m.titech.ac.jp; Oda, Shunri

    In this study, we apply self-assembled-monolayer (SAM)-based gate dielectrics to the fabrication of molybdenum disulfide (MoS{sub 2}) field-effect transistors. A simple fabrication process involving the selective formation of a SAM on metal oxides in conjunction with the dry transfer of MoS{sub 2} flakes was established. A subthreshold slope (SS) of 69 mV/dec and no hysteresis were demonstrated with the ultrathin SAM-based gate dielectrics accompanied by a low gate leakage current. The small SS and no hysteresis indicate the superior interfacial properties of the MoS{sub 2}/SAM structure. Cross-sectional transmission electron microscopy revealed a sharp and abrupt interface of the MoS{sub 2}/SAM structure.more » The SAM-based gate dielectrics are found to be applicable to the fabrication of low-voltage MoS{sub 2} field-effect transistors and can also be extended to various layered semiconductor materials. This study opens up intriguing possibilities of SAM-based gate dielectrics in functional electronic devices.« less

  10. DuPont Petition to Exempt HFO from VOC List

    EPA Pesticide Factsheets

    Petition to exclude the chemcial 1, 1, 4, 4-hexafluorobut-2-ene (HFO 1336 mzz-Z) from the definition of volatile organic compound (VOC) and therefore allow it to be used in the US without regulation as a potential precursor to tropospheric ozone.

  11. Photovoltaic Enhancement with Ferroelectric HfO2Embedded in the Structure of Solar Cells

    NASA Astrophysics Data System (ADS)

    Eskandari, Rahmatollah; Malkinski, Leszek

    Enhancing total efficiency of the solar cells is focused on the improving one or all of the three main stages of the photovoltaic effect: absorption of the light, generation of the carriers and finally separation of the carriers. Ferroelectric photovoltaic designs target the last stage with large electric forces from polarized ferroelectric films that can be larger than band gap of the material and the built-in electric fields in semiconductor bipolar junctions. In this project we have fabricated very thin ferroelectric HfO2 films ( 10nm) doped with silicon using RF sputtering method. Doped HfO2 films were capped between two TiN layers ( 20nm) and annealed at temperatures of 800ºC and 1000ºC and Si content was varied between 6-10 mol. % using different size of mounted Si chip on hafnium target. Piezoforce microscopy (PFM) method proved clear ferroelectric properties in samples with 6 mol. % of Si that were annealed at 800ºC. Ferroelectric samples were poled in opposite directions and embedded in the structure of a cell and an enhancement in photovoltaic properties were observed on the poled samples vs unpoled ones with KPFM and I-V measurements. The current work is funded by the NSF EPSCoR LA-SiGMA project under award #EPS-1003897.

  12. Electrical Characterization of Defects Created by γ-Radiation in HfO2-Based MIS Structures for RRAM Applications

    NASA Astrophysics Data System (ADS)

    García, H.; González, M. B.; Mallol, M. M.; Castán, H.; Dueñas, S.; Campabadal, F.; Acero, M. C.; Sambuco Salomone, L.; Faigón, A.

    2018-04-01

    The γ-radiation effects on the electrical characteristics of metal-insulator-semiconductor capacitors based on HfO2, and on the resistive switching characteristics of the structures have been studied. The HfO2 was grown directly on silicon substrates by atomic layer deposition. Some of the capacitors were submitted to a γ ray irradiation using three different doses (16 kGy, 96 kGy and 386 kGy). We studied the electrical characteristics in the pristine state of the capacitors. The radiation increased the interfacial state densities at the insulator/semiconductor interface, and the slow traps inside the insulator near the interface. However, the leakage current is not increased by the irradiation, and the conduction mechanism is Poole-Frenkel for all the samples. The switching characteristics were also studied, and no significant differences were obtained in the performance of the devices after having been irradiated, indicating that the fabricated capacitors present good radiation hardness for its use as a RS element.

  13. The electrical performance and gate bias stability of an amorphous InGaZnO thin-film transistor with HfO2 high-k dielectrics

    NASA Astrophysics Data System (ADS)

    Wang, Ruo Zheng; Wu, Sheng Li; Li, Xin Yu; Zhang, Jin Tao

    2017-07-01

    In this study, we set out to fabricate an amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with SiNx/HfO2/SiNx (SHS) sandwiched dielectrics. The J-V and C-V of this SHS film were extracted by the Au/p-Si/SHS/Ti structure. At room temperature the a-IGZO with SHS dielectrics showed the following electrical properties: a threshold voltage of 2.9 V, a subthreshold slope of 0.35 V/decade, an on/off current ratio of 3.5 × 107, and a mobility of 12.8 cm2 V-1 s-1. Finally, we tested the influence of gate bias stress on the TFT, and the result showed that the threshold voltage shifted to a positive voltage when applying a positive gate voltage to the TFT.

  14. Microstructure and properties of hard and optically transparent HfO2 films prepared by high-rate reactive high-power impulse magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Patterson, Burkley Delesdernier

    Hafnium Dioxide (HfO2) has an extraordinary high bulk modulus, high hardness, high chemical stability, high melting point and high thermal stability. This material can be used as protective coatings for application involving high temperature environments. HfO2 films were fabricated on Si using high-rate reactive high-power impulse magnetron sputtering (HiPIMS) using different deposition-averaged target power density and voltage pulse durations t1. Five HfO2 films were prepared with (1) t1 = 25 mus, =7.6 Wcm-2 (T25S7), (2) t 1 = 100 mus, =7.2 Wcm-2 (T100S7), (3) t1 = 200 mus, =7.3 Wcm-2 (T200S7), (4) t1 = 200 mus, =18 Wcm-2 (T200S18) and (5) t1 = 200 mus, =54 Wcm-2 (T200S54). Atomic force microscopy (AFM) images of the T200S54, T200S18 and T200S7 films exhibit a coarser granular structure with a similar grain size varying from 25 nm to 120 nm in diameter and an average grain size of ˜70 nm. AFM images of the T25S7 and T100S7 films show smaller granular structures compared to the other three films. Transmission electron microscopy (TEM) studies show that all films are composed of an interlayer next to the Si interface followed by a nano-columnar structure layer. The interlayer structure of the films consists of a population of lower density nanoscale regions. A reduction in t1 and in films T200S54, T200S18, T200S7 and T100S7 caused an increase in the interlayer thickness and a decrease in the width of the nano-columnar structures from ˜46 nm to ˜21 nm. This microstructural change was accompanied by a concomitant change of the grain boundary structure from tight and interlocking in films T200S54 and T200S18, to rough and thicker (˜1 nm) boundaries in films T200S7 and T100S7. Film T25S7 exhibited an entirely different microstructure composed of a multilayered interlayer (˜3 nm) and nano-columnar (˜15 nm) structure. Films prepared with large t1 (200 mus) have a monoclinic HfO 2 structure and that with small t1 (25 mus) an orthorhombic HfO 2 structure. Film

  15. Ultrathin phase-change coatings on metals for electrothermally tunable colors

    NASA Astrophysics Data System (ADS)

    Bakan, Gokhan; Ayas, Sencer; Saidzoda, Tohir; Celebi, Kemal; Dana, Aykutlu

    2016-08-01

    Metal surfaces coated with ultrathin lossy dielectrics enable color generation through strong interferences in the visible spectrum. Using a phase-change thin film as the coating layer offers tuning the generated color by crystallization or re-amorphization. Here, we study the optical response of surfaces consisting of thin (5-40 nm) phase-changing Ge2Sb2Te5 (GST) films on metal, primarily Al, layers. A color scale ranging from yellow to red to blue that is obtained using different thicknesses of as-deposited amorphous GST layers turns dim gray upon annealing-induced crystallization of the GST. Moreover, when a relatively thick (>100 nm) and lossless dielectric film is introduced between the GST and Al layers, optical cavity modes are observed, offering a rich color gamut at the expense of the angle independent optical response. Finally, a color pixel structure is proposed for ultrahigh resolution (pixel size: 5 × 5 μm2), non-volatile displays, where the metal layer acting like a mirror is used as a heater element. The electrothermal simulations of such a pixel structure suggest that crystallization and re-amorphization of the GST layer using electrical pulses are possible for electrothermal color tuning.

  16. Ultrathin MoS2 Nanosheets with Superior Extreme Pressure Property as Boundary Lubricants.

    PubMed

    Chen, Zhe; Liu, Xiangwen; Liu, Yuhong; Gunsel, Selda; Luo, Jianbin

    2015-08-07

    In this paper, a new kind of oil-soluble ultrathin MoS2 nanosheets is prepared through a one-pot process. A superior extreme pressure property, which has not been attained with other nano-additives, is discovered when the nanosheets are used as lubricant additives. The as-synthesized MoS2 nanosheet is only a few atomic layers thick and tens of nanometers wide, and it is surface-modified with oleylamine so it can be well dispersed in oil or lubricant without adscititious dispersants or surfactants. By adding 1 wt% ultrathin MoS2 nanosheets, at the temperature of 120 °C, the highest load liquid paraffin can bear is tremendously improved from less than 50 N to more than 2000 N. Based on the tribological tests and analysis of the wear scar, a lubrication mechanism is proposed. It is believed that the good dispersion and the ultrathin shape of the nanosheets ensure that they can enter the contact area of the opposite sliding surfaces and act like a protective film to prevent direct contact and seizure between them. This work enriches the investigation of ultrathin MoS2 and has potential application in the mechanical industry.

  17. Ultrathin MoS2 Nanosheets with Superior Extreme Pressure Property as Boundary Lubricants

    NASA Astrophysics Data System (ADS)

    Chen, Zhe; Liu, Xiangwen; Liu, Yuhong; Gunsel, Selda; Luo, Jianbin

    2015-08-01

    In this paper, a new kind of oil-soluble ultrathin MoS2 nanosheets is prepared through a one-pot process. A superior extreme pressure property, which has not been attained with other nano-additives, is discovered when the nanosheets are used as lubricant additives. The as-synthesized MoS2 nanosheet is only a few atomic layers thick and tens of nanometers wide, and it is surface-modified with oleylamine so it can be well dispersed in oil or lubricant without adscititious dispersants or surfactants. By adding 1 wt% ultrathin MoS2 nanosheets, at the temperature of 120 °C, the highest load liquid paraffin can bear is tremendously improved from less than 50 N to more than 2000 N. Based on the tribological tests and analysis of the wear scar, a lubrication mechanism is proposed. It is believed that the good dispersion and the ultrathin shape of the nanosheets ensure that they can enter the contact area of the opposite sliding surfaces and act like a protective film to prevent direct contact and seizure between them. This work enriches the investigation of ultrathin MoS2 and has potential application in the mechanical industry.

  18. Low-Frequency Noise in Layered ReS2 Field Effect Transistors on HfO2 and Its Application for pH Sensing.

    PubMed

    Liao, Wugang; Wei, Wei; Tong, Yu; Chim, Wai Kin; Zhu, Chunxiang

    2018-02-28

    Layered rhenium disulfide (ReS 2 ) field effect transistors (FETs), with thickness ranging from few to dozens of layers, are demonstrated on 20 nm thick HfO 2 /Si substrates. A small threshold voltage of -0.25 V, high on/off current ratio of up to ∼10 7 , small subthreshold swing of 116 mV/dec, and electron carrier mobility of 6.02 cm 2 /V·s are obtained for the two-layer ReS 2 FETs. Low-frequency noise characteristics in ReS 2 FETs are analyzed for the first time, and it is found that the carrier number fluctuation mechanism well describes the flicker (1/f) noise of ReS 2 FETs with different thicknesses. pH sensing using a two-layer ReS 2 FET with HfO 2 as a sensing oxide is then demonstrated with a voltage sensitivity of 54.8 mV/pH and a current sensitivity of 126. The noise characteristics of the ReS 2 FET-based pH sensors are also examined, and a corresponding detection limit of 0.0132 pH is obtained. Our studies suggest the high potential of ReS 2 for future low-power nanoelectronics and biosensor applications.

  19. High-Performance Ultrathin Active Chiral Metamaterials.

    PubMed

    Wu, Zilong; Chen, Xiaodong; Wang, Mingsong; Dong, Jianwen; Zheng, Yuebing

    2018-05-22

    Ultrathin active chiral metamaterials with dynamically tunable and responsive optical chirality enable new optical sensors, modulators, and switches. Herein, we develop ultrathin active chiral metamaterials of highly tunable chiroptical responses by inducing tunable near-field coupling in the metamaterials and exploit the metamaterials as ultrasensitive sensors to detect trace amounts of solvent impurities. To demonstrate the active chiral metamaterials mediated by tunable near-field coupling, we design moiré chiral metamaterials (MCMs) as model metamaterials, which consist of two layers of identical Au nanohole arrays stacked upon one another in moiré patterns with a dielectric spacer layer between the Au layers. Our simulations, analytical fittings, and experiments reveal that spacer-dependent near-field coupling exists in the MCMs, which significantly enhances the spectral shift and line shape change of the circular dichroism (CD) spectra of the MCMs. Furthermore, we use a silk fibroin thin film as the spacer layer in the MCM. With the solvent-controllable swelling of the silk fibroin thin films, we demonstrate actively tunable near-field coupling and chiroptical responses of the silk-MCMs. Impressively, we have achieved the spectral shift over a wavelength range that is more than one full width at half-maximum and the sign inversion of the CD spectra in a single ultrathin (1/5 of wavelength in thickness) MCM. Finally, we apply the silk-MCMs as ultrasensitive sensors to detect trace amounts of solvent impurities down to 200 ppm, corresponding to an ultrahigh sensitivity of >10 5 nm/refractive index unit (RIU) and a figure of merit of 10 5 /RIU.

  20. Ultrathin MoS2 Nanosheets with Superior Extreme Pressure Property as Boundary Lubricants

    PubMed Central

    Chen, Zhe; Liu, Xiangwen; Liu, Yuhong; Gunsel, Selda; Luo, Jianbin

    2015-01-01

    In this paper, a new kind of oil-soluble ultrathin MoS2 nanosheets is prepared through a one-pot process. A superior extreme pressure property, which has not been attained with other nano-additives, is discovered when the nanosheets are used as lubricant additives. The as-synthesized MoS2 nanosheet is only a few atomic layers thick and tens of nanometers wide, and it is surface-modified with oleylamine so it can be well dispersed in oil or lubricant without adscititious dispersants or surfactants. By adding 1 wt% ultrathin MoS2 nanosheets, at the temperature of 120 °C, the highest load liquid paraffin can bear is tremendously improved from less than 50 N to more than 2000 N. Based on the tribological tests and analysis of the wear scar, a lubrication mechanism is proposed. It is believed that the good dispersion and the ultrathin shape of the nanosheets ensure that they can enter the contact area of the opposite sliding surfaces and act like a protective film to prevent direct contact and seizure between them. This work enriches the investigation of ultrathin MoS2 and has potential application in the mechanical industry. PMID:26249536

  1. Suppression of superconductivity in epitaxial MgB2 ultrathin films

    NASA Astrophysics Data System (ADS)

    Zhang, Chen; Wang, Yue; Wang, Da; Zhang, Yan; Liu, Zheng-Hao; Feng, Qing-Rong; Gan, Zi-Zhao

    2013-07-01

    MgB2 ultrathin films have potential to make sensitive superconducting devices such as superconducting single-photon detectors working at relatively high temperatures. We have grown epitaxial MgB2 films in thicknesses ranging from about 40 nm to 6 nm by using the hybrid physical-chemical vapor deposition method and performed electrical transport measurements to study the thickness dependence of the superconducting critical temperature Tc. With reducing film thickness d, although a weak depression of the Tc has been observed, which could be attributed to an increase of disorder (interband impurity scattering) in the film, the Tc retains close to the bulk value of MgB2 (39 K), being about 35 K in the film of 6 nm thick. We show that this result, beneficial to the application of MgB2 ultrathin films and in accordance with recent theoretical calculations, is in contrast to previous findings in MgB2 films prepared by other methods such as co-evaporation and molecular-beam epitaxy, where a severe Tc suppression has been observed with Tc about one third of the bulk value in films of ˜5 nm thick. We discuss this apparent discrepancy in experiments and suggest that, towards the ultrathin limit, the different degrees of Tc suppression displayed in currently obtained MgB2 films by various techniques may arise from the different levels of disorder present in the film or different extents of proximity effect at the film surface or film-substrate interface.

  2. Atomic Layer Engineering of High-κ Ferroelectricity in 2D Perovskites.

    PubMed

    Li, Bao-Wen; Osada, Minoru; Kim, Yoon-Hyun; Ebina, Yasuo; Akatsuka, Kosho; Sasaki, Takayoshi

    2017-08-09

    Complex perovskite oxides offer tremendous potential for controlling their rich variety of electronic properties, including high-T C superconductivity, high-κ ferroelectricity, and quantum magnetism. Atomic-scale control of these intriguing properties in ultrathin perovskites is an important challenge for exploring new physics and device functionality at atomic dimensions. Here, we demonstrate atomic-scale engineering of dielectric responses using two-dimensional (2D) homologous perovskite nanosheets (Ca 2 Na m-3 Nb m O 3m+1 ; m = 3-6). In this homologous 2D material, the thickness of the perovskite layers can be incrementally controlled by changing m, and such atomic layer engineering enhances the high-κ dielectric response and local ferroelectric instability. The end member (m = 6) attains a high dielectric constant of ∼470, which is the highest among all known dielectrics in the ultrathin region (<10 nm). These results provide a new strategy for achieving high-κ ferroelectrics for use in ultrascaled high-density capacitors and post-graphene technology.

  3. Mechanism of Antiwear Property Under High Pressure of Synthetic Oil-Soluble Ultrathin MoS2 Sheets as Lubricant Additives.

    PubMed

    Chen, Zhe; Liu, Yuhong; Gunsel, Selda; Luo, Jianbin

    2018-01-30

    Wear occurs between two rubbing surfaces. Severe wear due to seizure under high pressure leads to catastrophic failures of mechanical systems and raises wide concerns. In this paper, a kind of synthetic oil-soluble ultrathin MoS 2 sheets is synthesized and investigated as lubricant additives between steel surfaces. It is found that, with the ultrathin MoS 2 sheets, the wear can be controlled under the nominal pressure of about 1 GPa, whereas the bearable nominal pressure for traditional lubricants is only a few hundred megapascals. It is found that when wear is under control, the real pressure between the asperities agrees with the breaking strength of ultrathin MoS 2 . Therefore, it is believed that, because of the good oil solubility and ultrasmall thickness, the ultrathin MoS 2 sheets can easily enter the contact area between the contacting asperities. Then, the localized seizure and further wear are prevented because there will be no metal-to-metal contact as long as the real pressure between the asperities is below the breaking strength of ultrathin MoS 2 . In this way, the upper limit pressure the lubricant can work is dependent on the mechanical properties of the containing ultrathin two-dimensional (2D) sheets. Additionally, ultrathin MoS 2 sheets with various lateral sizes are compared, and it is found that sheets with a larger size show better lubrication performance. This work discovers the lubrication mechanism of ultrathin MoS 2 sheets as lubricant additives and provides an inspiration to develop a novel generation of lubricant additives with high-strength ultrathin 2D materials.

  4. Integration of lead-free ferroelectric on HfO2/Si (100) for high performance non-volatile memory applications

    PubMed Central

    Kundu, Souvik; Maurya, Deepam; Clavel, Michael; Zhou, Yuan; Halder, Nripendra N.; Hudait, Mantu K.; Banerji, Pallab; Priya, Shashank

    2015-01-01

    We introduce a novel lead-free ferroelectric thin film (1-x)BaTiO3-xBa(Cu1/3Nb2/3)O3 (x = 0.025) (BT-BCN) integrated on to HfO2 buffered Si for non-volatile memory (NVM) applications. Piezoelectric force microscopy (PFM), x-ray diffraction, and high resolution transmission electron microscopy were employed to establish the ferroelectricity in BT-BCN thin films. PFM study reveals that the domains reversal occurs with 180° phase change by applying external voltage, demonstrating its effectiveness for NVM device applications. X-ray photoelectron microscopy was used to investigate the band alignments between atomic layer deposited HfO2 and pulsed laser deposited BT-BCN films. Programming and erasing operations were explained on the basis of band-alignments. The structure offers large memory window, low leakage current, and high and low capacitance values that were easily distinguishable even after ~106 s, indicating strong charge storage potential. This study explains a new approach towards the realization of ferroelectric based memory devices integrated on Si platform and also opens up a new possibility to embed the system within current complementary metal-oxide-semiconductor processing technology. PMID:25683062

  5. High electron mobility and quantum oscillations in non-encapsulated ultrathin semiconducting Bi2O2Se

    NASA Astrophysics Data System (ADS)

    Wu, Jinxiong; Yuan, Hongtao; Meng, Mengmeng; Chen, Cheng; Sun, Yan; Chen, Zhuoyu; Dang, Wenhui; Tan, Congwei; Liu, Yujing; Yin, Jianbo; Zhou, Yubing; Huang, Shaoyun; Xu, H. Q.; Cui, Yi; Hwang, Harold Y.; Liu, Zhongfan; Chen, Yulin; Yan, Binghai; Peng, Hailin

    2017-07-01

    High-mobility semiconducting ultrathin films form the basis of modern electronics, and may lead to the scalable fabrication of highly performing devices. Because the ultrathin limit cannot be reached for traditional semiconductors, identifying new two-dimensional materials with both high carrier mobility and a large electronic bandgap is a pivotal goal of fundamental research. However, air-stable ultrathin semiconducting materials with superior performances remain elusive at present. Here, we report ultrathin films of non-encapsulated layered Bi2O2Se, grown by chemical vapour deposition, which demonstrate excellent air stability and high-mobility semiconducting behaviour. We observe bandgap values of ˜0.8 eV, which are strongly dependent on the film thickness due to quantum-confinement effects. An ultrahigh Hall mobility value of >20,000 cm2 V-1 s-1 is measured in as-grown Bi2O2Se nanoflakes at low temperatures. This value is comparable to what is observed in graphene grown by chemical vapour deposition and at the LaAlO3-SrTiO3 interface, making the detection of Shubnikov-de Haas quantum oscillations possible. Top-gated field-effect transistors based on Bi2O2Se crystals down to the bilayer limit exhibit high Hall mobility values (up to 450 cm2 V-1 s-1), large current on/off ratios (>106) and near-ideal subthreshold swing values (˜65 mV dec-1) at room temperature. Our results make Bi2O2Se a promising candidate for future high-speed and low-power electronic applications.

  6. 77 FR 16988 - Protection of Stratospheric Ozone: Amendment to HFO-1234yf SNAP Rule for Motor Vehicle Air...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2012-03-23

    ... Protection of Stratospheric Ozone: Amendment to HFO-1234yf SNAP Rule for Motor Vehicle Air Conditioning... hydrofluoroolefin (HFO)-1234yf (2,3,3,3-tetrafluoroprop-1-ene), a substitute for ozone- depleting substances (ODSs... EPA's Stratospheric Ozone Web site at http://www.epa.gov/ozone/snap/regs . The full list of SNAP...

  7. Charge Transfer and Orbital Level Alignment at Inorganic/Organic Interfaces: The Role of Dielectric Interlayers.

    PubMed

    Hollerer, Michael; Lüftner, Daniel; Hurdax, Philipp; Ules, Thomas; Soubatch, Serguei; Tautz, Frank Stefan; Koller, Georg; Puschnig, Peter; Sterrer, Martin; Ramsey, Michael G

    2017-06-27

    It is becoming accepted that ultrathin dielectric layers on metals are not merely passive decoupling layers, but can actively influence orbital energy level alignment and charge transfer at interfaces. As such, they can be important in applications ranging from catalysis to organic electronics. However, the details at the molecular level are still under debate. In this study, we present a comprehensive analysis of the phenomenon of charge transfer promoted by a dielectric interlayer with a comparative study of pentacene adsorbed on Ag(001) with and without an ultrathin MgO interlayer. Using scanning tunneling microscopy and photoemission tomography supported by density functional theory, we are able to identify the orbitals involved and quantify the degree of charge transfer in both cases. Fractional charge transfer occurs for pentacene adsorbed on Ag(001), while the presence of the ultrathin MgO interlayer promotes integer charge transfer with the lowest unoccupied molecular orbital transforming into a singly occupied and singly unoccupied state separated by a large gap around the Fermi energy. Our experimental approach allows a direct access to the individual factors governing the energy level alignment and charge-transfer processes for molecular adsorbates on inorganic substrates.

  8. Enhanced magnetic moment in ultrathin Fe-doped CoFe2O4 films

    NASA Astrophysics Data System (ADS)

    Moyer, J. A.; Vaz, C. A. F.; Kumah, D. P.; Arena, D. A.; Henrich, V. E.

    2012-11-01

    The effect of film thickness on the magnetic properties of ultrathin Fe-doped cobalt ferrite (Co1-xFe2+xO4) grown on MgO (001) substrates is investigated by superconducting quantum interference device magnetometry and x-ray magnetic linear dichroism, while the distribution of the Co2+ cations between the octahedral and tetrahedral lattice sites is studied with x-ray absorption spectroscopy. For films thinner than 10 nm, there is a large enhancement of the magnetic moment; conversely, the remanent magnetization and coercive fields both decrease, while the magnetic spin axes of all the cations become less aligned with the [001] crystal direction. In particular, at 300 K the coercive fields of the thinnest films vanish. The spectroscopy data show that no changes occur in the cation distribution as a function of film thickness, ruling this out as the origin of the enhanced magnetic moment. However, the magnetic measurements all support the possibility that these ultrathin Fe-doped CoFe2O4 films are transitioning into a superparamagnetic state, as has been seen in ultrathin Fe3O4. A weakening of the magnetic interactions at the antiphase boundaries, leading to magnetically independent domains within the film, could explain the enhanced magnetic moment in ultrathin Fe-doped CoFe2O4 and the onset of superparamagnetism at room temperature.

  9. Ultrathin Shape Change Smart Materials.

    PubMed

    Xu, Weinan; Kwok, Kam Sang; Gracias, David H

    2018-02-20

    With the discovery of graphene, significant research has focused on the synthesis, characterization, and applications of ultrathin materials. Graphene has also brought into focus other ultrathin materials composed of organics, polymers, inorganics, and their hybrids. Together, these ultrathin materials have unique properties of broad significance. For example, ultrathin materials have a large surface area and high flexibility which can enhance conformal contact in wearables and sensors leading to improved sensitivity. When porous, the short transverse diffusion length in these materials allows rapid mass transport. Alternatively, when impermeable, these materials behave as an ultrathin barrier. Such controlled permeability is critical in the design of encapsulation and drug delivery systems. Finally, ultrathin materials often feature defect-free and single-crystal-like two-dimensional atomic structures resulting in superior mechanical, optical, and electrical properties. A unique property of ultrathin materials is their low bending rigidity, which suggests that they could easily be bent, curved, or folded into 3D shapes. In this Account, we review the emerging field of 2D to 3D shape transformations of ultrathin materials. We broadly define ultrathin to include materials with a thickness below 100 nm and composed of a range of organic, inorganic, and hybrid compositions. This topic is important for both fundamental and applied reasons. Fundamentally, bending and curving of ultrathin films can cause atomistic and molecular strain which can alter their physical and chemical properties and lead to new 3D forms of matter which behave very differently from their planar precursors. Shape change can also lead to new 3D architectures with significantly smaller form factors. For example, 3D ultrathin materials would occupy a smaller space in on-chip devices or could permeate through tortuous media which is important for miniaturized robots and smart dust applications. Our

  10. Wide band antireflective coatings Al2O3 / HfO2 / MgF2 for UV region

    NASA Astrophysics Data System (ADS)

    Winkowski, P.; Marszałek, Konstanty W.

    2013-07-01

    Deposition technology of the three layers antireflective coatings consists of hafnium compound are presented in this paper. Oxide films were deposited by means of e-gun evaporation in vacuum of 5x10-5 mbar in presence of oxygen and fluoride films by thermal evaporation. Substrate temperature was 250°C. Coatings were deposited onto optical lenses made from quartz glass (Corning HPFS). Thickness and deposition rate were controlled by thickness measuring system Inficon XTC/2. Simulations leading to optimization of thickness and experimental results of optical measurements carried during and after deposition process were presented. Physical thickness measurements were made during deposition process and were equal to 43 nm/74 nm/51 nm for Al2O3 / HfO2 / MgF2 respectively. Optimization was carried out for ultraviolet region from 230nm to the beginning of visible region 400 nm. In this region the average reflectance of the antireflective coating was less than 0.5% in the whole range of application.

  11. Improvement on the electrical characteristics of Pd/HfO2/6H-SiC MIS capacitors using post deposition annealing and post metallization annealing

    NASA Astrophysics Data System (ADS)

    Esakky, Papanasam; Kailath, Binsu J.

    2017-08-01

    HfO2 as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO2/SiC capacitors offer higher sensitivity than SiO2/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO2/SiC interface. Effect of post deposition annealing in N2O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO2/SiC MIS capacitors are reported in this work. N2O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N2 result in formation of Hf silicate at the HfO2/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N2O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO2/SiC capacitors.

  12. Fabrication and characterization of high-K dielectric integrated silicon nanowire sensor for DNA sensing application (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Jayakumar, Ganesh; Legallais, Maxime; Hellström, Per-Erik; Mouis, Mireille; Stambouli, Valérie; Ternon, Céline; Östling, Mikael

    2016-09-01

    1D silicon nanowires (SiNW) are attractive for charge based DNA sensing applications due to their small size and large surface to volume ratio. An ideal portable biosensor is expected to have repeatable and reliable sensitivity, selectivity, low production cost and small feature size. Instead of using tools such as e-beam that are capital and time intensive, we propose a low cost CMOS self-aligned-double-patterning I-line lithography process to fabricate 60 nm wide SiNW. DNA probes are grafted on a thin dielectric layer that is deposited on top of the SiNW surface. Here we used HfO2 instead of the usual SiO2. Indeed, compared to SiO2, HfO2 has been reported to have higher amount of OH groups on its surface leading to enhanced signal quality. We also report preliminary biosensor characterizations. After HfO2 functionalization and single-stranded DNA probe grafting onto the SiNWs, the sensors were first put in contact with fluorophore labelled complementary DNA targets in order to test the efficiency of DNA hybridization optically. Then, a sequence of hybridization, de-hybridization and re-hybridization steps was followed by Id-Vg measurements in order to measure the electrical response of the sensors to target DNA as well as recycling capability. After each step, SiNW devices exhibited a threshold voltage shift larger than device-to-device dispersion, showing that both complementary DNA hybridization and de-hybridization can be electrically detected. These results are very encouraging as they open new frontiers for heterogeneous integration of liquid interacting array of nano sensors with CMOS circuits to fabricate a complete lab on chip.

  13. Transport properties of ultrathin BaFe1.84Co0.16As2 superconducting nanowires

    NASA Astrophysics Data System (ADS)

    Yuan, Pusheng; Xu, Zhongtang; Li, Chen; Quan, Baogang; Li, Junjie; Gu, Changzhi; Ma, Yanwei

    2018-07-01

    Superconducting nanowire single-photon detectors (SNSPDs) have an absolute advantage over other types of single-photon detectors, except for the low operating temperature. Therefore, much effort has been devoted to finding high-temperature superconducting materials that are suitable for preparing SNSPDs. Copper-based and MgB2 ultrathin superconducting nanowires have already been reported. However, the transport properties of iron-based ultrathin superconducting nanowires have not been studied. In this work, a 10 nm thick × 200 nm wide × 30 μm long high-quality superconducting nanowire was fabricated from ultrathin BaFe1.84Co0.16As2 films by a lift-off process. The precursor BaFe1.84Co0.16As2 film with a thickness of 10 nm and root-mean-square roughness of 1 nm was grown on CaF2 substrates by pulsed laser deposition. The nanowire shows a high superconducting critical temperature {T}{{c}}{{zero}} = 20 K with a narrow transition width of ΔT = 2.5 K and exhibits a high critical current density J c of 1.8 × 107 A cm-2 at 10 K. These results of ultrathin BaFe1.84Co0.16As2 nanowire will attract interest in electronic applications, including SNSPDs.

  14. Chemical vapor deposition of anisotropic ultrathin gold films on optical fibers: real-time sensing by tilted fiber Bragg gratings and use of a dielectric pre-coating

    NASA Astrophysics Data System (ADS)

    Mandia, David J.; Zhou, Wenjun; Ward, Matthew J.; Joress, Howie; Giorgi, Javier B.; Gordon, Peter; Albert, Jacques; Barry, Seán. T.

    2014-09-01

    Tilted fiber Bragg gratings (TFBGs) are refractometry-based sensor platforms that have been employed herein as devices for the real-time monitoring of chemical vapour deposition (CVD) in the near-infrared range (NIR). The coreguided light launched within the TFBG core is back-reflected off a gold mirror sputtered onto the fiber-end and is scattered out into the cladding where it can interact with a nucleating thin film. Evanescent fields of the growing gold nanostructures behave differently depending on the polarization state of the core-guided light interrogating the growing film, therefore the resulting spectral profile is typically decomposed into two separate peak families for the orthogonal S- and P-polarizations. Wavelength shifts and attenuation profiles generated from gold films in the thickness regime of 5-100 nm are typically degenerate for deposition directly onto the TFBG. However, a polarization-dependence can be imposed by adding a thin dielectric pre-coating onto the TFBG prior to using the device for CVD monitoring of the ultrathin gold films. It is found that addition of the pre-coating enhances the sensitivity of the P-polarized peak family to the deposition of ultrathin gold films and renders the films optically anisotropic. It is shown herein that addition of the metal oxide coating can increase the peak-to-peak wavelength separation between orthogonal polarization modes as well as allow for easy resonance tracking during deposition. This is also the first reporting of anisotropic gold films generated from this particular gold precursor and CVD process. Using an ensemble of x-ray techniques, the local fine structure of the gold films deposited directly on the TFBG is compared to gold films of similar thicknesses deposited on the Al2O3 pre-coated TFBG and witness slides.

  15. Reflectance properties of one-dimensional metal-dielectric ternary photonic crystal

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pandey, G. N., E-mail: gnpandey2009@gmail.com; Kumar, Narendra; Thapa, Khem B.

    2016-05-06

    Metallic photonic crystal has a very important application in absorption enhancement in solar cells. It has been found that an ultra-thin metallic layer becomes transparent due to internal scattering of light through the each interface of the dielectric and metal surfaces. The metal has absorption due to their surface plasmon and the plasmon has important parameters for changing optical properties of the metal. We consider ternary metallic-dielectric photonic crystal (MDPC) for having large probabilities to change the optical properties of the MDPC and the photonic crystals may be changed by changing dimensionality, symmetry, lattice parameters, Filling fraction and effective refractivemore » index refractive index contrast. In this present communication, we try to show that the photonic band gap in ternary metal-dielectric photonic crystal can be significantly enlarged when air dielectric constant is considered. All the theoretical analyses are made based on the transfer matrix method together with the Drude model of metal.« less

  16. Studying tantalum-based high-κ dielectrics in terms of capacitance measurements

    NASA Astrophysics Data System (ADS)

    Stojanovska-Georgievska, L.

    2016-08-01

    The trend of rapid development of microelectronics towards nano-miniaturization dictates the inevitable introduction of dielectrics with high permittivity (high-κ dielectrics), as alternative material for replacing SiO2. Therefore, studying these materials in terms of their characteristics, especially in terms of reliability, is of great importance for proper design and manufacture of devices. In this paper, alteration of capacitance in different frequency regimes is used, in order to determine the overall behavior of the material. Samples investigated here are MOS structures containing nanoscale tantalum based dielectrics. Layers of pure Ta2O5, but also Hf and Ti doped tantalum pentoxide, i.e. Ta2O5:Hf and Ta2O5:Ti are studied here. All samples are considered as ultrathin oxide layers with thicknesses less than 15 nm, obtained by radio frequent sputtering on p-type silicon substrate. Measuring capacitive characteristics enables determination of several specific parameters of the structures. The obtained results for capacitance in accumulation, the thickness and time evolution of the interfacial SiO2 layer, values of flatband and threshold voltage, density of oxide charges, interfacial and border states, and reliability properties favor the possibilities for more intensive use of studied materials in new nanoelectronic technologies.

  17. All-dielectric metamaterials

    NASA Astrophysics Data System (ADS)

    Jahani, Saman; Jacob, Zubin

    2016-01-01

    The ideal material for nanophotonic applications will have a large refractive index at optical frequencies, respond to both the electric and magnetic fields of light, support large optical chirality and anisotropy, confine and guide light at the nanoscale, and be able to modify the phase and amplitude of incoming radiation in a fraction of a wavelength. Artificial electromagnetic media, or metamaterials, based on metallic or polar dielectric nanostructures can provide many of these properties by coupling light to free electrons (plasmons) or phonons (phonon polaritons), respectively, but at the inevitable cost of significant energy dissipation and reduced device efficiency. Recently, however, there has been a shift in the approach to nanophotonics. Low-loss electromagnetic responses covering all four quadrants of possible permittivities and permeabilities have been achieved using completely transparent and high-refractive-index dielectric building blocks. Moreover, an emerging class of all-dielectric metamaterials consisting of anisotropic crystals has been shown to support large refractive index contrast between orthogonal polarizations of light. These advances have revived the exciting prospect of integrating exotic electromagnetic effects in practical photonic devices, to achieve, for example, ultrathin and efficient optical elements, and realize the long-standing goal of subdiffraction confinement and guiding of light without metals. In this Review, we present a broad outline of the whole range of electromagnetic effects observed using all-dielectric metamaterials: high-refractive-index nanoresonators, metasurfaces, zero-index metamaterials and anisotropic metamaterials. Finally, we discuss current challenges and future goals for the field at the intersection with quantum, thermal and silicon photonics, as well as biomimetic metasurfaces.

  18. A thermodynamic model for the solubility of HfO2(am) in the aqueous K +– HCO 3 -– CO 3 2-–O -–H 2O system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rai, Dhanpat; Kitamura, Akira; Rosso, Kevin M.

    Solubility of HfO2(am) was determined as a function of KHCO3 concentrations ranging from 0.001 mol·kg-1 to 0.1 mol·kg-1. The solubility of HfO2(am) increased dramatically with the increase in KHCO3 concentrations, indicating that Hf(IV) makes strong complexes with carbonate. Thermodynamic equilibrium constants for the formation of Hf-carbonate complexes were determined using both the Pitzer and SIT models. The dramatic increase in Hf concentrations with the increase in KHCO3 concentrations can best be described by the formation of Hf(OH-)2(CO3)22- and Hf(CO3)56-. The log10 K0 values for the reactions [Hf4++2CO32-+2OH-⇌Hf(OH)2(CO3)22-] and [Hf4++5CO32-⇌Hf(CO3)56-], based on the SIT model, were determined to be 44.53±0.46 andmore » 41.53±0.46, respectively, and based on the Pitzer model they were 44.56±0.48 and 40.20±0.48, respectively.« less

  19. [Color selection of ultrathin veneers in clinic].

    PubMed

    Feng, Sun

    2016-12-01

    Ultrathin veneer is a new therapeutic technology developed from minimally invasive theories. Ultrathin veneer alters the unwanted shape and color of a tooth through minimal or lack of preparation. The color of tooth after restoration is mixed with the natural color of tooth, the original color of veneer, and the color of bonding material because of ultrathin (approximately 0.2 mm) veneer. Thus, the color is affected by numerous variations. Full considerations are required for creating designs. The author summarizes clinical points and provides suggestions for ultrathin veneer in color.

  20. Characterization of ultrathin insulators in CMOS technology: Wearout and failure mechanisms due to processing and operation

    NASA Astrophysics Data System (ADS)

    Okandan, Murat

    In the CMOS technology the gate dielectric is the most critical layer, as its condition directly dictates the ultimate performance of the devices. In this thesis, the wear-out and failure mechanisms in ultra-thin (around 50A and lower) oxides are investigated. A new degradation phenomenon, quasi-breakdown (or soft-breakdown), and the annealing and stressing behavior of devices after quasi-breakdown are considered in detail. Devices that are in quasi-breakdown continue to operate as switches, but the gate leakage current is two orders of magnitude higher than the leakage in healthy devices and the stressing/annealing behavior of the devices are completely altered. This phenomenon is of utmost interest, since the reduction in SiO2 dielectric thickness has reached its physical limits, and the quasi-breakdown behavior is seen to dominate as a failure mode in this regime. The quasi-breakdown condition can be brought on by stresses during operation or processing. To further study this evolution through stresses and anneals, cyclic current-voltage (I-V) measurement has been further developed and utilized in this thesis. Cyclic IV is a simple and fast, two terminal measurement technique that looks at the transient current flowing in an MOS system during voltage sweeps from accumulation to inversion and back. During these sweeps, carrier trapping/detrapping, generation and recombination are observed. An experimental setup using a fast electrometer and analog to digital conversion (A/D) card and the software for control of the setup and data analysis were also developed to gain further insight into the detailed physics involved. Overall, the crucial aspects of wear-out and quasi-breakdown of ultrathin dielectrics, along with the methods for analyzing this evolution are presented in this thesis.

  1. Ultrathin Composite Polymeric Membranes for CO2 /N2 Separation with Minimum Thickness and High CO2 Permeance.

    PubMed

    Benito, Javier; Sánchez-Laínez, Javier; Zornoza, Beatriz; Martín, Santiago; Carta, Mariolino; Malpass-Evans, Richard; Téllez, Carlos; McKeown, Neil B; Coronas, Joaquín; Gascón, Ignacio

    2017-10-23

    The use of ultrathin films as selective layers in composite membranes offers significant advantages in gas separation for increasing productivity while reducing the membrane size and energy costs. In this contribution, composite membranes have been obtained by the successive deposition of approximately 1 nm thick monolayers of a polymer of intrinsic microporosity (PIM) on top of dense membranes of the ultra-permeable poly[1-(trimethylsilyl)-1-propyne] (PTMSP). The ultrathin PIM films (30 nm in thickness) demonstrate CO 2 permeance up to seven times higher than dense PIM membranes using only 0.04 % of the mass of PIM without a significant decrease in CO 2 /N 2 selectivity. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Impact of AlO x layer on resistive switching characteristics and device-to-device uniformity of bilayered HfO x -based resistive random access memory devices

    NASA Astrophysics Data System (ADS)

    Chuang, Kai-Chi; Chung, Hao-Tung; Chu, Chi-Yan; Luo, Jun-Dao; Li, Wei-Shuo; Li, Yi-Shao; Cheng, Huang-Chung

    2018-06-01

    An AlO x layer was deposited on HfO x , and bilayered dielectric films were found to confine the formation locations of conductive filaments (CFs) during the forming process and then improve device-to-device uniformity. In addition, the Ti interposing layer was also adopted to facilitate the formation of oxygen vacancies. As a result, the resistive random access memory (RRAM) device with TiN/Ti/AlO x (1 nm)/HfO x (6 nm)/TiN stack layers demonstrated excellent device-to-device uniformity although it achieved slightly larger resistive switching characteristics, which were forming voltage (V Forming) of 2.08 V, set voltage (V Set) of 1.96 V, and reset voltage (V Reset) of ‑1.02 V, than the device with TiN/Ti/HfO x (6 nm)/TiN stack layers. However, the device with a thicker 2-nm-thick AlO x layer showed worse uniformity than the 1-nm-thick one. It was attributed to the increased oxygen atomic percentage in the bilayered dielectric films of the 2-nm-thick one. The difference in oxygen content showed that there would be less oxygen vacancies to form CFs. Therefore, the random growth of CFs would become severe and the device-to-device uniformity would degrade.

  3. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    NASA Astrophysics Data System (ADS)

    Held, Martin; Schießl, Stefan P.; Miehler, Dominik; Gannott, Florentina; Zaumseil, Jana

    2015-08-01

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfOx) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100-300 nF/cm2) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfOx dielectrics.

  4. Effective NaBH4-exfoliated ultrathin multilayer Co(OH)2 nanosheets arrays and sulfidation for energy storage

    NASA Astrophysics Data System (ADS)

    Yang, Wanjun; Qu, Gan; Chen, Mingyue; Ma, Wenhao; Li, Wenhui; Tang, Yiwen

    2018-07-01

    Facile engineering ultrathin nano structural materials is still a huge challenge for material science. Thereinto, the strategy of exfoliating shows great advantages. In this work, we develop a convenient approach to exfoliate Co(OH)2 nanosheets into ultrathin Co(OH)2 nanoflakes through NaBH4-exfoliation method. Moreover, the microstructures of the Co(OH)2 nanosheets are conveniently controlled by varying the exfoliation time. As a result, the obtained ultrathin Co(OH)2-72 h nanosheets deliver the excellent electrochemical performance. In order to improve the energy storage properties, the obtained ultrathin Co(OH)2 nanosheets are further modified to enhance the conductivity via sulfidation. Consequently, the synthesized Co(OH)2-72 h/CoS2 composites exhibit a specific capacitance of 2536 F g‑1 at 1 A g‑1, which is more outstanding than that of Co(OH)2-72 h. What’s more, the Co(OH)2-72 h/CoS2 composites show a capacitance retention of 83.3% after 10 000 cycles. Besides, the assembled asymmetric supercapacitor displays a power density of 482 W kg‑1 at an energy density of 36 Wh kg‑1, demonstrating a large potential for application.

  5. Effective NaBH4-exfoliated ultrathin multilayer Co(OH)2 nanosheets arrays and sulfidation for energy storage.

    PubMed

    Yang, Wanjun; Qu, Gan; Chen, Mingyue; Ma, Wenhao; Li, Wenhui; Tang, Yiwen

    2018-07-20

    Facile engineering ultrathin nano structural materials is still a huge challenge for material science. Thereinto, the strategy of exfoliating shows great advantages. In this work, we develop a convenient approach to exfoliate Co(OH) 2 nanosheets into ultrathin Co(OH) 2 nanoflakes through NaBH 4 -exfoliation method. Moreover, the microstructures of the Co(OH) 2 nanosheets are conveniently controlled by varying the exfoliation time. As a result, the obtained ultrathin Co(OH) 2 -72 h nanosheets deliver the excellent electrochemical performance. In order to improve the energy storage properties, the obtained ultrathin Co(OH) 2 nanosheets are further modified to enhance the conductivity via sulfidation. Consequently, the synthesized Co(OH) 2 -72 h/CoS 2 composites exhibit a specific capacitance of 2536 F g -1 at 1 A g -1 , which is more outstanding than that of Co(OH) 2 -72 h. What's more, the Co(OH) 2 -72 h/CoS 2 composites show a capacitance retention of 83.3% after 10 000 cycles. Besides, the assembled asymmetric supercapacitor displays a power density of 482 W kg -1 at an energy density of 36 Wh kg -1 , demonstrating a large potential for application.

  6. Silicon Nanowires with High-k Hafnium Oxide Dielectrics for Sensitive Detection of Small Nucleic Acid Oligomers

    PubMed Central

    Dorvel, Brian R.; Reddy, Bobby; Go, Jonghyun; Guevara, Carlos Duarte; Salm, Eric; Alam, Muhammad Ashraful; Bashir, Rashid

    2012-01-01

    Nanobiosensors based on silicon nanowire field effect transistors offer advantages of low cost, label-free detection, and potential for massive parallelization. As a result, these sensors have often been suggested as an attractive option for applications in Point-of-care (POC) medical diagnostics. Unfortunately, a number of performance issues such as gate leakage and current instability due to fluid contact, have prevented widespread adoption of the technology for routine use. High-k dielectrics, such as hafnium oxide (HfO2), have the known ability to address these challenges by passivating the exposed surfaces against destabilizing concerns of ion transport. With these fundamental stability issues addressed, a promising target for POC diagnostics and SiNWFET’s has been small oligonucleotides, more specifically microRNA (miRNA). MicroRNA’s are small RNA oligonucleotides which bind to messenger RNA’s, causing translational repression of proteins, gene silencing, and expressions are typically altered in several forms of cancer. In this paper, we describe a process for fabricating stable HfO2 dielectric based silicon nanowires for biosensing applications. Here we demonstrate sensing of single stranded DNA analogues to their microRNA cousins using miR-10b and miR-21 as templates, both known to be upregulated in breast cancer. We characterize the effect of surface functionalization on device performance using the miR-10b DNA analogue as the target sequence and different molecular weight poly-l-lysine as the functionalization layer. By optimizing the surface functionalization and fabrication protocol, we were able to achieve <100fM detection levels of miR-10b DNA analogue, with a theoretical limit of detection of 1fM. Moreover, the non-complementary DNA target strand, based on miR-21, showed very little response, indicating a highly sensitive and highly selective biosensing platform. PMID:22695179

  7. Ultrathin niobium nanofilms on fiber optical tapers - a new route towards low-loss hybrid plasmonic modes

    NASA Astrophysics Data System (ADS)

    Wieduwilt, Torsten; Tuniz, Alessandro; Linzen, Sven; Goerke, Sebastian; Dellith, Jan; Hübner, Uwe; Schmidt, Markus A.

    2015-11-01

    Due to the ongoing improvement in nanostructuring technology, ultrathin metallic nanofilms have recently gained substantial attention in plasmonics, e.g. as building blocks of metasurfaces. Typically, noble metals such as silver or gold are the materials of choice, due to their excellent optical properties, however they also possess some intrinsic disadvantages. Here, we introduce niobium nanofilms (~10 nm thickness) as an alternate plasmonic platform. We demonstrate functionality by depositing a niobium nanofilm on a plasmonic fiber taper, and observe a dielectric-loaded niobium surface-plasmon excitation for the first time, with a modal attenuation of only 3-4 dB/mm in aqueous environment and a refractive index sensitivity up to 15 μm/RIU if the analyte index exceeds 1.42. We show that the niobium nanofilm possesses bulk optical properties, is continuous, homogenous, and inert against any environmental influence, thus possessing several superior properties compared to noble metal nanofilms. These results demonstrate that ultrathin niobium nanofilms can serve as a new platform for biomedical diagnostics, superconducting photonics, ultrathin metasurfaces or new types of optoelectronic devices.

  8. Hf layer thickness dependence of resistive switching characteristics of Ti/Hf/HfO2/Au resistive random access memory device

    NASA Astrophysics Data System (ADS)

    Nakajima, Ryo; Azuma, Atsushi; Yoshida, Hayato; Shimizu, Tomohiro; Ito, Takeshi; Shingubara, Shoso

    2018-06-01

    Resistive random access memory (ReRAM) devices with a HfO2 dielectric layer have been studied extensively owing to the good reproducibility of their SET/RESET switching properties. Furthermore, it was reported that a thin Hf layer next to a HfO2 layer stabilized switching properties because of the oxygen scavenging effect. In this work, we studied the Hf thickness dependence of the resistance switching characteristics of a Ti/Hf/HfO2/Au ReRAM device. It is found that the optimum Hf thickness is approximately 10 nm to obtain good reproducibility of SET/RESET voltages with a small RESET current. However, when the Hf thickness was very small (∼2 nm), the device failed after the first RESET process owing to the very large RESET current. In the case of a very thick Hf layer (∼20 nm), RESET did not occur owing to the formation of a leaky dielectric layer. We observed the occurrence of multiple resistance states in the RESET process of the device with a Hf thickness of 10 nm by increasing the RESET voltage stepwise.

  9. Recent Advances in Ultrathin Two-Dimensional Nanomaterials.

    PubMed

    Tan, Chaoliang; Cao, Xiehong; Wu, Xue-Jun; He, Qiyuan; Yang, Jian; Zhang, Xiao; Chen, Junze; Zhao, Wei; Han, Shikui; Nam, Gwang-Hyeon; Sindoro, Melinda; Zhang, Hua

    2017-05-10

    Since the discovery of mechanically exfoliated graphene in 2004, research on ultrathin two-dimensional (2D) nanomaterials has grown exponentially in the fields of condensed matter physics, material science, chemistry, and nanotechnology. Highlighting their compelling physical, chemical, electronic, and optical properties, as well as their various potential applications, in this Review, we summarize the state-of-art progress on the ultrathin 2D nanomaterials with a particular emphasis on their recent advances. First, we introduce the unique advances on ultrathin 2D nanomaterials, followed by the description of their composition and crystal structures. The assortments of their synthetic methods are then summarized, including insights on their advantages and limitations, alongside some recommendations on suitable characterization techniques. We also discuss in detail the utilization of these ultrathin 2D nanomaterials for wide ranges of potential applications among the electronics/optoelectronics, electrocatalysis, batteries, supercapacitors, solar cells, photocatalysis, and sensing platforms. Finally, the challenges and outlooks in this promising field are featured on the basis of its current development.

  10. Acoustic Phonons and Mechanical Properties of Ultra-Thin Porous Low-k Films: A Surface Brillouin Scattering Study

    NASA Astrophysics Data System (ADS)

    Zizka, J.; King, S.; Every, A.; Sooryakumar, R.

    2018-04-01

    To reduce the RC (resistance-capacitance) time delay of interconnects, a key development of the past 20 years has been the introduction of porous low-k dielectrics to replace the traditional use of SiO2. Moreover, in keeping pace with concomitant reduction in technology nodes, these low-k materials have reached thicknesses below 100 nm wherein the porosity becomes a significant fraction of the film volume. The large degree of porosity not only reduces mechanical strength of the dielectric layer but also renders a need for non-destructive approaches to measure the mechanical properties of such ultra-thin films within device configurations. In this study, surface Brillouin scattering (SBS) is utilized to determine the elastic constants, Poisson's ratio, and Young's modulus of these porous low-k SiOC:H films (˜ 25-250 nm thick) grown on Si substrates by probing surface acoustic phonons and their dispersions.

  11. Acoustic Phonons and Mechanical Properties of Ultra-Thin Porous Low- k Films: A Surface Brillouin Scattering Study

    NASA Astrophysics Data System (ADS)

    Zizka, J.; King, S.; Every, A.; Sooryakumar, R.

    2018-07-01

    To reduce the RC (resistance-capacitance) time delay of interconnects, a key development of the past 20 years has been the introduction of porous low- k dielectrics to replace the traditional use of SiO2. Moreover, in keeping pace with concomitant reduction in technology nodes, these low- k materials have reached thicknesses below 100 nm wherein the porosity becomes a significant fraction of the film volume. The large degree of porosity not only reduces mechanical strength of the dielectric layer but also renders a need for non-destructive approaches to measure the mechanical properties of such ultra-thin films within device configurations. In this study, surface Brillouin scattering (SBS) is utilized to determine the elastic constants, Poisson's ratio, and Young's modulus of these porous low- k SiOC:H films (˜ 25-250 nm thick) grown on Si substrates by probing surface acoustic phonons and their dispersions.

  12. Engineering epitaxial γ-Al2O3 gate dielectric films on 4H-SiC

    NASA Astrophysics Data System (ADS)

    Tanner, Carey M.; Toney, Michael F.; Lu, Jun; Blom, Hans-Olof; Sawkar-Mathur, Monica; Tafesse, Melat A.; Chang, Jane P.

    2007-11-01

    The formation of epitaxial γ-Al2O3 thin films on 4H-SiC was found to be strongly dependent on the film thickness. An abrupt interface was observed in films up to 200 Å thick with an epitaxial relationship of γ-Al2O3(111)‖4H-SiC(0001) and γ-Al2O3(44¯0)‖4H-SiC(112¯0). The in-plane alignment between the film and the substrate is nearly complete for γ-Al2O3 films up to 115 Å thick, but quickly diminishes in thicker films. The films are found to be slightly strained laterally in tension; the strain increases with thickness and then decreases in films thicker than 200 Å, indicating strain relaxation which is accompanied by increased misorientation. By controlling the structure of ultrathin Al2O3 films, metal-oxide-semiconductor capacitors with Al2O3 gate dielectrics on 4H-SiC were found to have a very low leakage current density, suggesting suitability of Al2O3 for SiC device integration.

  13. Electric-field-control of magnetic anisotropy of Co0.6Fe0.2B0.2/oxide stacks using reduced voltage

    NASA Astrophysics Data System (ADS)

    Kita, Koji; Abraham, David W.; Gajek, Martin J.; Worledge, D. C.

    2012-08-01

    We have demonstrated purely electrical manipulation of the magnetic anisotropy of a Co0.6Fe0.2B0.2 film by applying only 8 V across the CoFeB/oxide stack. A clear transition from in-plane to perpendicular anisotropy was observed. The quantitative relationship between interface anisotropy energy and the applied electric-field was determined from the linear voltage dependence of the saturation field. By comparing the dielectric stacks of MgO/Al2O3 and MgO/HfO2/Al2O3, enhanced voltage control was also demonstrated, due to the higher dielectric constant of the HfO2. These results suggest the feasibility of purely electrical control of magnetization with small voltage bias for spintronics applications.

  14. Thickness-dependence of optical constants for Ta2O5 ultrathin films

    NASA Astrophysics Data System (ADS)

    Zhang, Dong-Xu; Zheng, Yu-Xiang; Cai, Qing-Yuan; Lin, Wei; Wu, Kang-Ning; Mao, Peng-Hui; Zhang, Rong-Jun; Zhao, Hai-bin; Chen, Liang-Yao

    2012-09-01

    An effective method for determining the optical constants of Ta2O5 thin films deposited on crystal silicon (c-Si) using spectroscopic ellipsometry (SE) measurement with a two-film model (ambient-oxide-interlayer-substrate) was presented. Ta2O5 thin films with thickness range of 1-400 nm have been prepared by the electron beam evaporation (EBE) method. We find that the refractive indices of Ta2O5 ultrathin films less than 40 nm drop with the decreasing thickness, while the other ones are close to those of bulk Ta2O5. This phenomenon was due to the existence of an interfacial oxide region and the surface roughness of the film, which was confirmed by the measurement of atomic force microscopy (AFM). Optical properties of ultrathin film varying with the thickness are useful for the design and manufacture of nano-scaled thin-film devices.

  15. Highly stable thin film transistors using multilayer channel structure

    NASA Astrophysics Data System (ADS)

    Nayak, Pradipta K.; Wang, Zhenwei; Anjum, D. H.; Hedhili, M. N.; Alshareef, H. N.

    2015-03-01

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60 °C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO2 layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO2 layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnO layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.

  16. Reversible optical switching of highly confined phonon-polaritons with an ultrathin phase-change material

    NASA Astrophysics Data System (ADS)

    Li, Peining; Yang, Xiaosheng; Maß, Tobias W. W.; Hanss, Julian; Lewin, Martin; Michel, Ann-Katrin U.; Wuttig, Matthias; Taubner, Thomas

    2016-08-01

    Surface phonon-polaritons (SPhPs), collective excitations of photons coupled with phonons in polar crystals, enable strong light-matter interaction and numerous infrared nanophotonic applications. However, as the lattice vibrations are determined by the crystal structure, the dynamical control of SPhPs remains challenging. Here, we realize the all-optical, non-volatile, and reversible switching of SPhPs by controlling the structural phase of a phase-change material (PCM) employed as a switchable dielectric environment. We experimentally demonstrate optical switching of an ultrathin PCM film (down to 7 nm, <λ/1,200) with single laser pulses and detect ultra-confined SPhPs (polariton wavevector kp > 70k0, k0 = 2π/λ) in quartz. Our proof of concept allows the preparation of all-dielectric, rewritable SPhP resonators without the need for complex fabrication methods. With optimized materials and parallelized optical addressing we foresee application potential for switchable infrared nanophotonic elements, for example, imaging elements such as superlenses and hyperlenses, as well as reconfigurable metasurfaces and sensors.

  17. High-frequency graphene voltage amplifier.

    PubMed

    Han, Shu-Jen; Jenkins, Keith A; Valdes Garcia, Alberto; Franklin, Aaron D; Bol, Ageeth A; Haensch, Wilfried

    2011-09-14

    While graphene transistors have proven capable of delivering gigahertz-range cutoff frequencies, applying the devices to RF circuits has been largely hindered by the lack of current saturation in the zero band gap graphene. Herein, the first high-frequency voltage amplifier is demonstrated using large-area chemical vapor deposition grown graphene. The graphene field-effect transistor (GFET) has a 6-finger gate design with gate length of 500 nm. The graphene common-source amplifier exhibits ∼5 dB low frequency gain with the 3 dB bandwidth greater than 6 GHz. This first AC voltage gain demonstration of a GFET is attributed to the clear current saturation in the device, which is enabled by an ultrathin gate dielectric (4 nm HfO(2)) of the embedded gate structures. The device also shows extrinsic transconductance of 1.2 mS/μm at 1 V drain bias, the highest for graphene FETs using large-scale graphene reported to date.

  18. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states atmore » the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.« less

  19. Deposition and rainwater concentrations of trifluoroacetic acid in the United States from the use of HFO-1234yf

    NASA Astrophysics Data System (ADS)

    Kazil, J.; McKeen, S.; Kim, S.-W.; Ahmadov, R.; Grell, G. A.; Talukdar, R. K.; Ravishankara, A. R.

    2014-12-01

    Currently, HFC-134a (1,1,1,2-tetrafluoroethane) is the most common refrigerant in automobile air conditioners. This high global warming potential substance (100 year GWP of 1370) will likely be phased out and replaced with HFO-1234yf (2,3,3,3-tetrafluoropropene) that has a 100 year GWP of 4. HFO-1234yf will be oxidized to produce trifluoroacetic acid (TFA) in clouds. TFA, a mildly toxic substance with detrimental effects on some aquatic organisms at high concentrations (≥100μgL-1), would be transported by rain to the surface and enter bodies of water. We investigated the dry and wet deposition of TFA from HFO-1234yf over the contiguous USA using the Advanced Research Weather Research and Forecasting model (ARW) with interactive chemical, aerosol, and cloud processes (WRF/Chem) model. Special focus was placed on emissions from three continental USA regions with different meteorological characteristics. WRF/Chem simulated meteorology, cloud processes, gas and aqueous phase chemistry, and dry and wet deposition between May and September 2006. The model reproduced well the multimonth total sulfate wet deposition (4% bias) and its spatial variability (r = 0.86) observed by the National Atmospheric Deposition Program. HFO-1234yf emissions were obtained by assuming the number of automobile air conditioners to remain unchanged, and substituting HFO-1234yf, mole-per-mole for HFC-134a. Our estimates of current HFC-134a emissions were in agreement with field data. Average TFA rainwater concentration was 0.89μgL-1, with peak values of 7.8μgL-1, for the May-September 2006 period over the contiguous USA. TFA rainwater concentrations over the dry western USA were often significantly higher, but wet-deposited TFA amounts remained relatively low at such locations.

  20. An ultrathin wide-band planar metamaterial absorber based on a fractal frequency selective surface and resistive film

    NASA Astrophysics Data System (ADS)

    Fan, Yue-Nong; Cheng, Yong-Zhi; Nie, Yan; Wang, Xian; Gong, Rong-Zhou

    2013-06-01

    We propose an ultrathin wide-band metamaterial absorber (MA) based on a Minkowski (MIK) fractal frequency selective surface and resistive film. This absorber consists of a periodic arrangement of dielectric substrates sandwiched with an MIK fractal loop structure electric resonator and a resistive film. The finite element method is used to simulate and analyze the absorption of the MA. Compared with the MA-backed copper film, the designed MA-backed resistive film exhibits an absorption of 90% at a frequency region of 2 GHz-20 GHz. The power loss density distribution of the MA is further illustrated to explain the mechanism of the proposed MA. Simulated absorptions at different incidence cases indicate that this absorber is polarization-insensitive and wide-angled. Finally, further simulated results indicate that the surface resistance of the resistive film and the dielectric constant of the substrate can affect the absorbing property of the MA. This absorber may be used in many military fields.

  1. Synthesizing new types of ultrathin 2D metal oxide nanosheets via half-successive ion layer adsorption and reaction

    NASA Astrophysics Data System (ADS)

    Gao, Linjie; Li, Yaguang; Xiao, Mu; Wang, Shufang; Fu, Guangsheng; Wang, Lianzhou

    2017-06-01

    Two-dimensional (2D) metal oxide nanosheets have demonstrated their great potential in a broad range of applications. The existing synthesis strategies are mainly preparing 2D nanosheets from layered and specific transition metal oxides. How to prepare the other types of metal oxides as ultrathin 2D nanosheets remains unsolved, especially for metal oxides containing alkali, alkaline earth metal, and multiple metal elements. Herein, we developed a half-successive ion layer adsorption and reaction (SILAR) method, which could synthesize those types of metal oxides as ultrathin 2D nanosheets. The synthesized 2D metal oxides nanosheets are within 1 nm level thickness and 500 m2 · g-1 level surface area. This method allows us to develop many new types of ultrathin 2D metal oxides nanosheets that have never been prepared before.

  2. Research on c-HfO2 (0 0 1)/α -Al2O3 (1 -1 0 2) interface in CTM devices based on first principle theory

    NASA Astrophysics Data System (ADS)

    Lu, Wenjuan; Dai, Yuehua; Wang, Feifei; Yang, Fei; Ma, Chengzhi; Zhang, Xu; Jiang, Xianwei

    2017-12-01

    With the growing application of high-k dielectrics, the interface between HfO2 and Al2O3 play a crucial role in CTM devices. To clearly understand the interaction of the HfO-AlO interface at the atomic and electronic scale, the bonding feature, electronic properties and charge localized character of c- HfO2 (0 0 1)/α-Al2O3 (1 -1 0 2) interface has been investigated by first principle calculations. The c- HfO2 (0 0 1)/α-Al2O3 (1 -1 0 2) interface has adhesive energy about -1.754 J/m2, suggesting that this interface can exist stably. Through analysis of Bader charge and charge density difference, the intrinsic interfacial gap states are mainly originated from the OII and OIII types oxygen atoms at the interface, and only OIII type oxygen atoms can localized electrons effectively and are provided with good reliability during P/E cycles, which theoretically validate the experimental results that HfO2/Al2O3 multi-layered charge trapping layer can generate more effective traps in memory device. Furthermore, the influence of interfacial gap states during P/E cycles in the defective interface system have also been studied, and the results imply that defective system displays the degradation on the reliability during P/E cycles, while, the charge localized ability of interfacial states is stronger than intrinsic oxygen vacancy in the trapping layer. Besides, these charge localized characters are further explained by the analysis of the density of states correspondingly. In sum, our results compare well with similar experimental observations in other literatures, and the study of the interfacial gap states in this work would facilitate further development of interface passivation.

  3. Dielectric discontinuity at interfaces in the atomic-scale limit: permittivity of ultrathin oxide films on silicon.

    PubMed

    Giustino, Feliciano; Umari, Paolo; Pasquarello, Alfredo

    2003-12-31

    Using a density-functional approach, we study the dielectric permittivity across interfaces at the atomic scale. Focusing on the static and high-frequency permittivities of SiO2 films on silicon, for oxide thicknesses from 12 A down to the atomic scale, we find a departure from bulk values in accord with experiment. A classical three-layer model accounts for the calculated permittivities and is supported by the microscopic polarization profile across the interface. The local screening varies on length scales corresponding to first-neighbor distances, indicating that the dielectric transition is governed by the chemical grading. Silicon-induced gap states are shown to play a minor role.

  4. Electron-beam-evaporated thin films of hafnium dioxide for fabricating electronic devices

    DOE PAGES

    Xiao, Zhigang; Kisslinger, Kim

    2015-06-17

    Thin films of hafnium dioxide (HfO 2) are widely used as the gate oxide in fabricating integrated circuits because of their high dielectric constants. In this paper, the authors report the growth of thin films of HfO 2 using e-beam evaporation, and the fabrication of complementary metal-oxide semiconductor (CMOS) integrated circuits using this HfO 2 thin film as the gate oxide. The authors analyzed the thin films using high-resolution transmission electron microscopy and electron diffraction, thereby demonstrating that the e-beam-evaporation-grown HfO 2 film has a polycrystalline structure and forms an excellent interface with silicon. Accordingly, we fabricated 31-stage CMOS ringmore » oscillator to test the quality of the HfO 2 thin film as the gate oxide, and obtained excellent rail-to-rail oscillation waveforms from it, denoting that the HfO 2 thin film functioned very well as the gate oxide.« less

  5. Fabrication of silicon-on-diamond substrate with an ultrathin SiO2 bonding layer

    NASA Astrophysics Data System (ADS)

    Nagata, Masahiro; Shirahama, Ryouya; Duangchan, Sethavut; Baba, Akiyoshi

    2018-06-01

    We proposed and demonstrated a sputter etching method to prepare both a flat surface (root-mean-square surface roughness of approximately 0.2–0.3 nm) and an ultrathin SiO2 bonding layer at an accuracy of approximately 5 nm in thickness to fabricate a silicon-on-diamond substrate (SOD). We also investigated a plasma activation method on a SiO2 surface using various gases. We found that O2 plasma activation is more suitable for the bonding between SiO2 and Si than N2 or Ar plasma activation. We speculate that the concentration of hydroxyl groups on the SiO2 surface was increased by O2 plasma activation. We fabricated the SOD substrate with an ultrathin (15 nm in thickness) SiO2 bonding layer using the sputter etching and O2 plasma activation methods.

  6. Anatase TiO2 ultrathin nanobelts derived from room-temperature-synthesized titanates for fast and safe lithium storage

    PubMed Central

    Wen, Wei; Wu, Jin-ming; Jiang, Yin-zhu; Yu, Sheng-lan; Bai, Jun-qiang; Cao, Min-hua; Cui, Jie

    2015-01-01

    Lithium-ion batteries (LIBs) are promising energy storage devices for portable electronics, electric vehicles, and power-grid applications. It is highly desirable yet challenging to develop a simple and scalable method for constructions of sustainable materials for fast and safe LIBs. Herein, we exploit a novel and scalable route to synthesize ultrathin nanobelts of anatase TiO2, which is resource abundant and is eligible for safe anodes in LIBs. The achieved ultrathin nanobelts demonstrate outstanding performances for lithium storage because of the unique nanoarchitecture and appropriate composition. Unlike conventional alkali-hydrothermal approaches to hydrogen titanates, the present room temperature alkaline-free wet chemistry strategy guarantees the ultrathin thickness for the resultant titanate nanobelts. The anatase TiO2 ultrathin nanobelts were achieved simply by a subsequent calcination in air. The synthesis route is convenient for metal decoration and also for fabricating thin films of one/three dimensional arrays on various substrates at low temperatures, in absence of any seed layers. PMID:26133276

  7. Influence of Thickness on the Electrical Transport Properties of Exfoliated Bi2Te3 Ultrathin Films

    NASA Astrophysics Data System (ADS)

    Mo, D. L.; Wang, W. B.; Cai, Q.

    2016-08-01

    In this work, the mechanical exfoliation method has been utilized to fabricate Bi2Te3 ultrathin films. The thickness of the ultrathin films is revealed to be several tens of nanometers. Weak antilocalization effects and Shubnikov de Haas oscillations have been observed in the magneto-transport measurements on individual films with different thickness, and the two-dimensional surface conduction plays a dominant role. The Fermi level is found to be 81 meV above the Dirac point, and the carrier mobility can reach ~6030 cm2/(Vs) for the 10-nm film. When the film thickness decreases from 30 to 10 nm, the Fermi level will move 8 meV far from the bulk valence band. The coefficient α in the Hikami-Larkin-Nagaoka equation is shown to be ~0.5, manifesting that only the bottom surface of the Bi2Te3 ultrathin films takes part in transport conductions. These will pave the way for understanding thoroughly the surface transport properties of topological insulators.

  8. Electrochemical Corrosion Properties of Commercial Ultra-Thin Copper Foils

    NASA Astrophysics Data System (ADS)

    Yen, Ming-Hsuan; Liu, Jen-Hsiang; Song, Jenn-Ming; Lin, Shih-Ching

    2017-08-01

    Ultra-thin electrodeposited Cu foils have been developed for substrate thinning for mobile devices. Considering the corrosion by residual etchants from the lithography process for high-density circuit wiring, this study investigates the microstructural features of ultra-thin electrodeposited Cu foils with a thickness of 3 μm and their electrochemical corrosion performance in CuCl2-based etching solution. X-ray diffraction and electron backscatter diffraction analyses verify that ultra-thin Cu foils exhibit a random texture and equi-axed grains. Polarization curves show that ultra-thin foils exhibit a higher corrosion potential and a lower corrosion current density compared with conventional (220)-oriented foils with fan-like distributed fine-elongated columnar grains. Chronoamperometric results also suggest that ultra-thin foils possess superior corrosion resistance. The passive layer, mainly composed of CuCl and Cu2O, forms and dissolves in sequence during polarization.

  9. Ultrathin MoS2 and WS2 layers on silver nano-tips as electron emitters

    NASA Astrophysics Data System (ADS)

    Loh, Tamie A. J.; Tanemura, Masaki; Chua, Daniel H. C.

    2016-09-01

    2-dimensional (2D) inorganic analogues of graphene such as MoS2 and WS2 present interesting opportunities for field emission technology due to their high aspect ratio and good electrical conductivity. However, research on 2D MoS2 and WS2 as potential field emitters remains largely undeveloped compared to graphene. Herein, we present an approach to directly fabricate ultrathin MoS2 and WS2 onto Ag nano-tips using pulsed laser deposition at low temperatures of 450-500 °C. In addition to providing a layer of chemical and mechanical protection for the Ag nano-tips, the growth of ultrathin MoS2 and WS2 layers on Ag led to enhanced emission properties over that of pristine nano-tips due to a reduction of the effective barrier height arising from charge injection from Ag to the overlying MoS2 or WS2. For WS2 on Ag nano-tips, the phasic mixture was also an important factor influencing the field emission performance. The presence of 1T-WS2 at the metal-WS2 interface in a hybrid film of 2H/1T-WS2 leads to improvement in the field emission capabilities as compared to pure 2H-WS2 on Ag nano-tips.

  10. Tunable broadband near-infrared absorber based on ultrathin phase-change material

    NASA Astrophysics Data System (ADS)

    Hu, Er-Tao; Gu, Tong; Guo, Shuai; Zang, Kai-Yan; Tu, Hua-Tian; Yu, Ke-Han; Wei, Wei; Zheng, Yu-Xiang; Wang, Song-You; Zhang, Rong-Jun; Lee, Young-Pak; Chen, Liang-Yao

    2017-11-01

    In this work, a tunable broadband near-infrared light absorber was designed and fabricated with a simple and lithography free approach by introducing an ultrathin phase-change material Ge2Sb2Te5 (GST) layer into the metal-dielectric multilayered film structure with the structure parameters as that: SiO2 (72.7 nm)/Ge2Sb2Te5 (6.0 nm)/SiO2 (70.2 nm)/Cu (>100.0 nm). The film structure exhibits a modulation depth of ∼72.6% and an extinction ratio of ∼8.8 dB at the wavelength of 1410 nm. The high light absorption (95%) of the proposed film structure at the wavelength of 450 nm in both of the amorphous and crystalline phase of GST, indicates that the intensity of the reflectance in the infrared region can be rapidly tuned by the blue laser pulses. The proposed planar layered film structure with layer thickness as the only controllable parameter and large reflectivity tuning range shows the potential for practical applications in near-infrared light modulation and absorption.

  11. Ultrathin SnO2 nanorods: template- and surfactant-free solution phase synthesis, growth mechanism, optical, gas-sensing, and surface adsorption properties.

    PubMed

    Xi, Guangcheng; Ye, Jinhua

    2010-03-01

    A novel template- and surfactant-free low temperature solution-phase method has been successfully developed for the controlled synthesis of ultrathin SnO(2) single-crystalline nanorods for the first time. The ultrathin SnO(2) single-crystalline nanorods are 2.0 +/- 0.5 nm in diameter, which is smaller than its exciton Bohr radius. The ultrathin SnO(2) nanorods show a high specific area (191.5 m(2) g(-1)). Such a thin SnO(2) single-crystalline nanorod is new in the family of SnO(2) nanostrucures and presents a strong quantum confinement effect. Its formation depends on the reaction temperature as well as on the concentration of the urea solution. A nonclassical crystallization process, Ostwald ripening process followed by an oriented attachment mechanism, is proposed based on the detailed observations from a time-dependent crystal evolution process. Importantly, such structured SnO(2) has shown a strong structure-induced enhancement of gas-sensing properties and has exhibited greatly enhanced gas-sensing property for the detection of ethanol than that of other structured SnO(2), such as the powders of nanobelts and microrods. Moreover, these ultrathin SnO(2) nanorods exhibit excellent ability to remove organic pollutant in wastewater by enormous surface adsorption. These properties are mainly attributed to its higher surface-to-volume ratio and ultrathin diameter. This work provides a novel low temperature, green, and inexpensive pathway to the synthesis of ultrathin nanorods, offering a new material form for sensors, solar cells, catalysts, water treatments, and other applications.

  12. Low thermal conductivity in ultrathin carbon nanotube (2, 1)

    PubMed Central

    Zhu, Liyan; Li, Baowen

    2014-01-01

    Molecular dynamic simulations reveal that the ultrathin carbon nanotube (CNT) (2, 1) with a reconstructed structure exhibits a surprisingly low thermal conductivity, which is only ~16–30% of those in regular CNTs, e.g. CNT (2, 2) and (5, 5). Detailed lattice dynamic calculations suggest that the acoustic phonon modes greatly soften in CNT (2, 1) as compared to regular CNTs. Moreover, both phonon group velocities and phonon lifetimes strikingly decrease in CNT (2, 1), which result in the remarkable reduction of thermal conductivity. Besides, isotope doping and chemical functionalization enable the further reduction of thermal conductivity in CNT (2, 1). PMID:24815003

  13. Multifunctional Hybrid Multilayer Gate Dielectrics with Tunable Surface Energy for Ultralow-Power Organic and Amorphous Oxide Thin-Film Transistors.

    PubMed

    Byun, Hye-Ran; You, Eun-Ah; Ha, Young-Geun

    2017-03-01

    For large-area, printable, and flexible electronic applications using advanced semiconductors, novel dielectric materials with excellent capacitance, insulating property, thermal stability, and mechanical flexibility need to be developed to achieve high-performance, ultralow-voltage operation of thin-film transistors (TFTs). In this work, we first report on the facile fabrication of multifunctional hybrid multilayer gate dielectrics with tunable surface energy via a low-temperature solution-process to produce ultralow-voltage organic and amorphous oxide TFTs. The hybrid multilayer dielectric materials are constructed by iteratively stacking bifunctional phosphonic acid-based self-assembled monolayers combined with ultrathin high-k oxide layers. The nanoscopic thickness-controllable hybrid dielectrics exhibit the superior capacitance (up to 970 nF/cm 2 ), insulating property (leakage current densities <10 -7 A/cm 2 ), and thermal stability (up to 300 °C) as well as smooth surfaces (root-mean-square roughness <0.35 nm). In addition, the surface energy of the hybrid multilayer dielectrics are easily changed by switching between mono- and bifunctional phosphonic acid-based self-assembled monolayers for compatible fabrication with both organic and amorphous oxide semiconductors. Consequently, the hybrid multilayer dielectrics integrated into TFTs reveal their excellent dielectric functions to achieve high-performance, ultralow-voltage operation (< ± 2 V) for both organic and amorphous oxide TFTs. Because of the easily tunable surface energy, the multifunctional hybrid multilayer dielectrics can also be adapted for various organic and inorganic semiconductors, and metal gates in other device configurations, thus allowing diverse advanced electronic applications including ultralow-power and large-area electronic devices.

  14. Spatially and momentum resolved energy electron loss spectra from an ultra-thin PrNiO{sub 3} layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kinyanjui, M. K., E-mail: michael.kinyanjui@uni-ulm.de; Kaiser, U.; Benner, G.

    2015-05-18

    We present an experimental approach which allows for the acquisition of spectra from ultra-thin films at high spatial, momentum, and energy resolutions. Spatially and momentum (q) resolved electron energy loss spectra have been obtained from a 12 nm ultra-thin PrNiO{sub 3} layer using a nano-beam electron diffraction based approach which enabled the acquisition of momentum resolved spectra from individual, differently oriented nano-domains and at different positions of the PrNiO{sub 3} thin layer. The spatial and wavelength dependence of the spectral excitations are obtained and characterized after the analysis of the experimental spectra using calculated dielectric and energy loss functions. The presentedmore » approach makes a contribution towards obtaining momentum-resolved spectra from nanostructures, thin film, heterostructures, surfaces, and interfaces.« less

  15. Few-layered CoHPO4.3H2O ultrathin nanosheets for high performance of electrode materials for supercapacitors

    NASA Astrophysics Data System (ADS)

    Pang, Huan; Wang, Shaomei; Shao, Weifang; Zhao, Shanshan; Yan, Bo; Li, Xinran; Li, Sujuan; Chen, Jing; Du, Weimin

    2013-06-01

    Ultrathin cobalt phosphate (CoHPO4.3H2O) nanosheets are successfully synthesized by a one pot hydrothermal method. Novel CoHPO4.3H2O ultrathin nanosheets are assembled for constructing the electrodes of supercapacitors. Benefiting from the nanostructures, the as-prepared electrode shows a specific capacitance of 413 F g-1, and no obvious decay even after 3000 charge-discharge cycles. Such a quasi-two-dimensional material is a new kind of supercapacitor electrode material with high performance.Ultrathin cobalt phosphate (CoHPO4.3H2O) nanosheets are successfully synthesized by a one pot hydrothermal method. Novel CoHPO4.3H2O ultrathin nanosheets are assembled for constructing the electrodes of supercapacitors. Benefiting from the nanostructures, the as-prepared electrode shows a specific capacitance of 413 F g-1, and no obvious decay even after 3000 charge-discharge cycles. Such a quasi-two-dimensional material is a new kind of supercapacitor electrode material with high performance. Electronic supplementary information (ESI) available. See DOI: 10.1039/c3nr01460f

  16. Highly stable organic field-effect transistors with engineered gate dielectrics (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Kippelen, Bernard; Wang, Cheng-Yin; Fuentes-Hernandez, Canek; Yun, Minseong; Singh, Ankit K.; Dindar, Amir; Choi, Sangmoo; Graham, Samuel

    2016-11-01

    Organic field-effect transistors (OFETs) have the potential to lead to low-cost flexible displays, wearable electronics, and sensors. While recent efforts have focused greatly on improving the maximum charge mobility that can be achieved in such devices, studies about the stability and reliability of such high performance devices are relatively scarce. In this talk, we will discuss the results of recent studies aimed at improving the stability of OFETs under operation and their shelf lifetime. In particular, we will focus on device architectures where the gate dielectric is engineered to act simultaneously as an environmental barrier layer. In the past, our group had demonstrated solution-processed top-gate OFETs using TIPS-pentacene and PTAA blends as a semiconductor layer with a bilayer gate dielectric layer of CYTOP/Al2O3, where the oxide layer was fabricated by atomic layer deposition, ALD. Such devices displayed high operational stability with little degradation after 20,000 on/off scan cycles or continuous operation (24 h), and high environmental stability when kept in air for more than 2 years, with unchanged carrier mobility. Using this stable device geometry, simple circuits and sensors operating in aqueous conditions were demonstrated. However, the Al2O3 layer was found to degrade due to corrosion under prolonged exposure in aqueous solutions. In this talk, we will report on the use of a nanolaminate (NL) composed of Al2O3 and HfO2 by ALD to replace the Al2O3 single layer in the bilayer gate dielectric use in top-gate OFETs. Such OFETs were found to operate under harsh condition such as immersion in water at 95 °C. This work was funded by the Department of Energy (DOE) through the Bay Area Photovoltaics Consortium (BAPVC) under Award Number DE-EE0004946.

  17. Two breakdown mechanisms in ultrathin alumina barrier magnetic tunnel junctions

    NASA Astrophysics Data System (ADS)

    Oliver, Bryan; Tuttle, Gary; He, Qing; Tang, Xuefei; Nowak, Janusz

    2004-02-01

    Two breakdown mechanisms are observed in magnetic tunnel junctions having an ultrathin alumina barrier. The two breakdown mechanisms manifest themselves differently when considering large ensembles of nominally identical devices under different stress conditions. The results suggest that one type of breakdown occurs because of the intrinsic breakdown of a well-formed oxide barrier that can be described by the E model of dielectric breakdown. The other is an extrinsic breakdown related to defects in the barrier rather than the failure of the oxide integrity. The characteristic of extrinsic breakdown suggests that a pre-existing pinhole in the barriers grows in area by means of dissipative (Joule) heating and/or an electric field across the pinhole circumference.

  18. Electrical properties of nano-resistors made from the Zr-doped HfO2 high-k dielectric film

    NASA Astrophysics Data System (ADS)

    Zhang, Shumao; Kuo, Yue

    2018-03-01

    Electrical properties of nano-sized resistors made from the breakdown of the metal-oxide-semiconductor capacitor composed of the amorphous high-k gate dielectric have been investigated under different stress voltages and temperatures. The effective resistance of nano-resistors in the device was estimated from the I-V curve in the high voltage range. It decreased with the increase of the number of resistors. The resistance showed complicated temperature dependence, i.e. it neither behaves like a conductor nor a semiconductor. In the low voltage operation range, the charge transfer was controlled by the Schottky barrier at the nano-resistor/Si interface. The barrier height decreased with the increase of stress voltage, which was probably caused by the change of the nano-resistor composition. Separately, it was observed that the barrier height was dependent on the temperature, which was probably due to the dynamic nano-resistor formation process and the inhomogeneous barrier height distribution. The unique electrical characteristics of this new type of nano-resistors are important for many electronic and optoelectronic applications.

  19. Probing the Band Structure of Ultrathin MoTe2 via Strain

    NASA Astrophysics Data System (ADS)

    Aslan, Burak; Datye, Isha; Kuo, Hsueh-Hui; Mleczko, Michal; Fisher, Ian; Pop, Eric; Heinz, Tony

    Molybdenum ditelluride (MoTe2) is a semiconducting layered group VI transition metal dichalcogenide with an optical band gap of 1.1 and 0.9 eV in the monolayer and bulk, respectively. The bulk crystal possesses an indirect gap whereas the monolayer has a direct one. It is still under debate whether the direct-to-indirect gap crossover occurs at the monolayer or bilayer limit at room temperature, resulting from the fact that the two gaps are very close to one another in ultrathin crystals. We take advantage of this closeness by tuning the two gaps with in-plane tensile strain. In particular, we employ photoluminescence and absorption spectroscopy to probe the near-band-edge optical transitions and study their line-shapes to distinguish the direct and indirect gaps in few-layer MoTe2. We observe that the applied strain redshifts the direct and indirect gaps at different rates and strongly affects the spectral widths of the optical transitions. Our observations help us understand what contributes to the broadening of the A exciton peak in ultrathin MoTe2 and how the direct-to-indirect gap crossover occurs with decreasing thickness.

  20. Nonvolatile reconfigurable sequential logic in a HfO2 resistive random access memory array.

    PubMed

    Zhou, Ya-Xiong; Li, Yi; Su, Yu-Ting; Wang, Zhuo-Rui; Shih, Ling-Yi; Chang, Ting-Chang; Chang, Kuan-Chang; Long, Shi-Bing; Sze, Simon M; Miao, Xiang-Shui

    2017-05-25

    Resistive random access memory (RRAM) based reconfigurable logic provides a temporal programmable dimension to realize Boolean logic functions and is regarded as a promising route to build non-von Neumann computing architecture. In this work, a reconfigurable operation method is proposed to perform nonvolatile sequential logic in a HfO 2 -based RRAM array. Eight kinds of Boolean logic functions can be implemented within the same hardware fabrics. During the logic computing processes, the RRAM devices in an array are flexibly configured in a bipolar or complementary structure. The validity was demonstrated by experimentally implemented NAND and XOR logic functions and a theoretically designed 1-bit full adder. With the trade-off between temporal and spatial computing complexity, our method makes better use of limited computing resources, thus provides an attractive scheme for the construction of logic-in-memory systems.

  1. Electrical behaviour of fully solution processed HfO2 (MOS) in presence of different light illumination

    NASA Astrophysics Data System (ADS)

    Mondal, Sandip

    2018-04-01

    This experiment demonstrates the electrical behaviors of fully solution processed HfO2(MOS) in presence of different optical illumination. The capacitance voltage measurement was performed at frequency of 100 kHz with a DC gate sweep voltage of ±5V (with additional AC voltage of 100mV) in presence of deep UV (wavelength of 365nm with power of 25W) as well as white light (20W). It is found that there is a large shift in flatband voltage of 120mV due presence of white light during the CV measurement. However there is negligible change in flatband voltage (30mV) has been observed due to illumination of deep UV light.

  2. Naturally formed ultrathin V2O5 heteroepitaxial layer on VO2/sapphire(001) film

    NASA Astrophysics Data System (ADS)

    Littlejohn, Aaron J.; Yang, Yunbo; Lu, Zonghuan; Shin, Eunsung; Pan, KuanChang; Subramanyam, Guru; Vasilyev, Vladimir; Leedy, Kevin; Quach, Tony; Lu, Toh-Ming; Wang, Gwo-Ching

    2017-10-01

    Vanadium dioxide (VO2) and vanadium pentoxide (V2O5) thin films change their properties in response to external stimuli such as photons, temperature, electric field and magnetic field and have applications in electronics, optical devices, and sensors. Due to the multiple valence states of V and non-stoichiometry in thin films, it is challenging to grow epitaxial, single-phase V-oxide on a substrate, or a heterostructure of two epitaxial V-oxides. We report the formation of a heterostructure consisting of a few nm thick ultrathin V2O5 epitaxial layer on pulsed laser deposited tens of nm thick epitaxial VO2 thin films grown on single crystal Al2O3(001) substrates without post annealing of the VO2 film. The simultaneous observation of the ultrathin epitaxial V2O5 layer and VO2 epitaxial film is only possible by our unique reflection high energy electron diffraction pole figure analysis. The out-of-plane and in-plane epitaxial relationships are V2O5[100]||VO2[010]||Al2O3[001] and V2O5[03 2 bar ]||VO2[100]||Al2O3[1 1 bar 0], respectively. The existence of the V2O5 layer on the surface of the VO2 film is also supported by X-ray photoelectron spectroscopy and Raman spectroscopy.

  3. Facile Synthesis of Ultrathin Nickel-Cobalt Phosphate 2D Nanosheets with Enhanced Electrocatalytic Activity for Glucose Oxidation.

    PubMed

    Shu, Yun; Li, Bing; Chen, Jingyuan; Xu, Qin; Pang, Huan; Hu, Xiaoya

    2018-01-24

    Two-dimensional (2D) ultrathin nickel-cobalt phosphate nanosheets were synthesized using a simple one-step hydrothermal method. The morphology and structure of nanomaterials synthesized under different Ni/Co ratios were investigated by transmission electron microscopy, scanning electron microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. Moreover, the influence of nanomaterials' structure on the electrochemical performance for glucose oxidation was investigated. It is found that the thinnest nickel-cobalt phosphate nanosheets synthesized with a Ni/Co ratio of 2:5 showed the best electrocatalytic activity for glucose oxidation. Also, the ultrathin nickel-cobalt phosphate nanosheet was used as an electrode material to construct a nonenzymatic electrochemical glucose sensor. The sensor showed a wide linear range (2-4470 μM) and a low detection limit (0.4 μM) with a high sensitivity of 302.99 μA·mM -1 ·cm -2 . Furthermore, the application of the as-prepared sensor in detection of glucose in human serum was successfully demonstrated. These superior performances prove that ultrathin 2D nickel-cobalt phosphate nanosheets are promising materials in the field of electrochemical sensing.

  4. One-step fabrication of large-area ultrathin MoS2 nanofilms with high catalytic activity for photovoltaic devices.

    PubMed

    Liang, Jia; Li, Jia; Zhu, Hongfei; Han, Yuxiang; Wang, Yanrong; Wang, Caixing; Jin, Zhong; Zhang, Gengmin; Liu, Jie

    2016-09-21

    Here we report a facile one-step solution-phase process to directly grow ultrathin MoS2 nanofilms on a transparent conductive glass as a novel high-performance counter electrode for dye-sensitized solar cells. After an appropriate reaction time, the entire surface of the conductive glass substrate was uniformly covered by ultrathin MoS2 nanofilms with a thickness of only several stacked layers. Electrochemical impedance spectroscopy and cyclic voltammetry reveal that the MoS2 nanofilms possess excellent catalytic activity towards tri-iodide reduction. When used in dye-sensitized solar cells, the MoS2 nanofilms show an impressive energy conversion efficiency of 8.3%, which is higher than that of a Pt-based electrode and very promising to be a desirable alternative counter electrode. Considering their ultrathin thickness, superior catalytic activity, simple preparation process and low cost, the as-prepared MoS2 nanofilms with high photovoltaic performance are expected to be widely employed in dye-sensitized solar cells.

  5. Manipulation of Spin-Torque Generation Using Ultrathin Au

    NASA Astrophysics Data System (ADS)

    An, Hongyu; Haku, Satoshi; Kanno, Yusuke; Nakayama, Hiroyasu; Maki, Hideyuki; Shi, Ji; Ando, Kazuya

    2018-06-01

    The generation and the manipulation of current-induced spin-orbit torques are of essential interest in spintronics. However, in spite of the vital progress in spin orbitronics, electric control of the spin-torque generation still remains elusive and challenging. We report on electric control of the spin-torque generation using ionic-liquid gating of ultrathin Au. We show that by simply depositing a SiO2 capping layer on an ultrathin-Au /Ni81Fe19 bilayer, the spin-torque generation efficiency is drastically enhanced by a maximum of 7 times. This enhancement is verified to be originated from the rough ultrathin-Au /Ni81Fe19 interface induced by the SiO2 deposition, which results in the enhancement of the interface spin-orbit scattering. We further show that the spin-torque generation efficiency from the ultrathin Au film can be reversibly manipulated by a factor of 2 using the ionic gating with an external electric field within a small range of 1 V. These results pave a way towards the efficient control of the spin-torque generation in spintronic applications.

  6. HfSe2 and ZrSe2: Two-dimensional semiconductors with native high-κ oxides

    PubMed Central

    Mleczko, Michal J.; Zhang, Chaofan; Lee, Hye Ryoung; Kuo, Hsueh-Hui; Magyari-Köpe, Blanka; Moore, Robert G.; Shen, Zhi-Xun; Fisher, Ian R.; Nishi, Yoshio; Pop, Eric

    2017-01-01

    The success of silicon as a dominant semiconductor technology has been enabled by its moderate band gap (1.1 eV), permitting low-voltage operation at reduced leakage current, and the existence of SiO2 as a high-quality “native” insulator. In contrast, other mainstream semiconductors lack stable oxides and must rely on deposited insulators, presenting numerous compatibility challenges. We demonstrate that layered two-dimensional (2D) semiconductors HfSe2 and ZrSe2 have band gaps of 0.9 to 1.2 eV (bulk to monolayer) and technologically desirable “high-κ” native dielectrics HfO2 and ZrO2, respectively. We use spectroscopic and computational studies to elucidate their electronic band structure and then fabricate air-stable transistors down to three-layer thickness with careful processing and dielectric encapsulation. Electronic measurements reveal promising performance (on/off ratio > 106; on current, ~30 μA/μm), with native oxides reducing the effects of interfacial traps. These are the first 2D materials to demonstrate technologically relevant properties of silicon, in addition to unique compatibility with high-κ dielectrics, and scaling benefits from their atomically thin nature. PMID:28819644

  7. Exciton center-of-mass localization and dielectric environment effect in monolayer WS2

    NASA Astrophysics Data System (ADS)

    Hichri, Aïda; Ben Amara, Imen; Ayari, Sabrine; Jaziri, Sihem

    2017-06-01

    The ultrathin transition metal dichalcogenides (TMDs) have emerged as promising materials for various applications using two dimensional semiconductors. They have attracted increasing attention due to their unique optical properties originate from neutral and charged excitons. In this paper, we study the strong localization of exciton center-of-mass motion within random potential fluctuations caused by the monolayer defects. Here, we report negatively charged exciton formation in monolayer TMDs, notably tungsten disulfide WS2. Our theory is based on an effective mass model of neutral and charged excitons, parameterized by ab-initio calculations. Taking into the account the strong correlation between the monolayer WS2 and the surrounding dielectric environment, our theoretical results are in good agreement with one-photon photoluminescence (PL) and reflectivity measurements. We also show that the exciton state with p-symmetry, experimentally observed by two-photon PL emission, is energetically below the 2s-state. We use the equilibrium mass action law, to quantify the relative weight of exciton and trion PL. We show that exciton and trion emission can be tuned and controlled by external parameters like temperature, pumping, and injection electrons. Finally, in comparison with experimental measurements, we show that exciton emission in monolayer tungsten dichalcogenides is substantially reduced. This feature suggests that free exciton can be trapped in disordered potential wells to form a localized exciton and therefore offers a route toward novel optical properties.

  8. Interface trap and oxide charge generation under negative bias temperature instability of p-channel metal-oxide-semiconductor field-effect transistors with ultrathin plasma-nitrided SiON gate dielectrics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu Shiyang; Nakajima, Anri; Ohashi, Takuo

    2005-12-01

    The interface trap generation ({delta}N{sub it}) and fixed oxide charge buildup ({delta}N{sub ot}) under negative bias temperature instability (NBTI) of p-channel metal-oxide-semiconductor field-effect transistors (pMOSFETs) with ultrathin (2 nm) plasma-nitrided SiON gate dielectrics were studied using a modified direct-current-current-voltage method and a conventional subthreshold characteristic measurement. Different stress time dependences were shown for {delta}N{sub it} and {delta}N{sub ot}. At the earlier stress times, {delta}N{sub it} dominates the threshold voltage shift ({delta}V{sub th}) and {delta}N{sub ot} is negligible. With increasing stress time, the rate of increase of {delta}N{sub it} decreases continuously, showing a saturating trend for longer stress times, while {delta}N{submore » ot} still has a power-law dependence on stress time so that the relative contribution of {delta}N{sub ot} increases. The thermal activation energy of {delta}N{sub it} and the NBTI lifetime of pMOSFETs, compared at a given stress voltage, are independent of the peak nitrogen concentration of the SiON film. This indicates that plasma nitridation is a more reliable method for incorporating nitrogen in the gate oxide.« less

  9. Fundamental limits of ultrathin metasurfaces

    PubMed Central

    Arbabi, Amir; Faraon, Andrei

    2017-01-01

    We present a set of universal relations which relate the local transmission, reflection, and polarization conversion coefficients of a general class of non-magnetic passive ultrathin metasurfaces. We show that these relations are a result of equal forward and backward scattering by single layer ultrathin metasurfaces, and they lead to confinement of the transmission, reflection, and polarization conversion coefficients to limited regions of the complex plane. Using these relations, we investigate the effect of the presence of a substrate, and show that the maximum polarization conversion efficiency for a transmissive metasurface decreases as the refractive index contrast between the substrate and cladding layer increases. Furthermore, we demonstrate that a single layer reflective metasurface can achieve full 2π phase shift coverage without altering the polarization if it is illuminated from the higher refractive index material. We also discuss two approaches for achieving asymmetric scattering from metasurfaces, and realizing metasurfaces which overcome the performance limitations of single layer ultrathin metasurfaces. PMID:28262739

  10. Physical understanding of trends in current collapse with atomic layer deposited dielectrics in AlGaN/GaN MOS heterojunction FETs

    NASA Astrophysics Data System (ADS)

    Ramanan, Narayanan; Lee, Bongmook; Misra, Veena

    2016-03-01

    Many passivation dielectrics are pursued for suppressing current collapse due to trapping/detrapping of access-region surface traps in AlGaN/GaN based metal oxide semiconductor heterojuction field effect transistors (MOS-HFETs). The suppression of current collapse can potentially be achieved either by reducing the interaction of surface traps with the gate via surface leakage current reduction, or by eliminating surface traps that can interact with the gate. But, the latter is undesirable since a high density of surface donor traps is required to sustain a high 2D electron gas density at the AlGaN/GaN heterointerface and provide a low ON-resistance. This presents a practical trade-off wherein a passivation dielectric with the optimal surface trap characteristics and minimal surface leakage is to be chosen. In this work, we compare MOS-HFETs fabricated with popular ALD gate/passivation dielectrics like SiO2, Al2O3, HfO2 and HfAlO along with an additional thick plasma-enhanced chemical vapor deposition SiO2 passivation. It is found that after annealing in N2 at 700 °C, the stack containing ALD HfAlO provides a combination of low surface leakage and a high density of shallow donor traps. Physics-based TCAD simulations confirm that this combination of properties helps quick de-trapping and minimal current collapse along with a low ON resistance.

  11. Effect of processing parameters on microstructure of MoS{sub 2} ultra-thin films synthesized by chemical vapor deposition method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, Yang; You, Suping; Sun, Kewei

    2015-06-15

    MoS{sub 2} ultra-thin layers are synthesized using a chemical vapor deposition method based on the sulfurization of molybdenum trioxide (MoO{sub 3}). The ultra-thin layers are characterized by X-ray diffraction (XRD), photoluminescence (PL) spectroscopy and atomic force microscope (AFM). Based on our experimental results, all the processing parameters, such as the tilt angle of substrate, applied voltage, heating time and the weight of source materials have effect on the microstructures of the layers. In this paper, the effects of such processing parameters on the crystal structures and morphologies of the as-grown layers are studied. It is found that the film obtainedmore » with the tilt angle of 0.06° is more uniform. A larger applied voltage is preferred to the growth of MoS{sub 2} thin films at a certain heating time. In order to obtain the ultra-thin layers of MoS{sub 2}, the weight of 0.003 g of source materials is preferred. Under our optimal experimental conditions, the surface of the film is smooth and composed of many uniformly distributed and aggregated particles, and the ultra-thin MoS{sub 2} atomic layers (1∼10 layers) covers an area of more than 2 mm×2 mm.« less

  12. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  13. Microstructure and dielectric properties of pyrochlore Bi2Ti2O7 thin films

    NASA Astrophysics Data System (ADS)

    Cagnon, Joël; Boesch, Damien S.; Finstrom, Nicholas H.; Nergiz, Saide Z.; Keane, Sean P.; Stemmer, Susanne

    2007-08-01

    Bi2Ti2O7 thin films were grown by radio-frequency magnetron sputtering on bare and Pt-coated sapphire substrates at low substrate temperatures (˜200 °C). Postdeposition anneals were carried out at different temperatures to crystallize the films. Nearly phase-pure Bi2Ti2O7 thin films with the cubic pyrochlore structure were obtained at annealing temperatures up to 800 °C. Impurity phases, in particular Bi4Ti3O12, formed at higher temperatures. At 1 MHz, the dielectric constants were about 140-150 with a very small tunability and the dielectric loss was about 4×10-3. The dielectric loss increased with frequency. The dielectric properties of Bi2Ti2O7 films are compared to those of pyrochlore bismuth zinc niobate films.

  14. Metal Immiscibility Route to Synthesis of Ultrathin Carbides, Borides, and Nitrides.

    PubMed

    Wang, Zixing; Kochat, Vidya; Pandey, Prafull; Kashyap, Sanjay; Chattopadhyay, Soham; Samanta, Atanu; Sarkar, Suman; Manimunda, Praveena; Zhang, Xiang; Asif, Syed; Singh, Abhisek K; Chattopadhyay, Kamanio; Tiwary, Chandra Sekhar; Ajayan, Pulickel M

    2017-08-01

    Ultrathin ceramic coatings are of high interest as protective coatings from aviation to biomedical applications. Here, a generic approach of making scalable ultrathin transition metal-carbide/boride/nitride using immiscibility of two metals is demonstrated. Ultrathin tantalum carbide, nitride, and boride are grown using chemical vapor deposition by heating a tantalum-copper bilayer with corresponding precursor (C 2 H 2 , B powder, and NH 3 ). The ultrathin crystals are found on the copper surface (opposite of the metal-metal junction). A detailed microscopy analysis followed by density functional theory based calculation demonstrates the migration mechanism, where Ta atoms prefer to stay in clusters in the Cu matrix. These ultrathin materials have good interface attachment with Cu, improving the scratch resistance and oxidation resistance of Cu. This metal-metal immiscibility system can be extended to other metals to synthesize metal carbide, boride, and nitride coatings. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Probing photoresponse of aligned single-walled carbon nanotube doped ultrathin MoS2.

    PubMed

    Wang, Rui; Wang, Tianjiao; Hong, Tu; Xu, Ya-Qiong

    2018-08-24

    We report a facile method to produce ultrathin molybdenum disulfide (MoS 2 ) hybrids with polarized near-infrared (NIR) photoresponses, in which horizontally-aligned single-walled carbon nanotubes (SWNTs) are integrated with single- and few-layer MoS 2 through a two-step chemical vapor deposition process. The photocurrent generation mechanisms in SWNT-MoS 2 hybrids are systematically investigated through wavelength- and polarization-dependent scanning photocurrent measurements. When the incident photon energy is above the direct bandgap of MoS 2 , isotropic photocurrent signals are observed, which can be primarily attributed to the direct bandgap transition in MoS 2 . In contrast, if the incident photon energy in the NIR region is below the direct bandgap of MoS 2 , the maximum photocurrent response occurs when the incident light is polarized in the direction along the SWNTs, indicating that photocurrent signals mainly result from the anisotropic absorption of SWNTs. More importantly, these two-dimensional (2D) hybrid structures inherit the electrical transport properties from MoS 2 , displaying n-type characteristics at a zero gate voltage. These fundamental studies provide a new way to produce ultrathin MoS 2 hybrids with inherited electrical properties and polarized NIR photoresponses, opening doors for engineering various 2D hybrid materials for future broadband optoelectronic applications.

  16. Ultrathin triple-band polarization-insensitive wide-angle compact metamaterial absorber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shang, Shuai; Yang, Shizhong; Tao, Lu

    2016-07-15

    In this study, the design, realization, and characterization of an ultrathin triple-band polarization-insensitive wide-angle metamaterial absorber are reported. The metamaterial absorber comprises a periodic array of modified six-fold symmetric snowflake-shaped resonators with strip spiral line load, which is printed on a dielectric substrate backed by a metal ground plane. It is shown that the absorber exhibits three distinct near-unity absorption peaks, which are distributed across C, X, Ku bands, respectively. Owing to the six-fold symmetry, the absorber is insensitive to the polarization of the incident radiation. In addition, the absorber shows excellent absorption performance over wide oblique incident angles formore » both transverse electric and transverse magnetic polarizations. Simulated surface current and field distributions at the three absorption peaks are demonstrated to understand the absorption mechanism. Particularly, the absorption modes come from the fundamental and high-order dipole resonances. Furthermore, the experimental verification of the designed absorber is conducted, and the measured results are in reasonable agreement with the simulated ones. The proposed ultrathin (∼0.018λ{sub 0}, λ{sub 0} corresponding to the lowest peak absorption frequency) compact (0.168λ{sub 0}×0.168λ{sub 0} corresponding to the area of a unit cell) absorber enables potential applications such as stealth technology, electromagnetic interference and spectrum identification.« less

  17. All-dielectric metalens for terahertz wave imaging.

    PubMed

    Jiang, Xue; Chen, Hao; Li, Zeyu; Yuan, Hongkuan; Cao, Luyao; Luo, Zhenfei; Zhang, Kun; Zhang, Zhihai; Wen, Zhongquan; Zhu, Li-Guo; Zhou, Xun; Liang, Gaofeng; Ruan, Desheng; Du, Lianghui; Wang, Lingfang; Chen, Gang

    2018-05-28

    Terahertz wave imaging offers promising properties for non-destructive testing applications in the areas of homeland security, medicine, and industrial inspection. However, conventional optical lenses are heavy and bulky and difficult to integrate. An all-dielectric metasurface provides an attractive way to realize a planar lens of light weight that is ultrathin and offers ease of integration. Terahertz lenses based on various metasurfaces have been studied, especially for the application of wave focusing, while there are few experimental demonstrations of terahertz wave imaging lenses based on an all-dielectric metasurface. In the present work, we propose a metalens based on an all-dielectric metasurface with a sub-wavelength unit size of 0.39λ for terahertz wave imaging and experimentally demonstrate its performance in focusing and imaging. A large numerical aperture metalens was fabricated with a focal length of 300λ, radius of 300λ, and numerical aperture of 0.707. The experimental results show that the lens can focus THz waves with an incident angle up to 48°. More importantly, clear terahertz wave images of different objects were obtained for both different cases of forward- and inverse-incident directions, which demonstrate the reversibility of the metalens for imaging. Such a metalens provides a way for realization of all-planar-lens THz imaging system, and might find application in terahertz wave imaging, information processing, microscopy, and others.

  18. Electrical conductivity and dielectric relaxation of 2-(antipyrin-4-ylhydrazono)-2-(4-nitrophenyl)acetonitrile

    NASA Astrophysics Data System (ADS)

    El-Menyawy, E. M.; Zedan, I. T.; Nawar, H. H.

    2014-03-01

    The electrical and dielectric properties of the synthesized 2-(antipyrin-4-ylhydrazono)-2-(4-nitrophenyl)acetonitrile (AHNA) have been studied. The direct and alternating current (DC and AC) conductivities and complex dielectric constant were investigated in temperature range 303-403 K. The AC conductivity and dielectric properties of AHNA were investigated over frequency range 100 Hz-5 MHz. From DC and AC measurements, electrical conduction is found to be a thermally activated process. The frequency-dependent AC conductivity obeys Jonscher's universal power law in which the frequency exponent decreases with increasing temperature. The correlated barrier hopping (CBH) is the predominant model for describing the charge carrier transport in which the electrical parameters are evaluated. The activation energy is found to decrease with increasing frequency. The behaviors of dielectric and dielectric loss are discussed in terms of a polarization mechanism. The dielectric loss shows frequency power law from which the maximum barrier height is determined as 0.19 eV in terms of the Guintini model.

  19. Ultrathin TiO2 layer coated-CdS spheres core-shell nanocomposite with enhanced visible-light photoactivity.

    PubMed

    Chen, Zhang; Xu, Yi-Jun

    2013-12-26

    Development of various strategies for controllable fabrication of core-shell nanocomposites (CSNs) with highly active photocatalytic performance has been attracting ever-increasing research attention. In particular, control of the ultrathin layer TiO2 shell in constructing CSNs in an aqueous phase is a significant but technologically challenging issue. Here, this paper demonstrates the interface assembly synthesis of CdS nanospheres@TiO2 core-shell photocatalyst via the electrostatic interaction of negatively charged water-stable titania precursor with positively charged CdS nanospheres (CdS NSPs), followed by the formation of the ultrathin-layer TiO2 shell through a facile refluxing process in aqueous phase. The as-formed CdS NSPs@TiO2 core-shell nanohybrid exhibits a high visible-light-driven photoactivity for selective transformation and reduction of heavy metal ions. The ultrathin TiO2 layer coated on CdS NSPs results in excellent light transmission property, enhanced adsorption capacity, and improved transfer of charge carriers and lifespan of photoinduced electron-hole pairs, which would prominently contribute to the significant photoactivity enhancement. It is anticipated that this facile aqueous-phase synthesis strategy could be extended to design a variety of more efficient CSN photocatalysts with controllable morphology toward target applications in diverse photoredox processes.

  20. Bar-Coated Ultrathin Semiconductors from Polymer Blend for One-Step Organic Field-Effect Transistors.

    PubMed

    Ge, Feng; Liu, Zhen; Lee, Seon Baek; Wang, Xiaohong; Zhang, Guobing; Lu, Hongbo; Cho, Kilwon; Qiu, Longzhen

    2018-06-27

    One-step deposition of bi-functional semiconductor-dielectric layers for organic field-effect transistors (OFETs) is an effective way to simplify the device fabrication. However, the proposed method has rarely been reported in large-area flexible organic electronics. Herein, we demonstrate wafer-scale OFETs by bar coating the semiconducting and insulating polymer blend solution in one-step. The semiconducting polymer poly(3-hexylthiophene) (P3HT) segregates on top of the blend film, whereas dielectric polymethyl methacrylate (PMMA) acts as the bottom layer, which is achieved by a vertical phase separation structure. The morphology of blend film can be controlled by varying the concentration of P3HT and PMMA solutions. The wafer-scale one-step OFETs, with a continuous ultrathin P3HT film of 2.7 nm, exhibit high electrical reproducibility and uniformity. The one-step OFETs extend to substrate-free arrays that can be attached everywhere on varying substrates. In addition, because of the well-ordered molecular arrangement, the moderate charge transport pathway is formed, which resulted in stable OFETs under various organic solvent vapors and lights of different wavelengths. The results demonstrate that the one-step OFETs have promising potential in the field of large-area organic wearable electronics.

  1. A Ga2O3 underlayer as an isomorphic template for ultrathin hematite films toward efficient photoelectrochemical water splitting.

    PubMed

    Hisatomi, Takashi; Brillet, Jérémie; Cornuz, Maurin; Le Formal, Florian; Tétreault, Nicolas; Sivula, Kevin; Grätzel, Michael

    2012-01-01

    Hematite photoanodes for photoelectrochemical (PEC) water splitting are often fabricated as extremely-thin films to minimize charge recombination because of the short diffusion lengths of photoexcited carriers. However, poor crystallinity caused by structural interaction with a substrate negates the potential of ultrathin hematite photoanodes. This study demonstrates that ultrathin Ga2O3 underlayers, which were deposited on conducting substrates prior to hematite layers by atomic layer deposition, served as an isomorphic (corundum-type) structural template for ultrathin hematite and improved the photocurrent onset of PEC water splitting by 0.2 V. The benefit from Ga2O3 underlayers was most pronounced when the thickness of the underlayer was approximately 2 nm. Thinner underlayers did not work effectively as a template presumably because of insufficient crystallinity of the underlayer, while thicker ones diminished the PEC performance of hematite because the underlayer prevented electron injection from hematite to a conductive substrate due to the large conduction band offset. The enhancement of PEC performance by a Ga2O3 underlayer was more significant for thinner hematite layers owing to greater margins for improving the crystallinity of ultrathin hematite. It was confirmed that a Ga2O3 underlayer was applicable to a rough conducting substrate loaded with Sb-doped SnO2 nanoparticles, improving the photocurrent by a factor of 1.4. Accordingly, a Ga2O3 underlayer could push forward the development of host-guest-type nanocomposites consisting of highly-rough substrates and extremely-thin hematite absorbers.

  2. Dielectric Properties of PMMA and its Composites with ZrO2

    NASA Astrophysics Data System (ADS)

    Sannakki, Basavaraja; Anita

    The polymer films of PMMA with different thickness and its composites with ZrO2 at various weight percentages but of same thickness have been studied. The determination of its dielectric properties, dielectric loss, a.conductivity and dielectric modulus were carried out using capacitance measurements of the above samples as a function of frequency, over the range 50 Hz - 5 MHz at room temperature. The films of PMMA and its composites have been characterized using X-Ray Diffractometer. The dielectric permittivity of films of PMMA behaves nonlinearly as frequency increases over the range 50-300 Hz, where as above 300 Hz the values of dielectric constant remains constant. But it is observed that the dielectric constant of PMMA increases as thickness of the film increases. In case of composite films of PMMA with ZrO2 the values of dielectric permittivity decreases gradually up to frequency of around 1 KHz and at higher frequencies it remains constant for all the weight percentages of ZrO2. The complex form of dielectric modulus of PMMA is obtained from the experimentally measured data of dielectric constant and dielectric loss values. The relaxation time of the orientation of dipoles is obtained from the peak value of angular frequency through the plots of imaginary part of electrical modulus as function of frequency. The impedance of PMMA polymer increases as thickness of the films increases. The a c conductivity of PMMA film remains constant up to frequency of 1 MHz and above. It shows a nonlinear phenomenon with peak values at frequency 4 MHz. Shape and size of the nanoparticles of composite film of PMMA with ZrO2 was analyzed by Field Emission Scanning Electron Microscope (FESEM).

  3. Material insights of HfO2-based integrated 1-transistor-1-resistor resistive random access memory devices processed by batch atomic layer deposition

    PubMed Central

    Niu, Gang; Kim, Hee-Dong; Roelofs, Robin; Perez, Eduardo; Schubert, Markus Andreas; Zaumseil, Peter; Costina, Ioan; Wenger, Christian

    2016-01-01

    With the continuous scaling of resistive random access memory (RRAM) devices, in-depth understanding of the physical mechanism and the material issues, particularly by directly studying integrated cells, become more and more important to further improve the device performances. In this work, HfO2-based integrated 1-transistor-1-resistor (1T1R) RRAM devices were processed in a standard 0.25 μm complementary-metal-oxide-semiconductor (CMOS) process line, using a batch atomic layer deposition (ALD) tool, which is particularly designed for mass production. We demonstrate a systematic study on TiN/Ti/HfO2/TiN/Si RRAM devices to correlate key material factors (nano-crystallites and carbon impurities) with the filament type resistive switching (RS) behaviours. The augmentation of the nano-crystallites density in the film increases the forming voltage of devices and its variation. Carbon residues in HfO2 films turn out to be an even more significant factor strongly impacting the RS behaviour. A relatively higher deposition temperature of 300 °C dramatically reduces the residual carbon concentration, thus leading to enhanced RS performances of devices, including lower power consumption, better endurance and higher reliability. Such thorough understanding on physical mechanism of RS and the correlation between material and device performances will facilitate the realization of high density and reliable embedded RRAM devices with low power consumption. PMID:27312225

  4. Material insights of HfO2-based integrated 1-transistor-1-resistor resistive random access memory devices processed by batch atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Niu, Gang; Kim, Hee-Dong; Roelofs, Robin; Perez, Eduardo; Schubert, Markus Andreas; Zaumseil, Peter; Costina, Ioan; Wenger, Christian

    2016-06-01

    With the continuous scaling of resistive random access memory (RRAM) devices, in-depth understanding of the physical mechanism and the material issues, particularly by directly studying integrated cells, become more and more important to further improve the device performances. In this work, HfO2-based integrated 1-transistor-1-resistor (1T1R) RRAM devices were processed in a standard 0.25 μm complementary-metal-oxide-semiconductor (CMOS) process line, using a batch atomic layer deposition (ALD) tool, which is particularly designed for mass production. We demonstrate a systematic study on TiN/Ti/HfO2/TiN/Si RRAM devices to correlate key material factors (nano-crystallites and carbon impurities) with the filament type resistive switching (RS) behaviours. The augmentation of the nano-crystallites density in the film increases the forming voltage of devices and its variation. Carbon residues in HfO2 films turn out to be an even more significant factor strongly impacting the RS behaviour. A relatively higher deposition temperature of 300 °C dramatically reduces the residual carbon concentration, thus leading to enhanced RS performances of devices, including lower power consumption, better endurance and higher reliability. Such thorough understanding on physical mechanism of RS and the correlation between material and device performances will facilitate the realization of high density and reliable embedded RRAM devices with low power consumption.

  5. 2D-2D stacking of graphene-like g-C3N4/Ultrathin Bi4O5Br2 with matched energy band structure towards antibiotic removal

    NASA Astrophysics Data System (ADS)

    Ji, Mengxia; Di, Jun; Ge, Yuping; Xia, Jiexiang; Li, Huaming

    2017-08-01

    A novel visible-light-driven 2D-2D graphene-like g-C3N4/ultrathin Bi4O5Br2 photocatalyst was prepared via a facile solvothermal method in the presence of reactable ionic liquid 1-hexadecyl-3-methylimidazolium bromide ([C16mim]Br) for the first time. FT-IR, XPS and TEM analysis results demonstrated the successful introduction of the 2D graphene-like g-C3N4 material to the Bi4O5Br2 system. DRS and BET analysis results indicated the existence of the g-C3N4 could lead to the broaden absorption edge and larger surface area of the ultrathin Bi4O5Br2 nanosheets. The electrochemical analysis implied a fast transfer of the interfacial electrons and low recombination rate of photogenerated charge carriers in g-C3N4/Bi4O5Br2, which could be assigned to the sufficient and tight contact between ultrathin Bi4O5Br2 and graphene-like g-C3N4. The quinolone antibiotic ciprofloxacin (CIP) was chosen as the target pollutant to evaluate the photocatalytic performance of the as-prepared samples under visible light irradiation. 1 wt% g-C3N4/Bi4O5Br2 composite exhibited the highest photocatalytic degradation performance among all of the as-prepared photocatalysts. The enhancement of photocatalytic activity was attributed to the maximum contact between graphene-like g-C3N4 and ultrathin Bi4O5Br2 material with matched energy band structure, which enable the efficient charge seperation. A possible photocatalytic mechanism also was proposed.

  6. Ultrathin Bi2WO6 nanosheet decorated with Pt nanoparticles for efficient formaldehyde removal at room temperature

    NASA Astrophysics Data System (ADS)

    Sun, Dong; Le, Yao; Jiang, Chuanjia; Cheng, Bei

    2018-05-01

    Two-dimensional (2D) ultrathin bismuth tungstate (Bi2WO6) nanosheets (BWO-NS) with a thickness of approximately 4.0 nm were synthesized by a one-step hydrothermal method, and decorated with platinum (Pt) nanoparticles (NPs) via an impregnation/borohydride-reduction approach. The as-prepared ultrathin Pt-BWO-NS exhibited superior catalytic activity for removing gaseous formaldehyde (HCHO) at ambient temperature, in comparison with bulk counterpart with Bi2WO6 sheet thickness of tens of nanometers. The ultrathin structure endowed the Pt-BWO-NS sample with larger specific surface area, which can provide abundant surface active sites for HCHO adsorption and facilitate the homogeneous dispersion of Pt NPs. X-ray photoelectron spectroscopy and hydrogen temperature-programmed reduction analyses revealed the interaction between the Bi2WO6 support and Pt species, which is crucial for activating surface oxygen atoms to participate in the catalytic HCHO oxidation process. By conducting in situ diffuse reflectance infrared Fourier transform spectroscopy under different atmospheres, i.e., gaseous HCHO in nitrogen or oxygen (O2), the reaction mechanism and the role of O2 were elucidated, with dioxymethylene, formate and linearly adsorbed carbon monoxide identified as the main reaction intermediates. This study may provide new enlightenment on fabricating novel 2D nanomaterials for efficient indoor air purification and potentially other environmental applications.

  7. Glutatione modified ultrathin SnS2 nanosheets with highly photocatalytic activity for wastewater treatment

    NASA Astrophysics Data System (ADS)

    Wei, Renjie; Zhou, Tengfei; Hu, Juncheng; Li, Jinlin

    2014-04-01

    L-Glutatione (GSH) modified ultrathin SnS2 nanosheets were successfully synthesized via a one-pot, facile and rapid solvothermal approach. During the process, the GSH not only served as the sulfur sources, the structure-directing agent, but also as the surface modified ligands. The as-synthesized samples mainly consist of ultrathin nanosheets with the thickness of about 10 nm. Inspiringly, even under the visible light (λ > 420 nm) irradiation, the as-synthesized products exhibited highly photocatalytic activities for both the degradation of methyl orange (MO) and the reductive conversion of Cr (VI) in aqueous solution. The superior performance was presented by completely removed the methyl orange and aqueous Cr(VI) in 20 min and 60 min, respectively. It was much higher than the pure samples, which suggested that these obtained photocatalysts have the potential for wastewater treatment in a green way. The high-efficiency of photocatalytic properties could attribute to the ultrathin size of the photocatalysts and the chelation between GSH and Sn (IV), which have the advantages of electron-hole pairs separation. Moreover, modified organic compounds with common electron donors would also enhance the spectral response even to the near infrared region through ligand-to-metal charge transfer (LMCT) mechanism.

  8. Ultrathin gas permeable oxide membranes for chemical sensing: Nanoporous Ta 2O 5 test study

    DOE PAGES

    Imbault, Alexander; Wang, Yue; Kruse, Peter; ...

    2015-09-25

    Conductometric gas sensors made of gas permeable metal oxide ultrathin membranes can combine the functions of a selective filter, preconcentrator, and sensing element and thus can be particularly promising for the active sampling of diluted analytes. Here we report a case study of the electron transport and gas sensing properties of such a membrane made of nanoporous Ta 2O 5. These membranes demonstrated a noticeable chemical sensitivity toward ammonia, ethanol, and acetone at high temperatures above 400 °C. Furthermore, different from traditional thin films, such gas permeable, ultrathin gas sensing elements can be made suspended enabling advanced architectures of ultrasensitivemore » analytical systems operating at high temperatures and in harsh environments.« less

  9. Ultrathin Nickel Hydroxide and Oxide Nanosheets: Synthesis, Characterizations and Excellent Supercapacitor Performances

    PubMed Central

    Zhu, Youqi; Cao, Chuanbao; Tao, Shi; Chu, Wangsheng; Wu, Ziyu; Li, Yadong

    2014-01-01

    High-quality ultrathin two-dimensional nanosheets of α-Ni(OH)2 are synthesized at large scale via microwave-assisted liquid-phase growth under low-temperature atmospheric conditions. After heat treatment, non-layered NiO nanosheets are obtained while maintaining their original frame structure. The well-defined and freestanding nanosheets exhibit a micron-sized planar area and ultrathin thickness (<2 nm), suggesting an ultrahigh surface atom ratio with unique surface and electronic structure. The ultrathin 2D nanostructure can make most atoms exposed outside with high activity thus facilitate the surface-dependent electrochemical reaction processes. The ultrathin α-Ni(OH)2 and NiO nanosheets exhibit enhanced supercapacitor performances. Particularly, the α-Ni(OH)2 nanosheets exhibit a maximum specific capacitance of 4172.5 F g−1 at a current density of 1 A g−1. Even at higher rate of 16 A g−1, the specific capacitance is still maintained at 2680 F g−1 with 98.5% retention after 2000 cycles. Even more important, we develop a facile and scalable method to produce high-quality ultrathin transition metal hydroxide and oxide nanosheets and make a possibility in commercial applications. PMID:25168127

  10. Free-standing ultrathin CoMn2O4 nanosheets anchored on reduced graphene oxide for high-performance supercapacitors.

    PubMed

    Gao, Guoxin; Lu, Shiyao; Xiang, Yang; Dong, Bitao; Yan, Wei; Ding, Shujiang

    2015-11-21

    Ultrathin CoMn2O4 nanosheets supported on reduced graphene oxide (rGO) are successfully synthesized through a simple co-precipitation method with a post-annealing treatment. With the assistance of citrate, the free-standing CoMn2O4 ultrathin nanosheets can form porous overlays on both sides of the rGO sheets. Such a novel hybrid nanostructure can effectively promote charge transport and accommodate volume variation upon prolonged charge/discharge cycling. When evaluated as a promising electrode for supercapacitors in a 6 M KOH solution electrolyte, the hybrid nanocomposites demonstrate highly enhanced capacitance and excellent cycling stability.

  11. Dielectric relaxation and localized electron hopping in colossal dielectric (Nb,In)-doped TiO2 rutile nanoceramics.

    PubMed

    Tsuji, Kosuke; Han, HyukSu; Guillemet-Fritsch, Sophie; Randall, Clive A

    2017-03-28

    Dielectric spectroscopy was performed on a Nb and In co-doped rutile TiO 2 nano-crystalline ceramic (n-NITO) synthesized by a low-temperature spark plasma sintering (SPS) technique. The dielectric properties of the n-NITO were not largely affected by the metal electrode contacts. Huge dielectric relaxation was observed at a very low temperature below 35 K. Both the activation energy and relaxation time suggested that the electronic hopping motion is the underlying mechanism responsible for the colossal dielectric permittivity (CP) and its relaxation, instead of the internal barrier layer effect or a dipolar relaxation. With Havriliak-Negami (H-N) fitting, a relaxation time with a large distribution of dielectric relaxations was revealed. The broad distributed relaxation phenomena indicated that Nb and In were involved, controlling the dielectric relaxation by modifying the polarization mechanism and localized states. The associated distribution function is calculated and presented. The frequency-dependent a.c. conductance is successfully explained by a hopping conduction model of the localized electrons with the distribution function. It is demonstrated that the dielectric relaxation is strongly correlated with the hopping electrons in the localized states. The CP in SPS n-NITO is then ascribed to a hopping polarization.

  12. Carbon-coated ZnO mat passivation by atomic-layer-deposited HfO2 as an anode material for lithium-ion batteries.

    PubMed

    Jung, Mi-Hee

    2017-11-01

    ZnO has had little consideration as an anode material in lithium-ion batteries compared with other transition-metal oxides due to its inherent poor electrical conductivity and large volume expansion upon cycling and pulverization of ZnO-based electrodes. A logical design and facile synthesis of ZnO with well-controlled particle sizes and a specific morphology is essential to improving the performance of ZnO in lithium-ion batteries. In this paper, a simple approach is reported that uses a cation surfactant and a chelating agent to synthesize three-dimensional hierarchical nanostructured carbon-coated ZnO mats, in which the ZnO mats are composed of stacked individual ZnO nanowires and form well-defined nanoporous structures with high surface areas. In order to improve the performance of lithium-ion batteries, HfO 2 is deposited on the carbon-coated ZnO mat electrode via atomic layer deposition. Lithium-ion battery devices based on the carbon-coated ZnO mat passivation by atomic layer deposited HfO 2 exhibit an excellent initial discharge and charge capacities of 2684.01 and 963.21mAhg -1 , respectively, at a current density of 100mAg -1 in the voltage range of 0.01-3V. They also exhibit cycle stability after 125 cycles with a capacity of 740mAhg -1 and a remarkable rate capability. Copyright © 2017 Elsevier Inc. All rights reserved.

  13. Dielectric Properties of BST/(Y 2O 3) x(ZrO 2) 1-x/BST Trilayer Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sahoo, Santosh K.; Misra, D.

    2011-01-31

    Thin films of Ba1-xSrxTiO3 (BST) are being actively investigated for applications in dynamic random access memories (DRAM) because of their properties such as high dielectric constant, low leakage current, and high dielectric breakdown strength. Various approaches have been used to improve the dielectric properties of BST thin films such as doping, graded compositions, and multilayer structures. We have found that inserting a ZrO2 layer in between two BST layers results in a significant reduction in dielectric constant as well as dielectric loss. In this work the effect of Y2O3 doped ZrO2 on the dielectric properties of BST/ZrO2/BST trilayer structure ismore » studied. The structure Ba0.8Sr0.2TiO3/(Y2O3)x(ZrO2)1-x/Ba0.8Sr0.2TiO3 is deposited by a sol-gel process on platinized Si substrate. The composition (x) of the middle layer is varied while keeping the total thickness of the trilayer film constant. The dielectric constant of the multilayer film decreases with the increase of Y2O3 amount in the film whereas there is a slight variation in dielectric loss. In Y2O3 doped multilayer thin films, the dielectric loss is lower in comparison to other films and also there is good frequency stability in the loss in the measured frequency range and hence very suitable for microwave device applications.« less

  14. Controllable synthesis of ultrathin vanadium oxide nanobelts via an EDTA-mediated hydrothermal process

    NASA Astrophysics Data System (ADS)

    Yu-Xiang, Qin; Cheng, Liu; Wei-Wei, Xie; Meng-Yang, Cui

    2016-02-01

    Ultrathin VO2 nanobelts with rough alignment features are prepared on the induction layer-coated substrates by an ethylenediaminetetraacetic acid (EDTA)-mediated hydrothermal process. EDTA acts as a chelating reagent and capping agent to facilitate the one-dimensional (1D) preferential growth of ultrathin VO2 nanobelts with high crystallinities and good uniformities. The annealed induction layer and concentration of EDTA are found to play crucial roles in the formation of aligned and ultrathin nanobelts. Variation in EDTA concentration can change the VO2 morphology of ultrathin nanobelts into that of thick nanoplates. Mild annealing of ultrathin VO2 nanobelts at 350 °C in air results in the formation of V2O5 nanobelts with a nearly unchanged ultrathin structure. The nucleation and growth mechanism involved in the formations of nanobelts and nanoplates are proposed. The ethanol gas sensing properties of the V2O5 nanobelt networks-based sensor are investigated in a temperature range from 100 °C to 300 °C over ethanol concentrations ranging from 3 ppm to 500 ppm. The results indicate that the V2O5 nanobelt network sensor exhibits high sensitivity, good reversibility, and fast response-recovery characteristics with an optimal working temperature of 250 °C. Project supported by the National Natural Science Foundation of China (Grant Nos. 61274074, 61271070, and 61574100).

  15. Interfacial and electrical properties of InGaAs metal-oxide-semiconductor capacitor with TiON/TaON multilayer composite gate dielectric

    NASA Astrophysics Data System (ADS)

    Wang, L. S.; Xu, J. P.; Liu, L.; Lu, H. H.; Lai, P. T.; Tang, W. M.

    2015-03-01

    InGaAs metal-oxide-semiconductor (MOS) capacitors with composite gate dielectric consisting of Ti-based oxynitride (TiON)/Ta-based oxynitride (TaON) multilayer are fabricated by RF sputtering. The interfacial and electrical properties of the TiON/TaON/InGaAs and TaON/TiON/InGaAs MOS structures are investigated and compared. Experimental results show that the former exhibits lower interface-state density (1.0 × 1012 cm-2 eV-1 at midgap), smaller gate leakage current (9.5 × 10-5 A/cm2 at a gate voltage of 2 V), larger equivalent dielectric constant (19.8), and higher reliability under electrical stress than the latter. The involved mechanism lies in the fact that the ultrathin TaON interlayer deposited on the sulfur-passivated InGaAs surface can effectively reduce the defective states and thus unpin the Femi level at the TaON/InGaAs interface, improving the electrical properties of the device.

  16. The Study of Electrical Properties for Multilayer La2O3/Al2O3 Dielectric Stacks and LaAlO3 Dielectric Film Deposited by ALD.

    PubMed

    Feng, Xing-Yao; Liu, Hong-Xia; Wang, Xing; Zhao, Lu; Fei, Chen-Xi; Liu, He-Lei

    2017-12-01

    The capacitance and leakage current properties of multilayer La 2 O 3 /Al 2 O 3 dielectric stacks and LaAlO 3 dielectric film are investigated in this paper. A clear promotion of capacitance properties is observed for multilayer La 2 O 3 /Al 2 O 3 stacks after post-deposition annealing (PDA) at 800 °C compared with PDA at 600 °C, which indicated the recombination of defects and dangling bonds performs better at the high-k/Si substrate interface for a higher annealing temperature. For LaAlO 3 dielectric film, compared with multilayer La 2 O 3 /Al 2 O 3 dielectric stacks, a clear promotion of trapped charges density (N ot ) and a degradation of interface trap density (D it ) can be obtained simultaneously. In addition, a significant improvement about leakage current property is observed for LaAlO 3 dielectric film compared with multilayer La 2 O 3 /Al 2 O 3 stacks at the same annealing condition. We also noticed that a better breakdown behavior for multilayer La 2 O 3 /Al 2 O 3 stack is achieved after annealing at a higher temperature for its less defects.

  17. Thickness and composition of ultrathin SiO2 layers on Si

    NASA Astrophysics Data System (ADS)

    van der Marel, C.; Verheijen, M. A.; Tamminga, Y.; Pijnenburg, R. H. W.; Tombros, N.; Cubaynes, F.

    2004-07-01

    Ultrathin SiO2 layers are of importance for the semiconductor industry. One of the techniques that can be used to determine the chemical composition and thickness of this type of layers is x-ray photoelectron spectroscopy (XPS). As shown by Seah and Spencer [Surf. Interface Anal. 33, 640 (2002)], it is not trivial to characterize this type of layer by means of XPS in a reliable way. We have investigated a series of ultrathin layers of SiO2 on Si (in the range from 0.3 to 3 nm) using XPS. The samples were also analyzed by means of transmission electron microscopy (TEM), Rutherford backscattering (RBS), and ellipsometry. The thickness of the SiO2 layers (d) was determined from the XPS results using three different approaches: the ``standard'' equation (Seah and Spencer) for d, an overlayer-substrate model calculation, and the QUASES-Tougaard [Surf. Interface Anal. 26, 249 (1998), QUASES-Tougaard: Software package for Quantitative Analysis of Surfaces by Electron Spectroscopy, version 4.4 (2000); http://www.quases.com] method. Good agreement was obtained between the results of XPS analyses using the ``standard'' equation, the overlayer-substrate model calculation, and RBS results. The QUASES-Tougaard results were approximately 62% above the other XPS results. The optical values for the thickness were always slightly higher than the thickness according to XPS or RBS. Using the model calculation, these (relatively small) deviations from the optical results could be explained as being a consequence of surface contaminations with hydrocarbons. For a thickness above 2.5 nm, the TEM results were in good agreement with the results obtained from the other techniques (apart from QUASES-Tougaard). Below 2.5 nm, significant deviations were found between RBS, XPS, and optical data on the one hand and TEM results on the other hand; the deviations became larger as the thickness of the SiO2 decreased. This effect may be related to interface states of oxygen, which have been

  18. Oxygen-modulated quantum conductance for ultrathin HfO 2 -based memristive switching devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhong, Xiaoliang; Rungger, Ivan; Zapol, Peter

    Memristive switching devices, candidates for resistive random access memory technology, have been shown to switch off through a progression of states with quantized conductance and subsequent noninteger conductance (in terms of conductance quantum G 0). We have performed calculations based on density functional theory to model the switching process for a Pt-HfO 2-Pt structure, involving the movement of one or two oxygen atoms. Oxygen atoms moving within a conductive oxygen vacancy filament act as tunneling barriers, and partition the filament into weakly coupled quantum wells. We show that the low-bias conductance decreases exponentially when one oxygen atom moves away frommore » interface. In conclusion, our results demonstrate the high sensitivity of the device conductance to the position of oxygen atoms.« less

  19. Oxygen-modulated quantum conductance for ultrathin HfO 2 -based memristive switching devices

    DOE PAGES

    Zhong, Xiaoliang; Rungger, Ivan; Zapol, Peter; ...

    2016-10-24

    Memristive switching devices, candidates for resistive random access memory technology, have been shown to switch off through a progression of states with quantized conductance and subsequent noninteger conductance (in terms of conductance quantum G 0). We have performed calculations based on density functional theory to model the switching process for a Pt-HfO 2-Pt structure, involving the movement of one or two oxygen atoms. Oxygen atoms moving within a conductive oxygen vacancy filament act as tunneling barriers, and partition the filament into weakly coupled quantum wells. We show that the low-bias conductance decreases exponentially when one oxygen atom moves away frommore » interface. In conclusion, our results demonstrate the high sensitivity of the device conductance to the position of oxygen atoms.« less

  20. Fabrication and electrical characterization of a MOS memory device containing self-assembled metallic nanoparticles

    NASA Astrophysics Data System (ADS)

    Sargentis, Ch.; Giannakopoulos, K.; Travlos, A.; Tsamakis, D.

    2007-04-01

    Floating gate devices with nanoparticles embedded in dielectrics have recently attracted much attention due to the fact that these devices operate as non-volatile memories with high speed, high density and low power consumption. In this paper, memory devices containing gold (Au) nanoparticles have been fabricated using e-gun evaporation. The Au nanoparticles are deposited on a very thin SiO 2 layer and are then fully covered by a HfO 2 layer. The HfO 2 is a high- k dielectric and gives good scalability to the fabricated devices. We studied the effect of the deposition parameters to the size and the shape of the Au nanoparticles using capacitance-voltage and conductance-voltage measurements, we demonstrated that the fabricated device can indeed operate as a low-voltage memory device.

  1. Comparative Study of HfTa-based gate-dielectric Ge metal-oxide-semiconductor capacitors with and without AlON interlayer

    NASA Astrophysics Data System (ADS)

    Xu, J. P.; Zhang, X. F.; Li, C. X.; Chan, C. L.; Lai, P. T.

    2010-04-01

    The electrical properties and high-field reliability of HfTa-based gate-dielectric metal-oxide-semiconductor (MOS) devices with and without AlON interlayer on Ge substrate are investigated. Experimental results show that the MOS capacitor with HfTaON/AlON stack gate dielectric exhibits low interface-state/oxide-charge densities, low gate leakage, small capacitance equivalent thickness (˜1.1 nm), and high dielectric constant (˜20). All of these should be attributed to the blocking role of the ultrathin AlON interlayer against interdiffusions of Ge, Hf, and Ta and penetration of O into the Ge substrate, with the latter effectively suppressing the unintentional formation of unstable poor-quality low- k GeO x and giving a superior AlON/Ge interface. Moreover, incorporation of N into both the interlayer and high- k dielectric further improves the device reliability under high-field stress through the formation of strong N-related bonds.

  2. Brillouin light scattering studies on the mechanical properties of ultrathin, porous low-K dielectric films

    NASA Astrophysics Data System (ADS)

    Zhou, Wei; Sooryakumar, R.; King, Sean

    2010-03-01

    Low K dielectrics have predominantly replaced silicon dioxide as the interlayer dielectric material for interconnects in state of the art integrated circuits. To further reduce interconnect resistance-capacitance (RC) delays, additional reductions in the K for these low-K materials is being pursued by the introduction of controlled levels of porosity. The main challenge for porous low-K dielectrics is the substantial reduction in mechanical properties that is accompanied by the increased pore volume content needed to reduce K. We report on the application of the nondestructive Brillouin light scattering technique to monitor and characterize the mechanical properties of these porous films at thicknesses well below 200 nm that are pertinent to present applications. Observation of longitudinal and transverse standing wave acoustic resonances and the dispersion that accompany their transformation into traveling waves with finite in-plane wave vectors provides for the principal elastic constants that completely characterize the mechanical properties of these porous films. The mode amplitudes of the standing waves, their variation within the film, and the calculated Brillouin intensities account for most aspects of the spectra. The resulting elastic constants are compared with corresponding values obtained from other experimental techniques.

  3. High spin-polarization in ultrathin Co2MnSi/CoPd multilayers

    NASA Astrophysics Data System (ADS)

    Galanakis, I.

    2015-03-01

    Half-metallic Co2MnSi finds a broad spectrum of applications in spintronic devices either in the form of thin films or as spacer in multilayers. Using state-of-the-art ab-initio electronic structure calculations we exploit the electronic and magnetic properties of ultrathin Co2MnSi/CoPd multilayers. We show that these heterostructures combine high values of spin-polarization at the Co2MnSi spacer with the perpendicular magnetic anisotropy of binary compounds such as CoPd. Thus they could find application in spintronic/magnetoelectronic devices.

  4. Generalized Self-Doping Engineering towards Ultrathin and Large-Sized Two-Dimensional Homologous Perovskites.

    PubMed

    Chen, Junnian; Wang, Yaguang; Gan, Lin; He, Yunbin; Li, Huiqiao; Zhai, Tianyou

    2017-11-20

    Two-dimensional (2D) homologous perovskites are arousing intense interest in photovoltaics and light-emitting fields, attributing to significantly improved stability and increasing optoelectronic performance. However, investigations on 2D homologous perovskites with ultrathin thickness and large lateral dimension have been seldom reported, being mainly hindered by challenges in synthesis. A generalized self-doping directed synthesis of ultrathin 2D homologous (BA) 2 (MA) n-1 Pb n Br 3n+1 (12D (BA) 2 PbBr 4 perovskites as the template with MA + dopant. Ultrathin (BA) 2 (MA) n-1 Pb n Br 3n+1 perovskites are formed via an intercalation-merging mechanism, with thickness shrinking down to 4.2 nm and the lateral dimension to 57 μm. The ultrathin 2D homologous (BA) 2 (MA) n-1 Pb n Br 3n+1 perovskites are potential materials for photodetectors with promising photoresponse and stability. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Few-layered CoHPO4 · 3H2O ultrathin nanosheets for high performance of electrode materials for supercapacitors.

    PubMed

    Pang, Huan; Wang, Shaomei; Shao, Weifang; Zhao, Shanshan; Yan, Bo; Li, Xinran; Li, Sujuan; Chen, Jing; Du, Weimin

    2013-07-07

    Ultrathin cobalt phosphate (CoHPO4 · 3H2O) nanosheets are successfully synthesized by a one pot hydrothermal method. Novel CoHPO4 · 3H2O ultrathin nanosheets are assembled for constructing the electrodes of supercapacitors. Benefiting from the nanostructures, the as-prepared electrode shows a specific capacitance of 413 F g(-1), and no obvious decay even after 3000 charge-discharge cycles. Such a quasi-two-dimensional material is a new kind of supercapacitor electrode material with high performance.

  6. Atomic layer deposition for fabrication of HfO2/Al2O3 thin films with high laser-induced damage thresholds.

    PubMed

    Wei, Yaowei; Pan, Feng; Zhang, Qinghua; Ma, Ping

    2015-01-01

    Previous research on the laser damage resistance of thin films deposited by atomic layer deposition (ALD) is rare. In this work, the ALD process for thin film generation was investigated using different process parameters such as various precursor types and pulse duration. The laser-induced damage threshold (LIDT) was measured as a key property for thin films used as laser system components. Reasons for film damaged were also investigated. The LIDTs for thin films deposited by improved process parameters reached a higher level than previously measured. Specifically, the LIDT of the Al2O3 thin film reached 40 J/cm(2). The LIDT of the HfO2/Al2O3 anti-reflector film reached 18 J/cm(2), the highest value reported for ALD single and anti-reflect films. In addition, it was shown that the LIDT could be improved by further altering the process parameters. All results show that ALD is an effective film deposition technique for fabrication of thin film components for high-power laser systems.

  7. Enhanced dielectric properties of Fe-substituted TiO2 nanoparticles

    NASA Astrophysics Data System (ADS)

    Ali, T.; Ahmed, Ateeq; Naseem siddique, M.; Tripathi, P.

    2018-04-01

    We report the structural and dielectric properties Ti1-xFexO2 (0.00 < x < 0.10) nanoparticles (NPs) synthesized by sol-gel method. The synthesized material has been characterized by soft X-ray absorption spectroscopy (SXAS) in order to investigate the fine structure and electronic valence state. SXAS analysis reveals that Fe-ions exist only in 3+ valance state in all the samples. The dielectric properties were studied by the use of LCR impedance spectroscopy. The dielectric constants, dielectric loss and A.C. conductivity have been determined as a function of frequency and composition of iron. At higher frequencies, the materials exhibited high AC Conductivity and low dielectric constant. The above theory could be explained by 'Maxwell Wagner Model' and may provide a new insight to fabricate nanomaterials having possible electrical application.

  8. What are the assets and weaknesses of HFO detectors? A benchmark framework based on realistic simulations

    PubMed Central

    Pizzo, Francesca; Bartolomei, Fabrice; Wendling, Fabrice; Bénar, Christian-George

    2017-01-01

    High-frequency oscillations (HFO) have been suggested as biomarkers of epileptic tissues. While visual marking of these short and small oscillations is tedious and time-consuming, automatic HFO detectors have not yet met a large consensus. Even though detectors have been shown to perform well when validated against visual marking, the large number of false detections due to their lack of robustness hinder their clinical application. In this study, we developed a validation framework based on realistic and controlled simulations to quantify precisely the assets and weaknesses of current detectors. We constructed a dictionary of synthesized elements—HFOs and epileptic spikes—from different patients and brain areas by extracting these elements from the original data using discrete wavelet transform coefficients. These elements were then added to their corresponding simulated background activity (preserving patient- and region- specific spectra). We tested five existing detectors against this benchmark. Compared to other studies confronting detectors, we did not only ranked them according their performance but we investigated the reasons leading to these results. Our simulations, thanks to their realism and their variability, enabled us to highlight unreported issues of current detectors: (1) the lack of robust estimation of the background activity, (2) the underestimated impact of the 1/f spectrum, and (3) the inadequate criteria defining an HFO. We believe that our benchmark framework could be a valuable tool to translate HFOs into a clinical environment. PMID:28406919

  9. On gate stack scalability of double-gate negative-capacitance FET with ferroelectric HfO2 for energy efficient sub-0.2 V operation

    NASA Astrophysics Data System (ADS)

    Jang, Kyungmin; Saraya, Takuya; Kobayashi, Masaharu; Hiramoto, Toshiro

    2018-02-01

    We have investigated the gate stack scalability and energy efficiency of double-gate negative-capacitance FET (DGNCFET) with a CMOS-compatible ferroelectric HfO2 (FE:HfO2). Analytic model-based simulation is conducted to investigate the impacts of ferroelectric characteristic of FE:HfO2 and gate stack thickness on the I on/I off ratio of DGNCFET. DGNCFET has wider design window for the gate stack where higher I on/I off ratio can be achieved than DG classical MOSFET. Under a process-induced constraint with sub-10 nm gate length (L g), FE:HfO2-based DGNCFET still has a design point for high I on/I off ratio. With an optimized gate stack thickness for sub-10 nm L g, FE:HfO2-based DGNCFET has 2.5× higher energy efficiency than DG classical MOSFET even at ultralow operation voltage of sub-0.2 V.

  10. A cost-effective nanoporous ultrathin film electrode based on nanoporous gold/IrO2 composite for proton exchange membrane water electrolysis

    NASA Astrophysics Data System (ADS)

    Zeng, Yachao; Guo, Xiaoqian; Shao, Zhigang; Yu, Hongmei; Song, Wei; Wang, Zhiqiang; Zhang, Hongjie; Yi, Baolian

    2017-02-01

    A cost-effective nanoporous ultrathin film (NPUF) electrode based on nanoporous gold (NPG)/IrO2 composite has been constructed for proton exchange membrane (PEM) water electrolysis. The electrode was fabricated by integrating IrO2 nanoparticles into NPG through a facile dealloying and thermal decomposition method. The NPUF electrode is featured in its 3D interconnected nanoporosity and ultrathin thickness. The nanoporous ultrathin architecture is binder-free and beneficial for improving electrochemical active surface area, enhancing mass transport and facilitating releasing of oxygen produced during water electrolysis. Serving as anode, a single cell performance of 1.728 V (@ 2 A cm-2) has been achieved by NPUF electrode with a loading of IrO2 and Au at 86.43 and 100.0 μg cm-2 respectively, the electrolysis voltage is 58 mV lower than that of conventional electrode with an Ir loading an order of magnitude higher. The electrolysis voltage kept relatively constant up to 300 h (@250 mA cm-2) during the course of durability test, manifesting that NPUF electrode is promising for gas evolution.

  11. Transparent and Flexible Capacitors with an Ultrathin Structure by Using Graphene as Bottom Electrodes

    PubMed Central

    Guo, Tao; Zhang, Guozhen; Su, Xi; Zhang, Heng; Wan, Jiaxian; Chen, Xue; Wu, Hao; Liu, Chang

    2017-01-01

    Ultrathin, transparent and flexible capacitors using graphene as the bottom electrodes were directly fabricated on polyethylene naphthalate (PEN) substrates. ZrO2 dielectric films were deposited on the treated surface of graphene by atomic layer deposition (ALD). The deposition process did not introduce any detectible defects in the graphene, as indicated by Raman measurements, guaranteeing the electrical performances of the graphene electrodes. The Aluminum-doped zinc oxide (AZO) films were prepared as the top electrodes using the ALD technique. The capacitors presented a high capacitance density (10.3 fF/μm2 at 10 kHz) and a relatively low leakage current (5.3 × 10−6 A/cm2 at 1 V). Bending tests revealed that the capacitors were able to work normally at an outward bending radius of 10 mm without any deterioration of electrical properties. The capacitors exhibited an average optical transmittance of close to 70% at visible wavelengths. Thus, it opens the door to practical applications in transparent integrated circuits. PMID:29182551

  12. Ultra-thin Low-Frequency Broadband Microwave Absorber Based on Magnetic Medium and Metamaterial

    NASA Astrophysics Data System (ADS)

    Cheng, Yongzhi; He, Bo; Zhao, Jingcheng; Gong, Rongzhou

    2017-02-01

    An ultra-thin low-frequency broadband microwave absorber (MWA) based on a magnetic rubber plate (MRP) and cross-shaped structure (CSS) metamaterial (MM) was presented numerically and experimentally. The designed composite MWA is consisted of the MRP, CSS resonator, dielectric substrate and metallic background plane. The low-frequency absorption can be easily adjusted by tuning the geometric parameter of the CSS MM and the thickness of MPR. A bandwidth (i.e. the reflectance is below -10 dB) from 2.5 GHz to 5 GHz can be achieved with the total thickness of about 2 mm in experiments. The broadband absorption is attributed to the overlap of two resonant absorption peaks originated from MRP and CSS MM, respectively. More importantly, the thickness of the composite WMA is much thinner ( λ/40; λ is the operation center frequency), which could operate well at wide incidence angles for both transverse electric and transverse magnetic waves. Thus, it can be expected that our design will be applicable in the area of eliminating microwave energy and electromagnetic stealth.

  13. Nucleation of C60 on ultrathin SiO2

    NASA Astrophysics Data System (ADS)

    Conrad, Brad; Groce, Michelle; Cullen, William; Pimpinelli, Alberto; Williams, Ellen; Einstein, Ted

    2012-02-01

    We utilize scanning tunneling microscopy to characterize the nucleation, growth, and morphology of C60 on ultrathin SiO2 grown at room temperature. C60 thin films are deposited in situ by physical vapor deposition with thicknesses varying from <0.05 to ˜1 ML. Island size and capture zone distributions are examined for a varied flux rate and substrate deposition temperature. The C60 critical nucleus size is observed to change between monomers and dimers non-monotonically from 300 K to 500 K. Results will be discussed in terms of recent capture zone studies and analysis methods. Relation to device fabrication will be discussed. doi:10.1016/j.susc.2011.08.020

  14. Three-dimensional TiO2 nanowire@NiMoO4 ultrathin nanosheet core-shell arrays for lithium ion batteries

    NASA Astrophysics Data System (ADS)

    Cao, Minglei; Bu, Yi; Lv, Xiaowei; Jiang, Xingxing; Wang, Lichuan; Dai, Sirui; Wang, Mingkui; Shen, Yan

    2018-03-01

    This study reports a general and rational two-step hydrothermal strategy to fabricate three-dimensional (3D) TiO2 nanowire@NiMoO4 ultrathin nanosheet core-shell arrays (TNAs-NMO) as additives-free anodes for lithium-ion batteries (LIBs). The TNAs-NMO electrode delivers a reversible capacity of up to 446.6 mA h g-1 over 120 cycles at the current density of 0.2 A g-1 and a high rate capacity of 234.2 mA h g-1 at 2.0 A g-1. Impressively, the capacity retention efficiency is 74.7% after 2500 cycles at the high rate of 2.0 A g-1. In addition, the full cell consisting of TNAs-NMO anode and LCO cathode can afford a specific energy of up to 220.3 W h kg-1 (based on the entire mass of both electrodes). The high electrochemical performance of the TNAs-NMO electrode is ascribed to its 3D core-shell nanowire array architecture, in which the TiO2 nanowire arrays (TNAs) and the ultrathin NiMoO4 nanosheets exhibit strong synergistic effects. The TNAs maintain mechanical integrity of the electrode and the ultrathin NiMoO4 nanosheets contribute to high capacity and favorable electronic conductivity.

  15. Fabrication and Characterization of Ultrathin-ring Electrodes for Pseudo-steady-state Amperometric Detection.

    PubMed

    Kitazumi, Yuki; Hamamoto, Katsumi; Noda, Tatsuo; Shirai, Osamu; Kano, Kenji

    2015-01-01

    The fabrication of ultrathin-ring electrodes with a diameter of 2 mm and a thickness of 100 nm is established. The ultrathin-ring electrodes provide a large density of pseudo-steady-state currents, and realize pseudo-steady-state amperometry under quiescent conditions without a Faraday cage. Under the limiting current conditions, the current response at the ultrathin-ring electrode can be well explained by the theory of the microband electrode response. Cyclic voltammograms at the ultrathin-ring electrode show sigmoidal characteristics with some hysteresis. Numerical simulation reveals that the hysteresis can be ascribed to the time-dependence of pseudo-steady-state current. The performance of amperometry with the ultrathin-ring electrode has been verified in its application to redox enzyme kinetic measurements.

  16. Mechanisms of physiological and epileptic HFO generation

    PubMed Central

    Jefferys, John G.R.; de la Prida, Liset Menendez; Wendling, Fabrice; Bragin, Anatol; Avoli, Massimo; Timofeev, Igor; Lopes da Silva, Fernando H.

    2016-01-01

    High frequency oscillations (HFO) have a variety of characteristics: band-limited or broad-band, transient burst-like phenomenon or steady-state. HFOs may be encountered under physiological or under pathological conditions (pHFO). Here we review the underlying mechanisms of oscillations, at the level of cells and networks, investigated in a variety of experimental in vitro and in vivo models. Diverse mechanisms are described, from intrinsic membrane oscillations to network processes involving different types of synaptic interactions, gap junctions and ephaptic coupling. HFOs with similar frequency ranges can differ considerably in their physiological mechanisms. The fact that in most cases the combination of intrinsic neuronal membrane oscillations and synaptic circuits are necessary to sustain network oscillations is emphasized. Evidence for pathological HFOs, particularly fast ripples, in experimental models of epilepsy and in human epileptic patients is scrutinized. The underlying mechanisms of fast ripples are examined both in the light of animal observations, in vivo and in vitro, and in epileptic patients, with emphasis on single cell dynamics. Experimental observations and computational modeling have led to hypotheses for these mechanisms, several of which are considered here, namely the role of out-of-phase firing in neuronal clusters, the importance of strong excitatory AMPA-synaptic currents and recurrent inhibitory connectivity in combination with the fast time scales of IPSPs, ephaptic coupling and the contribution of interneuronal coupling through gap junctions. The statistical behaviour of fast ripple events can provide useful information on the underlying mechanism and can help to further improve classification of the diverse forms of HFOs. PMID:22420980

  17. Ultrathin Quantum Dot Display Integrated with Wearable Electronics.

    PubMed

    Kim, Jaemin; Shim, Hyung Joon; Yang, Jiwoong; Choi, Moon Kee; Kim, Dong Chan; Kim, Junhee; Hyeon, Taeghwan; Kim, Dae-Hyeong

    2017-10-01

    An ultrathin skin-attachable display is a critical component for an information output port in next-generation wearable electronics. In this regard, quantum dot (QD) light-emitting diodes (QLEDs) offer unique and attractive characteristics for future displays, including high color purity with narrow bandwidths, high electroluminescence (EL) brightness at low operating voltages, and easy processability. Here, ultrathin QLED displays that utilize a passive matrix to address individual pixels are reported. The ultrathin thickness (≈5.5 µm) of the QLED display enables its conformal contact with the wearer's skin and prevents its failure under vigorous mechanical deformation. QDs with relatively thick shells are employed to improve EL characteristics (brightness up to 44 719 cd m -2 at 9 V, which is the record highest among wearable LEDs reported to date) by suppressing the nonradiative recombination. Various patterns, including letters, numbers, and symbols can be successfully visualized on the skin-mounted QLED display. Furthermore, the combination of the ultrathin QLED display with flexible driving circuits and wearable sensors results in a fully integrated QLED display that can directly show sensor data. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Microwave dielectric properties of BaO-2CeO{sub 2}-nTiO{sub 2} ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sreemoolanadhan, H.; Sebastian, M.T.; Ratheesh, R.

    2004-11-01

    The BaO-2CeO{sub 2}-nTiO{sub 2} ceramics with n=3, 4 and 5 have been prepared with CeO{sub 2} as starting material. The ceramics have been characterized using scanning electron microscopy, X-ray diffraction, Raman and X-ray photoelectron spectroscopy techniques. The microwave dielectric properties have been measured using standard dielectric resonator techniques. BaO-2CeO{sub 2}-3TiO{sub 2} (123), BaO-2CeO{sub 2}-4TiO{sub 2} (124) and BaO-2CeO{sub 2}-5TiO{sub 2} (125) ceramics showed dielectric constants of 38, 27 and 32, respectively. All the ceramics showed fairly good unloaded Q-factors. 124 and 125 compounds exhibited low {tau}f values, while 123 showed a high {tau}f value.

  19. Sub-10 nm Ta Channel Responsible for Superior Performance of a HfO 2 Memristor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Hao; Han, Lili; Lin, Peng

    Memristive devices are promising candidates for the next generation non-volatile memory and neuromorphic computing. It has been widely accepted that the motion of oxygen anions leads to the resistance changes for valence-change-memory (VCM) type of materials. Only very recently it was speculated that metal cations could also play an important role, but no direct physical characterizations have been reported yet. We report a Ta/HfO 2/Pt memristor with fast switching speed, record high endurance (120 billion cycles) and reliable retention. We also programmed the device to 24 discrete resistance levels, and also demonstrated over a million (220) epochs of potentiation andmore » depression, suggesting that our devices can be used for both multi-level non-volatile memory and neuromorphic computing applications. More importantly, we directly observed a sub-10 nm Ta-rich and O-deficient conduction channel within the HfO 2 layer that is responsible for the switching. Our work deepens our understanding of the resistance switching mechanism behind oxide-based memristive devices and paves the way for further device performance optimization for a broad spectrum of applications.« less

  20. Sub-10 nm Ta Channel Responsible for Superior Performance of a HfO 2 Memristor

    DOE PAGES

    Jiang, Hao; Han, Lili; Lin, Peng; ...

    2016-06-23

    Memristive devices are promising candidates for the next generation non-volatile memory and neuromorphic computing. It has been widely accepted that the motion of oxygen anions leads to the resistance changes for valence-change-memory (VCM) type of materials. Only very recently it was speculated that metal cations could also play an important role, but no direct physical characterizations have been reported yet. We report a Ta/HfO 2/Pt memristor with fast switching speed, record high endurance (120 billion cycles) and reliable retention. We also programmed the device to 24 discrete resistance levels, and also demonstrated over a million (220) epochs of potentiation andmore » depression, suggesting that our devices can be used for both multi-level non-volatile memory and neuromorphic computing applications. More importantly, we directly observed a sub-10 nm Ta-rich and O-deficient conduction channel within the HfO 2 layer that is responsible for the switching. Our work deepens our understanding of the resistance switching mechanism behind oxide-based memristive devices and paves the way for further device performance optimization for a broad spectrum of applications.« less

  1. Electronic excitation induced defect dynamics in HfO2 based MOS devices investigated by in-situ electrical measurements

    NASA Astrophysics Data System (ADS)

    Manikanthababu, N.; Vajandar, S.; Arun, N.; Pathak, A. P.; Asokan, K.; Osipowicz, T.; Basu, T.; Nageswara Rao, S. V. S.

    2018-03-01

    In-situ I-V and C-V characterization studies were carried out to determine the device quality of atomic layer deposited HfO2 (2.7 nm)/SiO2 (0.6 nm)/Si-based metal oxide semiconductor devices during 120 MeV Ag ion irradiation. The influence of various tunneling mechanisms has been investigated by analyzing the I-V characteristics as a function of ion fluence. The nature of the defects created is tentatively identified by the determination of the significant tunneling processes. While the ion induced annealing of defects is observed at lower fluences, ion induced intermixing and radiation damage is found to be significant at higher fluences. The C-V characteristics also reveal significant changes at the interface and oxide trap densities: an increase in the oxide layer thickness occurs through the formation of an HfSiO interlayer. The interlayer is due to the swift heavy ion induced intermixing, which has been confirmed by X-TEM and X-ray photoelectron spectroscopy measurements.

  2. TiO{sub 2}/Bi{sub 2}(BDC){sub 3}/BiOCl nanoparticles decorated ultrathin nanosheets with excellent photocatalytic reaction activity and selectivity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Shu-Mei; Ma, De-Kun, E-mail: dkma@wzu.edu.cn; Cai, Ping

    2014-12-15

    Graphical abstract: TiO{sub 2}/Bi{sub 2}(BDC){sub 3}/BiOCl nanoparticles decorated ultrathin nanosheets showed excellent photocatalytic reaction activity and selectivity. - Highlights: • TiO{sub 2}/Bi{sub 2}(BDC){sub 3}/BiOCl nanoparticles decorated ultrathin nanosheets were synthesized through a facile hydrothermal process. • The products showed excellent photocatalytic activities for the degradation of various dyes. • The photocatalytic activities of the composite materials could be easily adjusted through tuning the content of TiO{sub 2}. • TiO{sub 2}/Bi{sub 2}(BDC){sub 3}/BiOCl displayed obvious photocatalytic selectivity in mixed dyes systems of rhodamine B and eosin Y. - Abstract: Photocatalysts with excellent photocatalytic reaction activity and ideal selectivity are highly desirablemore » for pollutants clearance and purification of targeted organics from a mixture. Continued efforts toward the goal, we here present a facile hydrothermal route to synthesize TiO{sub 2}/Bi-benzenedicarboxylate/BiOCl nanoparticles decorated ultrathin nanosheets with a thickness less than 5 nm on a large scale. The as-synthesized products showed excellent photocatalytic activities for the degradation of various dyes such as rhodamine B, eosin Y and methylene blue in aqueous solution under visible light irradiation. The photocatalytic activities of TiO{sub 2}/Bi-benzenedicarboxylate/BiOCl nanocomposites for the degradation of rhodamine B and eosin Y could be adjusted through tuning the content of TiO{sub 2}. With increasing the amount of TiO{sub 2}, the composites showed declining photocatalytic activities in decomposing of rhodamine B while on the contrary they displayed enhanced photocatalytic activities in decomposing of eosin Y. Interestingly, TiO{sub 2}/Bi-benzenedicarboxylate/BiOCl composite nanosheets showed obvious photocatalytic selectivity in a mixed dyes system. The photocatalytic reaction and selectivity mechanisms of the nanocomposites for the degradation

  3. Aminosilanization nanoadhesive layer for nanoelectric circuits with porous ultralow dielectric film.

    PubMed

    Zhao, Zhongkai; He, Yongyong; Yang, Haifang; Qu, Xinping; Lu, Xinchun; Luo, Jianbin

    2013-07-10

    An ultrathin layer is investigated for its potential application of replacing conventional diffusion barriers and promoting interface adhesion for nanoelectric circuits with porous ultralow dielectrics. The porous ultralow dielectric (k ≈ 2.5) substrate is silanized by 3-aminopropyltrimethoxysilane (APTMS) to form the nanoadhesive layer by performing oxygen plasma modification and tailoring the silanization conditions appropriately. The high primary amine content is obtained in favor of strong interaction between amino groups and copper. And the results of leakage current measurements of metal-oxide-semiconductor capacitor structure demonstrate that the aminosilanization nanoadhesive layer can block copper diffusion effectively and guarantee the performance of devices. Furthermore, the results of four-point bending tests indicate that the nanoadhesive layer with monolayer structure can provide the satisfactory interface toughness up to 6.7 ± 0.5 J/m(2) for Cu/ultralow-k interface. Additionally, an annealing-enhanced interface toughness effect occurs because of the formation of Cu-N bonding and siloxane bridges below 500 °C. However, the interface is weakened on account of the oxidization of amines and copper as well as the breaking of Cu-N bonding above 500 °C. It is also found that APTMS nanoadhesive layer with multilayer structure provides relatively low interface toughness compared with monolayer structure, which is mainly correlated to the breaking of interlayer hydrogen bonding.

  4. TiO2-Based Indium Phosphide Metal-Oxide-Semiconductor Capacitor with High Capacitance Density.

    PubMed

    Cheng, Chun-Hu; Hsu, Hsiao-Hsuan; Chou, Kun-i

    2015-04-01

    We report a low-temperature InP p-MOS with a high capacitance density of 2.7 µF/cm2, low leakage current of 0.77 A/cm2 at 1 V and tight current distribution. The high-density and low-leakage InP MOS was achieved by using high-κ TiLaO dielectric and ultra-thin SiO2 buffer layer with a thickness of less than 0.5 nm. The obtained EOT can be aggressively scaled down to < 1 nm through the use of stacked TiLaO/SiO2 dielectric, which has the potential for the future application of high mobility III-V CMOS devices.

  5. Control of spontaneous emission of quantum dots using correlated effects of metal oxides and dielectric materials.

    PubMed

    Sadeghi, S M; Wing, W J; Gutha, R R; Capps, L

    2017-03-03

    We study the emission dynamics of semiconductor quantum dots in the presence of the correlated impact of metal oxides and dielectric materials. For this we used layered material structures consisting of a base substrate, a dielectric layer, and an ultrathin layer of a metal oxide. After depositing colloidal CdSe/ZnS quantum dots on the top of the metal oxide, we used spectral and time-resolved techniques to show that, depending on the type and thickness of the dielectric material, the metal oxide can characteristically change the interplay between intrinsic excitons, defect states, and the environment, offering new material properties. Our results show that aluminum oxide, in particular, can strongly change the impact of amorphous silicon on the emission dynamics of quantum dots by balancing the intrinsic near band emission and fast trapping of carriers. In such a system the silicon/aluminum oxide charge barrier can lead to large variation of the radiative lifetime of quantum dots and control of the photo-ejection rate of electrons in quantum dots. The results provide unique techniques to investigate and modify physical properties of dielectrics and manage optical and electrical properties of quantum dots.

  6. Control of spontaneous emission of quantum dots using correlated effects of metal oxides and dielectric materials

    NASA Astrophysics Data System (ADS)

    Sadeghi, S. M.; Wing, W. J.; Gutha, R. R.; Capps, L.

    2017-03-01

    We study the emission dynamics of semiconductor quantum dots in the presence of the correlated impact of metal oxides and dielectric materials. For this we used layered material structures consisting of a base substrate, a dielectric layer, and an ultrathin layer of a metal oxide. After depositing colloidal CdSe/ZnS quantum dots on the top of the metal oxide, we used spectral and time-resolved techniques to show that, depending on the type and thickness of the dielectric material, the metal oxide can characteristically change the interplay between intrinsic excitons, defect states, and the environment, offering new material properties. Our results show that aluminum oxide, in particular, can strongly change the impact of amorphous silicon on the emission dynamics of quantum dots by balancing the intrinsic near band emission and fast trapping of carriers. In such a system the silicon/aluminum oxide charge barrier can lead to large variation of the radiative lifetime of quantum dots and control of the photo-ejection rate of electrons in quantum dots. The results provide unique techniques to investigate and modify physical properties of dielectrics and manage optical and electrical properties of quantum dots.

  7. Structural and dielectric properties of CTAB modified ZrO2 nanoparticles

    NASA Astrophysics Data System (ADS)

    Sidhu, Gaganpreet Kaur; Tripathi, S. K.; Kumar, Rajesh

    2016-05-01

    Zirconia (ZrO2) has been considered as one of the most investigated materials among various metal oxides due its outstanding dielectric properties and ionic conduction properties, which is mainly due to its high oxygen ion conduction. ZrO2 nanoparticles were synthesized using surfactant (CTAB) to study the variation of its dielectric behavior at room temperature. Surfactants form a unique class of chemical compounds, because of their remarkable ability to influence the properties of surfaces and interfaces of nanostructures. The dielectric properties of prepared nanoparticles were studied using LCR meter.

  8. Development and Performance Evaluations of HfO2-Si and Rare Earth-Si Based Environmental Barrier Bond Coat Systems for SiC/SiC Ceramic Matrix Composites

    NASA Technical Reports Server (NTRS)

    Zhu, Dongming

    2014-01-01

    Ceramic environmental barrier coatings (EBC) and SiCSiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiCSiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, high strength and high temperature capable environmental barrier coating bond coat systems, since the current silicon bond coat cannot meet the advanced EBC-CMC temperature and stability requirements. In this paper, advanced NASA HfO2-Si based EBC bond coat systems for SiCSiC CMC combustor and turbine airfoil applications are investigated. The coating design approach and stability requirements are specifically emphasized, with the development and implementation focusing on Plasma Sprayed (PS) and Electron Beam-Physic Vapor Deposited (EB-PVD) coating systems and the composition optimizations. High temperature properties of the HfO2-Si based bond coat systems, including the strength, fracture toughness, creep resistance, and oxidation resistance were evaluated in the temperature range of 1200 to 1500 C. Thermal gradient heat flux low cycle fatigue and furnace cyclic oxidation durability tests were also performed at temperatures up to 1500 C. The coating strength improvements, degradation and failure modes of the environmental barrier coating bond coat systems on SiCSiC CMCs tested in simulated stress-environment interactions are briefly discussed and supported by modeling. The performance enhancements of the HfO2-Si bond coat systems with rare earth element dopants and rare earth-silicon based bond coats are also highlighted. The advanced bond coat systems, when

  9. Cluster synthesis of monodisperse rutile-TiO2 nanoparticles and dielectric TiO2-vinylidene fluoride oligomer nanocomposites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Balasubramanian, B; Kraemer, KL; Valloppilly, SR

    2011-09-13

    The embedding of oxide nanoparticles in polymer matrices produces a greatly enhanced dielectric response by combining the high dielectric strength and low loss of suitable host polymers with the high electric polarizability of nanoparticles. The fabrication of oxide-polymer nanocomposites with well-controlled distributions of nanoparticles is, however, challenging due to the thermodynamic and kinetic barriers between the polymer matrix and nanoparticle fillers. In the present study, monodisperse TiO2 nanoparticles having an average particle size of 14.4 nm and predominant rutile phase were produced using a cluster-deposition technique without high-temperature thermal annealing and subsequently coated with uniform vinylidene fluoride oligomer (VDFO) moleculesmore » using a thermal evaporation source, prior to deposition as TiO2-VDFO nanocomposite films on suitable substrates. The molecular coatings on TiO2 nanoparticles serve two purposes, namely to prevent the TiO2 nanoparticles from contacting each other and to couple the nanoparticle polarization to the matrix. Parallel-plate capacitors made of TiO2-VDFO nanocomposite film as the dielectric exhibit minimum dielectric dispersion and low dielectric loss. Dielectric measurements also show an enhanced effective dielectric constant in TiO2-VDFO nanocomposites as compared to that of pure VDFO. This study demonstrates for the first time a unique electroactive particle coating in the form of a ferroelectric VDFO that has high-temperature stability as compared to conventionally used polymers for fabricating dielectric oxide-polymer nanocomposites.« less

  10. Extracellular ultrathin fibers sensitive to intracellular reactive oxygen species: Formation of intercellular membrane bridges

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Se-Hui; Park, Jin-Young; Joo, Jung-Hoon

    2011-07-15

    Membrane bridges are key cellular structures involved in intercellular communication; however, dynamics for their formation are not well understood. We demonstrated the formation and regulation of novel extracellular ultrathin fibers in NIH3T3 cells using confocal and atomic force microscopy. At adjacent regions of neighboring cells, phorbol 12-myristate 13-acetate (PMA) and glucose oxidase induced ultrathin fiber formation, which was prevented by Trolox, a reactive oxygen species (ROS) scavenger. The height of ROS-sensitive ultrathin fibers ranged from 2 to 4 nm. PMA-induced formation of ultrathin fibers was inhibited by cytochalasin D, but not by Taxol or colchicine, indicating that ultrathin fibers mainlymore » comprise microfilaments. PMA-induced ultrathin fibers underwent dynamic structural changes, resulting in formation of intercellular membrane bridges. Thus, these fibers are formed by a mechanism(s) involving ROS and involved in formation of intercellular membrane bridges. Furthermore, ultrastructural imaging of ultrathin fibers may contribute to understanding the diverse mechanisms of cell-to-cell communication and the intercellular transfer of biomolecules, including proteins and cell organelles.« less

  11. Structural and dielectric characteristics of double perovskite La2(NiFe)1/2MnO6

    NASA Astrophysics Data System (ADS)

    Nasir, Mohd.; Kandasami, Asokan; Sen, Somaditya

    2018-05-01

    Recently, La2NiMnO6 has drawn significant interest because large magnetic field induced changes in dielectric properties makes this compound a promising material for potential spintronic device applications. In the present study, the structural and dielectric characteristics of sol-gel prepared La2(Ni1/2Fe1/2)MnO6 double perovskite ceramics were evaluated. La2(Ni1/2Fe1/2)MnO6 was crystallized in the monoclinic P21/n structure with ordered Ni2+/Fe2+ and Mn4+ cations. A giant dielectric constant with relaxor-like behavior was observed, which was attributed to the dipolar effects arising from hopping between Ni2+/Fe2+ and Mn4+ ions.

  12. Probing the Optical Properties and Strain-Tuning of Ultrathin Mo1- xW xTe2.

    PubMed

    Aslan, Ozgur Burak; Datye, Isha M; Mleczko, Michal J; Sze Cheung, Karen; Krylyuk, Sergiy; Bruma, Alina; Kalish, Irina; Davydov, Albert V; Pop, Eric; Heinz, Tony F

    2018-04-11

    Ultrathin transition metal dichalcogenides (TMDCs) have recently been extensively investigated to understand their electronic and optical properties. Here we study ultrathin Mo 0.91 W 0.09 Te 2 , a semiconducting alloy of MoTe 2 , using Raman, photoluminescence (PL), and optical absorption spectroscopy. Mo 0.91 W 0.09 Te 2 transitions from an indirect to a direct optical band gap in the limit of monolayer thickness, exhibiting an optical gap of 1.10 eV, very close to its MoTe 2 counterpart. We apply tensile strain, for the first time, to monolayer MoTe 2 and Mo 0.91 W 0.09 Te 2 to tune the band structure of these materials; we observe that their optical band gaps decrease by 70 meV at 2.3% uniaxial strain. The spectral widths of the PL peaks decrease with increasing strain, which we attribute to weaker exciton-phonon intervalley scattering. Strained MoTe 2 and Mo 0.91 W 0.09 Te 2 extend the range of band gaps of TMDC monolayers further into the near-infrared, an important attribute for potential applications in optoelectronics.

  13. Dielectric and modulus analysis of the photoabsorber Cu2SnS3

    NASA Astrophysics Data System (ADS)

    Lahlali, S.; Essaleh, L.; Belaqziz, M.; Chehouani, H.; Alimoussa, A.; Djessas, K.; Viallet, B.; Gauffier, J. L.; Cayez, S.

    2017-12-01

    Dielectric properties of the ternary semiconductor compound Cu2SnS3 is studied for the first time in the high temperature range from 300 °C to 440 °C with the frequency range 1 kHz to 1 MHz. The dielectric constant ε ‧ and dielectric loss tan (δ) were observed to increase with temperature and decrease rapidly with frequency to remains constant at high frequencies. The variation of the dielectric loss Ln (ε ") with L n (ω) was found to follow the empirical law, ε " = B ω m (T). The dielectric data were analyzed using complex electrical modulus M* at various temperatures. The activation energy responsible for the relaxation is estimated from the analysis of the modulus spectra. The value of the hopping barrier potential is estimated from the dielectric loss and compared with the value previously obtained from ac-conductivity. These results are critical for understanding the behavior of based polycrystalline family of Cu2SnS3 for absorber materials in solar-cells.

  14. Design of beam deflector, splitters, wave plates and metalens using photonic elements with dielectric metasurface

    NASA Astrophysics Data System (ADS)

    Zhang, Qing; Li, Maozhong; Liao, Tingdi; Cui, Xudong

    2018-03-01

    Under the trend of miniaturization and reduction of system complexity, conventional bulky photonic elements are expected to be replaced by new compact and ultrathin dielectric metasurface elements. In this letter, we propose an αTiO2 dielectric metasurface (DM) platform that could be exploited to design high efficiency wave-front control devices at visible wavelength. Combining with fundamental principles and full wave simulations (Lumerical FDTD 3D solver ®), we successfully realize four DM devices, such as anomalous beam deflectors, polarization insensitive metalens, wave plates and polarization beam splitters. All these devices can achieve high transmission efficiencies (larger than 80%). Among them, the anomalous refraction beam deflectors can bend light propagation to any desired directions; the polarization insensitive metalens maintains diffraction limited focus (focal spot as small as 0.67 λ); the quarter-wave and half-wave plates have broadband working wavelengths from 550 to 1000 nm; and the polarization beam splitter can split an arbitrarily polarized incident beam into two orthogonally polarized beams, the TM components is deflected to the right side, and the TE components is deflected to the left side. These devices may find applications in the areas of imaging, polarization control, spectroscopy, and on-chip optoelectronic systems etc., and our studies may richen the design of all-dielectric optical elements at visible wavelength.

  15. Model dielectric function for 2D semiconductors including substrate screening

    NASA Astrophysics Data System (ADS)

    Trolle, Mads L.; Pedersen, Thomas G.; Véniard, Valerie

    2017-01-01

    Dielectric screening of excitons in 2D semiconductors is known to be a highly non-local effect, which in reciprocal space translates to a strong dependence on momentum transfer q. We present an analytical model dielectric function, including the full non-linear q-dependency, which may be used as an alternative to more numerically taxing ab initio screening functions. By verifying the good agreement between excitonic optical properties calculated using our model dielectric function, and those derived from ab initio methods, we demonstrate the versatility of this approach. Our test systems include: Monolayer hBN, monolayer MoS2, and the surface exciton of a 2 × 1 reconstructed Si(111) surface. Additionally, using our model, we easily take substrate screening effects into account. Hence, we include also a systematic study of the effects of substrate media on the excitonic optical properties of MoS2 and hBN.

  16. Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high-k metal gate NMOSFET with kMC TDDB simulations

    NASA Astrophysics Data System (ADS)

    Xu, Hao; Yang, Hong; Luo, Wei-Chun; Xu, Ye-Feng; Wang, Yan-Rong; Tang, Bo; Wang, Wen-Wu; Qi, Lu-Wei; Li, Jun-Feng; Yan, Jiang; Zhu, Hui-Long; Zhao, Chao; Chen, Da-Peng; Ye, Tian-Chun

    2016-08-01

    The thickness effect of the TiN capping layer on the time dependent dielectric breakdown (TDDB) characteristic of ultra-thin EOT high-k metal gate NMOSFET is investigated in this paper. Based on experimental results, it is found that the device with a thicker TiN layer has a more promising reliability characteristic than that with a thinner TiN layer. From the charge pumping measurement and secondary ion mass spectroscopy (SIMS) analysis, it is indicated that the sample with the thicker TiN layer introduces more Cl passivation at the IL/Si interface and exhibits a lower interface trap density. In addition, the influences of interface and bulk trap density ratio N it/N ot are studied by TDDB simulations through combining percolation theory and the kinetic Monte Carlo (kMC) method. The lifetime reduction and Weibull slope lowering are explained by interface trap effects for TiN capping layers with different thicknesses. Project supported by the National High Technology Research and Development Program of China (Grant No. SS2015AA010601), the National Natural Science Foundation of China (Grant Nos. 61176091 and 61306129), and the Opening Project of Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of MicroElectronics of Chinese Academy of Sciences.

  17. (Zr,Ti)O2 interface structure in ZrO2-TiO2 nanolaminates with ultrathin periodicity

    NASA Astrophysics Data System (ADS)

    Aita, C. R.; DeLoach, J. D.; Yakovlev, V. V.

    2002-07-01

    A mixed cation interfacial structure in ZrO2-TiO2 nanolaminate films with ultrathin bilayer periodicity grown by sputter deposition at 297 K was identified by x-ray diffraction and nonresonant Raman spectroscopy. This structure consists of an amorphous phase at a ZrO2-on-TiO2 bilayer interface, followed by an extensive crystalline monoclinic (Zr,Ti)O2 solid solution predicted by Vegard's law. Monoclinic (Zr,Ti)O2 has previously been reported only once, in bulk powder of a single composition (ZrTiO4) at high pressure. Its stabilization in the nanolaminates is explained by the Gibbs-Thomson effect. This complex interfacial structure is shown to be a means of accommodating chemical mixing in the absence of a driving force for heteroepitaxy.

  18. The Development of HfO2-Rare Earth Based Oxide Materials and Barrier Coatings for Thermal Protection Systems

    NASA Technical Reports Server (NTRS)

    Zhu, Dongming; Harder, Bryan James

    2014-01-01

    Advanced hafnia-rare earth oxides, rare earth aluminates and silicates have been developed for thermal environmental barrier systems for aerospace propulsion engine and thermal protection applications. The high temperature stability, low thermal conductivity, excellent oxidation resistance and mechanical properties of these oxide material systems make them attractive and potentially viable for thermal protection systems. This paper will focus on the development of the high performance and high temperature capable ZrO2HfO2-rare earth based alloy and compound oxide materials, processed as protective coating systems using state-or-the-art processing techniques. The emphasis has been in particular placed on assessing their temperature capability, stability and suitability for advanced space vehicle entry thermal protection systems. Fundamental thermophysical and thermomechanical properties of the material systems have been investigated at high temperatures. Laser high-heat-flux testing has also been developed to validate the material systems, and demonstrating durability under space entry high heat flux conditions.

  19. Metal Adatoms and Clusters on Ultrathin Zirconia Films

    PubMed Central

    2016-01-01

    Nucleation and growth of transition metals on zirconia has been studied by scanning tunneling microscopy (STM) and density functional theory (DFT) calculations. Since STM requires electrical conductivity, ultrathin ZrO2 films grown by oxidation of Pt3Zr(0001) and Pd3Zr(0001) were used as model systems. DFT studies were performed for single metal adatoms on supported ZrO2 films as well as the (1̅11) surface of monoclinic ZrO2. STM shows decreasing cluster size, indicative of increasing metal–oxide interaction, in the sequence Ag < Pd ≈ Au < Ni ≈ Fe. Ag and Pd nucleate mostly at steps and domain boundaries of ZrO2/Pt3Zr(0001) and form three-dimensional clusters. Deposition of low coverages of Ni and Fe at room temperature leads to a high density of few-atom clusters on the oxide terraces. Weak bonding of Ag to the oxide is demonstrated by removing Ag clusters with the STM tip. DFT calculations for single adatoms show that the metal–oxide interaction strength increases in the sequence Ag < Au < Pd < Ni on monoclinic ZrO2, and Ag ≈ Au < Pd < Ni on the supported ultrathin ZrO2 film. With the exception of Au, metal nucleation and growth on ultrathin zirconia films follow the usual rules: More reactive (more electropositive) metals result in a higher cluster density and wet the surface more strongly than more noble metals. These bind mainly to the oxygen anions of the oxide. Au is an exception because it can bind strongly to the Zr cations. Au diffusion may be impeded by changing its charge state between −1 and +1. We discuss differences between the supported ultrathin zirconia films and the surfaces of bulk ZrO2, such as the possibility of charge transfer to the substrate of the films. Due to their large in-plane lattice constant and the variety of adsorption sites, ZrO2{111} surfaces are more reactive than many other oxygen-terminated oxide surfaces. PMID:27213024

  20. Exciton-dominated dielectric function of atomically thin MoS 2 films

    DOE PAGES

    Yu, Yiling; Yu, Yifei; Cai, Yongqing; ...

    2015-11-24

    We systematically measure the dielectric function of atomically thin MoS 2 films with different layer numbers and demonstrate that excitonic effects play a dominant role in the dielectric function when the films are less than 5–7 layers thick. The dielectric function shows an anomalous dependence on the layer number. It decreases with the layer number increasing when the films are less than 5–7 layers thick but turns to increase with the layer number for thicker films. We show that this is because the excitonic effect is very strong in the thin MoS 2 films and its contribution to the dielectricmore » function may dominate over the contribution of the band structure. We also extract the value of layer-dependent exciton binding energy and Bohr radius in the films by fitting the experimental results with an intuitive model. The dominance of excitonic effects is in stark contrast with what reported at conventional materials whose dielectric functions are usually dictated by band structures. Lastly, the knowledge of the dielectric function may enable capabilities to engineer the light-matter interactions of atomically thin MoS 2 films for the development of novel photonic devices, such as metamaterials, waveguides, light absorbers, and light emitters.« less

  1. Ultrathin Ferroelectric Films: Growth, Characterization, Physics and Applications.

    PubMed

    Wang, Ying; Chen, Weijin; Wang, Biao; Zheng, Yue

    2014-09-11

    Ultrathin ferroelectric films are of increasing interests these years, owing to the need of device miniaturization and their wide spectrum of appealing properties. Recent advanced deposition methods and characterization techniques have largely broadened the scope of experimental researches of ultrathin ferroelectric films, pushing intensive property study and promising device applications. This review aims to cover state-of-the-art experimental works of ultrathin ferroelectric films, with a comprehensive survey of growth methods, characterization techniques, important phenomena and properties, as well as device applications. The strongest emphasis is on those aspects intimately related to the unique phenomena and physics of ultrathin ferroelectric films. Prospects and challenges of this field also have been highlighted.

  2. Ultrathin Ferroelectric Films: Growth, Characterization, Physics and Applications

    PubMed Central

    Wang, Ying; Chen, Weijin; Wang, Biao; Zheng, Yue

    2014-01-01

    Ultrathin ferroelectric films are of increasing interests these years, owing to the need of device miniaturization and their wide spectrum of appealing properties. Recent advanced deposition methods and characterization techniques have largely broadened the scope of experimental researches of ultrathin ferroelectric films, pushing intensive property study and promising device applications. This review aims to cover state-of-the-art experimental works of ultrathin ferroelectric films, with a comprehensive survey of growth methods, characterization techniques, important phenomena and properties, as well as device applications. The strongest emphasis is on those aspects intimately related to the unique phenomena and physics of ultrathin ferroelectric films. Prospects and challenges of this field also have been highlighted. PMID:28788196

  3. Direct peroral cholangioscopy using an ultrathin endoscope: making technique easier.

    PubMed

    Sola-Vera, Javier; Uceda, Francisco; Cuesta, Rubén; Vázquez, Narcís

    2014-01-01

    Cholangioscopy is a useful tool for the study and treatment of biliary pathology. Ultrathin upper endoscopes allow direct peroral cholangioscopy (DPC) but have some drawbacks. The aim of the study was to evaluate the success rate of DPC with an ultrathin endoscope using a balloon catheter to reach the biliary confluence. Prospective observational study. An ultrathin endoscope (Olympus XP180N, outer diameter 5.5 mm, working channel 2 mm) was used. To access the biliary tree, free-hand technique was used. To reach the biliary confluence an intraductal balloon catheter (Olympus B5-2Q diameter 1.9 mm) and a 0.025 inch guide wire was used. In all cases sphincterotomy and/or sphincteroplasty was performed. The success rate was defined as the percentage of cases in which the biliary confluence could be reached with the ultrathin endoscope. Fifteen patients (8 men/7 women) were included. Mean age was 77.7 + or - 10.8 years (range 45-91). The indications for cholangioscopy were suspected bile duct stones (n = 9), electrohydraulic lithotripsy for the treatment of difficult choledocholithiasis (n = 5) and evaluation of biliary stricture (n = 1). Access to the bile duct was achieved in 14/15 cases (93.3%). Biliary confluence was reached in 13/15 cases (86.7%). One complication was observed in one patient (oxigen desaturation). DPC with an ultrathin endoscope can be done with the free-hand technique. Intraductal balloon-guided DPC allows full examination of the common bile duct in most cases.

  4. Ultra-thin, conformal, and hydratable color-absorbers using silk protein hydrogel

    NASA Astrophysics Data System (ADS)

    Umar, Muhammad; Min, Kyungtaek; Jo, Minsik; Kim, Sunghwan

    2018-06-01

    Planar and multilayered photonic devices offer unprecedented opportunities in biological and chemical sensing due to strong light-matter interactions. However, uses of rigid substances such as semiconductors and dielectrics confront photonic devices with issues of biocompatibility and a mechanical mismatch for their application on humid, uneven, and soft biological surfaces. Here, we report that favorable material traits of natural silk protein led to the fabrication of an ultra-thin, conformal, and water-permeable (hydratable) metal-insulator-metal (MIM) color absorber that was mapped on soft, curved, and hydrated biological interfaces. Strong absorption was induced in the MIM structure and could be tuned by hydration and tilting of the sample. The transferred MIM color absorbers reached the exhibition of a very strong resonant absorption in the visible and near infra-red ranges. In addition, we demonstrated that the conformal resonator could function as a refractometric glucose sensor applied on a contact lens.

  5. Silicon-ion-implanted PMMA with nanostructured ultrathin layers for plastic electronics

    NASA Astrophysics Data System (ADS)

    Hadjichristov, G. B.; Ivanov, Tz E.; Marinov, Y. G.

    2014-12-01

    Being of interest for plastic electronics, ion-beam produced nanostructure, namely silicon ion (Si+) implanted polymethyl-methacrylate (PMMA) with ultrathin nanostructured dielectric (NSD) top layer and nanocomposite (NC) buried layer, is examined by electric measurements. In the proposed field-effect organic nanomaterial structure produced within the PMMA network by ion implantation with low energy (50 keV) Si+ at the fluence of 3.2 × 1016 cm-2 the gate NSD is ion-nanotracks-modified low-conductive surface layer, and the channel NC consists of carbon nanoclusters. In the studied ion-modified PMMA field-effect configuration, the gate NSD and the buried NC are formed as planar layers both with a thickness of about 80 nm. The NC channel of nano-clustered amorphous carbon (that is an organic semiconductor) provides a huge increase in the electrical conduction of the material in the subsurface region, but also modulates the electric field distribution in the drift region. The field effect via the gate NSD is analyzed. The most important performance parameters, such as the charge carrier field-effect mobility and amplification of this particular type of PMMA- based transconductance device with NC n-type channel and gate NSD top layer, are determined.

  6. Nanowire decorated, ultra-thin, single crystalline silicon for photovoltaic devices.

    PubMed

    Aurang, Pantea; Turan, Rasit; Unalan, Husnu Emrah

    2017-10-06

    Reducing silicon (Si) wafer thickness in the photovoltaic industry has always been demanded for lowering the overall cost. Further benefits such as short collection lengths and improved open circuit voltages can also be achieved by Si thickness reduction. However, the problem with thin films is poor light absorption. One way to decrease optical losses in photovoltaic devices is to minimize the front side reflection. This approach can be applied to front contacted ultra-thin crystalline Si solar cells to increase the light absorption. In this work, homojunction solar cells were fabricated using ultra-thin and flexible single crystal Si wafers. A metal assisted chemical etching method was used for the nanowire (NW) texturization of ultra-thin Si wafers to compensate weak light absorption. A relative improvement of 56% in the reflectivity was observed for ultra-thin Si wafers with the thickness of 20 ± 0.2 μm upon NW texturization. NW length and top contact optimization resulted in a relative enhancement of 23% ± 5% in photovoltaic conversion efficiency.

  7. Frequency and temperature dependent dielectric properties of TiO2-V2O5 nanocomposites

    NASA Astrophysics Data System (ADS)

    Ray, Apurba; Roy, Atanu; De, Sayan; Chatterjee, Souvik; Das, Sachindranath

    2018-03-01

    In this manuscript, we have reported the crystal structure, dielectric response, and transport phenomenon of TiO2-V2O5 nanocomposites. The nanocomposites were synthesized using a sol-gel technique having different molar ratios of Ti:V (10:10, 10:15, and 10:20). The phase composition and the morphology have been studied using X-ray diffraction and field emission scanning electron microscope, respectively. The impedance spectroscopy studies of the three samples over a wide range of temperature (50 K-300 K) have been extensively described using the internal barrier layer capacitor model. It is based on the contribution of domain and domain boundary, relaxations of the materials, which are the main crucial factors for the enhancement of the dielectric response. The frequency dependent ac conductivity of the ceramics strongly obeys the well-known Jonscher's power law, and it has been clearly explained using the theory of jump relaxation model. The temperature dependent bulk conductivity is fairly recognized to the variable-range hopping of localized polarons. The co-existence of mixed valence state of Ti ions (Ti3+ and Ti4+) in the sample significantly contributes to the change of dielectric property. The overall study of dielectric response explains that the dielectric constant and the dielectric loss are strongly dependent on temperature and frequency and decrease with an increase of frequency as well as temperature.

  8. Low operation voltage and high thermal stability of a WSi2 nanocrystal memory device using an Al2O3/HfO2/Al2O3 tunnel layer

    NASA Astrophysics Data System (ADS)

    Uk Lee, Dong; Jun Lee, Hyo; Kyu Kim, Eun; You, Hee-Wook; Cho, Won-Ju

    2012-02-01

    A WSi2 nanocrystal nonvolatile memory device was fabricated with an Al2O3/HfO2/Al2O3 (AHA) tunnel layer and its electrical characteristics were evaluated at 25, 50, 70, 100, and 125 °C. The program/erase (P/E) speed at 125 °C was approximately 500 μs under threshold voltage shifts of 1 V during voltage sweeping of 8 V/-8 V. When the applied pulse voltage was ±9 V for 1 s for the P/E conditions, the memory window at 125 °C was approximately 1.25 V after 105 s. The activation energies for the charge losses of 5%, 10%, 15%, 20%, 25%, 30%, and 35% were approximately 0.05, 0.11, 0.17, 0.21, 0.23, 0.23, and 0.23 eV, respectively. The charge loss mechanisms were direct tunneling and Pool-Frenkel emission between the WSi2 nanocrystals and the AHA barrier engineered tunneling layer. The WSi2 nanocrystal memory device with multi-stacked high-K tunnel layers showed strong potential for applications in nonvolatile memory devices.

  9. Comprehensive Study of Lanthanum Aluminate High-Dielectric-Constant Gate Oxides for AdvancedCMOS Devices

    PubMed Central

    Suzuki, Masamichi

    2012-01-01

    A comprehensive study of the electrical and physical characteristics of Lanthanum Aluminate (LaAlO3) high-dielectric-constant gate oxides for advanced CMOS devices was performed. The most distinctive feature of LaAlO3 as compared with Hf-based high-k materials is the thermal stability at the interface with Si, which suppresses the formation of a low-permittivity Si oxide interfacial layer. Careful selection of the film deposition conditions has enabled successful deposition of an LaAlO3 gate dielectric film with an equivalent oxide thickness (EOT) of 0.31 nm. Direct contact with Si has been revealed to cause significant tensile strain to the Si in the interface region. The high stability of the effective work function with respect to the annealing conditions has been demonstrated through comparison with Hf-based dielectrics. It has also been shown that the effective work function can be tuned over a wide range by controlling the La/(La + Al) atomic ratio. In addition, gate-first n-MOSFETs with ultrathin EOT that use sulfur-implanted Schottky source/drain technology have been fabricated using a low-temperature process. PMID:28817057

  10. Probing the Optical Properties and Strain-Tuning of Ultrathin Mo 1–xW xTe 2

    DOE PAGES

    Aslan, Ozgur Burak; Datye, Isha M.; Mleczko, Michal J.; ...

    2018-03-21

    Ultrathin transition metal dichalcogenides (TMDCs) have recently been extensively investigated to understand their electronic and optical properties. Here we study ultrathin Mo 0.91W 0.09Te 2, a semiconducting alloy of MoTe 2, using Raman, photoluminescence (PL), and optical absorption spectroscopy. Mo 0.91W 0.09Te 2 transitions from an indirect to a direct optical band gap in the limit of monolayer thickness, exhibiting an optical gap of 1.10 eV, very close to its MoTe 2 counterpart. We apply tensile strain, for the first time, to monolayer MoTe 2 and Mo 0.91W 0.09Te 2 to tune the band structure of these materials; we observemore » that their optical band gaps decrease by 70 meV at 2.3% uniaxial strain. The spectral widths of the PL peaks decrease with increasing strain, which we attribute to weaker exciton–phonon intervalley scattering. Furthermore, strained MoTe 2 and Mo 0.91W 0.09Te 2 extend the range of band gaps of TMDC monolayers further into the near-infrared, an important attribute for potential applications in optoelectronics.« less

  11. Probing the Optical Properties and Strain-Tuning of Ultrathin Mo 1–xW xTe 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aslan, Ozgur Burak; Datye, Isha M.; Mleczko, Michal J.

    Ultrathin transition metal dichalcogenides (TMDCs) have recently been extensively investigated to understand their electronic and optical properties. Here we study ultrathin Mo 0.91W 0.09Te 2, a semiconducting alloy of MoTe 2, using Raman, photoluminescence (PL), and optical absorption spectroscopy. Mo 0.91W 0.09Te 2 transitions from an indirect to a direct optical band gap in the limit of monolayer thickness, exhibiting an optical gap of 1.10 eV, very close to its MoTe 2 counterpart. We apply tensile strain, for the first time, to monolayer MoTe 2 and Mo 0.91W 0.09Te 2 to tune the band structure of these materials; we observemore » that their optical band gaps decrease by 70 meV at 2.3% uniaxial strain. The spectral widths of the PL peaks decrease with increasing strain, which we attribute to weaker exciton–phonon intervalley scattering. Furthermore, strained MoTe 2 and Mo 0.91W 0.09Te 2 extend the range of band gaps of TMDC monolayers further into the near-infrared, an important attribute for potential applications in optoelectronics.« less

  12. Effect of DC bias on dielectric properties of nanocrystalline CuAlO2

    NASA Astrophysics Data System (ADS)

    Prakash, T.; Ramasamy, S.; Murty, B. S.

    2013-03-01

    Grain boundary effect on the room temperature dielectric behavior in mechanically alloyed nanocrystalline CuAlO2 has been investigated using impedance spectroscopy under the applied DC bias voltages 0 V to 4.8 V in a periodic interval of 0.2 V. Analysis of impedance data confirms the existence of double Schottky potential barrier heights ( Φ b ) between two adjacent grains (left and right side) with grain boundary and its influences in dielectric relaxation time ( τ), dielectric constant ( ɛ') and dielectric loss (tan δ) factor. Also, clear evidence on the suppression of Φ b was demonstrated in the higher applied bias voltages with the parameter τ. At equilibrium state, τ is 0.63 ms and it was reduced to 0.13 ms after the 3.2 V applied DC bias. These observed DC bias voltage effects are obeying `brick layer model' and also elucidates Φ b is playing a crucial role in controlling dielectric properties of nanomaterials.

  13. The effect of ultraviolet irradiation on the ultra-thin HfO{sub 2} based CO gas sensor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Karaduman, Irmak; Barin, Özlem; Acar, Selim

    2015-11-07

    In this work, an effort has been made to fabricate ultrathin HfO{sub 2}/Al{sub 2}O{sub 3} sample by atomic layer deposition method for the fast detection of CO gas at room temperature. The effect of the operating temperature and the UV light on the gas sensing characteristics has been studied. We investigated the optimum operating temperature for the sample by sensing 25 ppm CO and CO{sub 2} gases from room temperature to 150 °C for 10 °C steps. The maximum response was obtained at 150 °C for both gases in the measurement temperature range. Also, the photoresponse measurements clearly show the effect of UV lightmore » on the sample. At room temperature, sensor showed superior response (14%) for 5 ppm CO gas. The response time of sensor is 6 s to 5 ppm CO gas concentration. The ultrathin HfO{sub 2} based sample shows acceptable gas sensitivity for 5 ppm CO gas at room temperature under UV light irradiation.« less

  14. On-surface synthesis: a promising strategy toward the encapsulation of air unstable ultra-thin 2D materials.

    PubMed

    Li, Qiang; Zhao, Yinghe; Guo, Jiyuan; Zhou, Qionghua; Chen, Qian; Wang, Jinlan

    2018-02-22

    2D black phosphorus (BP) and transition metal chalcogenides (TMCs) have beneficial electronic, optical, and physical properties at the few-layer limit. However, irreversible degradation of exfoliated or chemical vapor deposition-grown ultrathin BP and TMCs like GaSe via oxidation under ambient conditions limits their applications. Herein, the on-surface growth of an oxidation-resistant 2D thin film of a metal coordination polymer is demonstrated by multiscale simulations. We show that the preparation of such heterostructures can be conducted in solution, in which pristine BP and GaSe present better stability than in an air environment. Our calculations reveal that the interaction between the polymer layer and 2D materials is dominated by van der Waals forces; thus, the electronic properties of pristine BP and GaSe are well preserved. Meanwhile, the isolation from oxygen and water can be achieved by monolayer polymers, due to the nature of their close-packed layers. Our facile strategy for enhancing the environmental stability of ultrathin materials is expected to accelerate efforts to implement 2D materials in electronic and optoelectronic applications.

  15. Construction of Hierarchical α-MnO2 Nanowires@Ultrathin δ-MnO2 Nanosheets Core-Shell Nanostructure with Excellent Cycling Stability for High-Power Asymmetric Supercapacitor Electrodes.

    PubMed

    Ma, Zhipeng; Shao, Guangjie; Fan, Yuqian; Wang, Guiling; Song, Jianjun; Shen, Dejiu

    2016-04-13

    Poor electrical conductivity and mechanical instability are two major obstacles to realizing high performance of MnO2 as pseudocapacitor material. The construction of unique hierarchical core-shell nanostructures, therefore, plays an important role in the efficient enhancement of the rate capacity and the stability of this material. We herein report the fabrication of a hierarchical α-MnO2 nanowires@ultrathin δ-MnO2 nanosheets core-shell nanostructure by adopting a facile and practical solution-phase technique. The novel hierarchical nanostructures are composed of ultrathin δ-MnO2 nanosheets with a few atomic layers growing well on the surface of the ultralong α-MnO2 nanowires. The first specific capacitance of hierarchical core-shell nanostructure reached 153.8 F g(-1) at the discharge current density of as high as 20 A g(-1), and the cycling stability is retained at 98.1% after 10,000 charge-discharge cycles, higher than those in the literature. The excellent rate capacity and stability of the hierarchical core-shell nanostructures can be attributed to the structural features of the two MnO2 crystals, in which a 1D α-MnO2 nanowire core provides a stable structural backbone and the ultrathin 2D δ-MnO2 nanosheet shell creates more reactive active sites. The synergistic effects of different dimensions also contribute to the superior rate capability.

  16. Ultrathin two-dimensional MnO2/graphene hybrid nanostructures for high-performance, flexible planar supercapacitors.

    PubMed

    Peng, Lele; Peng, Xu; Liu, Borui; Wu, Changzheng; Xie, Yi; Yu, Guihua

    2013-05-08

    Planar supercapacitors have recently attracted much attention owing to their unique and advantageous design for 2D nanomaterials based energy storage devices. However, improving the electrochemical performance of planar supercapacitors still remains a great challenge. Here we report for the first time a novel, high-performance in-plane supercapacitor based on hybrid nanostructures of quasi-2D ultrathin MnO2/graphene nanosheets. Specifically, the planar structures based on the δ-MnO2 nanosheets integrated on graphene sheets not only introduce more electrochemically active surfaces for absorption/desorption of electrolyte ions, but also bring additional interfaces at the hybridized interlayer areas to facilitate charge transport during charging/discharging processes. The unique structural design for planar supercapacitors enables great performance enhancements compared to graphene-only devices, exhibiting high specific capacitances of 267 F/g at current density of 0.2 A/g and 208 F/g at 10 A/g and excellent rate capability and cycling stability with capacitance retention of 92% after 7000 charge/discharge cycles. Moreover, the high planar malleability of planar supercapacitors makes possible superior flexibility and robust cyclability, yielding capacitance retention over 90% after 1000 times of folding/unfolding. Ultrathin 2D nanomaterials represent a promising material platform to realize highly flexible planar energy storage devices as the power back-ups for stretchable/flexible electronic devices.

  17. Effects of TiO2 addition on microwave dielectric properties of Li2MgSiO4 ceramics

    NASA Astrophysics Data System (ADS)

    Rose, Aleena; Masin, B.; Sreemoolanadhan, H.; Ashok, K.; Vijayakumar, T.

    2018-03-01

    Silicates have been widely studied for substrate applications in microwave integrated circuits owing to their low dielectric constant and low tangent loss values. Li2MgSiO4 (LMS) ceramics are synthesized through solid-state reaction route using TiO2 as an additive to the pure ceramics. Variations in dielectric properties of LMS upon TiO2 addition in different weight percentages (0.5, 1.5, 2) are studied by keeping the sintering parameters constant. Crystalline structure, phase composition, and microstructure of LMS and LMS-TiO2 ceramics were studied using x-ray diffraction spectrometer and High Resolution Scanning electron microscope. Density was measured through Archimedes method and the microwave dielectric properties were examined by Cavity perturbation technique. LMS achieved relative permittivity (ε r) of 5.73 and dielectric loss (tan δ) of 5.897 × 10‑4 at 8 GHz. In LMS-TiO2 ceramics, 0.5 wt% TiO2 added LMS showed comparatively better dielectric properties than other weight percentages where ε r = 5.67, tan δ = 7.737 × 10‑4 at 8 GHz.

  18. ZrO2 Layer Thickness Dependent Electrical and Dielectric Properties of BST/ZrO2/BST Multilayer Thin Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sahoo, S. K.; Misra, D.; Agrawal, D. C.

    2011-01-01

    Recently, high K materials play an important role in microelectronic devices such as capacitors, memory devices, and microwave devices. Now a days ferroelectric barium strontium titanate [Ba{sub x}Sr{sub 1-x}TiO{sub 3}, (BST)] thin film is being actively investigated for applications in dynamic random access memories (DRAM), field effect transistor (FET), and tunable devices because of its properties such as high dielectric constant, low leakage current, low dielectric loss, and high dielectric breakdown strength. Several approaches have been used to optimize the dielectric and electrical properties of BST thin films such as doping, graded compositions, and multilayer structures. We have found thatmore » inserting a ZrO{sub 2} layer in between two BST layers results in a significant reduction in dielectric constant, loss tangent, and leakage current in the multilayer thin films. Also it is shown that the properties of multilayer structure are found to depend strongly on the sublayer thicknesses. In this work the effect of ZrO{sub 2} layer thickness on the dielectric, ferroelectric as well as electrical properties of BST/ZrO{sub 2}/BST multilayer structure is studied. The multilayer Ba{sub 0.8}Sr{sub 0.2}TiO{sub 3}/ZrO{sub 2}/Ba{sub 0.8}Sr{sub 0.2}TiO{sub 3} film is deposited by a sol-gel process on the platinized Si substrate. The thickness of the middle ZrO{sub 2} layer is varied while keeping the top and bottom BST layer thickness as fixed. It is observed that the dielectric constant, dielectric loss tangent, and leakage current of the multilayer films reduce with the increase of ZrO{sub 2} layer thickness and hence suitable for memory device applications. The ferroelectric properties of the multilayer film also decrease with the ZrO{sub 2} layer thickness.« less

  19. High-speed growth of TiO2 nanotube arrays with gradient pore diameter and ultrathin tube wall under high-field anodization

    NASA Astrophysics Data System (ADS)

    Yuan, Xiaoliang; Zheng, Maojun; Ma, Li; Shen, Wenzhong

    2010-10-01

    Highly ordered TiO2 nanotubular arrays have been prepared by two-step anodization under high field. The high anodizing current densities lead to a high-speed film growth (0.40-1.00 µm min - 1), which is nearly 16 times faster than traditional fabrication of TiO2 at low field. It was found that an annealing process of Ti foil is an effective approach to get a monodisperse and double-pass TiO2 nanotubular layer with a gradient pore diameter and ultrathin tube wall (nearly 10 nm). A higher anodic voltage and longer anodization time are beneficial to the formation of ultrathin tube walls. This approach is simple and cost-effective in fabricating high-quality ordered TiO2 nanotubular arrays for practical applications.

  20. High-speed growth of TiO2 nanotube arrays with gradient pore diameter and ultrathin tube wall under high-field anodization.

    PubMed

    Yuan, Xiaoliang; Zheng, Maojun; Ma, Li; Shen, Wenzhong

    2010-10-08

    Highly ordered TiO(2) nanotubular arrays have been prepared by two-step anodization under high field. The high anodizing current densities lead to a high-speed film growth (0.40-1.00 microm min(-1)), which is nearly 16 times faster than traditional fabrication of TiO(2) at low field. It was found that an annealing process of Ti foil is an effective approach to get a monodisperse and double-pass TiO(2) nanotubular layer with a gradient pore diameter and ultrathin tube wall (nearly 10 nm). A higher anodic voltage and longer anodization time are beneficial to the formation of ultrathin tube walls. This approach is simple and cost-effective in fabricating high-quality ordered TiO(2) nanotubular arrays for practical applications.

  1. Ultrathin Coating of Confined Pt Nanocatalysts by Atomic Layer Deposition for Enhanced Catalytic Performance in Hydrogenation Reactions.

    PubMed

    Wang, Meihua; Gao, Zhe; Zhang, Bin; Yang, Huimin; Qiao, Yan; Chen, Shuai; Ge, Huibin; Zhang, Jiankang; Qin, Yong

    2016-06-13

    Metal-support interfaces play a prominent role in heterogeneous catalysis. However, tailoring the metal-support interfaces to realize full utilization remains a major challenge. In this work, we propose a graceful strategy to maximize the metal-oxide interfaces by coating confined nanoparticles with an ultrathin oxide layer. This is achieved by sequential deposition of ultrathin Al2 O3 coats, Pt, and a thick Al2 O3 layer on carbon nanocoils templates by atomic layer deposition (ALD), followed by removal of the templates. Compared with the Pt catalysts confined in Al2 O3 nanotubes without the ultrathin coats, the ultrathin coated samples have larger Pt-Al2 O3 interfaces. The maximized interfaces significantly improve the activity and the protecting Al2 O3 nanotubes retain the stability for hydrogenation reactions of 4-nitrophenol. We believe that applying ALD ultrathin coats on confined catalysts is a promising way to achieve enhanced performance for other catalysts. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Energy Storage via Polyvinylidene Fluoride Dielectric on the Counterelectrode of Dye-Sensitized Solar Cells.

    PubMed

    Huang, Xuezhen; Zhang, Xi; Jiang, Hongrui

    2014-02-15

    To study the fundamental energy storage mechanism of photovoltaically self-charging cells (PSCs) without involving light-responsive semiconductor materials such as Si powder and ZnO nanowires, we fabricate a two-electrode PSC with the dual functions of photocurrent output and energy storage by introducing a PVDF film dielectric on the counterelectrode of a dye-sensitized solar cell. A layer of ultrathin Au film used as a quasi-electrode establishes a shared interface for the I - /I 3 - redox reaction and for the contact between the electrolyte and the dielectric for the energy storage, and prohibits recombination during the discharging period because of its discontinuity. PSCs with a 10-nm-thick PVDF provide a steady photocurrent output and achieve a light-to-electricity conversion efficiency ( η) of 3.38%, and simultaneously offer energy storage with a charge density of 1.67 C g -1 . Using this quasi-electrode design, optimized energy storage structures may be used in PSCs for high energy storage density.

  3. Thin film colossal dielectric constant oxide La2-xSrxNiO4: Synthesis, dielectric relaxation measurements, and electrode effects

    NASA Astrophysics Data System (ADS)

    Podpirka, Adrian; Ramanathan, Shriram

    2011-01-01

    We have successfully synthesized the colossal dielectric constant oxide La2-xSrxNiO4 in thin film form by reactive cosputtering from metallic targets and careful annealing protocols. Composition and phase purity was determined through energy dispersive spectra and x-ray diffraction, respectively. The dielectric constant exceeds values of over 20 000 up to 1 kHz and the activation energy for the frequency-independent conductivity plateau was extracted to be approximately 155 meV from 300 to 473 K, both in agreement with measurements conducted on bulk single crystals. However, unlike in single crystals, we observe early onset of relaxation in thin films indicating the crucial role of grain boundaries in influencing the dielectric response. ac conductivity at varying temperatures is analyzed within the framework of the universal dielectric law leading to an exponent of approximately 0.3, dependent on the electrode material. Impedance spectroscopy with electrodes of different work function (Pt, Pd, and Ag) was further carried out as a function of temperature and applied bias to provide mechanistic insights into the nature of the dielectric response.

  4. Dielectric Relaxation Behavior and AC Electrical Conductivity Study of 2-(1,2-Dihydro-7-Methyl-2-Oxoquinoline-5-yl) Malononitrile (DMOQMN)

    NASA Astrophysics Data System (ADS)

    El-Nahass, M. M.; El-Zaidia, E. F. M.; Darwish, A. A. A.; Salem, G. F.

    2017-02-01

    Dielectric relaxation and alternative current conductivity of a new organic compound 2-(1,2-dihydro-7-methyl-2-oxoquinoline-5-yl) malononitrile (DMOQMN) have been investigated. X-ray diffraction (XRD) at room temperature reveals that DMOQMN samples have a polycrystalline structure of the triclinic system. The analysis of the dielectric constant and dielectric loss index suggested the dominant polarization is performed and the Maxwell-Wagner-Sillar type polarization is dominating at low frequency and high temperature. These results have been confirmed by the XRD and dielectric modulus. The estimated relaxation time and the activation energy are 9 × 10-13 s and 0.43 eV, respectively. Our results indicated that the conduction mechanism of DMOQMN is controlled by the correlation barrier hopping (CBH) model.

  5. Influence of Ag substitution on structural and dielectric properties of TiO2 nanoparticles

    NASA Astrophysics Data System (ADS)

    Ali, T.; Ahmed, Ateeq; Siddique, M. Naseem; Aftab, Tabish; Tripathi, P.

    2018-04-01

    In this paper, we report the structural, electrical and dielectric properties of Ag-substituted TiO2 nanoparticles synthesized by sol-gel method. The X-ray diffraction (XRD) spectra revealed that the synthesized nanoparticles are pure and crystalline in nature and showing tetragonal anatase phase of TiO2. TEM micrograph shows that shapes of the nanoparticles are non-spherical. We have also studied the dielectric properties and in relation to it the dielectric constants, dielectric loss and A.C. conductivity have been studied as the function of frequency and composition of iron. The above theory may be explained by `Maxwell Wagner Model'.

  6. Ultra-thin multilayer capacitors.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Renk, Timothy Jerome; Monson, Todd C.

    2009-06-01

    The fabrication of ultra-thin lanthanum-doped lead zirconium titanate (PLZT) multilayer ceramic capacitors (MLCCs) using a high-power pulsed ion beam was studied. The deposition experiments were conducted on the RHEPP-1 facility at Sandia National Laboratories. The goal of this work was to increase the energy density of ceramic capacitors through the formation of a multilayer device with excellent materials properties, dielectric constant, and standoff voltage. For successful device construction, there are a number of challenging requirements including achieving correct stoichiometric and crystallographic composition of the deposited PLZT, as well as the creation of a defect free homogenous film. This report detailsmore » some success in satisfying these requirements, although 900 C temperatures were necessary for PLZT perovskite phase formation. These temperatures were applied to a previously deposited multi-layer film which was then post-annealed to this temperature. The film exhibited mechanical distress attributable to differences in the coefficient of thermal expansion (CTE) of the various layers. This caused significant defects in the deposited films that led to shorts across devices. A follow-on single layer deposition without post-anneal produced smooth layers with good interface behavior, but without the perovskite phase formation. These issues will need to be addressed in order for ion beam deposited MLCCs to become a viable technology. It is possible that future in-situ heating during deposition may address both the CTE issue, and result in lowered processing temperatures, which in turn could raise the probability of successful MLCC formation.« less

  7. Solution-Processed Ultrathin TiO2 Compact Layer Hybridized with Mesoporous TiO2 for High-Performance Perovskite Solar Cells.

    PubMed

    Jeong, Inyoung; Park, Yun Hee; Bae, Seunghwan; Park, Minwoo; Jeong, Hansol; Lee, Phillip; Ko, Min Jae

    2017-10-25

    The electron transport layer (ETL) is a key component of perovskite solar cells (PSCs) and must provide efficient electron extraction and collection while minimizing the charge recombination at interfaces in order to ensure high performance. Conventional bilayered TiO 2 ETLs fabricated by depositing compact TiO 2 (c-TiO 2 ) and mesoporous TiO 2 (mp-TiO 2 ) in sequence exhibit resistive losses due to the contact resistance at the c-TiO 2 /mp-TiO 2 interface and the series resistance arising from the intrinsically low conductivity of TiO 2 . Herein, to minimize such resistive losses, we developed a novel ETL consisting of an ultrathin c-TiO 2 layer hybridized with mp-TiO 2 , which is fabricated by performing one-step spin-coating of a mp-TiO 2 solution containing a small amount of titanium diisopropoxide bis(acetylacetonate) (TAA). By using electron microscopies and elemental mapping analysis, we establish that the optimal concentration of TAA produces an ultrathin blocking layer with a thickness of ∼3 nm and ensures that the mp-TiO 2 layer has a suitable porosity for efficient perovskite infiltration. We compare PSCs based on mesoscopic ETLs with and without compact layers to determine the role of the hole-blocking layer in their performances. The hybrid ETLs exhibit enhanced electron extraction and reduced charge recombination, resulting in better photovoltaic performances and reduced hysteresis of PSCs compared to those with conventional bilayered ETLs.

  8. Improvement of electrochemical performance of nickel rich LiNi0.6Co0.2Mn0.2O2 cathode active material by ultrathin TiO2 coating.

    PubMed

    Qin, CanCan; Cao, JiaLi; Chen, Jun; Dai, GaoLe; Wu, TongFu; Chen, Yanbin; Tang, YueFeng; Li, AiDong; Chen, Yanfeng

    2016-06-21

    LiNi0.6Co0.2Mn0.2O2 cathode material has been surface-modified by coating with ultrathin TiO2via atomic layer deposition (ALD) technology to improve the electrochemical performance of LiNi0.6Co0.2Mn0.2O2 cathodes for lithium ion batteries. Within the cut-off voltage of 2.5-4.3 V, the coated sample delivers an initial discharge capacity of 187.7 mA h g(-1) at 0.1 C and with a capacity retention about 85.9% after 100 cycles at 1 C, which provides a significant improvement in terms of discharge capacity and cyclability, as compared with those of the bare one. Such enhanced electrochemical performance of the coated sample is ascribed to its high-quality ultrathin coating of amorphous TiO2, which can protect the active material from HF attack, withstand the dissolution of metal ions in the electrode and favor the lithium diffusion of oxide as proved by electrochemical impedance spectroscopy (EIS) tests. TiO2 coating via the ALD process provides a potential approach for battery factories to surface-modify Ni-rich electrode materials so as to realize improvements in electrochemical performance.

  9. Terahertz carpet cloak based on ultrathin metasurface

    NASA Astrophysics Data System (ADS)

    Wei, Minggui; Yang, Quanlong; Zhang, Xueqian; Li, Yanfeng; Gu, Jianqiang; Han, Jiaguang; Zhang, Weili

    2018-01-01

    Ultrathin metasurfaces with local phase compensation deliver new schemes to cloaking devices. We demonstrate a remarkable large size carpet cloak realized by an ultrathin metasurface at terahertz frequencies. The metasurface cloak is constructed by periodically arranging 12 different elements. The reflected wave front is perfectly reconstructed by an ultrathin metasurface cloak, which perform well under both intensity-sensitive and phase-sensitive detectors. The invisibility is verified when the cloak is placed on a reflecting triangular surface (bump). The multi-step discrete phase design method would greatly simplify the design process and is probable to achieve large-dimension cloaks, for applications in radar and antenna systems as a thin and easy-to-fabricate solution for radio and terahertz frequencies.

  10. Comparison of dye doping and ultrathin emissive layer in white organic light-emitting devices with dual emissive layers

    NASA Astrophysics Data System (ADS)

    Wang, Xu; Qi, Yige; Yu, Junsheng

    2014-09-01

    White organic light-emitting devices (WOLEDs) with combined doping emissive layer (EML) and ultrathin EML have been fabricated to investigate the effect of each EML on the electroluminescent (EL) performance of the WOLEDs. Through tailoring doping concentration of bis[(4,6-difluorophenyl)-pyridinato-N,C2'](picolinate) iridium(III) (FIrpic) and thickness of ultrathin bis[2-(4-tertbutylphenyl)benzothiazolato-N,C2'] iridium (acetylacetonate) [(tbt)2Ir(acac)] EML, it is found that the change in the doping ratio of FIrpic significantly influenced the EL efficiencies and spectra, while the alteration of ultrathin EML thickness had much milder effect on the EL performance. The results indicated that ultrathin EML is in favor of reproducibility in mass production compared with doping method.

  11. Synthesis of ultrathin mesoporous NiCo2O4 nanosheets on carbon fiber paper as integrated high-performance electrodes for supercapacitors

    NASA Astrophysics Data System (ADS)

    Deng, Fangze; Yu, Lin; Cheng, Gao; Lin, Ting; Sun, Ming; Ye, Fei; Li, Yongfeng

    2014-04-01

    Two-dimensional ultrathin mesoporous NiCo2O4 nanosheets on carbon fiber paper (CFP) are synthesized through a facile solvothermal method combined with a post thermal treatment. The well interconnected ultrathin NiCo2O4 nanosheets directly grown on the carbon nanofibers could allow for easy diffusion of the electrolyte, shorten the transport path of ion and electron and accommodate the strain during cycling. As a result, superior pseudocapacitive performance is achieved with large specific capacitance of 999 F g-1 at a high current density of 20 A g-1. The capacitance loss is 15.6% after 3000 cycles at a current density of 10 A g-1, displaying good cycle ability and high rate capability.

  12. An Ultrathin Endoscope with a 2.4-mm Working Channel Shortens the Esophagogastroduodenoscopy Time by Shortening the Suction Time

    PubMed Central

    Shinozaki, Satoshi; Miura, Yoshimasa; Ino, Yuji; Shinozaki, Kenjiro; Lefor, Alan Kawarai; Yamamoto, Hironori

    2015-01-01

    Background/Aims: Poor suction ability through a narrow working channel prolongs esophagogastroduodenoscopy (EGD). The aim of this study was to evaluate suction with a new ultrathin endoscope (EG-580NW2; Fujifilm Corp.) having a 2.4-mm working channel in clinical practice. Methods: To evaluate in vitro suction, 200 mL water was suctioned and the suction time was measured. The clinical data of 117 patients who underwent EGD were retrospectively reviewed on the basis of recorded video, and the suction time was measured by using a stopwatch. Results: In vitro, the suction time with the EG-580NW2 endoscope was significantly shorter than that with the use of an ultrathin endoscope with a 2.0-mm working channel (EG-580NW; mean ± standard deviation, 22.7±1.1 seconds vs. 34.7±2.2 seconds; p<0.001). We analyzed the total time and the suction time for routine EGD in 117 patients (50 in the EG-580NW2 group and 67 in the EG-580NW group). In the EG-580NW2 group, the total time for EGD was significantly shorter than that in the EG-580NW group (275.3±42.0 seconds vs. 300.6±46.5 seconds, p=0.003). In the EG-580NW2 group, the suction time was significantly shorter than that in the EG-580NW group (19.2±7.6 seconds vs. 38.0±15.9 seconds, p<0.001). Conclusions: An ultrathin endoscope with a 2.4-mm working channel considerably shortens the routine EGD time by shortening the suction time, in comparison with an endoscope with a 2.0-mm working channel. PMID:26668798

  13. The effect of the bottom electrode on ferroelectric tunnel junctions based on CMOS-compatible HfO2.

    PubMed

    Goh, Youngin; Jeon, Sanghun

    2018-08-17

    Ferroelectric tunnel junctions (FTJs) have attracted research interest as promising candidates for non-destructive readout non-volatile memories. Unlike conventional perovskite FTJs, hafnia FTJs offer many advantages in terms of scalability and CMOS compatibility. However, so far, hafnia FTJs have shown poor endurance and relatively low resistance ratios and these have remained issues for real device applications. In our study, we fabricated HfZrO(HZO)-based FTJs with various electrodes (TiN, Si, SiGe, Ge) and improved the memory performance of HZO-based FTJs by using the asymmetry of the charge screening lengths of the electrodes. For the HZO-based FTJ with a Ge substrate, the effective barrier afforded by this FTJ can be electrically modulated because of the space charge-limited region formed at the ferroelectric/semiconductor interface. The optimized HZO-based FTJ with a Ge bottom electrode presents excellent ferroelectricity with a high remnant polarization of 18 μC cm -2 , high tunneling electroresistance value of 30, good retention at 85 °C and high endurance of 10 7 . The results demonstrate the great potential of HfO 2 -based FTJs in non-destructive readout non-volatile memories.

  14. Ultrathin Nanocrystalline Diamond Films with Silicon Vacancy Color Centers via Seeding by 2 nm Detonation Nanodiamonds.

    PubMed

    Stehlik, Stepan; Varga, Marian; Stenclova, Pavla; Ondic, Lukas; Ledinsky, Martin; Pangrac, Jiri; Vanek, Ondrej; Lipov, Jan; Kromka, Alexander; Rezek, Bohuslav

    2017-11-08

    Color centers in diamonds have shown excellent potential for applications in quantum information processing, photonics, and biology. Here we report chemical vapor deposition (CVD) growth of nanocrystalline diamond (NCD) films as thin as 5-6 nm with photoluminescence (PL) from silicon-vacancy (SiV) centers at 739 nm. Instead of conventional 4-6 nm detonation nanodiamonds (DNDs), we prepared and employed hydrogenated 2 nm DNDs (zeta potential = +36 mV) to form extremely dense (∼1.3 × 10 13 cm -2 ), thin (2 ± 1 nm), and smooth (RMS roughness < 0.8 nm) nucleation layers on an Si/SiO x substrate, which enabled the CVD growth of such ultrathin NCD films in two different and complementary microwave (MW) CVD systems: (i) focused MW plasma with an ellipsoidal cavity resonator and (ii) pulsed MW plasma with a linear antenna arrangement. Analytical ultracentrifuge, infrared and Raman spectroscopies, atomic force microscopy, and scanning electron microscopy are used for detailed characterization of the 2 nm H-DNDs and the nucleation layer as well as the ultrathin NCD films. We also demonstrate on/off switching of the SiV center PL in the NCD films thinner than 10 nm, which is achieved by changing their surface chemistry.

  15. High-Pressure CO2 Sorption in Polymers of Intrinsic Microporosity under Ultrathin Film Confinement.

    PubMed

    Ogieglo, Wojciech; Ghanem, Bader; Ma, Xiaohua; Wessling, Matthias; Pinnau, Ingo

    2018-04-04

    Ultrathin microporous polymer films are pertinent to the development and further spread of nanotechnology with very promising potential applications in molecular separations, sensors, catalysis, or batteries. Here, we report high-pressure CO 2 sorption in ultrathin films of several chemically different polymers of intrinsic microporosity (PIMs), including the prototypical PIM-1. Films with thicknesses down to 7 nm were studied using interference-enhanced in situ spectroscopic ellipsometry. It was found that all PIMs swell much more than non-microporous polystyrene and other high-performance glassy polymers reported previously. Furthermore, chemical modifications of the parent PIM-1 strongly affected the swelling magnitude. By investigating the behavior of relative refractive index, n rel , it was possible to study the interplay between micropores filling and matrix expansion. Remarkably, all studied PIMs showed a maximum in n rel at swelling of 2-2.5% indicating a threshold point above which the dissolution in the dense matrix started to dominate over sorption in the micropores. At pressures above 25 bar, all PIMs significantly plasticized in compressed CO 2 and for the ones with the highest affinity to the penetrant, a liquidlike mixing typical for rubbery polymers was observed. Reduction of film thickness below 100 nm revealed pronounced nanoconfinement effects and resulted in a large swelling enhancement and a quick loss of the ultrarigid character. On the basis of the partial molar volumes of the dissolved CO 2 , the effective reduction of the T g was estimated to be ∼200 °C going from 128 to 7 nm films.

  16. Transfer of monolayer TMD WS2 and Raman study of substrate effects

    PubMed Central

    Mlack, Jerome T.; Masih Das, Paul; Danda, Gopinath; Chou, Yung-Chien; Naylor, Carl H.; Lin, Zhong; López, Néstor Perea; Zhang, Tianyi; Terrones, Mauricio; Johnson, A. T. Charlie; Drndić, Marija

    2017-01-01

    A facile transfer process for transition metal dichalcogenide WS2 flakes is reported and the effect of the underlying substrate on the flake properties is investigated using Raman spectroscopy. The flakes are transferred from their growth substrate using polymethyl methacrylate (PMMA) and a wet etch to allow the user to transfer the flakes to a final substrate using a microscope and micromanipulator combined with semi-transparent Kapton tape. The substrates used range from insulators such as industry standard high-k dielectric HfO2 and “green polymer” parylene-C, to conducting chemical vapor deposition (CVD) grown graphene. Raman spectroscopy is used first to confirm the material quality of the transferred flakes to the substrates and subsequently to analyze and separate the effects arising from material transfer from those arising from interactions with the substrate. We observe changes in the Raman spectra associated with the interactions between the substrates in the flakes. These interactions affect both in-plane and out-of-plane modes in different ways depending on their sources, for example strain or surface charge. These changes vary with final substrate, with the strongest effects being observed for WS2 transferred onto graphene and HfO2, demonstrating the importance of understanding substrate interaction for fabrication of future devices. PMID:28220852

  17. Controlled Growth of NiCo2O4 Nanorods and Ultrathin Nanosheets on Carbon Nanofibers for High-performance Supercapacitors

    PubMed Central

    Zhang, Genqiang; (David) Lou, Xiong Wen

    2013-01-01

    Two one-dimensional hierarchical hybrid nanostructures composed of NiCo2O4 nanorods and ultrathin nanosheets on carbon nanofibers (CNFs) are controllably synthesized through facile solution methods combined with a simple thermal treatment. The structure of NiCo2O4 can be easily controlled to be nanorods or nanosheets by using different additives in the synthesis. These two different nanostructures are evaluated as electrodes for high performance supercapacitors, in view of their apparent advantages, such as high electroactive surface area, ultrathin and porous features, robust mechanical strength, shorter ion and electron transport path. Their electrochemical performance is systematically studied, and both of these two hierarchical hybrid nanostructures exhibit high capacitance and excellent cycling stability. The remarkable electrochemical performance will undoubtedly make these hybrid structures attractive for high-performance supercapacitors with high power and energy densities. PMID:23503561

  18. All-dielectric ultrathin conformal metasurfaces: lensing and cloaking applications at 532 nm wavelength

    NASA Astrophysics Data System (ADS)

    Cheng, Jierong; Jafar-Zanjani, Samad; Mosallaei, Hossein

    2016-12-01

    Metasurfaces are ideal candidates for conformal wave manipulation on curved objects due to their low profiles and rich functionalities. Here we design and analyze conformal metasurfaces for practical optical applications at 532 nm visible band for the first time. The inclusions are silicon disk nanoantennas embedded in a flexible supporting layer of polydimethylsiloxane (PDMS). They behave as local phase controllers in subwavelength dimensions for successful modification of electromagnetic responses point by point, with merits of high efficiency, at visible regime, ultrathin films, good tolerance to the incidence angle and the grid stretching due to the curvy substrate. An efficient modeling technique based on field equivalence principle is systematically proposed for characterizing metasurfaces with huge arrays of nanoantennas oriented in a conformal manner. Utilizing the robust nanoantenna inclusions and benefiting from the powerful analyzing tool, we successfully demonstrate the superior performances of the conformal metasurfaces in two specific areas, with one for lensing and compensation of spherical aberration, and the other carpet cloak, both at 532 nm visible spectrum.

  19. Dissociation mechanisms of HFO-1336mzz(Z) on Cu(1 1 1), Cu(1 1 0) and Cu(1 0 0) surfaces: A density functional theory study

    NASA Astrophysics Data System (ADS)

    Huo, Erguang; Liu, Chao; Xu, Xiaoxiao; Li, Qibin; Dang, Chaobin

    2018-06-01

    The catalytic effect of Cu(1 1 1), Cu(1 1 0) and Cu(1 0 0) surfaces on the decomposition of HFO-1336mzz(Z) have been investigated by using Density Functional Theory (DFT) calculations. On the basis of adsorption energy analysis, the most stable adsorption energies of HFO-1336mzz(Z) and relevant products on Cu(1 1 1), Cu(1 1 0) and Cu(1 0 0) surfaces were studied, respectively, and the co-adsorption structures of relevant species were obtained. Finally, four initiation decomposition reactions of HFO-1336mzz(Z) on Cu(1 1 1), Cu(1 1 0) and Cu(1 0 0) surfaces were proposed and investigated, respectively. At the same time, the four similar homolytic reactions of free HFO-1336mzz(Z) molecular were calculated to compare with the dissociation reactions occurred on Cu(1 1 1), Cu(1 1 0) and Cu(1 0 0) surfaces and illuminate the catalytic effect of Cu(1 1 1), Cu(1 1 0) and Cu(1 0 0) surfaces on the HFO-1336mzz(Z) decomposition. The results indicated that Cu(1 1 1), Cu(1 1 0) and Cu(1 0 0) surfaces had a good catalytic effect on the decomposition of HFO-1336mzz(Z). The fracture reactions of Cdbnd C bonds on Cu(1 1 1), Cu(1 1 0) and Cu(1 0 0) surfaces were easier to occur than that of other reactions. Cu(1 0 0) surface had the highest catalytic activity and the lowest for Cu(1 1 1) surface.

  20. Intrinsic dielectric properties of magnetodielectric La2CoMnO6

    NASA Astrophysics Data System (ADS)

    Silva, R. X.; Moreira, R. L.; Almeida, R. M.; Paniago, R.; Paschoal, C. W. A.

    2015-06-01

    Manganite with a double perovskite structure is an attractive material because of its interesting magnetoelectric and dielectric responses. In particular, colossal dielectric constant (CDC) behavior has been observed in La2CoMnO6 (LCMO) at radio frequencies and at room temperature. In this paper, we used infrared-reflectivity spectroscopy to study a LCMO ceramic obtained through a modified Pechini's method to determine the phonon contribution to the intrinsic dielectric response of the system and to investigate the CDC origin. The analysis of the main polar modes and of the obtained phonon parameters indicate that the CDC effect of LCMO is of pure extrinsic origin. In addition, we estimated the dielectric constant and the quality factor of the material in the microwave region to be ɛ's ˜ 16 and Qu × f ˜ 124 THz, which verifies that LCMO is appropriate for application in microwave devices and circuitry.

  1. Ultrathin inorganic molecular nanowire based on polyoxometalates

    PubMed Central

    Zhang, Zhenxin; Murayama, Toru; Sadakane, Masahiro; Ariga, Hiroko; Yasuda, Nobuhiro; Sakaguchi, Norihito; Asakura, Kiyotaka; Ueda, Wataru

    2015-01-01

    The development of metal oxide-based molecular wires is important for fundamental research and potential practical applications. However, examples of these materials are rare. Here we report an all-inorganic transition metal oxide molecular wire prepared by disassembly of larger crystals. The wires are comprised of molybdenum(VI) with either tellurium(IV) or selenium(IV): {(NH4)2[XMo6O21]}n (X=tellurium(IV) or selenium(IV)). The ultrathin molecular nanowires with widths of 1.2 nm grow to micrometre-scale crystals and are characterized by single-crystal X-ray analysis, Rietveld analysis, scanning electron microscopy, X-ray photoelectron spectroscopy, ultraviolet–visible spectroscopy, thermal analysis and elemental analysis. The crystals can be disassembled into individual molecular wires through cation exchange and subsequent ultrasound treatment, as visualized by atomic force microscopy and transmission electron microscopy. The ultrathin molecular wire-based material exhibits high activity as an acid catalyst, and the band gap of the molecular wire-based crystal is tunable by heat treatment. PMID:26139011

  2. Processing of Al2O3/SrTiO3/PDMS Composites With Low Dielectric Loss

    NASA Astrophysics Data System (ADS)

    Yao, J. L.; Guo, M. J.; Qi, Y. B.; Zhu, H. X.; Yi, R. Y.; Gao, L.

    2018-05-01

    Polydimethylsiloxane (PDMS) is widely used in the electrical and electronic industries due to its excellent electrical insulation and biocompatible characteristics. However, the dielectric constant of pure PDMS is very low which restricts its applications. Herein, we report a series of PDMS/Al2O3/strontium titanate (ST) composites with high dielectric constant and low loss prepared by a simple experimental method. The composites exhibit high dielectric constant (relative dielectric constant is 4) after the composites are coated with insulated Al2O3 particles, and the dielectric constant gets further improved for composites with ST particles (dielectric constant reaches 15.5); a lower dielectric loss (tanδ= 0.05) is also found at the same time which makes co-filler composites suitable for electrical insulation products, and makes the experimental method more interesting in modern teaching.

  3. The electrical and dielectric properties of the Au/Ti/HfO2/n-GaAs structures

    NASA Astrophysics Data System (ADS)

    Karabulut, Abdulkerim; Türüt, Abdulmecit; Karataş, Şükrü

    2018-04-01

    In this work, temperature dependent electrical and dielectric properties of the Au/Ti/HfO2/n-GaAs structures were investigated using capacitance-voltage (C-V) and conductance-voltage (G-V) measurements in the temperature range of 60-320 K by steps of 20 K at 1 MHz. The dielectric constant (ε‧), dielectric loss (ε″), dielectric loss tangent (tanδ) and ac electrical conductivities (σac) have been calculated as a function of temperature. These values of the ε‧, ε″, tanδ and σac have been found to be 2.272, 5.981, 2.631 and 3.32 × 10-6 (Ω-1cm-1) at 80 K, respectively, 1.779, 2.315, 1.301 and 1.28 × 10-6 (Ω-1cm-1), respectively at 320 K. These decrease of the dielectric parameters (ε‧, ε″, tanδ and σac) have been observed at high temperatures. The experimental results show that electrical and dielectric properties are strongly temperature and bias voltage dependent.

  4. Effects of H2 High-pressure Annealing on HfO2/Al2O3/In0.53Ga0.47As Capacitors: Chemical Composition and Electrical Characteristics.

    PubMed

    Choi, Sungho; An, Youngseo; Lee, Changmin; Song, Jeongkeun; Nguyen, Manh-Cuong; Byun, Young-Chul; Choi, Rino; McIntyre, Paul C; Kim, Hyoungsub

    2017-08-29

    We studied the impact of H 2 pressure during post-metallization annealing on the chemical composition of a HfO 2 /Al 2 O 3 gate stack on a HCl wet-cleaned In 0.53 Ga 0.47 As substrate by comparing the forming gas annealing (at atmospheric pressure with a H 2 partial pressure of 0.04 bar) and H 2 high-pressure annealing (H 2 -HPA at 30 bar) methods. In addition, the effectiveness of H 2 -HPA on the passivation of the interface states was compared for both p- and n-type In 0.53 Ga 0.47 As substrates. The decomposition of the interface oxide and the subsequent out-diffusion of In and Ga atoms toward the high-k film became more significant with increasing H 2 pressure. Moreover, the increase in the H 2 pressure significantly improved the capacitance‒voltage characteristics, and its effect was more pronounced on the p-type In 0.53 Ga 0.47 As substrate. However, the H 2 -HPA induced an increase in the leakage current, probably because of the out-diffusion and incorporation of In/Ga atoms within the high-k stack.

  5. Room Temperature Ferroelectricity in Ultrathin SnTe Films

    NASA Astrophysics Data System (ADS)

    Chang, Kai; Liu, Junwei; Lin, Haicheng; Zhao, Kun; Zhong, Yong; Ji, Shuai-Hua; He, Ke; Wang, Lili; Ma, Xucun; Fu, Liang; Chen, Xi; Xue, Qi-Kun

    2015-03-01

    The ultrathin SnTe films with several unit cell thickness grown on graphitized SiC(0001) surface have been studied by the scanning tunneling microscopy and spectroscopy (STM/S). The domain structures, local lattice distortion and the electronic band bending at film edges induced by the in-plane spontaneous polarization along < 110 > have been revealed at atomic scale. The experiments at variant temperature show that the Curie temperature Tc of the one unit cell thick (two atomic layers) SnTe film is as high as 280K, much higher than that of the bulk counterpart (~100K) and the 2-4 unit cell thick films even indicate robust ferroelectricity at room temperature. This Tc enhancement is attributed to the stress-free interface, larger electronic band gap and greatly reduced Sn vacancy concentration in the ultrathin films. The lateral domain size varies from several tens to several hundreds of nanometers, and the spontaneous polarization direction could be modified by STM tip. Those properties of ultrathin SnTe films show the potential application on ferroelectric devices. The work was financially supported by Ministry of Science and Technology of China, National Science Foundation and Ministry of Education of China.

  6. Highly efficient birefringent quarter-wave plate based on all-dielectric metasurface and graphene

    NASA Astrophysics Data System (ADS)

    Owiti, Edgar O.; Yang, Hanning; Liu, Peng; Ominde, Calvine F.; Sun, Xiudong

    2018-07-01

    All-dielectric metasurfaces offer remarkable properties including high efficiency and flexible control of the optical response. However, extreme, narrow bandwidth is a limitation that lowers applicability of these structures in photonic sensing applications. In this work, we numerically design and propose a switchable quarter-wave plate by hybridizing an all-dielectric metasurface with graphene. By using a single layer of graphene between a highly refractive index silicon and a silica substrate, the transmissive resonance is enhanced and broadened. Additionally, integrating graphene with silicon effectively modulates the Q-factor and the trapped magnetic modes in the silicon. A stable birefringence output is obtained and manipulated through the structure dimensions and the Fermi energy of graphene. A 95% polarization conversion ratio is achieved through converting linearly polarized light into circularly polarized light, and a 96% ellipticity ratio is obtained at the resonance wavelength. The structure is compact and has an ultrathin design thickness of 0 . 1 λ, in the telecommunication region. The above properties are essential for integration into photonic sensing devices and the structure has potential for compatibility with the CMOS devices.

  7. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    PubMed

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  8. Interfacial Cation-Defect Charge Dipoles in Stacked TiO2/Al2O3 Gate Dielectrics.

    PubMed

    Zhang, Liangliang; Janotti, Anderson; Meng, Andrew C; Tang, Kechao; Van de Walle, Chris G; McIntyre, Paul C

    2018-02-14

    Layered atomic-layer-deposited and forming-gas-annealed TiO 2 /Al 2 O 3 dielectric stacks, with the Al 2 O 3 layer interposed between the TiO 2 and a p-type germanium substrate, are found to exhibit a significant interface charge dipole that causes a ∼-0.2 V shift of the flat-band voltage and suppresses the leakage current density for gate injection of electrons. These effects can be eliminated by the formation of a trilayer dielectric stack, consistent with the cancellation of one TiO 2 /Al 2 O 3 interface dipole by the addition of another dipole of opposite sign. Density functional theory calculations indicate that the observed interface-dependent properties of TiO 2 /Al 2 O 3 dielectric stacks are consistent in sign and magnitude with the predicted behavior of Al Ti and Ti Al point-defect dipoles produced by local intermixing of the Al 2 O 3 /TiO 2 layers across the interface. Evidence for such intermixing is found in both electrical and physical characterization of the gate stacks.

  9. Colossal dielectric behavior of semiconducting Sr2TiMnO6 ceramics

    NASA Astrophysics Data System (ADS)

    Meher, K. R. S. Preethi; Varma, K. B. R.

    2009-02-01

    Manganitelike double perovskite Sr2TiMnO6 (STMO) ceramics fabricated from the powders synthesized via the solid-state reaction route, exhibited dielectric constants as high as ˜105 in the low frequency range (100 Hz-10 kHz) at room temperature. The Maxwell-Wagner type of relaxation mechanism was found to be more appropriate to rationalize such high dielectric constant values akin to that observed in materials such as KxTiyNi(1-x-y)O and CaCu3Ti4O12. The dielectric measurements carried out on the samples with different thicknesses and electrode materials reflected the influence of extrinsic effects. The impedance studies (100 Hz-10 MHz) in the 180-300 K temperature range revealed the presence of two dielectric relaxations corresponding to the grain boundary and the electrode. The dielectric response of the grain boundary was found to be weakly dependent on the dc bias field (up to 11 V/cm). However, owing to the electrode polarization, the applied ac/dc field had significant effect on the low frequency dielectric response. At low temperatures (100-180 K), the dc conductivity of STMO followed a variable range hopping behavior. Above 180 K, it followed the Arrhenius behavior because of the thermally activated conduction process. The bulk conductivity relaxation owing to the localized hopping of charge carriers obeyed the typical universal dielectric response.

  10. Dielectric properties and microstructure of sintered BaTiO3 fabricated by using mixed 150-nm and 80-nm powders with various additives

    NASA Astrophysics Data System (ADS)

    Oh, Min Wook; Kang, Jae Won; Yeo, Dong Hun; Shin, Hyo Soon; Jeong, Dae Yong

    2015-04-01

    Recently, the use of small-sized BaTiO3 particles for ultra-thin MLCC research has increased as a method for minimizing the dielectric layer's thickness in thick film process. However, when particles smaller than 100 nm are used, the reduced particle size leads to a reduced dielectric constant. The use of nanoparticles, therefore, requires an increase in the amount of additive used due to the increase in the specific surface area, thus increasing the production cost. In this study, a novel method of coating 150-nm and 80-nm BaTiO3 powders with additives and mixing them together was employed, taking advantage of the effect obtained through the use of BaTiO3 particles smaller than 100 nm, to conveniently obtain the desired dielectric constant and thermal characteristics. Also, the microstructure and the dielectric properties were evaluated. The additives Dy, Mn, Mg, Si, and Cr were coated on a 150-nm powder, and the additives Dy, Mn, Mg, and Si were coated on 80-nm powder, followed by mixing at a ratio of 1:1. As a result, the microstructure revealed grain formation according to the liquid-phase additive Si; additionally, densification was well realized. However, non-reducibility was not obtained, and the material became a semiconductor. When the amount of added Mn in the 150-nm powder was increased to 0.2 and 0.3 mol%, insignificant changes in the microstructure were observed, and the bulk density after mixing was found to have increased drastically in comparison to that before mixing. Also, non-reducibility was obtained for certain conditions. The dielectric property was found to be consistent with the densification and the grain size. The mixed composition #1-0.3 had a dielectric constant over 2000, and the result somewhat satisfied the dielectric constant temperature dependency for X6S.

  11. Characteristics of multilevel storage and switching dynamics in resistive switching cell of Al2O3/HfO2/Al2O3 sandwich structure

    NASA Astrophysics Data System (ADS)

    Liu, Jian; Yang, Huafeng; Ma, Zhongyuan; Chen, Kunji; Zhang, Xinxin; Huang, Xinfan; Oda, Shunri

    2018-01-01

    We reported an Al2O3/HfO2/Al2O3 sandwich structure resistive switching device with significant improvement of multilevel cell (MLC) operation capability, which exhibited that four stable and distinct resistance states (one low resistance state and three high resistance states) can be achieved by controlling the Reset stop voltages (V Reset-stop) during the Reset operation. The improved MLC operation capability can be attributed to the R HRS/R LRS ratio enhancement resulting from increasing of the series resistance and decreasing of leakage current by inserting two Al2O3 layers. For the high-speed switching applications, we studied the initial switching dynamics by using the measurements of the pulse width and amplitude dependence of Set and Reset switching characteristics. The results showed that under the same pulse amplitude conditions, the initial Set progress is faster than the initial Reset progress, which can be explained by thermal-assisted electric field induced rupture model in the oxygen vacancies conductive filament. Thus, proper combination of varying pulse amplitude and width can help us to optimize the device operation parameters. Moreover, the device demonstrated ultrafast program/erase speed (10 ns) and good pulse switching endurance (105 cycles) characteristics, which are suitable for high-density and fast-speed nonvolatile memory applications.

  12. Microcavity-Free Broadband Light Outcoupling Enhancement in Flexible Organic Light-Emitting Diodes with Nanostructured Transparent Metal-Dielectric Composite Electrodes.

    PubMed

    Xu, Lu-Hai; Ou, Qing-Dong; Li, Yan-Qing; Zhang, Yi-Bo; Zhao, Xin-Dong; Xiang, Heng-Yang; Chen, Jing-De; Zhou, Lei; Lee, Shuit-Tong; Tang, Jian-Xin

    2016-01-26

    Flexible organic light-emitting diodes (OLEDs) hold great promise for future bendable display and curved lighting applications. One key challenge of high-performance flexible OLEDs is to develop new flexible transparent conductive electrodes with superior mechanical, electrical, and optical properties. Herein, an effective nanostructured metal/dielectric composite electrode on a plastic substrate is reported by combining a quasi-random outcoupling structure for broadband and angle-independent light outcoupling of white emission with an ultrathin metal alloy film for optimum optical transparency, electrical conduction, and mechanical flexibility. The microcavity effect and surface plasmonic loss can be remarkably reduced in white flexible OLEDs, resulting in a substantial increase in the external quantum efficiency and power efficiency to 47.2% and 112.4 lm W(-1).

  13. Ultra-thin plasma panel radiation detector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Friedman, Peter S.

    An ultra-thin radiation detector includes a radiation detector gas chamber having at least one ultra-thin chamber window and an ultra-thin first substrate contained within the gas chamber. The detector further includes a second substrate generally parallel to and coupled to the first substrate and defining a gas gap between the first substrate and the second substrate. The detector further includes a discharge gas between the substrates and contained within the gas chamber, where the discharge gas is free to circulate within the gas chamber and between the first and second substrates at a given gas pressure. The detector further includesmore » a first electrode coupled to one of the substrates and a second electrode electrically coupled to the first electrode. The detector further includes a first discharge event detector coupled to at least one of the electrodes for detecting a gas discharge counting event in the electrode.« less

  14. Interconversion of mechanical and dielectrical relaxation measurements for dicyclohexylmethyl-2-methyl succinate.

    PubMed

    Díaz-Calleja, R; Garcia-Bernabé, A; Sanchis, M J; del Castillo, L F

    2005-11-01

    A comparison between results of dielectrical relaxation and dynamic mechanical spectroscopies is carried out for the alpha-relaxation of the ester dicyclohexyl methyl-2-methyl succinate (DCMMS). The results for the dielectric permittivity and the shear modulus measurements are presented according to the empirical Havriliak-Negami (HN) equation. By using the time-temperature principle a master curve in each case was obtained for several temperatures. The comparative analysis presented here is based on the assumption of a relationship between rotational and shear viscosities. The former one is associated to the dielectrical relaxation, whereas the latter is associated to mechanical relaxation. Both viscosities are not necessarily equal in general, and we assume that the difference between them is an important factor to appropriately compare the dielectrical and mechanical results.

  15. Exploratory development and services for preparing and examining ultrathin polished sections of lunar rocks and particulates, part 1

    NASA Technical Reports Server (NTRS)

    Beauchamp, R. H.; Williford, J. F.; Gafford, E. L.

    1972-01-01

    Development of improved procedures is reported for three classes of lunar materials: dense rocks, breccias, and particulates. High quality ultrathin sections of these materials are obtained. Lists of equipment and supplies, procedures, photomicrographic documentation, and training are provided. Advantages of ultrathin polished sections for conventional and unconventional optical microscopy methods are described. Recommendations are provided for use of ultrathin sections in lunar rock studies, for further refinement of ultrathinning procedures, and for additional training efforts to establish a capability at the Manned Space Center. For Part 2, See N72-50754.

  16. Giant dielectric response and low dielectric loss in Al{sub 2}O{sub 3} grafted CaCu{sub 3}Ti{sub 4}O{sub 12} ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rajabtabar-Darvishi, A.; Center for Surface and Nanoanalytics; Bayati, R., E-mail: reza.bayati@intel.com, E-mail: mbayati@ncsu.edu, E-mail: wdfei@hit.edu.cn

    2015-03-07

    This study sheds light on the effect of alumina on dielectric constant and dielectric loss of novel CaCu{sub 3}Ti{sub 4}O{sub 12} composite ceramics. Alumina, at several concentrations, was deposited on the surface of CaCu{sub 3}Ti{sub 4}O{sub 12} particles via sol-gel technique. The dielectric constant significantly increased for all frequencies and the dielectric loss substantially decreased for low and intermediate frequencies. These observations were attributed to the change in characteristics of grains and grain boundaries. It was found that the insulating properties of the grain boundaries are improved following the addition of Al{sub 2}O{sub 3}. The relative density of CaCu{sub 3}Ti{submore » 4}O{sub 12}/Al{sub 2}O{sub 3} composite ceramics decreased compared to the pure CaCu{sub 3}Ti{sub 4}O{sub 12} and the grain size was greatly changed with the alumina content affecting the dielectric properties. With the addition of alumina into CaCu{sub 3}Ti{sub 4}O{sub 12}, tighter interfaces formed. The 6%- and 10%-alumina ceramics showed the minimum dielectric loss and the maximum dielectric constant, respectively. Both the dielectric constant and loss tangent decreased in the 20%-alumina ceramic due to the formation of CuO secondary phase. It was revealed that Al serves as an electron acceptor decreasing the electron concentration, if Al{sup 3+} ions substitute for Ti{sup 4+} ions, and as an electron donor increasing the electron concentration, if Al{sup 3+} ions substitute for Ca{sup 2+} ions. We established a processing-microstructure-properties paradigm which opens new avenues for novel applications of CaCu{sub 3}Ti{sub 4}O{sub 12}/Al{sub 2}O{sub 3} composite ceramics.« less

  17. Metallic few-layered VS2 ultrathin nanosheets: high two-dimensional conductivity for in-plane supercapacitors.

    PubMed

    Feng, Jun; Sun, Xu; Wu, Changzheng; Peng, Lele; Lin, Chenwen; Hu, Shuanglin; Yang, Jinlong; Xie, Yi

    2011-11-09

    With the rapid development of portable electronics, such as e-paper and other flexible devices, practical power sources with ultrathin geometries become an important prerequisite, in which supercapacitors with in-plane configurations are recently emerging as a favorable and competitive candidate. As is known, electrode materials with two-dimensional (2D) permeable channels, high-conductivity structural scaffolds, and high specific surface areas are the indispensible requirements for the development of in-plane supercapacitors with superior performance, while it is difficult for the presently available inorganic materials to make the best in all aspects. In this sense, vanadium disulfide (VS(2)) presents an ideal material platform due to its synergic properties of metallic nature and exfoliative characteristic brought by the conducting S-V-S layers stacked up by weak van der Waals interlayer interactions, offering great potential as high-performance in-plane supercapacitor electrodes. Herein, we developed a unique ammonia-assisted strategy to exfoliate bulk VS(2) flakes into ultrathin VS(2) nanosheets stacked with less than five S-V-S single layers, representing a brand new two-dimensional material having metallic behavior aside from graphene. Moreover, highly conductive VS(2) thin films were successfully assembled for constructing the electrodes of in-plane supercapacitors. As is expected, a specific capacitance of 4760 μF/cm(2) was realized here in a 150 nm in-plane configuration, of which no obvious degradation was observed even after 1000 charge/discharge cycles, offering as a new in-plane supercapacitor with high performance based on quasi-two-dimensional materials.

  18. Towards colorless transparent organic transistors: potential of benzothieno[3,2-b]benzothiophene-based wide-gap semiconductors.

    PubMed

    Moon, Hanul; Cho, Hyunsu; Kim, Mincheol; Takimiya, Kazuo; Yoo, Seunghyup

    2014-05-21

    Colorless, highly transparent organic thin-film transistors (TOTFTs) with high performance are realized based on benzothieno[3,2-b]benzothiophene (BTBT) derivatives that simultaneously exhibit a wide energy gap and high transport properties. Multilayer transparent source/drain electrodes maintain the transparency, and ultrathin fluoropolymer dielectric layers enable stable, low-voltage operation of the proposed TOTFTs. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Biosensors Based on Ultrathin Film Composite Membranes

    DTIC Science & Technology

    1994-01-25

    composite membranes should have a number C •’ of potential advantages including fast response time, simplicity of construction, and applicability to a number...The support membrane for the ultrathin film composite was an Anopore ( Alltech Associates) microporous alumina filter, these membranes are 55 Pm thick...constant 02 concentration in this solution. Finally, one of the most important potential advantage of a sensor based on an ultrathin film composite

  20. Ion/Ioff ratio enhancement and scalability of gate-all-around nanowire negative-capacitance FET with ferroelectric HfO2

    NASA Astrophysics Data System (ADS)

    Jang, Kyungmin; Saraya, Takuya; Kobayashi, Masaharu; Hiramoto, Toshiro

    2017-10-01

    We have investigated the energy efficiency and scalability of ferroelectric HfO2 (FE:HfO2)-based negative-capacitance field-effect-transistor (NCFET) with gate-all-around (GAA) nanowire (NW) channel structure. Analytic simulation is conducted to characterize NW-NCFET by varying NW diameter and/or thickness of gate insulator as device structural parameters. Due to the negative-capacitance effect and GAA NW channel structure, NW-NCFET is found to have 5× higher Ion/Ioff ratio than classical NW-MOSFET and 2× higher than double-gate (DG) NCFET, which results in wider design window for high Ion/Ioff ratio. To analyze these obtained results from the viewpoint of the device scalability, we have considered constraints regarding very limited device structural spaces to fit by the gate insulator and NW channel for aggresively scaled gate length (Lg) and/or very tight NW pitch. NW-NCFET still has design point with very thinned gate insulator and/or narrowed NW. Therefore, FE:HfO2-based NW-NCFET is applicable to the aggressively scaled technology node of sub-10 nm Lg and to the very tight NW integration of sub-30 nm NW pitch for beyond 7 nm technology. From 2011 to 2014, he engaged in developing high-speed optical transceiver module as an alternative military service in Republic of Korea. His research interest includes the development of steep slope MOSFETs for high energy-efficient operation and ferroelectric HfO2-based semiconductor devices, and fabrication of nanostructured devices. He joined the IBM T.J. Watson Research Center, Yorktown Heights, NY, in 2010, where he worked on advanced CMOS technologies such as FinFET, nanowire FET, SiGe channel and III-V channel. He was also engaged in launching 14 nm SOI FinFET and RMG technology development. Since 2014, he has been an Associate Professor in Institute of Industrial Science, University of Tokyo, Tokyo, Japan, where he has been working on ultralow power transistor and memory technology. Dr. Kobayashi is a member of IEEE

  1. Molecular Dynamics Evaluation of Dielectric-Constant Mixing Rules for H2O-CO2 at Geologic Conditions

    PubMed Central

    Mountain, Raymond D.; Harvey, Allan H.

    2015-01-01

    Modeling of mineral reaction equilibria and aqueous-phase speciation of C-O-H fluids requires the dielectric constant of the fluid mixture, which is not known from experiment and is typically estimated by some rule for mixing pure-component values. In order to evaluate different proposed mixing rules, we use molecular dynamics simulation to calculate the dielectric constant of a model H2O–CO2 mixture at temperatures of 700 K and 1000 K at pressures up to 3 GPa. We find that theoretically based mixing rules that depend on combining the molar polarizations of the pure fluids systematically overestimate the dielectric constant of the mixture, as would be expected for mixtures of nonpolar and strongly polar components. The commonly used semiempirical mixing rule due to Looyenga works well for this system at the lower pressures studied, but somewhat underestimates the dielectric constant at higher pressures and densities, especially at the water-rich end of the composition range. PMID:26664009

  2. Molecular Dynamics Evaluation of Dielectric-Constant Mixing Rules for H2O-CO2 at Geologic Conditions.

    PubMed

    Mountain, Raymond D; Harvey, Allan H

    2015-10-01

    Modeling of mineral reaction equilibria and aqueous-phase speciation of C-O-H fluids requires the dielectric constant of the fluid mixture, which is not known from experiment and is typically estimated by some rule for mixing pure-component values. In order to evaluate different proposed mixing rules, we use molecular dynamics simulation to calculate the dielectric constant of a model H 2 O-CO 2 mixture at temperatures of 700 K and 1000 K at pressures up to 3 GPa. We find that theoretically based mixing rules that depend on combining the molar polarizations of the pure fluids systematically overestimate the dielectric constant of the mixture, as would be expected for mixtures of nonpolar and strongly polar components. The commonly used semiempirical mixing rule due to Looyenga works well for this system at the lower pressures studied, but somewhat underestimates the dielectric constant at higher pressures and densities, especially at the water-rich end of the composition range.

  3. Low-cost ultra-thin broadband terahertz beam-splitter.

    PubMed

    Ung, Benjamin S-Y; Fumeaux, Christophe; Lin, Hungyen; Fischer, Bernd M; Ng, Brian W-H; Abbott, Derek

    2012-02-27

    A low-cost terahertz beam-splitter is fabricated using ultra-thin LDPE plastic sheeting coated with a conducting silver layer. The beam splitting ratio is determined as a function of the thickness of the silver layer--thus any required splitting ratio can be printed on demand with a suitable rapid prototyping technology. The low-cost aspect is a consequence of the fact that ultra-thin LDPE sheeting is readily obtainable, known more commonly as domestic plastic wrap or cling wrap. The proposed beam-splitter has numerous advantages over float zone silicon wafers commonly used within the terahertz frequency range. These advantages include low-cost, ease of handling, ultra-thin thickness, and any required beam splitting ratio can be readily fabricated. Furthermore, as the beam-splitter is ultra-thin, it presents low loss and does not suffer from Fabry-Pérot effects. Measurements performed on manufactured prototypes with different splitting ratios demonstrate a good agreement with our theoretical model in both P and S polarizations, exhibiting nearly frequency-independent splitting ratios in the terahertz frequency range.

  4. Effects of the dielectric properties of the ceramic-solvent interface on the binding of proteins to oxide ceramics: a non-local electrostatic approach.

    PubMed

    Rubinstein, Alexander I; Sabirianov, Renat F; Namavar, Fereydoon

    2016-10-14

    The rapid development of nanoscience and nanotechnology has raised many fundamental questions that significantly impede progress in these fields. In particular, understanding the physicochemical processes at the interface in aqueous solvents requires the development and application of efficient and accurate methods. In the present work we evaluate the electrostatic contribution to the energy of model protein-ceramic complex formation in an aqueous solvent. We apply a non-local (NL) electrostatic approach that accounts for the effects of the short-range structure of the solvent on the electrostatic interactions of the interfacial systems. In this approach the aqueous solvent is considered as a non-ionic liquid, with the rigid and strongly correlated dipoles of the water molecules. We have found that an ordered interfacial aqueous solvent layer at the protein- and ceramic-solvent interfaces reduces the charging energy of both the ceramic and the protein in the solvent, and significantly increases the electrostatic contribution to their association into a complex. This contribution in the presented NL approach was found to be significantly shifted with respect to the classical model at any dielectric constant value of the ceramics. This implies a significant increase of the adsorption energy in the protein-ceramic complex formation for any ceramic material. We show that for several biocompatible ceramics (for example HfO2, ZrO2, and Ta2O5) the above effect predicts electrostatically induced protein-ceramic complex formation. However, in the framework of the classical continuum electrostatic model (the aqueous solvent as a uniform dielectric medium with a high dielectric constant ∼80) the above ceramics cannot be considered as suitable for electrostatically induced complex formation. Our results also show that the protein-ceramic electrostatic interactions can be strong enough to compensate for the unfavorable desolvation effect in the process of protein

  5. Effects of the dielectric properties of the ceramic-solvent interface on the binding of proteins to oxide ceramics: a non-local electrostatic approach

    NASA Astrophysics Data System (ADS)

    Rubinstein, Alexander I.; Sabirianov, Renat F.; Namavar, Fereydoon

    2016-10-01

    The rapid development of nanoscience and nanotechnology has raised many fundamental questions that significantly impede progress in these fields. In particular, understanding the physicochemical processes at the interface in aqueous solvents requires the development and application of efficient and accurate methods. In the present work we evaluate the electrostatic contribution to the energy of model protein-ceramic complex formation in an aqueous solvent. We apply a non-local (NL) electrostatic approach that accounts for the effects of the short-range structure of the solvent on the electrostatic interactions of the interfacial systems. In this approach the aqueous solvent is considered as a non-ionic liquid, with the rigid and strongly correlated dipoles of the water molecules. We have found that an ordered interfacial aqueous solvent layer at the protein- and ceramic-solvent interfaces reduces the charging energy of both the ceramic and the protein in the solvent, and significantly increases the electrostatic contribution to their association into a complex. This contribution in the presented NL approach was found to be significantly shifted with respect to the classical model at any dielectric constant value of the ceramics. This implies a significant increase of the adsorption energy in the protein-ceramic complex formation for any ceramic material. We show that for several biocompatible ceramics (for example HfO2, ZrO2, and Ta2O5) the above effect predicts electrostatically induced protein-ceramic complex formation. However, in the framework of the classical continuum electrostatic model (the aqueous solvent as a uniform dielectric medium with a high dielectric constant ˜80) the above ceramics cannot be considered as suitable for electrostatically induced complex formation. Our results also show that the protein-ceramic electrostatic interactions can be strong enough to compensate for the unfavorable desolvation effect in the process of protein

  6. Improved Dielectric Films For Capacitors

    NASA Technical Reports Server (NTRS)

    Yen, Shiao-Ping S.; Lewis, Carol R.; Cygan, Peter J.; Jow, T. Richard

    1994-01-01

    Dielectric films made from blends of some commercially available high-dielectric-constant cyanoresins with each other and with cellulose triacetate (CTA) have both high dielectric constants and high breakdown strengths. Dielectric constants as high as 16.2. Films used to produce high-energy-density capacitors.

  7. Ultrathin and Atomically Flat Transition-Metal Oxide: Promising Building Blocks for Metal-Insulator Electronics.

    PubMed

    Cui, Qingsong; Sakhdari, Maryam; Chamlagain, Bhim; Chuang, Hsun-Jen; Liu, Yi; Cheng, Mark Ming-Cheng; Zhou, Zhixian; Chen, Pai-Yen

    2016-12-21

    We present a new and viable template-assisted thermal synthesis method for preparing amorphous ultrathin transition-metal oxides (TMOs) such as TiO 2 and Ta 2 O 5 , which are converted from crystalline two-dimensional (2D) transition-metal dichalcogenides (TMDs) down to a few atomic layers. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning transmission electron microscopy (STEM) were used to characterize the chemical composition and bonding, surface morphology, and atomic structure of these ultrathin amorphous materials to validate the effectiveness of our synthesis approach. Furthermore, we have fabricated metal-insulator-metal (MIM) diodes using the TiO 2 and Ta 2 O 5 as ultrathin insulating layers with low potential barrier heights. Our MIM diodes show a clear transition from direct tunneling to Fowler-Nordheim tunneling, which was not observed in previously reported MIM diodes with TiO 2 or Ta 2 O 5 as the insulating layer. We attribute the improved performance of our MIM diodes to the excellent flatness and low pinhole/defect densities in our TMO insulting layers converted from 2D TMDs, which enable the low-threshold and controllable electron tunneling transport. We envision that it is possible to use the ultrathin TMOs converted from 2D TMDs as the insulating layer of a wide variety of metal-insulator and field-effect electronic devices for various applications ranging from microwave mixing, parametric conversion, infrared photodetection, emissive energy harvesting, to ultrafast electronic switching.

  8. Synthesis, characterization and biological study on Cr(3+), ZrO(2+), HfO(2+) and UO(2)(2+) complexes of oxalohydrazide and bis(3-hydroxyimino)butan-2-ylidene)-oxalohydrazide.

    PubMed

    El-Asmy, A A; El-Gammal, O A; Radwan, H A

    2010-09-01

    Cr(3+), ZrO(2+), HfO(2+) and UO(2)(2+) complexes of oxalohydrazide (H(2)L(1)) and oxalyl bis(diacetylmonoxime hydrazone) [its IUPAC name is oxalyl bis(3-hydroxyimino)butan-2-ylidene)oxalohydrazide] (H(4)L(2)) have been synthesized and characterized by partial elemental analysis, spectral (IR; electronic), thermal and magnetic measurements. [Cr(L(1))(H(2)O)(3)(Cl)].H(2)O, [ZrO(HL(1))(2)].C(2)H(5)OH, [UO(2)(L(1))(H(2)O)(2)] [ZrO(H(3)L(2))(Cl)](2).2H(2)O, [HfO(H(3)L(2))(Cl)](2).2H(2)O and [UO(2)(H(2)L(2))].2H(2)O have been suggested. H(2)L(1) behaves as a monobasic or dibasic bidentate ligand while H(4)L(2) acts as a tetrabasic octadentate with the two metal centers. The molecular modeling of the two ligands have been drawn and their molecular parameters were calculated. Examination of the DNA degradation of H(2)L(1) and H(4)L(2) as well as their complexes revealed that direct contact of [ZrO(H(3)L(2))(Cl)](2).2H(2)O or [HfO(H(3)L(2))(Cl)](2).2H(2)O degrading the DNA of Eukaryotic subject. The ligands and their metal complexes were tested against Gram's positive Bacillus thuringiensis (BT) and Gram's negative (Escherichia coli) bacteria. All compounds have small inhibitory effects. Copyright 2010 Elsevier B.V. All rights reserved.

  9. Polarization Converter with Controllable Birefringence Based on Hybrid All-Dielectric-Graphene Metasurface

    NASA Astrophysics Data System (ADS)

    Owiti, Edgar O.; Yang, Hanning; Liu, Peng; Ominde, Calvine F.; Sun, Xiudong

    2018-02-01

    Previous studies on hybrid dielectric-graphene metasurfaces have been used to implement induced transparency devices, while exhibiting high Q-factors based on trapped magnetic resonances. Typically, the transparency windows are single wavelength and less appropriate for polarization conversion structures. In this work, a quarter-wave plate based on a hybrid silicon-graphene metasurface with controllable birefringence is numerically designed. The phenomena of trapped magnetic mode resonance and high Q-factors are modulated by inserting graphene between silicon and silica. This results in a broader transmission wavelength in comparison to the all-dielectric structure without graphene. The birefringence tunability is based on the dimensions of silicon and the Fermi energy of graphene. Consequently, a linear-to-circular polarization conversion is achieved at a high degree of 96%, in the near-infrared. Moreover, the polarization state of the scattered light is switchable between right and left hand circular polarizations, based on an external gate biasing voltage. Unlike in plasmonic metasurfaces, these achievements demonstrate an efficient structure that is free from radiative and ohmic losses. Furthermore, the ultrathin thickness and the compactness of the structure are demonstrated as key components in realizing integrable and CMOS compatible photonic sensors.

  10. Polarization Converter with Controllable Birefringence Based on Hybrid All-Dielectric-Graphene Metasurface.

    PubMed

    Owiti, Edgar O; Yang, Hanning; Liu, Peng; Ominde, Calvine F; Sun, Xiudong

    2018-02-03

    Previous studies on hybrid dielectric-graphene metasurfaces have been used to implement induced transparency devices, while exhibiting high Q-factors based on trapped magnetic resonances. Typically, the transparency windows are single wavelength and less appropriate for polarization conversion structures. In this work, a quarter-wave plate based on a hybrid silicon-graphene metasurface with controllable birefringence is numerically designed. The phenomena of trapped magnetic mode resonance and high Q-factors are modulated by inserting graphene between silicon and silica. This results in a broader transmission wavelength in comparison to the all-dielectric structure without graphene. The birefringence tunability is based on the dimensions of silicon and the Fermi energy of graphene. Consequently, a linear-to-circular polarization conversion is achieved at a high degree of 96%, in the near-infrared. Moreover, the polarization state of the scattered light is switchable between right and left hand circular polarizations, based on an external gate biasing voltage. Unlike in plasmonic metasurfaces, these achievements demonstrate an efficient structure that is free from radiative and ohmic losses. Furthermore, the ultrathin thickness and the compactness of the structure are demonstrated as key components in realizing integrable and CMOS compatible photonic sensors.

  11. Coexistence of Topological Edge State and Superconductivity in Bismuth Ultrathin Film.

    PubMed

    Sun, Hao-Hua; Wang, Mei-Xiao; Zhu, Fengfeng; Wang, Guan-Yong; Ma, Hai-Yang; Xu, Zhu-An; Liao, Qing; Lu, Yunhao; Gao, Chun-Lei; Li, Yao-Yi; Liu, Canhua; Qian, Dong; Guan, Dandan; Jia, Jin-Feng

    2017-05-10

    Ultrathin freestanding bismuth film is theoretically predicted to be one kind of two-dimensional topological insulators. Experimentally, the topological nature of bismuth strongly depends on the situations of the Bi films. Film thickness and interaction with the substrate often change the topological properties of Bi films. Using angle-resolved photoemission spectroscopy, scanning tunneling microscopy or spectroscopy and first-principle calculation, the properties of Bi(111) ultrathin film grown on the NbSe 2 superconducting substrate have been studied. We find the band structures of the ultrathin film is quasi-freestanding, and one-dimensional edge state exists on Bi(111) film as thin as three bilayers. Superconductivity is also detected on different layers of the film and the pairing potential exhibits an exponential decay with the layer thicknesses. Thus, the topological edge state can coexist with superconductivity, which makes the system a promising platform for exploring Majorana Fermions.

  12. Temperature Controlled Electrostatic Disorder and Polymorphism in Ultrathin Films of α-Sexithiophene

    NASA Astrophysics Data System (ADS)

    Hoffman, Benjamin; Jafari, Sara; McAfee, Terry; Apperson, Aubrey; O'Connor, Brendan; Dougherty, Daniel

    Competing phases in well-ordered alpha-sexithiophene (α-6T) are shown to contribute to electrostatic disorder observed by differences in surface potential between mono- and bi-layer crystallites. Ultrathin films are of key importance to devices in which charge transport occurs in the first several monolayers nearest to a dielectric interface (e.g. thin film transistors) and complex structures in this regime impact the general electrostatic landscape. This study is comprised of 1.5 ML sample crystals grown via organic molecular beam deposition onto a temperature controlled hexamethyldisilazane (HMDS) passivated SiO2 substrate to produce well-ordered layer-by-layer type growth. Sample topography and surface potential were characterized simultaneously using Kelvin Probe Force Microscopy to then isolate contact potential differences by first and second layer α-6T regions. Films grown on 70° C, 120° C substrates are observed to have a bilayer with lower, higher potential than the monolayer, respectively. Resulting interlayer potential differences are a clear source of electrostatic disorder and are explained as subtle shifts in tilt-angles between layers relative to the substrate. These empirical results continue our understanding of how co-existing orientations contribute to the complex electrostatics influencing charge transport. NSF CAREER award DMR-1056861.

  13. Design of ultrathin dual-resonant reflective polarization converter with customized bandwidths

    NASA Astrophysics Data System (ADS)

    Kundu, Debidas; Mohan, Akhilesh; Chakrabarty, Ajay

    2017-10-01

    In this paper, an ultrathin dual-resonant reflective polarization converter is proposed to obtain customized bandwidths using precise space-filling technique to its top geometry. The unit cell of the dual-resonant prototype consists of conductive square ring with two diagonally arranged slits, supported by metal-backed thin dielectric layer. It offers two narrow bands with fractional bandwidths of 3.98 and 6.65% and polarization conversion ratio (PCR) of 97.16 and 98.87% at 4.52 and 6.97 GHz, respectively. The resonances are brought in proximity to each other by changing the length of surface current paths of the two resonances. By virtue of this mechanism, two polarization converters with two different types of bandwidths are obtained. One polarization converter produces a full-width at half-maxima PCR bandwidth of 34%, whereas another polarization converter produces a 90% PCR bandwidth of 19%. All the proposed polarization converters are insensitive to wide variations of incident angle for both TE- and TM-polarized incident waves. Measured results show good agreement with the numerically simulated results.

  14. Ultrathin zoom telescopic objective.

    PubMed

    Li, Lei; Wang, Di; Liu, Chao; Wang, Qiong-Hua

    2016-08-08

    We report an ultrathin zoom telescopic objective that can achieve continuous zoom change and has reduced compact volume. The objective consists of an annular folded lens and three electrowetting liquid lenses. The annular folded lens undertakes the main part of the focal power of the lens system. Due to a multiple-fold design, the optical path is folded in a lens with the thickness of ~1.98mm. The electrowetting liquid lenses constitute a zoom part. Based on the proposed objective, an ultrathin zoom telescopic camera is demonstrated. We analyze the properties of the proposed objective. The aperture of the proposed objective is ~15mm. The total length of the system is ~18mm with a tunable focal length ~48mm to ~65mm. Compared with the conventional zoom telescopic objective, the total length has been largely reduced.

  15. Low-temperature dielectric behavior of Nb{sub 2}O{sub 5}-SiO{sub 2} solid solutions.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choosuwan, H.; Guo, R.; Bhalla, A. S.

    2003-03-01

    Dielectric properties of Nb{sub 2}O{sub 5}(0.92):SiO{sub 2}(0.08) ceramic were measured in the temperature range of 10-300 K by the cryostat system. Frequency-dependent dielectric loss suggests the relaxation behavior of this material. The relaxation mechanism was analyzed by the Arrhenius relationship and the Cole-Cole plot. Calculated distribution of relaxation time reveals deviation from the pure Debye relaxation.

  16. Combinatorial Investigation of ZrO2-Based Dielectric Materials for Dynamic Random-Access Memory Capacitors

    NASA Astrophysics Data System (ADS)

    Kiyota, Yuji; Itaka, Kenji; Iwashita, Yuta; Adachi, Tetsuya; Chikyow, Toyohiro; Ogura, Atsushi

    2011-06-01

    We investigated zirconia (ZrO2)-based material libraries in search of new dielectric materials for dynamic random-access memory (DRAM) by combinatorial-pulsed laser deposition (combi-PLD). We found that the substitution of yttrium (Y) to Zr sites in the ZrO2 system suppressed the leakage current effectively. The metal-insulator-metal (MIM) capacitor property of this system showed a leakage current density of less than 5×10-7 A/cm2 and the dielectric constant was 20. Moreover, the addition of titanium (Ti) or tantalum (Ta) to this system caused the dielectric constant to increase to ˜25 within the allowed leakage level of 5×10-7 A/cm2. Therefore, Zr-Y-Ti-O and Zr-Y-Ta-O systems have good potentials for use as new materials with high dielectric constants of DRAM capacitors instead of silicon dioxides (SiO2).

  17. Effect of annealing on structural changes and oxygen diffusion in amorphous HfO2 using classical molecular dynamics

    NASA Astrophysics Data System (ADS)

    Shen, Wenqing; Kumari, Niru; Gibson, Gary; Jeon, Yoocharn; Henze, Dick; Silverthorn, Sarah; Bash, Cullen; Kumar, Satish

    2018-02-01

    Non-volatile memory is a promising alternative to present memory technologies. Oxygen vacancy diffusion has been widely accepted as one of the reasons for the resistive switching mechanism of transition-metal-oxide based resistive random access memory. In this study, molecular dynamics simulation is applied to investigate the diffusion coefficient and activation energy of oxygen in amorphous hafnia. Two sets of empirical potential, Charge-Optimized Many-Body (COMB) and Morse-BKS (MBKS), were considered to investigate the structural and diffusion properties at different temperatures. COMB predicts the activation energy of 0.53 eV for the temperature range of 1000-2000 K, while MBKS predicts 2.2 eV at high temperature (1600-2000 K) and 0.36 eV at low temperature (1000-1600 K). Structural changes and appearance of nano-crystalline phases with increasing temperature might affect the activation energy of oxygen diffusion predicted by MBKS, which is evident from the change in coordination number distribution and radial distribution function. None of the potentials make predictions that are fully consistent with density functional theory simulations of both the structure and diffusion properties of HfO2. This suggests the necessity of developing a better multi-body potential that considers charge exchange.

  18. A broadband terahertz ultrathin multi-focus lens

    PubMed Central

    He, Jingwen; Ye, Jiasheng; Wang, Xinke; Kan, Qiang; Zhang, Yan

    2016-01-01

    Ultrathin transmission metasurface devices are designed on the basis of the Yang-Gu amplitude-phase retrieval algorithm for focusing the terahertz (THz) radiation into four or nine spots with focal spacing of 2 or 3 mm at a frequency of 0.8 THz. The focal properties are experimentally investigated in detail, and the results agree well with the theoretical expectations. The designed THz multi-focus lens (TMFL) demonstrates a good focusing function over a broad frequency range from 0.3 to 1.1 THz. As a transmission-type device based on metasurface, the diffraction efficiency of the TMFL can be as high as 33.92% at the designed frequency. The imaging function of the TMFL is also demonstrated experimentally and clear images are obtained. The proposed method produces an ultrathin, low-cost, and broadband multi-focus lens for THz-band application PMID:27346430

  19. Dielectric characterization of TiO2, Al2O3 - Nanoparticle loaded epoxy resin

    NASA Astrophysics Data System (ADS)

    Thakor, S. G.; Rana, V. A.; Vankar, H. P.

    2018-05-01

    In present work, the dielectric properties of two different nanoparticle loaded Bisphenol A-epoxy resin were carried out at room temperature. Sample of the neat epoxy resin and nanoparticle loaded epoxy resin in the form of disc were prepared of different weight fraction (i.e 0.5 wt%,0.7 wt%,1 wt%,1.5 wt%,1.7 wt%,2 wt%). TiO2 and Al2O3 nanoparticles were taken as filler in the epoxy resin. Complex permittivity of the prepared samples was measured using Agilent E4980A precision LCR meter in frequency range of 103 Hz to 106 Hz. The dependency of dielectric behavior on type and concentration of nanoparticle in considered frequency range are discussed in detail.

  20. Ultra-thin, light-trapping silicon solar cells

    NASA Technical Reports Server (NTRS)

    Landis, Geoffrey A.

    1989-01-01

    Design concepts for ultra-thin (2 to 10 microns) high efficiency single-crystal silicon cells are discussed. Light trapping allows more light to be absorbed at a given thickness, or allows thinner cells of a given Jsc. Extremely thin cells require low surface recombination velocity at both surfaces, including the ohmic contacts. Reduction of surface recombination by growth of heterojunctions of ZnS and GaP on Si has been demonstrated. The effects of these improvements on AM0 efficiency is shown. The peak efficiency increases, and the optimum thickness decreases. Cells under 10 microns thickness can retain almost optimum power. The increase of absorptance due to light trapping is considered. This is not a problem if the light-trapping cells are sufficiently thin. Ultra-thin cells have high radiation tolerance. A 2 microns thick light-trapping cell remains over 18 percent efficient after the equivalent of 20 years in geosynchronous orbit. Including a 50 microns thick coverglass, the thin cells had specific power after irradiation over ten times higher than the baseline design.

  1. Broadband and wide-angle light harvesting by ultra-thin silicon solar cells with partially embedded dielectric spheres.

    PubMed

    Yang, Zhenhai; Shang, Aixue; Qin, Linling; Zhan, Yaohui; Zhang, Cheng; Gao, Pingqi; Ye, Jichun; Li, Xiaofeng

    2016-04-01

    We propose a design of crystalline silicon thin-film solar cells (c-Si TFSCs, 2 μm-thick) configured with partially embedded dielectric spheres on the light-injecting side. The intrinsic light trapping and photoconversion are simulated by the complete optoelectronic simulation. It shows that the embedding depth of the spheres provides an effective way to modulate and significantly enhance the optical absorption. Compared to the conventional planar and front sphere systems, the optimized partially embedded sphere design enables a broadband, wide-angle, and strong optical absorption and efficient carrier transportation. Optoelectronic simulation predicts that a 2 μm-thick c-Si TFSC with half-embedded spheres shows an increment of more than 10  mA/cm2 in short-circuit current density and an enhancement ratio of more than 56% in light-conversion efficiency, compared to the conventional planar counterparts.

  2. Extremely Vivid, Highly Transparent, and Ultrathin Quantum Dot Light-Emitting Diodes.

    PubMed

    Choi, Moon Kee; Yang, Jiwoong; Kim, Dong Chan; Dai, Zhaohe; Kim, Junhee; Seung, Hyojin; Kale, Vinayak S; Sung, Sae Jin; Park, Chong Rae; Lu, Nanshu; Hyeon, Taeghwan; Kim, Dae-Hyeong

    2018-01-01

    Displaying information on transparent screens offers new opportunities in next-generation electronics, such as augmented reality devices, smart surgical glasses, and smart windows. Outstanding luminance and transparency are essential for such "see-through" displays to show vivid images over clear background view. Here transparent quantum dot light-emitting diodes (Tr-QLEDs) are reported with high brightness (bottom: ≈43 000 cd m -2 , top: ≈30 000 cd m -2 , total: ≈73 000 cd m -2 at 9 V), excellent transmittance (90% at 550 nm, 84% over visible range), and an ultrathin form factor (≈2.7 µm thickness). These superb characteristics are accomplished by novel electron transport layers (ETLs) and engineered quantum dots (QDs). The ETLs, ZnO nanoparticle assemblies with ultrathin alumina overlayers, dramatically enhance durability of active layers, and balance electron/hole injection into QDs, which prevents nonradiative recombination processes. In addition, the QD structure is further optimized to fully exploit the device architecture. The ultrathin nature of Tr-QLEDs allows their conformal integration on various shaped objects. Finally, the high resolution patterning of red, green, and blue Tr-QLEDs (513 pixels in. -1 ) shows the potential of the full-color transparent display. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Influence of interface layer on optical properties of sub-20 nm-thick TiO2 films

    NASA Astrophysics Data System (ADS)

    Shi, Yue-Jie; Zhang, Rong-Jun; Li, Da-Hai; Zhan, Yi-Qiang; Lu, Hong-Liang; Jiang, An-Quan; Chen, Xin; Liu, Juan; Zheng, Yu-Xiang; Wang, Song-You; Chen, Liang-Yao

    2018-02-01

    The sub-20 nm ultrathin titanium dioxide (TiO2) films with tunable thickness were deposited on Si substrates by atomic layer deposition (ALD). The structural and optical properties were acquired by transmission electron microscopy, atomic force microscopy and spectroscopic ellipsometry. Afterwards, a constructive and effective method of analyzing interfaces by applying two different optical models consisting of air/TiO2/Ti x Si y O2/Si and air/effective TiO2 layer/Si, respectively, was proposed to investigate the influence of interface layer (IL) on the analysis of optical constants and the determination of band gap of TiO2 ultrathin films. It was found that two factors including optical constants and changing components of the nonstoichiometric IL could contribute to the extent of the influence. Furthermore, the investigated TiO2 ultrathin films of 600 ALD cycles were selected and then annealed at the temperature range of 400-900 °C by rapid thermal annealing. Thicker IL and phase transition cause the variation of optical properties of TiO2 films after annealing and a shorter electron relaxation time reveals the strengthened electron-electron and electron-phonon interactions in the TiO2 ultrathin films at high temperature. The as-obtained results in this paper will play a role in other studies of high dielectric constants materials grown on Si substrates and in the applications of next generation metal-oxide-semiconductor devices.

  4. Interface trapping in (2 ¯ 01 ) β-Ga2O3 MOS capacitors with deposited dielectrics

    NASA Astrophysics Data System (ADS)

    Jayawardena, Asanka; Ramamurthy, Rahul P.; Ahyi, Ayayi C.; Morisette, Dallas; Dhar, Sarit

    2018-05-01

    The electrical properties of interfaces and the impact of post-deposition annealing have been investigated in gate oxides formed by low pressure chemical vapor deposition (LPCVD SiO2) and atomic layer deposition (Al2O3) on ( 2 ¯ 01 ) oriented n-type β-Ga2O3 single crystals. Capacitance-voltage based methods have been used to extract the interface state densities, including densities of slow `border' traps at the dielectric-Ga2O3 interfaces. It was observed that SiO2-β-Ga2O3 has a higher interface and border trap density than the Al2O3-β-Ga2O3. An increase in shallow interface states was also observed at the Al2O3-β-Ga2O3 interface after post-deposition annealing at higher temperature suggesting the high temperature annealing to be detrimental for Al2O3-Ga2O3 interfaces. Among the different dielectrics studied, LPCVD SiO2 was found to have the lowest dielectric leakage and the highest breakdown field, consistent with a higher conduction band-offset. These results are important for the processing of high performance β-Ga2O3 MOS devices as these factors will critically impact channel transport, threshold voltage stability, and device reliability.

  5. Impact of metal gates on remote phonon scattering in titanium nitride/hafnium dioxide n-channel metal-oxide-semiconductor field effect transistors-low temperature electron mobility study

    NASA Astrophysics Data System (ADS)

    Maitra, Kingsuk; Frank, Martin M.; Narayanan, Vijay; Misra, Veena; Cartier, Eduard A.

    2007-12-01

    We report low temperature (40-300 K) electron mobility measurements on aggressively scaled [equivalent oxide thickness (EOT)=1 nm] n-channel metal-oxide-semiconductor field effect transistors (nMOSFETs) with HfO2 gate dielectrics and metal gate electrodes (TiN). A comparison is made with conventional nMOSFETs containing HfO2 with polycrystalline Si (poly-Si) gate electrodes. No substantial change in the temperature acceleration factor is observed when poly-Si is replaced with a metal gate, showing that soft optical phonons are not significantly screened by metal gates. A qualitative argument based on an analogy between remote phonon scattering and high-resolution electron energy-loss spectroscopy (HREELS) is provided to explain the underlying physics of the observed phenomenon. It is also shown that soft optical phonon scattering is strongly damped by thin SiO2 interface layers, such that room temperature electron mobility values at EOT=1 nm become competitive with values measured in nMOSFETs with SiON gate dielectrics used in current high performance processors.

  6. Skyrmion morphology in ultrathin magnetic films

    NASA Astrophysics Data System (ADS)

    Gross, I.; Akhtar, W.; Hrabec, A.; Sampaio, J.; Martínez, L. J.; Chouaieb, S.; Shields, B. J.; Maletinsky, P.; Thiaville, A.; Rohart, S.; Jacques, V.

    2018-02-01

    Nitrogen-vacancy magnetic microscopy is employed in the quenching mode as a noninvasive, high-resolution tool to investigate the morphology of isolated skyrmions in ultrathin magnetic films. The skyrmion size and shape are found to be strongly affected by local pinning effects and magnetic field history. Micromagnetic simulations including a static disorder, based on the physical model of grain-to-grain thickness variations, reproduce all experimental observations and reveal the key role of disorder and magnetic history in the stabilization of skyrmions in ultrathin magnetic films. This work opens the way to an in-depth understanding of skyrmion dynamics in real, disordered media.

  7. Structural, dielectric and magnetic studies of Mn doped Y-type barium hexaferrite (Ba2Mg2Fe12O22)

    NASA Astrophysics Data System (ADS)

    Abdullah, Md. F.; Pal, P.; Mohapatra, S. R.; Yadav, C. S.; Kaushik, S. D.; Singh, A. K.

    2018-04-01

    The polycrystalline single phase Ba2Mg2Fe12O22 (BMF) and Ba2Mg2Fe11.52Mn0.48O22 (BMFM) were prepared using conventional solid state reaction route. We report the modification in structural, dielectric and magnetic properties of BMF due to 4% Mn doping at Fe site. Phase purity of both sample are confirmed by the Reitveld refinement of XRD data. Temperature dependent dielectric study shows decrease in dielectric constant (ɛ') and dielectric loss (tan δ) due to 4% Mn doping in parent sample. The ferrimagnetic to paramagnetic transition temperature (Tc) in doped sample decreases from 277°C to 150°C. Room temperature magnetization measurement shows ferrimagnetic behavior for both the samples. We have fitted the saturation magnetization data at 300 K by using least square method which confirms the enhancement of saturation magnetization and magnetic anisotropy constant in doped sample.

  8. Significantly improved dielectric performances of nanocomposites via loading two-dimensional core-shell structure Bi2Te3@SiO2 nanosheets

    NASA Astrophysics Data System (ADS)

    Chen, Jianwen; Wang, Xiucai; Yu, Xinmei; Fan, Yun; Duan, Zhikui; Jiang, Yewen; Yang, Faquan; Zhou, Yuexia

    2018-07-01

    Polymer/semiconductor-insulator nanocomposites can display high dielectric constants with a relatively low dissipation factor under low electric fields, and thus seem to promising for high energy density capacitors. Here, a novel nanocomposite films is developed by loading two-dimensional (2D) core-shell structure Bi2Te3@SiO2 nanosheets in the poly (vinylidene fluoride-hexafluoro propylene) (P(VDF-HFP)) polymer matrix. The 2D Bi2Te3 nanosheets were prepared through simple microwave-assisted method. The experimental results suggesting that the SiO2 shell layer between the fillers and polymer matrix could effectively improve the dielectric constant, dielectric loss, AC conductivity, and breakdown strength of composites films. The composite films load with 10 vol.% 2D Bi2Te3@SiO2 nanosheets exhibits a high dielectric constant of 70.3 at 1 kHz and relatively low dielectric loss of 0.058 at 1 kHz. The finite element simulation of electric field and electric current density distribution revealed that the SiO2 shell layer between the fillers and polymer matrix could effectively improve the energy loss, local electric field strength, and breakdown strength of composite films. Therefore, this work will provide a promising route to achieve high-performance capacitors.

  9. Ultrathin Optical Panel And A Method Of Making An Ultrathin Optical Panel.

    DOEpatents

    Biscardi, Cyrus; Brewster, Calvin; DeSanto, Leonard; Veligdan, James T.

    2005-02-15

    An ultrathin optical panel, and a method of producing an ultrathin optical panel, are disclosed, including stacking a plurality of glass sheets, which sheets may be coated with a transparent cladding substance or may be uncoated, fastening together the plurality of stacked coated glass sheets using an epoxy or ultraviolet adhesive, applying uniform pressure to the stack, curing the stack, sawing the stack to form an inlet face on a side of the stack and an outlet face on an opposed side of the stack, bonding a coupler to the inlet face of the stack, and fastening the stack, having the coupler bonded thereto, within a rectangular housing having an open front which is aligned with the outlet face, the rectangular housing having therein a light generator which is optically aligned with the coupler. The light generator is preferably placed parallel to and proximate with the inlet face, thereby allowing for a reduction in the depth of the housing.

  10. Ultrathin Optical Panel And A Method Of Making An Ultrathin Optical Panel.

    DOEpatents

    Biscardi, Cyrus; Brewster, Calvin; DeSanto, Leonard; Veligdan, James T.

    2005-05-17

    An ultrathin optical panel, and a method of producing an ultrathin optical panel, are disclosed, including stacking a plurality of glass sheets, which sheets may be coated with a transparent cladding substance or may be uncoated, fastening together the plurality of stacked coated glass sheets using an epoxy or ultraviolet adhesive, applying uniform pressure to the stack, curing the stack, sawing the stack to form an inlet face on a side of the stack and an outlet face on an opposed side of the stack, bonding a coupler to the inlet face of the stack, and fastening the stack, having the coupler bonded thereto, within a rectangular housing having an open front which is aligned with the outlet face, the rectangular housing having therein a light generator which is optically aligned with the coupler. The light generator is preferably placed parallel to and proximate with the inlet face, thereby allowing for a reduction in the depth of the housing.

  11. Ultrathin optical panel and a method of making an ultrathin optical panel

    DOEpatents

    Biscardi, Cyrus; Brewster, Calvin; DeSanto, Leonard; Veligdan, James T.

    2003-02-11

    An ultrathin optical panel, and a method of producing an ultrathin optical panel, are disclosed, including stacking a plurality of glass sheets, which sheets may be coated with a transparent cladding substance or may be uncoated, fastening together the plurality of stacked coated glass sheets using an epoxy or ultraviolet adhesive, applying uniform pressure to the stack, curing the stack, sawing the stack to form an inlet face on a side of the stack and an outlet face on an opposed side of the stack, bonding a coupler to the inlet face of the stack, and fastening the stack, having the coupler bonded thereto, within a rectangular housing having an open front which is aligned with the outlet face, the rectangular housing having therein a light generator which is optically aligned with the coupler. The light generator is preferably placed parallel to and proximate with the inlet face, thereby allowing for a reduction in the depth of the housing.

  12. Ultrathin optical panel and a method of making an ultrathin optical panel

    DOEpatents

    Biscardi, Cyrus; Brewster, Calvin; DeSanto, Leonard; Veligdan, James T.

    2001-10-09

    An ultrathin optical panel, and a method of producing an ultrathin optical panel, are disclosed, including stacking a plurality of glass sheets, which sheets may be coated with a transparent cladding substance or may be uncoated, fastening together the plurality of stacked coated glass sheets using an epoxy or ultraviolet adhesive, applying uniform pressure to the stack, curing the stack, sawing the stack to form an inlet face on a side of the stack and an outlet face on an opposed side of the stack, bonding a coupler to the inlet face of the stack, and fastening the stack, having the coupler bonded thereto, within a rectangular housing having an open front which is aligned with the outlet face, the rectangular housing having therein a light generator which is optically aligned with the coupler. The light generator is preferably placed parallel to and proximate with the inlet face, thereby allowing for a reduction in the depth of the housing.

  13. Ultrathin optical panel and a method of making an ultrathin optical panel

    DOEpatents

    Biscardi, Cyrus; Brewster, Calvin; DeSanto, Leonard; Veligdan, James T.

    2002-01-01

    An ultrathin optical panel, and a method of producing an ultrathin optical panel, are disclosed, including stacking a plurality of glass sheets, which sheets may be coated With a transparent cladding substance or may be uncoated, fastening together the plurality of stacked coated glass sheets using an epoxy or ultraviolet adhesive, applying uniform pressure to the stack, curing the stack, sawing the stack to form an inlet face on a side of the stack and an outlet face on an opposed side of the stack, bonding a coupler to the inlet face of the stack, and fastening the stack, having the coupler bonded thereto, within a rectangular housing having an open front which is aligned with the outlet face, the rectangular housing having therein a light generator which is optically aligned with the coupler. The light generator is preferably placed parallel to and proximate with the inlet face, thereby allowing for a reduction in the depth of the housing.

  14. Dielectric Metamaterial Reflector

    DTIC Science & Technology

    2017-02-14

    currently valid OMB control number. PLEASE DO NOT RETURN YOUR FORM TO THE ABOVE ADDRESS. 1. REPORT DATE (DD-MM-YYYY) 2. REPORT TYPE 3. DATES...choose the size of the non -absorbing dielectric spheres to achieve Mie resonance at the wavelength of interest, a dielectric coating thickness to...near-perfect reflection. In our design, we choose the size of the non -absorbing dielectric spheres to achieve Mie resonance at the wavelength of

  15. Operation mode switchable charge-trap memory based on few-layer MoS2

    NASA Astrophysics Data System (ADS)

    Hou, Xiang; Yan, Xiao; Liu, Chunsen; Ding, Shijin; Zhang, David Wei; Zhou, Peng

    2018-03-01

    Ultrathin layered two-dimensional (2D) semiconductors like MoS2 and WSe2 have received a lot of attention because of their excellent electrical properties and potential applications in electronic devices. We demonstrate a charge-trap memory with two different tunable operation modes based on a few-layer MoS2 channel and an Al2O3/HfO2/Al2O3 charge storage stack. Our device shows excellent memory properties under the traditional three-terminal operation mode. More importantly, unlike conventional charge-trap devices, this device can also realize the memory performance with just two terminals (drain and source) because of the unique atomic crystal electrical characteristics. Under the two-terminal operation mode, the erase/program current ratio can reach up to 104 with a stable retention property. Our study indicates that the conventional charge-trap memory cell can also realize the memory performance without the gate terminal based on novel two dimensional materials, which is meaningful for low power consumption and high integration density applications.

  16. Oxygen-vacancy-related dielectric relaxation in SrBi2Ta1.8V0.2O9 ferroelectrics

    NASA Astrophysics Data System (ADS)

    Wu, Yun; Forbess, Mike J.; Seraji, Seana; Limmer, Steven J.; Chou, Tammy P.; Cao, Guozhong

    2001-05-01

    The strontium bismuth tantalate vanadate, SrBi2Ta1.8V0.2O9, (SBTV) layered perovskite ferroelectrics were made by solid state powder sintering. It was found that the SBTV ferroelectrics had the same crystal structure as that of strontium bismuth tantalate, SrBi2Ta2O9 (SBT), but an increased paraferroelectric transition temperature at ˜360 °C as compared to 305 °C for SBT. In addition, SBTV ferroelectrics showed a frequency dispersion at low frequencies and broadened dielectric peaks at the paraferroelectric transition temperature that shifted to a higher temperature with a reduced frequency. However, after a postsintering annealing at 850 °C in air for 60 h, SBTV ferroelectrics showed reduced dielectric constants and tangent loss, particularly at high temperatures. In addition, no frequency dependence of paraferroelectric transition was found in the annealed SBTV ferroelectrics. Furthermore, there was a significant reduction in dc conductivity with annealing. The prior results implied that the dielectric relaxation in the as-sintered SBTV ferroelectrics was most likely due to the oxygen-vacancy-related dielectric relaxation instead of relaxor ferroelectric behavior.

  17. Boron nitride as two dimensional dielectric: Reliability and dielectric breakdown

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ji, Yanfeng; Pan, Chengbin; Hui, Fei

    2016-01-04

    Boron Nitride (BN) is a two dimensional insulator with excellent chemical, thermal, mechanical, and optical properties, which make it especially attractive for logic device applications. Nevertheless, its insulating properties and reliability as a dielectric material have never been analyzed in-depth. Here, we present the first thorough characterization of BN as dielectric film using nanoscale and device level experiments complementing with theoretical study. Our results reveal that BN is extremely stable against voltage stress, and it does not show the reliability problems related to conventional dielectrics like HfO{sub 2}, such as charge trapping and detrapping, stress induced leakage current, and untimelymore » dielectric breakdown. Moreover, we observe a unique layer-by-layer dielectric breakdown, both at the nanoscale and device level. These findings may be of interest for many materials scientists and could open a new pathway towards two dimensional logic device applications.« less

  18. Cu-Induced Dielectric Breakdown of Porous Low-Dielectric-Constant Film

    NASA Astrophysics Data System (ADS)

    Cheng, Yi-Lung; Lee, Chih-Yen; Huang, Yao-Liang; Sun, Chung-Ren; Lee, Wen-Hsi; Chen, Giin-Shan; Fang, Jau-Shiung; Phan, Bach Thang

    2017-06-01

    Dielectric breakdown induced by Cu ion migration in porous low- k dielectric films has been investigated in alternating-polarity bias conditions using a metal-insulator-metal capacitor with Cu top metal electrode. The experimental results indicated that Cu ions migrated into the dielectric film under stress with positive polarity, leading to weaker dielectric strength and shorter time to failure (TTF). In the alternating-polarity test, the measured TTFs increased with decreasing stressing frequency, implying backward migration of Cu ions during reverse-bias stress. Additionally, compared with a direct-current stress condition, the measured TTFs were higher as the frequency was decreased to 10-2 Hz. The electric-field acceleration factor for porous low- k dielectric film breakdown in the alternating-polarity test was also found to increase. This Cu backward migration effect is effective when the stressing time under negative polarity is longer than 0.1 s.

  19. Impedance analysis and dielectric response of anatase TiO2 nanoparticles codoped with Mn and Co ions

    NASA Astrophysics Data System (ADS)

    Kumar, Anand; Kashyap, Manish K.; Sabharwal, Namita; Kumar, Sarvesh; Kumar, Ashok; Kumar, Parmod; Asokan, K.

    2017-11-01

    In order to elucidate the effect of transition metal (TM) doping, the impedance and dielectric responses of Co and/or Mn-doped TiO2 nanocrystalline powder samples with 3% doping concentration synthesized via sol gel technique, have been analyzed. X-ray diffraction (XRD) analysis confirms the formation of tetragonal TiO2 anatase phase for all studied samples without any extra impurity phase peaks. The variation in the grain size measured from field emission scanning electron microscope (FESEM) measurements for all the samples are in accordance with the change in crystallite size as obtained from XRD. The DC resistivity for pure TiO2 nanoparticles is the highest while codoped samples exhibit low resistivity. The temperature dependent dielectric constant and dielectric loss possess step like enhancement and show the relaxation behavior. At room temperature, the dielectric function and dielectric loss decrease rapidly with increase in frequency and become almost constant at the higher frequencies. Such a decrease in dielectric loss is suitable for energy storage devices.

  20. Study on the dielectric properties of Al2O3/TiO2 sub-nanometric laminates: effect of the bottom electrode and the total thickness

    NASA Astrophysics Data System (ADS)

    Ben Elbahri, M.; Kahouli, A.; Mercey, B.; Lebedev, O.; Donner, W.; Lüders, U.

    2018-02-01

    Dielectrics based on amorphous sub-nanometric laminates of TiO2 and Al2O3 are subject to elevated dielectric losses and leakage currents, in large parts due to the extremely thin individual layer thickness chosen for the creation of the Maxwell-Wagner relaxation and therefore the high apparent dielectric constants. The optimization of performances of the laminate itself being strongly limited by this contradiction concerning its internal structure, we will show in this study that modifications of the dielectric stack of capacitors based on these sub-nanometric laminates can positively influence the dielectric losses and the leakage, as for example the nature of the electrodes, the introduction of thick insulating layers at the laminate/electrode interfaces and the modification of the total laminate thickness. The optimization of the dielectric stack leads to the demonstration of a capacitor with an apparent dielectric constant of 90, combined with low dielectric loss (tan δ) of 7 · 10-2 and with leakage currents smaller than 1  ×  10-6 A cm-2 at 10 MV m-1.

  1. Single crystalline silicene consist of various superstructures using a flexible ultrathin Ag(111) template on Si(111)

    NASA Astrophysics Data System (ADS)

    Hsu, Hung-Chang; Lu, Yi-Hung; Su, Tai-Lung; Lin, Wen-Chin; Fu, Tsu-Yi

    2018-07-01

    Using scanning tunneling microscopy, we studied the formation of silicene on an ultrathin Ag(111) film with a thickness of 6–12 monolayers, which was prepared on a Si(111) substrate. A low-energy electron diffraction pattern with an oval spot indicated that the ultrathin Ag(111) film is more disordered than the single-crystal Ag(111). After Si epitaxy growth, we still measured the classical 4 × 4, √13 × √13, and 2√3 × 2√3 silicene superstructures, which are the same as the silicene superstructure on single-crystal Ag(111). Growing silicene on a single-crystal Ag(111) bulk usually results in the formation of a defect boundary due to the inconsistent orientation of various superstructures. By comparing the angles and boundary conditions between various silicene superstructures on the ultrathin film and single-crystal Ag(111), we discovered that a consistent orientation of various superstructures without obvious boundary defects formed on the ultrathin Ag(111) film. The results indicated single crystalline silicene formation, which was attributed to the domain rotation and lateral shift of the disordered ultrathin Ag(111) film.

  2. Dielectric and impedance spectral characteristics of bulk ZnIn2Se4

    NASA Astrophysics Data System (ADS)

    El-Nahass, M. M.; Attia, A. A.; Salem, G. F.; Ali, H. A. M.; Ismail, M. I.

    2014-02-01

    The frequency and temperature dependence of ac conductivity, dielectric constant and dielectric loss of ZnIn2Se4 in a pellet form were investigated in the frequency range of 102-106 Hz and temperature range of 293-356 K. The behavior of ac conductivity was interpreted by the correlated barrier hopping (CBH) model. Temperature dependence of ac conductivity indicates that ac conduction is a thermally activated process. The density of localized states N(EF) and ac activation energy were estimated for various frequencies. Dielectric constant and dielectric loss showed a decrease with increasing frequency and an increase with increasing in temperature. The frequency dependence of real and imaginary parts of the complex impedance was investigated. The relaxation time decreases with the increase in temperature. The impedance spectrum exhibits the appearance of the single semicircular arc. The radius of semicircular arcs decreases with increasing temperature which suggests a mechanism of temperature-dependent on relaxation.

  3. Dielectric relaxation studies in Se{sub 90}Cd{sub 8}Sb{sub 2} glassy alloy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shukla, Nitesh; Rao, Vandita; Dwivedi, D. K.

    2016-05-06

    Se{sub 90}Cd{sub 8}Sb{sub 2} chalcogenide semiconducting alloy was prepared by melt quench technique. The prepared glassy alloy has been characterized by techniques such as scanning electron microscopy (SEM) and energy dispersive X-ray (EDAX).Dielectric properties of Se{sub 90}Cd{sub 8}Sb{sub 2} chalcogenide semiconductor have been studied using impedance spectroscopic technique in the frequency range 5×10{sup 2}Hz - 1×10{sup 5}Hz and in temperature range 303-318K. It is found that dielectric constant ε′ and dielectric loss factor ε″ are dependent on frequency and temperature.

  4. Dielectric properties of benzylamine in 1,2,6-hexanetriol mixture using time domain reflectometry technique

    NASA Astrophysics Data System (ADS)

    Swami, M. B.; Hudge, P. G.; Pawar, V. P.

    The dielectric properties of binary mixtures of benzylamine-1,2,6-hexantriol mixtures at different volume fractions of 1,2,6-hexanetriol have been measured using Time Domain Reflectometry (TDR) technique in the frequency range of 10 MHz to 30 GHz. Complex permittivity spectra were fitted using Havriliak-Negami equation. By using least square fit method the dielectric parameters such as static dielectric constant (ɛ0), dielectric constant at high frequency (ɛ∞), relaxation time τ (ps) and relaxation distribution parameter (β) were extracted from complex permittivity spectra at 25∘C. The intramolecular interaction of different molecules has been discussed using the Kirkwood correlation factor, Bruggeman factor. The Kirkwood correlation factor (gf) and effective Kirkwood correlation factor (geff) indicate the dipole ordering of the binary mixtures.

  5. Passivation of InP heterojunction bipolar transistors by strain controlled plasma assisted electron beam evaporated hafnium oxide

    NASA Astrophysics Data System (ADS)

    Driad, R.; Sah, R. E.; Schmidt, R.; Kirste, L.

    2012-01-01

    We present structural, stress, and electrical properties of plasma assisted e-beam evaporated hafnium dioxide (HfO2) layers on n-type InP substrates. These layers have subsequently been used for surface passivation of InGaAs/InP heterostructure bipolar transistors either alone or in combination with plasma enhanced chemical vapor deposited SiO2 layers. The use of stacked HfO2/SiO2 results in better interface quality with InGaAs/InP heterostructures, as illustrated by smaller leakage current and improved breakdown voltage. These improvements can be attributed to the reduced defect density and charge trapping at the dielectric-semiconductor interface. The deposition at room temperature makes these films suitable for sensitive devices.

  6. Ultrathin nondoped emissive layers for efficient and simple monochrome and white organic light-emitting diodes.

    PubMed

    Zhao, Yongbiao; Chen, Jiangshan; Ma, Dongge

    2013-02-01

    In this paper, highly efficient and simple monochrome blue, green, orange, and red organic light emitting diodes (OLEDs) based on ultrathin nondoped emissive layers (EMLs) have been reported. The ultrathin nondoped EML was constructed by introducing a 0.1 nm thin layer of pure phosphorescent dyes between a hole transporting layer and an electron transporting layer. The maximum external quantum efficiencies (EQEs) reached 17.1%, 20.9%, 17.3%, and 19.2% for blue, green, orange, and red monochrome OLEDs, respectively, indicating the universality of the ultrathin nondoped EML for most phosphorescent dyes. On the basis of this, simple white OLED structures are also demonstrated. The demonstrated complementary blue/orange, three primary blue/green/red, and four color blue/green/orange/red white OLEDs show high efficiency and good white emission, indicating the advantage of ultrathin nondoped EMLs on constructing simple and efficient white OLEDs.

  7. Transport properties of ultrathin YBa2Cu3O7 -δ nanowires: A route to single-photon detection

    NASA Astrophysics Data System (ADS)

    Arpaia, Riccardo; Golubev, Dmitri; Baghdadi, Reza; Ciancio, Regina; Dražić, Goran; Orgiani, Pasquale; Montemurro, Domenico; Bauch, Thilo; Lombardi, Floriana

    2017-08-01

    We report on the growth and characterization of ultrathin YBa2Cu3O7 -δ (YBCO) films on MgO (110) substrates, which exhibit superconducting properties at thicknesses down to 3 nm. YBCO nanowires, with thicknesses down to 10 nm and widths down to 65 nm, have also been successfully fabricated. The nanowires protected by a Au capping layer show superconducting properties close to the as-grown films and critical current densities, which are limited by only vortex dynamics. The 10-nm-thick YBCO nanowires without the Au capping present hysteretic current-voltage characteristics, characterized by a voltage switch which drives the nanowires directly from the superconducting to the normal state. We associate such bistability to the presence of localized normal domains within the superconductor. The presence of the voltage switch in ultrathin YBCO nanostructures, characterized by high sheet resistance values and high critical current values, makes our nanowires very attractive devices to engineer single-photon detectors.

  8. Dielectric relaxation in Li2SO4 in the intermedia-temperature regime

    NASA Astrophysics Data System (ADS)

    Diosa, J. E.; Vargas, R. A.; Fernández, M. E.; Albinsson, I.; Mellander, B.-E.

    2005-08-01

    The dielectric permittivity of polycrystalline Li2SO4 was measured from 5 Hz to 13 MHz and over the temperature range 235-460 °C. The corrected imaginary part of permittivity, , and its real part vs. frequency clearly show a new dielectric relaxation around fmax = 2 × 104 Hz at T = 256 °C, which shifts to higher frequencies (1 MHz) as the temperatures increases. The relaxation frequency (calculated from the peak position of ) vs. reciprocal T shows an activated relaxation process with activation energy Ea= 0.9 eV, which is very close to that derived from the dc conductivity, E (0.87 eV). We suggest that this dielectric relaxation could be due to the Li+ jump and SO4- reorientation that cause distortion and change of the local lattice polarizability inducing dipoles like LiSO4-.

  9. Preparation, Crystal Structure, Dielectric Properties, and Magnetic Behavior of Ba 2Fe 2Ti 4O 13

    NASA Astrophysics Data System (ADS)

    Vanderah, T. A.; Huang, Q.; Wong-Ng, W.; Chakoumakos, B. C.; Goldfarb, R. B.; Geyer, R. G.; Baker-Jarvis, J.; Roth, R. S.; Santoro, A.

    1995-11-01

    The preparation, crystal structure, dielectric properties, and magnetic behavior of the new compound Ba2Fe2Ti4O13 are reported. Structural studies carried out by single-crystal X-ray diffraction and neutron powder diffraction show that this phase is isostructural with K2Ti6O13 and Ba2ZnTi5O13 (C2/m (No. 12); a = 15.216(1), b = 3.8979(3), c = 9.1350(6) Å, β = 98.460(7)°; V = 535.90(8) Å3; Z = 2). The cations Fe3+ and Ti4+ are partially ordered among distorted octahedral sites with Ba2+ occupying eleven-coordinated polyhedra. Ba2Fe2Ti4O13 exhibits TE0 resonance near 10 GHz with a dielectric constant of ∼28 and a dielectric loss tangent of 2 × 10-3. The compound displays complex paramagnetic behavior with marked field dependence; the magnetization at 80 kA/m is several orders of magnitude smaller than that of most ferrites. Spin-glass effects have not been observed; however, weak collective interactions are clearly present. No magnetic ordering has been detected by neutron diffraction down to 13 K.

  10. Stable organic thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jia, Xiaojia; Fuentes-Hernandez, Canek; Wang, Cheng-Yin

    Organic thin-film transistors (OTFTs) can be fabricated at moderate temperatures and through cost-effective solution-based processes on a wide range of low-cost flexible and deformable substrates. Although the charge mobility of state-of-the-art OTFTs is superior to that of amorphous silicon and approaches that of amorphous oxide thin-film transistors (TFTs), their operational stability generally remains inferior and a point of concern for their commercial deployment. We report on an exhaustive characterization of OTFTs with an ultrathin bilayer gate dielectric comprising the amorphous fluoropolymer CYTOP and an Al2O3:HfO2 nanolaminate. Threshold voltage shifts measured at room temperatureovertimeperiods upto5.9×105 s do not vary monotonically andmore » remain below 0.2 V in microcrystalline OTFTs (mc-OTFTs) with field-effect carrier mobility values up to 1.6 cm2 V-1 s-1. Modeling of these shifts as a function of time with a double stretched-exponential (DSE) function suggests that two compensating aging mechanisms are at play and responsible for this high stability. The measured threshold voltage shifts at temperatures up to 75°C represent at least a one-order-of-magnitude improvement in the operational stability over previous reports, bringing OTFT technologies to a performance level comparable to that reported in the scientific literature for other commercial TFTs technologies.« less

  11. Stable organic thin-film transistors

    DOE PAGES

    Jia, Xiaojia; Fuentes-Hernandez, Canek; Wang, Cheng-Yin; ...

    2018-01-12

    Organic thin-film transistors (OTFTs) can be fabricated at moderate temperatures and through cost-effective solution-based processes on a wide range of low-cost flexible and deformable substrates. Although the charge mobility of state-of-the-art OTFTs is superior to that of amorphous silicon and approaches that of amorphous oxide thin-film transistors (TFTs), their operational stability generally remains inferior and a point of concern for their commercial deployment. We report on an exhaustive characterization of OTFTs with an ultrathin bilayer gate dielectric comprising the amorphous fluoropolymer CYTOP and an Al2O3:HfO2 nanolaminate. Threshold voltage shifts measured at room temperatureovertimeperiods upto5.9×105 s do not vary monotonically andmore » remain below 0.2 V in microcrystalline OTFTs (mc-OTFTs) with field-effect carrier mobility values up to 1.6 cm2 V-1 s-1. Modeling of these shifts as a function of time with a double stretched-exponential (DSE) function suggests that two compensating aging mechanisms are at play and responsible for this high stability. The measured threshold voltage shifts at temperatures up to 75°C represent at least a one-order-of-magnitude improvement in the operational stability over previous reports, bringing OTFT technologies to a performance level comparable to that reported in the scientific literature for other commercial TFTs technologies.« less

  12. Stable organic thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jia, Xiaojia; Fuentes-Hernandez, Canek; Wang, Cheng-Yin

    2018-01-01

    Organic thin-film transistors (OTFTs) can be fabricated at moderate temperatures and through cost-effective solution-based processes on a wide range of low-cost flexible and deformable substrates. Although the charge mobility of state-of-the-art OTFTs is superior to that of amorphous silicon and approaches that of amorphous oxide thin-film transistors (TFTs), their operational stability generally remains inferior and a point of concern for their commercial deployment. We report on an exhaustive characterization of OTFTs with an ultrathin bilayer gate dielectric comprising the amorphous fluoropolymer CYTOP and an Al2O3:HfO2 nanolaminate. Threshold voltage shifts measured at room temperatureovertimeperiods upto5.9×105 s do not vary monotonically andmore » remain below 0.2 V in microcrystalline OTFTs (mc-OTFTs) with field-effect carrier mobility values up to 1.6 cm2 V−1 s−1. Modeling of these shifts as a function of time with a double stretched-exponential (DSE) function suggests that two compensating aging mechanisms are at play and responsible for this high stability. The measured threshold voltage shifts at temperatures up to 75°C represent at least a one-order-of-magnitude improvement in the operational stability over previous reports, bringing OTFT technologies to a performance level comparable to that reported in the scientific literature for other commercial TFTs technologies.« less

  13. Stable organic thin-film transistors

    PubMed Central

    Jia, Xiaojia; Fuentes-Hernandez, Canek; Wang, Cheng-Yin; Park, Youngrak; Kippelen, Bernard

    2018-01-01

    Organic thin-film transistors (OTFTs) can be fabricated at moderate temperatures and through cost-effective solution-based processes on a wide range of low-cost flexible and deformable substrates. Although the charge mobility of state-of-the-art OTFTs is superior to that of amorphous silicon and approaches that of amorphous oxide thin-film transistors (TFTs), their operational stability generally remains inferior and a point of concern for their commercial deployment. We report on an exhaustive characterization of OTFTs with an ultrathin bilayer gate dielectric comprising the amorphous fluoropolymer CYTOP and an Al2O3:HfO2 nanolaminate. Threshold voltage shifts measured at room temperature over time periods up to 5.9 × 105 s do not vary monotonically and remain below 0.2 V in microcrystalline OTFTs (μc-OTFTs) with field-effect carrier mobility values up to 1.6 cm2 V−1 s−1. Modeling of these shifts as a function of time with a double stretched-exponential (DSE) function suggests that two compensating aging mechanisms are at play and responsible for this high stability. The measured threshold voltage shifts at temperatures up to 75°C represent at least a one-order-of-magnitude improvement in the operational stability over previous reports, bringing OTFT technologies to a performance level comparable to that reported in the scientific literature for other commercial TFTs technologies. PMID:29340301

  14. Realization of an Ultra-thin Metasurface to Facilitate Wide Bandwidth, Wide Angle Beam Scanning.

    PubMed

    Bah, Alpha O; Qin, Pei-Yuan; Ziolkowski, Richard W; Cheng, Qiang; Guo, Y Jay

    2018-03-19

    A wide bandwidth, ultra-thin, metasurface is reported that facilitates wide angle beam scanning. Each unit cell of the metasurface contains a multi-resonant, strongly-coupled unequal arm Jerusalem cross element. This element consists of two bent-arm, orthogonal, capacitively loaded strips. The wide bandwidth of the metasurface is achieved by taking advantage of the strong coupling within and between its multi-resonant elements. A prototype of the proposed metasurface has been fabricated and measured. The design concept has been validated by the measured results. The proposed metasurface is able to alleviate the well-known problem of impedance mismatch caused by mutual coupling when the main beam of an array is scanned. In order to validate the wideband and wide scanning ability of the proposed metasurface, it is integrated with a wideband antenna array as a wide angle impedance matching element. The metasurface-array combination facilitates wide angle scanning over a 6:1 impedance bandwidth without the need for bulky dielectrics or multi-layered structures.

  15. Transparent and Flexible Capacitors with an Ultrathin Structure by Using Graphene as Bottom Electrodes.

    PubMed

    Guo, Tao; Zhang, Guozhen; Su, Xi; Zhang, Heng; Wan, Jiaxian; Chen, Xue; Wu, Hao; Liu, Chang

    2017-11-28

    Ultrathin, transparent and flexible capacitors using graphene as the bottom electrodes were directly fabricated on polyethylene naphthalate (PEN) substrates. ZrO₂ dielectric films were deposited on the treated surface of graphene by atomic layer deposition (ALD). The deposition process did not introduce any detectible defects in the graphene, as indicated by Raman measurements, guaranteeing the electrical performances of the graphene electrodes. The Aluminum-doped zinc oxide (AZO) films were prepared as the top electrodes using the ALD technique. The capacitors presented a high capacitance density (10.3 fF/μm² at 10 kHz) and a relatively low leakage current (5.3 × 10 -6 A/cm² at 1 V). Bending tests revealed that the capacitors were able to work normally at an outward bending radius of 10 mm without any deterioration of electrical properties. The capacitors exhibited an average optical transmittance of close to 70% at visible wavelengths. Thus, it opens the door to practical applications in transparent integrated circuits.

  16. Ultrathin planar hematite film for solar photoelectrochemical water splitting

    DOE PAGES

    Liu, Dong; Bierman, David M.; Lenert, Andrej; ...

    2015-10-08

    Hematite holds promise for photoelectrochemical (PEC) water splitting due to its stability, low-cost, abundance and appropriate bandgap. However, it suffers from a mismatch between the hole diffusion length and light penetration length. We have theoretically designed and characterized an ultrathin planar hematite/silver nanohole array/silver substrate photoanode. Due to the supported destructive interference and surface plasmon resonance, photons are efficiently absorbed in an ultrathin hematite film. In conclusion, compared with ultrathin hematite photoanodes with nanophotonic structures, this photoanode has comparable photon absorption but with intrinsically lower recombination losses due to its planar structure and promises to exceed the state-of-the-art photocurrent ofmore » hematite photoanodes.« less

  17. Black Phosphorus Based Field Effect Transistors with Simultaneously Achieved Near Ideal Subthreshold Swing and High Hole Mobility at Room Temperature.

    PubMed

    Liu, Xinke; Ang, Kah-Wee; Yu, Wenjie; He, Jiazhu; Feng, Xuewei; Liu, Qiang; Jiang, He; Dan Tang; Wen, Jiao; Lu, Youming; Liu, Wenjun; Cao, Peijiang; Han, Shun; Wu, Jing; Liu, Wenjun; Wang, Xi; Zhu, Deliang; He, Zhubing

    2016-04-22

    Black phosphorus (BP) has emerged as a promising two-dimensional (2D) material for next generation transistor applications due to its superior carrier transport properties. Among other issues, achieving reduced subthreshold swing and enhanced hole mobility simultaneously remains a challenge which requires careful optimization of the BP/gate oxide interface. Here, we report the realization of high performance BP transistors integrated with HfO2 high-k gate dielectric using a low temperature CMOS process. The fabricated devices were shown to demonstrate a near ideal subthreshold swing (SS) of ~69 mV/dec and a room temperature hole mobility of exceeding >400 cm(2)/Vs. These figure-of-merits are benchmarked to be the best-of-its-kind, which outperform previously reported BP transistors realized on traditional SiO2 gate dielectric. X-ray photoelectron spectroscopy (XPS) analysis further reveals the evidence of a more chemically stable BP when formed on HfO2 high-k as opposed to SiO2, which gives rise to a better interface quality that accounts for the SS and hole mobility improvement. These results unveil the potential of black phosphorus as an emerging channel material for future nanoelectronic device applications.

  18. Interface engineering and reliability characteristics of hafnium dioxide with poly silicon gate and dual metal (ruthenium-tantalum alloy, ruthenium) gate electrode for beyond 65 nm technology

    NASA Astrophysics Data System (ADS)

    Kim, Young-Hee

    Chip density and performance improvements have been driven by aggressive scaling of semiconductor devices. In both logic and memory applications, SiO 2 gate dielectrics has reached its physical limit, direct tunneling resulting from scaling down of dielectrics thickness. Therefore high-k dielectrics have attracted a great deal of attention from industries as the replacement of conventional SiO2 gate dielectrics. So far, lots of candidate materials have been evaluated and Hf-based high-k dielectrics were chosen to the promising materials for gate dielectrics. However, lots of issues were identified and more thorough researches were carried out on Hf-based high-k dielectrics. For instances, mobility degradation, charge trapping, crystallization, Fermi level pinning, interface engineering, and reliability studies. In this research, reliability study of HfO2 were explored with poly gate and dual metal (Ru-Ta alloy, Ru) gate electrode as well as interface engineering. Hard breakdown and soft breakdown were compared and Weibull slope of soft breakdown was smaller than that of hard breakdown, which led to a potential high-k scaling issue. Dynamic reliability has been studied and the combination of trapping and detrapping contributed the enhancement of lifetime projection. Polarity dependence was shown that substrate injection might reduce lifetime projection as well as it increased soft breakdown behavior. Interface tunneling mechanism was suggested with dual metal gate technology. Soft breakdown (l st breakdown) was mainly due to one layer breakdown of bi-layer structure. Low weibull slope was in part attributed to low barrier height of HfO 2 compared to interface layer. Interface layer engineering was thoroughly studied in terms of mobility, swing, and short channel effect using deep sub-micron MOSFET devices. In fact, Hf-based high-k dielectrics could be scaled down to below EOT of ˜10A and it successfully achieved the competitive performance goals. However, it is

  19. Mechanically Assisted Self-Healing of Ultrathin Gold Nanowires.

    PubMed

    Wang, Binjun; Han, Ying; Xu, Shang; Qiu, Lu; Ding, Feng; Lou, Jun; Lu, Yang

    2018-04-17

    As the critical feature sizes of integrated circuits approaching sub-10 nm, ultrathin gold nanowires (diameter <10 nm) have emerged as one of the most promising candidates for next-generation interconnects in nanoelectronics. Also due to their ultrasmall dimensions, however, the structures and morphologies of ultrathin gold nanowires are more prone to be damaged during practical services, for example, Rayleigh instability can significantly alter their morphologies upon Joule heating, hindering their applications as interconnects. Here, it is shown that upon mechanical perturbations, predamaged, nonuniform ultrathin gold nanowires can quickly recover into uniform diameters and restore their smooth surfaces, via a simple mechanically assisted self-healing process. By examining the local self-healing process through in situ high-resolution transmission electron microscopy, the underlying mechanism is believed to be associated with surface atomic diffusion as evidenced by molecular dynamics simulations. In addition, mechanical manipulation can assist the atoms to overcome the diffusion barriers, as suggested by ab initio calculations, to activate more surface adatoms to diffuse and consequently speed up the self-healing process. This result can provide a facile method to repair ultrathin metallic nanowires directly in functional devices, and quickly restore their microstructures and morphologies by simple global mechanical perturbations. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Stress evolution of Ge nanocrystals in dielectric matrices.

    PubMed

    Bahariqushchi, Rahim; Raciti, Rosario; Kasapoğlu, Ahmet Emre; Gür, Emre; Sezen, Meltem; Kalay, Eren; Mirabella, Salvatore; Aydinli, A

    2018-05-04

    Germanium nanocrystals (Ge NCs) embedded in single and multilayer silicon oxide and silicon nitride matrices have been synthesized using plasma enhanced chemical vapor deposition followed by conventional furnace annealing or rapid thermal processing in N 2 ambient. Compositions of the films were determined by Rutherford backscattering spectrometry and x-ray photoelectron spectroscopy. The formation of NCs under suitable process conditions was observed with high resolution transmission electron microscope micrographs and Raman spectroscopy. Stress measurements were done using Raman shifts of the Ge optical phonon line at 300.7 cm -1 . The effect of the embedding matrix and annealing methods on Ge NC formation were investigated. In addition to Ge NCs in single layer samples, the stress on Ge NCs in multilayer samples was also analyzed. Multilayers of Ge NCs in a silicon nitride matrix separated by dielectric buffer layers to control the size and density of NCs were fabricated. Multilayers consisted of SiN y :Ge ultrathin films sandwiched between either SiO 2 or Si 3 N 4 by the proper choice of buffer material. We demonstrated that it is possible to tune the stress state of Ge NCs from compressive to tensile, a desirable property for optoelectronic applications. We also observed that there is a correlation between the stress and the crystallization threshold in which the compressive stress enhances the crystallization, while the tensile stress suppresses the process.

  1. Stress evolution of Ge nanocrystals in dielectric matrices

    NASA Astrophysics Data System (ADS)

    Bahariqushchi, Rahim; Raciti, Rosario; Emre Kasapoğlu, Ahmet; Gür, Emre; Sezen, Meltem; Kalay, Eren; Mirabella, Salvatore; Aydinli, A.

    2018-05-01

    Germanium nanocrystals (Ge NCs) embedded in single and multilayer silicon oxide and silicon nitride matrices have been synthesized using plasma enhanced chemical vapor deposition followed by conventional furnace annealing or rapid thermal processing in N2 ambient. Compositions of the films were determined by Rutherford backscattering spectrometry and x-ray photoelectron spectroscopy. The formation of NCs under suitable process conditions was observed with high resolution transmission electron microscope micrographs and Raman spectroscopy. Stress measurements were done using Raman shifts of the Ge optical phonon line at 300.7 cm-1. The effect of the embedding matrix and annealing methods on Ge NC formation were investigated. In addition to Ge NCs in single layer samples, the stress on Ge NCs in multilayer samples was also analyzed. Multilayers of Ge NCs in a silicon nitride matrix separated by dielectric buffer layers to control the size and density of NCs were fabricated. Multilayers consisted of SiN y :Ge ultrathin films sandwiched between either SiO2 or Si3N4 by the proper choice of buffer material. We demonstrated that it is possible to tune the stress state of Ge NCs from compressive to tensile, a desirable property for optoelectronic applications. We also observed that there is a correlation between the stress and the crystallization threshold in which the compressive stress enhances the crystallization, while the tensile stress suppresses the process.

  2. Effect of the addition of B{sub 2}O{sub 3} and BaO-B{sub 2}O{sub 3}-SiO{sub 2} glasses on the microstructure and dielectric properties of giant dielectric constant material CaCu{sub 3}Ti{sub 4}O{sub 12}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shri Prakash, B.; Varma, K.B.R.

    2007-06-15

    The effect of the addition of glassy phases on the microstructure and dielectric properties of CaCu{sub 3}Ti{sub 4}O{sub 12} (CCTO) ceramics was investigated. Both single-component (B{sub 2}O{sub 3}) and multi-component (30 wt% BaO-60 wt% B{sub 2}O{sub 3}-10 wt% SiO{sub 2} (BBS)) glass systems were chosen to study their effect on the density, microstructure and dielectric properties of CCTO. Addition of an optimum amount of B{sub 2}O{sub 3} glass facilitated grain growth and an increase in dielectric constant. However, further increase in the B{sub 2}O{sub 3} content resulted in its segregation at the grain boundaries associated with a reduction in themore » grain size. In contrast, BBS glass addition resulted in well-faceted grains and increase in the dielectric constant and decrease in the dielectric loss. An internal barrier layer capacitance (IBLC) model was invoked to correlate the dielectric constant with the grain size in these samples. - Graphical abstract: Scanning electron micrograph of 30 wt% BaO-60 wt% B{sub 2}O{sub 3}-10 wt% SiO{sub 2} (BBS) glass-added CaCu{sub 3}Ti{sub 4}O{sub 12} ceramic on sintering.« less

  3. Colossal Dielectric Behavior of Ga+Nb Co-Doped Rutile TiO2.

    PubMed

    Dong, Wen; Hu, Wanbiao; Berlie, Adam; Lau, Kenny; Chen, Hua; Withers, Ray L; Liu, Yun

    2015-11-18

    Stimulated by the excellent colossal permittivity (CP) behavior achieved in In+Nb co-doped rutile TiO2, in this work we investigate the CP behavior of Ga and Nb co-doped rutile TiO2, i.e., (Ga(0.5)Nb(0.5))(x)Ti(1-x)O2, where Ga(3+) is from the same group as In(3+) but with a much smaller ionic radius. Colossal permittivity of up to 10(4)-10(5) with an acceptably low dielectric loss (tan δ = 0.05-0.1) over broad frequency/temperature ranges is obtained at x = 0.5% after systematic synthesis optimizations. Systematic structural, defect, and dielectric characterizations suggest that multiple polarization mechanisms exist in this system: defect dipoles at low temperature (∼10-40 K), polaronlike electron hopping/transport at higher temperatures, and a surface barrier layer capacitor effect. Together these mechanisms contribute to the overall dielectric properties, especially apparent observed CP. We believe that this work provides comprehensive guidance for the design of new CP materials.

  4. Curie temperature of ultrathin ferromagnetic layer with Dzyaloshinskii-Moriya interaction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    You, Chun-Yeol

    2014-08-07

    We investigate the effect of the Dzyaloshinskii-Moriya interaction (DMI) on the Curie temperature of the ultrathin ferromagnetic layers. It has been known that the Curie temperature of the ferromagnet depends on spin wave excitation energies, and they are affected by DMI. Therefore, the ferromagnetic transition temperature of the ultrathin ferromagnetic layer must be sensitive on the DMI. We find that the Curie temperature depends on the DMI by using the double time Green's function method. Since the DMI is arisen by the inversion symmetry breaking structure, the DMI is always important in the inversion symmetry breaking ultrathin ferromagnetic layers.

  5. Engineering hierarchical ultrathin CuCo2O4 nanosheets array on Ni foam by rapid electrodeposition method toward high-performance binder-free supercapacitors

    NASA Astrophysics Data System (ADS)

    Abbasi, Laleh; Arvand, Majid

    2018-07-01

    In the present work, we engineer hierarchical ultrathin CuCo2O4 nanosheets arrays on Ni foam through a facile, controllable and low-cost electrodeposition method by controlling deposition time and adjusting precursor's type, as a binder-free electrode for high performance supercapacitors. The effects of deposition time and types of precursors on the morphology of the as-prepared electrodes were investigated by X-ray diffraction, energy dispersive X-ray analysis, field-emission scanning electron microscopy, transmission electron microscopy and X-ray photoelectron spectroscopy. As a results, the CuCo2O4 electrode prepared by nitrate salts at the deposition time of 10 min, includes the most uniform and ultrathin nanosheet arrays and exhibits the highest capacitance performance, such as ultrahigh specific capacitance of 1330 F g-1 at 2 A g-1 with 70% capacitance retention (938 F g-1) at ultrahigh current density of 60 A g-1, excellent cycling stability of 93.6% capacitance retention after 5000CD cycles and the maximum energy density of 29.55 Wh kg-1 at the power density of 0.4 kW kg-1. These superior electrochemical performances have been attributed to its unique structures with direct connected ultrathin nanosheets on the surface of Ni foam and abundant pores provide large electroactive sites for electrochemical reactions, as well as facile electron, ion transport and high electrical conductivity.

  6. Effects of optical design modifications on thermal performance of a highly reflective HfO2/SiO2/TiO2 three material coating

    NASA Astrophysics Data System (ADS)

    Ocak, M.; Sert, C.; Okutucu-Özyurt, T.

    2018-02-01

    Effects of layer thickness modifications on laser induced temperature distribution inside three material, highly reflective thin film coatings are studied with numerical simulations. As a base design, a 21 layer coating composed of HfO2, SiO2 and TiO2 layers of quarter wave thickness is considered. First, the laser induced temperature distribution in this base design is obtained. Then the layer thicknesses of the base design are modified and the corresponding temperature distributions in four alternative non-quarter wave coatings are evaluated. The modified thicknesses are determined using an in-house code developed to shift the electric field intensity (EFI) peak from the first high/low layer interface towards the adjacent low index layer that has a higher thermal conductivity, hence, higher laser damage resistance. Meanwhile, the induced increase in the EFI peak is kept at a user defined upper limit. The laser endurance of the base and alternative designs are compared in terms of their estimated temperature distributions. The results indicated that both the peak temperature and the highest interface temperature are decreased by at least 32%, in non-dimensional form, when alternative designs are used instead of the base design. The total reflection of the base design is only decreased from 99.8% to at most 99.4% when alternative designs are used. The study is proved to be successful in improving the laser endurance of three material thin film coatings by lowering the peak and interface temperatures.

  7. Dielectric Meta-Holograms Enabled with Dual Magnetic Resonances in Visible Light.

    PubMed

    Li, Zile; Kim, Inki; Zhang, Lei; Mehmood, Muhammad Q; Anwar, Muhammad S; Saleem, Murtaza; Lee, Dasol; Nam, Ki Tae; Zhang, Shuang; Luk'yanchuk, Boris; Wang, Yu; Zheng, Guoxing; Rho, Junsuk; Qiu, Cheng-Wei

    2017-09-26

    Efficient transmission-type meta-holograms have been demonstrated using high-index dielectric nanostructures based on Huygens' principle. It is crucial that the geometry size of building blocks be judiciously optimized individually for spectral overlap of electric and magnetic dipoles. In contrast, reflection-type meta-holograms using the metal/insulator/metal scheme and geometric phase can be readily achieved with high efficiency and small thickness. Here, we demonstrate a general platform for design of dual magnetic resonance based meta-holograms based on the geometric phase using silicon nanostructures that are quarter wavelength thick for visible light. Significantly, the projected holographic image can be unambiguously observed without a receiving screen even under the illumination of natural light. Within the well-developed semiconductor industry, our ultrathin magnetic resonance-based meta-holograms may have promising applications in anticounterfeiting and information security.

  8. Highly scaled equivalent oxide thickness of 0.66 nm for TiN/HfO2/GaSb MOS capacitors by using plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Tsai, Ming-Li; Wang, Shin-Yuan; Chien, Chao-Hsin

    2017-08-01

    Through in situ hydrogen plasma treatment (HPT) and plasma-enhanced atomic-layer-deposited TiN (PEALD-TiN) layer capping, we successfully fabricated TiN/HfO2/GaSb metal-oxide-semiconductor capacitors with an ultrathin equivalent oxide thickness of 0.66 nm and a low density of states of approximately 2 × 1012 cm-2 eV-1 near the valence band edge. After in situ HPT, a native oxide-free surface was obtained through efficient etching. Moreover, the use of the in situ PEALD-TiN layer precluded high-κ dielectric damage that would have been caused by conventional sputtering, thereby yielding a superior high-κ dielectric and low gate leakage current.

  9. Quenching Mo optical losses in CIGS solar cells by a point contacted dual-layer dielectric spacer: a 3-D optical study.

    PubMed

    Rezaei, Nasim; Isabella, Olindo; Vroon, Zeger; Zeman, Miro

    2018-01-22

    A 3-D optical modelling was calibrated to calculate the light absorption and the total reflection of fabricated CIGS solar cells. Absorption losses at molybdenum (Mo) / CIGS interface were explained in terms of plasmonic waves. To quench these losses, we assumed the insertion of a lossless dielectric spacer between Mo and CIGS, whose optical properties were varied. We show that such a spacer with low refractive index and proper thickness can significantly reduce absorption in Mo in the long wavelength regime and improve the device's rear reflectance, thus leading to enhanced light absorption in the CIGS layer. Therefore, we optimized a realistic two-layer MgF 2 / Al 2 O 3 dielectric spacer to exploit (i) the passivation properties of ultra-thin Al 2 O 3 on the CIGS side for potential high open-circuit voltage and (ii) the low refractive index of MgF 2 on the Mo side to reduce its optical losses. Combining our realistic spacer with optically-optimized point contacts increases the implied photocurrent density of a 750 nm-thick CIGS layer by 10% for the wavelengths between 700 and 1150 nm with respect to the reference cell. The elimination of plasmonic resonances in the new structure leads to a higher electric field magnitude at the bottom of CIGS layer and justifies the improved optical performance.

  10. Influence of Surrounding Dielectrics on the Data Retention Time of Doped Sb2Te Phase Change Material

    NASA Astrophysics Data System (ADS)

    Jedema, Friso; in `t Zandt, Micha; Wolters, Rob; Gravesteijn, Dirk

    2011-02-01

    The crystallization properties of as-deposited and laser written amorphous marks of doped Sb2Te phase change material are found to be only dependent on the top dielectric layer. A ZnS:SiO2 top dielectric layer yields a higher crystallization temperature and a larger crystal growth activation energy as compared to a SiO2 top dielectric layer, leading to superior data retention times at ambient temperatures. The observed correlation between the larger crystallization temperatures and larger crystal growth activation energies indicates that the viscosity of the phase change material in the amorphous state is dependent on the interfacial energy between the phase change material and the top dielectric layer.

  11. Dielectric-based subwavelength metallic meanders for wide-angle band absorbers.

    PubMed

    Shen, Su; Qiao, Wen; Ye, Yan; Zhou, Yun; Chen, Linsen

    2015-01-26

    We propose nano-meanders that can achieve wide-angle band absorption in visible regime. The nano-meander consists of a subwavelength dielectric grating covered by continuous ultra-thin Aluminum film (less than one tenth of the incident wavelength). The excited photonic resonant modes, such as cavity mode, surface plasmonic mode and Rayleigh-Wood anomaly, are discussed in detail. Nearly total resonant absorption due to funneling mechanism in the air nano-groove is almost invariant with large incident angle in transverse magnetic polarization. From both the structural geometry and the nanofabrication point of view, the light absorber has a very simple geometrical structure and it is easy to be integrated into complex photonic devices. The highly efficient angle-robust light absorber can be potential candidate for a range of passive and active photonic applications, including solar-energy harvesting as well as producing artificial colors on a large scale substrate.

  12. Stepwise mechanism and H2O-assisted hydrolysis in atomic layer deposition of SiO2 without a catalyst.

    PubMed

    Fang, Guo-Yong; Xu, Li-Na; Wang, Lai-Guo; Cao, Yan-Qiang; Wu, Di; Li, Ai-Dong

    2015-01-01

    Atomic layer deposition (ALD) is a powerful deposition technique for constructing uniform, conformal, and ultrathin films in microelectronics, photovoltaics, catalysis, energy storage, and conversion. The possible pathways for silicon dioxide (SiO2) ALD using silicon tetrachloride (SiCl4) and water (H2O) without a catalyst have been investigated by means of density functional theory calculations. The results show that the SiCl4 half-reaction is a rate-determining step of SiO2 ALD. It may proceed through a stepwise pathway, first forming a Si-O bond and then breaking Si-Cl/O-H bonds and forming a H-Cl bond. The H2O half-reaction may undergo hydrolysis and condensation processes, which are similar to conventional SiO2 chemical vapor deposition (CVD). In the H2O half-reaction, there are massive H2O molecules adsorbed on the surface, which can result in H2O-assisted hydrolysis of the Cl-terminated surface and accelerate the H2O half-reaction. These findings may be used to improve methods for the preparation of SiO2 ALD and H2O-based ALD of other oxides, such as Al2O3, TiO2, ZrO2, and HfO2.

  13. Stepwise mechanism and H2O-assisted hydrolysis in atomic layer deposition of SiO2 without a catalyst

    NASA Astrophysics Data System (ADS)

    Fang, Guo-Yong; Xu, Li-Na; Wang, Lai-Guo; Cao, Yan-Qiang; Wu, Di; Li, Ai-Dong

    2015-02-01

    Atomic layer deposition (ALD) is a powerful deposition technique for constructing uniform, conformal, and ultrathin films in microelectronics, photovoltaics, catalysis, energy storage, and conversion. The possible pathways for silicon dioxide (SiO2) ALD using silicon tetrachloride (SiCl4) and water (H2O) without a catalyst have been investigated by means of density functional theory calculations. The results show that the SiCl4 half-reaction is a rate-determining step of SiO2 ALD. It may proceed through a stepwise pathway, first forming a Si-O bond and then breaking Si-Cl/O-H bonds and forming a H-Cl bond. The H2O half-reaction may undergo hydrolysis and condensation processes, which are similar to conventional SiO2 chemical vapor deposition (CVD). In the H2O half-reaction, there are massive H2O molecules adsorbed on the surface, which can result in H2O-assisted hydrolysis of the Cl-terminated surface and accelerate the H2O half-reaction. These findings may be used to improve methods for the preparation of SiO2 ALD and H2O-based ALD of other oxides, such as Al2O3, TiO2, ZrO2, and HfO2.

  14. Dopant driven tunability of dielectric relaxation in MxCo(1-x)Fe2O4 (M: Zn2+, Mn2+, Ni2+) nano-ferrites

    NASA Astrophysics Data System (ADS)

    Datt, Gopal; Abhyankar, A. C.

    2017-07-01

    Nano-ferrites with tunable dielectric and magnetic properties are highly desirable in modern electronics industries. This work reports the effect of ferromagnetic (Ni), anti-ferromagnetic (Mn), and non-magnetic (Zn) substitution on cobalt-ferrites' dielectric and magnetic properties. The Rietveld analysis of XRD data and the Raman spectroscopic study reveals that all the samples are crystallized in the Fd-3m space group. The T2g Raman mode was observed to split into branches, which is due to the presence of different cations (with different vibrational frequencies) at crystallographic A and B-sites. The magnetization study shows that the MnCoFe2O4 sample has the highest saturation magnetization of 87 emu/g, which is attributed to the presence of Mn2+ cations at the B-site with a magnetic moment of 5 μB. The dielectric permittivity of these nanoparticles (NPs) obeys the modified Debye model, which is further supported by Cole-Cole plots. The dielectric constant of MnCoFe2O4 ferrite is found to be one order higher than that of the other two ferrites. The increased bond length of the Mn2+-O2- bond along with the enhanced d-d electron transition between Mn 2 +/Co 2 +⇋Fe 3 + cations at the B-site are found to be the main contributing factors for the enhanced dielectric constant of MnCoFe2O4 ferrite. We find evidence of variable-range hopping of localized polarons in these ferrite NPs. The activation energy, hopping range, and density of states N (" separators="|EF ), of these polarons were calculated using Motts' 1/4th law. The estimated activation energies of these polarons at 300 K were found to be 288 meV, 426 meV, and 410 meV, respectively, for the MnCoFe2O4, NiCoFe2O4, and ZnCoFe2O4 ferrite NPs, while the hopping range of these polarons were found to be 27.14 Å, 11.66 Å, and 8.17 Å, respectively. Observation of a low dielectric loss of ˜0.04, in the frequency range of 0.1-1 MHz, in these NPs makes them potential candidates for energy harvesting devices in

  15. Intrinsic Enhancement of Dielectric Permittivity in (Nb + In) co-doped TiO2 single crystals.

    PubMed

    Kawarasaki, Masaru; Tanabe, Kenji; Terasaki, Ichiro; Fujii, Yasuhiro; Taniguchi, Hiroki

    2017-07-13

    The development of dielectric materials with colossal permittivity is important for the miniaturization of electronic devices and fabrication of high-density energy-storage devices. The electron-pinned defect-dipoles has been recently proposed to boost the permittivity of (Nb + In) co-doped TiO 2 to 10 5 . However, the follow-up studies suggest an extrinsic contribution to the colossal permittivity from thermally excited carriers. Herein, we demonstrate a marked enhancement in the permittivity of (Nb + In) co-doped TiO 2 single crystals at sufficiently low temperatures such that the thermally excited carriers are frozen out and exert no influence on the dielectric response. The results indicate that the permittivity attains quadruple of that for pure TiO 2 . This finding suggests that the electron-pinned defect-dipoles add an extra dielectric response to that of the TiO 2 host matrix. The results offer a novel approach for the development of functional dielectric materials with large permittivity by engineering complex defects into bulk materials.

  16. Dielectric behavior and AC conductivity of Cr doped α-Mn2O3

    NASA Astrophysics Data System (ADS)

    Chandra, Mohit; Yadav, Satish; Singh, K.

    2018-05-01

    The complex dielectric behavior of polycrystalline α-Mn2-xCrxO3 (x = 0.10) has been investigated isothermally at wide frequency range (4Hz-1 MHz) at different temperatures (300-390K). The dielectric spectroscopy results have been discussed in different formulism like dielectric constant, impedance and ac conductivity. The frequency dependent dielectric loss (tanδ) exhibit a clear relaxation behavior in the studied temperature range. The relaxation frequency increases with increasing temperature. These results are fitted using Arrhenius equation which suggest thermally activated process and the activation energy is 0.173±0.0024 eV. The normalized tanδ curves at different temperatures merge as a single master curve which indicate that the relaxation process follow the similar relaxation dynamics in the studied temperature range. Further, the dielectric relaxation follows non-Debye behavior. The impedance results inference that the grain boundary contribution dominate at lower frequency whereas grain contribution appeared at higher frequencies and exhibit strong temperature dependence. The ac conductivity data shows that the ac conductivity increases with increasing temperature which corroborate the semiconducting nature of the studied sample.

  17. How reduced vacuum pumping capability in a coating chamber affects the laser damage resistance of HfO 2/SiO 2 antireflection and high-reflection coatings

    DOE PAGES

    Field, Ella S.; Bellum, John C.; Kletecka, Damon E.

    2016-07-15

    Here, optical coatings with the highest laser damage thresholds rely on clean conditions in the vacuum chamber during the coating deposition process. A low-base pressure in the coating chamber, as well as the ability of the vacuum system to maintain the required pressure during deposition, are important aspects of limiting the amount of defects in an optical coating that could induce laser damage. Our large optics coating chamber at Sandia National Laboratories normally relies on three cryo pumps to maintain low pressures for e-beam coating processes. However, on occasion, one or more of the cryo pumps have been out ofmore » commission. In light of this circumstance, we explored how deposition under compromised vacuum conditions resulting from the use of only one or two cryo pumps affects the laser-induced damage thresholds of optical coatings. The coatings of this study consist of HfO 2 and SiO 2 layer materials and include antireflection coatings for 527 nm at normal incidence and high-reflection coatings for 527 nm at 45-deg angle of incidence in P-polarization.« less

  18. Controllable fabrication of ultrathin free-standing graphene films

    PubMed Central

    Chen, Jianyi; Guo, Yunlong; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Liu, Hongtao; Wu, Bin; Yu, Gui; Hu, Wenping; Liu, Yunqi; Zhu, Daoben

    2014-01-01

    Graphene free-standing film-like or paper-like materials have attracted great attention due to their intriguing electronic, optical and mechanical properties and potential application in chemical filters, molecular storage and supercapacitors. Although significant progress has been made in fabricating graphene films or paper, there is still no effective method targeting ultrathin free-standing graphene films (UFGFs). Here, we present a modified filtration assembly method to prepare these ultrathin films. With this approach, we have fabricated a series of ultrathin free-standing graphene oxide films and UFGFs, up to 40 mm in diameter, with controllable thickness from micrometre to nanoscale (approx. 40 nm) dimensions. This method can be easily scaled up and the films display excellent optical, electrical and electrochemical properties. The ability to produce UFGFs from graphene oxide with a scalable, low-cost approach should take us a step closer to real-world applications of graphene. PMID:24615152

  19. Dielectric properties of (CuO, CaO2, and BaO)y/CuTl-1223 composites

    NASA Astrophysics Data System (ADS)

    Mumtaz, M.; Kamran, M.; Nadeem, K.; Jabbar, Abdul; Khan, Nawazish A.; Saleem, Abida; Tajammul Hussain, S.; Kamran, M.

    2013-07-01

    We synthesized (CuO, CaO2, and BaO)y/Cu0.5Tl0.5Ba2Ca2Cu3O10-δ (y = 0, 5%, 10%, 15%) composites by solid-state reaction and characterized them by x-ray diffraction, scanning electron microscopy, dc-resistivity, and Fourier transform infrared spectroscopy. Frequency and temperature dependent dielectric properties, such as real and imaginary parts of the dielectric constant, dielectric loss, and ac-conductivity of these composites were studied by capacitance and conductance measurements as a function of frequency (10 kHz to 10 MHz) and temperature (78 to 300 K). X-ray diffraction analysis reveals that the characteristic behavior of the superconductor phase and the structure of Cu0.5Tl0.5Ba2Ca2Cu3O10-δ are nearly undisturbed by doping with nanoparticles. Scanning electron microscopy images show the improvement in the intergranular linking between the superconducting grains occurring with increasing nanoparticle concentration. Microcracks are healed up with these nanoparticles, and superconducting volume fraction is also increased. Dielectric properties of these composites strongly depend on the frequency and temperature. Zero resistivity critical temperature and dielectric properties show opposite trends with the addition of nanoparticles to the Cu0.5Tl0.5Ba2Ca2Cu3O10-δ superconductor matrix.

  20. Rapid amperometric detection of trace metals by inhibition of an ultrathin polypyrrole-based glucose biosensor.

    PubMed

    Ayenimo, Joseph G; Adeloju, Samuel B

    2016-02-01

    A sensitive and reliable inhibitive amperometric glucose biosensor is described for rapid trace metal determination. The biosensor utilises a conductive ultrathin (55 nm thick) polypyrrole (PPy) film for entrapment of glucose oxidase (GOx) to permit rapid inhibition of GOx activity in the ultrathin film upon exposure to trace metals, resulting in reduced glucose amperometric response. The biosensor demonstrates a relatively fast response time of 20s and does not require incubation. Furthermore, a complete recovery of GOx activity in the ultrathin PPy-GOx biosensor is quickly achieved by washing in 2mM EDTA for only 10s. The minimum detectable concentrations achieved with the biosensor for Hg(2+), Cu(2+), Pb(2+) and Cd(2+) by inhibitive amperometric detection are 0.48, 1.5, 1.6 and 4.0 µM, respectively. Also, suitable linear concentration ranges were achieved from 0.48-3.3 µM for Hg(2+), 1.5-10 µM for Cu(2+), 1.6-7.7 µM for Pb(2+) and 4-26 µM for Cd(2+). The use of Dixon and Cornish-Bowden plots revealed that the suppressive effects observed with Hg(2+) and Cu(2+) were via non-competitive inhibition, while those of Pb(2+) and Cd(2+) were due to mixed and competitive inhibition. The stronger inhibition exhibited by the trace metals on GOx activity in the ultrathin PPy-GOx film was also confirmed by the low inhibition constant obtained from this analysis. The biosensor was successfully applied to the determination of trace metals in tap water samples. Copyright © 2015 Elsevier B.V. All rights reserved.

  1. Colossal dielectric permittivity in (Al + Nb) co-doped rutile SnO2 ceramics with low loss at room temperature

    NASA Astrophysics Data System (ADS)

    Song, Yongli; Wang, Xianjie; Zhang, Xingquan; Qi, Xudong; Liu, Zhiguo; Zhang, Lingli; Zhang, Yu; Wang, Yang; Sui, Yu; Song, Bo

    2016-10-01

    The exploration of colossal dielectric permittivity (CP) materials with low dielectric loss in a wide range of frequencies/temperatures continues to attract considerable interest. In this paper, we report CP in (Al + Nb) co-doped rutile SnO2 ceramics with a low dielectric loss at room temperature. Al0.02Nb0.05Sn0.93O2 and Al0.03Nb0.05Sn0.92O2 ceramics exhibit high relative dielectric permittivities (above 103) and low dielectric losses (0.015 < tan δ < 0.1) in a wide range of frequencies and at temperatures from 140 to 400 K. Al doping can effectively modulate the dielectric behavior by increasing the grain and grain boundary resistances. The large differences in the resistance and conductive activation energy of the grains and grain boundaries suggest that the CP in co-doped SnO2 ceramics can be attributed to the internal barrier layer capacitor effect.

  2. Fabrication of Ultra-thin Color Films with Highly Absorbing Media Using Oblique Angle Deposition.

    PubMed

    Yoo, Young Jin; Lee, Gil Ju; Jang, Kyung-In; Song, Young Min

    2017-08-29

    Ultra-thin film structures have been studied extensively for use as optical coatings, but performance and fabrication challenges remain.  We present an advanced method for fabricating ultra-thin color films with improved characteristics. The proposed process addresses several fabrication issues, including large area processing. Specifically, the protocol describes a process for fabricating ultra-thin color films using an electron beam evaporator for oblique angle deposition of germanium (Ge) and gold (Au) on silicon (Si) substrates.  Film porosity produced by the oblique angle deposition induces color changes in the ultra-thin film. The degree of color change depends on factors such as deposition angle and film thickness. Fabricated samples of the ultra-thin color films showed improved color tunability and color purity. In addition, the measured reflectance of the fabricated samples was converted into chromatic values and analyzed in terms of color. Our ultra-thin film fabricating method is expected to be used for various ultra-thin film applications such as flexible color electrodes, thin film solar cells, and optical filters. Also, the process developed here for analyzing the color of the fabricated samples is broadly useful for studying various color structures.

  3. Designable ultra-smooth ultra-thin solid-electrolyte interphases of three alkali metal anodes.

    PubMed

    Gu, Yu; Wang, Wei-Wei; Li, Yi-Juan; Wu, Qi-Hui; Tang, Shuai; Yan, Jia-Wei; Zheng, Ming-Sen; Wu, De-Yin; Fan, Chun-Hai; Hu, Wei-Qiang; Chen, Zhao-Bin; Fang, Yuan; Zhang, Qing-Hong; Dong, Quan-Feng; Mao, Bing-Wei

    2018-04-09

    Dendrite growth of alkali metal anodes limited their lifetime for charge/discharge cycling. Here, we report near-perfect anodes of lithium, sodium, and potassium metals achieved by electrochemical polishing, which removes microscopic defects and creates ultra-smooth ultra-thin solid-electrolyte interphase layers at metal surfaces for providing a homogeneous environment. Precise characterizations by AFM force probing with corroborative in-depth XPS profile analysis reveal that the ultra-smooth ultra-thin solid-electrolyte interphase can be designed to have alternating inorganic-rich and organic-rich/mixed multi-layered structure, which offers mechanical property of coupled rigidity and elasticity. The polished metal anodes exhibit significantly enhanced cycling stability, specifically the lithium anodes can cycle for over 200 times at a real current density of 2 mA cm -2 with 100% depth of discharge. Our work illustrates that an ultra-smooth ultra-thin solid-electrolyte interphase may be robust enough to suppress dendrite growth and thus serve as an initial layer for further improved protection of alkali metal anodes.

  4. Growth of highly strained CeO 2 ultrathin films

    DOE PAGES

    Shi, Yezhou; Lee, Sang Chul; Monti, Matteo; ...

    2016-11-07

    Large biaxial strain is a promising route to tune the functionalities of oxide thin films. However, large strain is often not fully realized due to the formation of misfit dislocations at the film/substrate interface. In this work, we examine the growth of strained ceria (CeO 2) thin films on (001)-oriented single crystal yttria-stabilized zirconia (YSZ) via pulsed-laser deposition. By varying the film thickness systematically between 1 and 430 nm, we demonstrate that ultrathin ceria films are coherently strained to the YSZ substrate for thicknesses up to 2.7 nm, despite the large lattice mismatch (~5%). The coherency is confirmed by bothmore » X-ray diffraction and high-resolution transmission electron microscopy. This thickness is several times greater than the predicted equilibrium critical thickness. Partial strain relaxation is achieved by forming semirelaxed surface islands rather than by directly nucleating dislocations. In situ reflective high-energy electron diffraction during growth confirms the transition from 2-D (layer-by-layer) to 3-D (island) at a film thickness of ~1 nm, which is further supported by atomic force microscopy. We propose that dislocations likely nucleate near the surface islands and glide to the film/substrate interface, as evidenced by the presence of 60° dislocations. Finally, an improved understanding of growing oxide thin films with a large misfit lays the foundation to systematically explore the impact of strain and dislocations on properties such as ionic transport and redox chemistry.« less

  5. Dielectric relaxation, resonance and scaling behaviors in Sr3Co2Fe24O41 hexaferrite

    PubMed Central

    Tang, Rujun; Jiang, Chen; Qian, Wenhu; Jian, Jie; Zhang, Xin; Wang, Haiyan; Yang, Hao

    2015-01-01

    The dielectric properties of Z-type hexaferrite Sr3Co2Fe24O41 (SCFO) have been investigated as a function of temperature from 153 to 503 K between 1 and 2 GHz. The dielectric responses of SCFO are found to be frequency dependent and thermally activated. The relaxation-type dielectric behavior is observed to be dominating in the low frequency region and resonance-type dielectric behavior is found to be dominating above 108 Hz. This frequency dependence of dielectric behavior is explained by the damped harmonic oscillator model with temperature dependent coefficients. The imaginary part of impedance (Z″) and modulus (M″) spectra show that there is a distribution of relaxation times. The scaling behaviors of Z″ and M″ spectra further suggest that the distribution of relaxation times is temperature independent at low frequencies. The dielectric loss spectra at different temperatures have not shown a scaling behavior above 108 Hz. A comparison between the Z″ and the M″ spectra indicates that the short-range charges motion dominates at low temperatures and the long-range charges motion dominates at high temperatures. The above results indicate that the dielectric dispersion mechanism in SCFO is temperature independent at low frequencies and temperature dependent at high frequencies due to the domination of resonance behavior. PMID:26314913

  6. Giant dielectric constant dominated by Maxwell-Wagner relaxation in Al{sub 2}O{sub 3}/TiO{sub 2} nanolaminates synthesized by atomic layer deposition.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, W.; Auciello, O.; Premnath, R. N.

    2010-01-01

    Nanolaminates consisting of Al{sub 2}O{sub 3} and TiO{sub 2} oxide sublayers were synthesized by using atomic layer deposition to produce individual layers with atomic scale thickness control. The sublayer thicknesses were kept constant for each multilayer structure, and were changed from 50 to 0.2 nm for a series of different samples. Giant dielectric constant ({approx}1000) was observed when the sublayer thickness is less than 0.5 nm, which is significantly larger than that of Al{sub 2}O{sub 3} and TiO{sub 2} dielectrics. Detailed investigation revealed that the observed giant dielectric constant is originated from the Maxwell-Wagner type dielectric relaxation.

  7. Structural, dielectric and magnetic studies of magnetoelectric trirutile Fe{sub 2}TeO{sub 6}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaushik, S. D., E-mail: sdkaushik@csr.res.in; Sahu, B.; Mohapatra, S. R.

    2016-05-23

    We have investigated structural, magnetic and dielectric properties of Fe{sub 2}TeO{sub 6} which is a magnetoelectric antiferromagnet with the trirutile lattice. Rietveld analysis of room temperature X-ray diffraction data shows the phase purity of the sample with tetragonal trirutile structure (space group P4{sub 2}/mnm). The DC susceptibility measurement performed on polycrystalline powders exhibits antiferromagnetic ordering below transition temperature ~ 210K. The employment of Curie-Weiss law to inverse magnetic susceptibility only in the temperature range 350-260 K indicates the magnetic ordering starts developing before the transition temperature. The temperature dependent dielectric measurements show an intrinsic behavior of dielectric constant below 150more » K while a continuous increase in dielectric constant with temperature above 150 K may be attributed to a small increase in electrical conduction, known commonly in the literatures.« less

  8. Dielectric properties of magnetic-ferroelectric CoO-NaNO2-porous glass nanocomposite

    NASA Astrophysics Data System (ADS)

    Koroleva, E. Yu.; Burdin, D. Yu.; Kumzerov, Yu. A.; Sysoeva, A. A.; Filimonov, A. V.; Vakhrushev, S. B.

    2017-10-01

    Dielectric properties of the nanostructured multiferroic composite on the basis of silicate porous glass simultaneously filled with ferromagnetic (cobalt oxide CoO) and ferroelectric (sodium nitrite) materials have been investigated in wide temperature (270-570 K) and frequency (10-1-107 Hz) ranges. The mean diameter of pores in the matrix is 7 ± 1 nm. The magnetic material particles are synthesized directly in the pores of the glass matrix and occupy about 10% of the pore volume. The porous glass is well wetted with NaNO2. The latter easily infiltrates into the glass and occupies 90% of the remaining unfilled pore volume. The dielectric response of matrices filled with both the components together and with each component separately is studied. An analysis of the obtained data makes it possible to reveal the contributions of individual components into the dielectric response of the composite and the influence of the confined geometry on their dielectric properties. It is found that the incorporation of CoO nanoparticles leads to an order of magnitude increase in the dielectric permittivity and electrical conductivity of the two-component composite in comparison with these values for the composite filled solely with sodium nitrite and to a decrease in the activation energy over the entire studied temperature range. These studies are of interest not only as a preliminary investigation prior to the study of the effect of a magnetic field on the dielectric properties of the synthesized composite, but are of independent physical interest as well, since they allow one to determine the influence of the confined geometry on the dielectric properties of magnetic metal oxides and on the of their phase transition parameters.

  9. Terahertz Artificial Dielectric Lens.

    PubMed

    Mendis, Rajind; Nagai, Masaya; Wang, Yiqiu; Karl, Nicholas; Mittleman, Daniel M

    2016-03-14

    We have designed, fabricated, and experimentally characterized a lens for the THz regime based on artificial dielectrics. These are man-made media that mimic properties of naturally occurring dielectric media, or even manifest properties that cannot generally occur in nature. For example, the well-known dielectric property, the refractive index, which usually has a value greater than unity, can have a value less than unity in an artificial dielectric. For our lens, the artificial-dielectric medium is made up of a parallel stack of 100 μm thick metal plates that form an array of parallel-plate waveguides. The convergent lens has a plano-concave geometry, in contrast to conventional dielectric lenses. Our results demonstrate that this lens is capable of focusing a 2 cm diameter beam to a spot size of 4 mm, at the design frequency of 0.17 THz. The results further demonstrate that the overall power transmission of the lens can be better than certain conventional dielectric lenses commonly used in the THz regime. Intriguingly, we also observe that under certain conditions, the lens boundary demarcated by the discontinuous plate edges actually resembles a smooth continuous surface. These results highlight the importance of this artificial-dielectric technology for the development of future THz-wave devices.

  10. Terahertz Artificial Dielectric Lens

    PubMed Central

    Mendis, Rajind; Nagai, Masaya; Wang, Yiqiu; Karl, Nicholas; Mittleman, Daniel M.

    2016-01-01

    We have designed, fabricated, and experimentally characterized a lens for the THz regime based on artificial dielectrics. These are man-made media that mimic properties of naturally occurring dielectric media, or even manifest properties that cannot generally occur in nature. For example, the well-known dielectric property, the refractive index, which usually has a value greater than unity, can have a value less than unity in an artificial dielectric. For our lens, the artificial-dielectric medium is made up of a parallel stack of 100 μm thick metal plates that form an array of parallel-plate waveguides. The convergent lens has a plano-concave geometry, in contrast to conventional dielectric lenses. Our results demonstrate that this lens is capable of focusing a 2 cm diameter beam to a spot size of 4 mm, at the design frequency of 0.17 THz. The results further demonstrate that the overall power transmission of the lens can be better than certain conventional dielectric lenses commonly used in the THz regime. Intriguingly, we also observe that under certain conditions, the lens boundary demarcated by the discontinuous plate edges actually resembles a smooth continuous surface. These results highlight the importance of this artificial-dielectric technology for the development of future THz-wave devices. PMID:26973294

  11. High temperature calorimetric studies of heat of solution of NiO, CuO, La2O3, TiO2, HfO2 in sodium silicate liquids

    NASA Astrophysics Data System (ADS)

    Linard, Yannick; Wilding, Martin C.; Navrotsky, Alexandra

    2008-01-01

    The enthalpies of solution of La2O3, TiO2, HfO2, NiO and CuO were measured in sodium silicate melts at high temperature. When the heat of fusion was available, we derived the corresponding liquid-liquid enthalpies of mixing. These data, combined with previously published work, provide insight into the speciation reactions in sodium silicate melts. The heat of solution of La2O3 in these silicate solvents is strongly exothermic and varies little with La2O3 concentration. The variation of heat of solution with composition of the liquid reflects the ability of La(III) to perturb the transient silicate framework and compete with other cations for oxygen. The enthalpy of solution of TiO2 is temperature-dependent and indicates that the formation of Na-O-Si species is favored over Na-O-Ti at low temperature. The speciation reactions can be interpreted in terms of recent spectroscopic studies of titanium-bearing melts which identify a dual role of Ti4+ as both a network-former end network-modifier. The heats of solution of oxides of transition elements (Ni and Cu) are endothermic, concentration-dependent and reach a maximum with concentration. These indicate a charge balanced substitution which diminishes the network modifying role of Na+ by addition of Ni2+ or Cu2+. The transition metal is believed to be in tetrahedral coordination, charge balanced by the sodium cation in the melts.

  12. Transport properties of ultra-thin VO2 films on (001) TiO2 grown by reactive molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Paik, Hanjong; Moyer, Jarrett A.; Spila, Timothy; Tashman, Joshua W.; Mundy, Julia A.; Freeman, Eugene; Shukla, Nikhil; Lapano, Jason M.; Engel-Herbert, Roman; Zander, Willi; Schubert, Jürgen; Muller, David A.; Datta, Suman; Schiffer, Peter; Schlom, Darrell G.

    2015-10-01

    We report the growth of (001)-oriented VO2 films as thin as 1.5 nm with abrupt and reproducible metal-insulator transitions (MIT) without a capping layer. Limitations to the growth of thinner films with sharp MITs are discussed, including the Volmer-Weber type growth mode due to the high energy of the (001) VO2 surface. Another key limitation is interdiffusion with the (001) TiO2 substrate, which we quantify using low angle annular dark field scanning transmission electron microscopy in conjunction with electron energy loss spectroscopy. We find that controlling island coalescence on the (001) surface and minimization of cation interdiffusion by using a low growth temperature followed by a brief anneal at higher temperature are crucial for realizing ultrathin VO2 films with abrupt MIT behavior.

  13. Cathodoluminescence Study of Hafnium Oxide

    NASA Astrophysics Data System (ADS)

    Purcell, Emily; Hengehold, Robert; McClory, John

    2011-10-01

    Hafnium dioxide (HfO2) is increasingly being used in place of silicon oxide as a gate insulator in field effect transistors. This is primarily due to its high dielectric constant, κ, of 25. Samples of HfO2 were grown by either atomic layer deposition (ALD) or pulsed laser deposition (PLD), with the PLD samples having assorted substrate temperatures during deposition (300 C, 500 C, and 750 C). Cathodoluminescence (CL) was chosen as the technique used for studying these HfO2 samples. The CL system used was capable of beam energies ranging from 1 keV to 20 keV and beam currents ranging from 10 μA to 50 μA. A Monte Carlo calculation using CASINO software was performed in order to determine the beam energy for the desired depth of penetration. Measurements were taken at sample temperatures ranging from 7K (closed cycled cryostat) to 300K (room temperature), as well as at various beam energies and beam currents. Comparison will be made between the PLD and ALD spectra.

  14. Aging dynamics in the polymer glass of poly(2-chlorostyrene): Dielectric susceptibility and volume

    NASA Astrophysics Data System (ADS)

    Fukao, Koji; Tahara, Daisuke

    2009-11-01

    Aging dynamics was investigated in the glassy states of poly(2-chlorostyrene) by measuring the complex electrical capacitance during aging below the glass transition temperature. The variations with time and temperature of the ac dielectric susceptibility and volume could be determined by simply measuring the variation in the complex electrical capacitance. Isothermal aging at a given temperature for several hours after an intermittent stop in constant-rate cooling is stored in the deviations of both the real and imaginary parts of the complex ac dielectric susceptibility and volume. During cooling after isothermal aging, the deviation of the ac dielectric susceptibility from the reference value decreases and almost vanishes at room temperature. By contrast, the deviation in volume induced during isothermal aging remains almost constant during cooling. The simultaneous measurement of ac dielectric susceptibility and volume clearly revealed that the ac dielectric susceptibility exhibits a full rejuvenation effect, whereas the volume does not show any rejuvenation effects. We discuss a plausible model that can reproduce the present experimental results.

  15. Synthesis of nanocrystalline Gd2Ti2O7 by combustion process and its structural, optical and dielectric properties

    NASA Astrophysics Data System (ADS)

    Jeyasingh, T.; Saji, S. K.; Wariar, P. R. S.

    2017-07-01

    Nanosized pyrochlore material Gadolinium Titanate (Gd2Ti2O7) powder was prepared by modified single step auto-ignition combustion process. The phase formation has been investigated using X-Ray diffraction analysis (XRD). The crystalline pyrochlore phase is further confirmed by the presence of metal-oxygen bonds in the FT-IR spectra. XRD analysis revealed that Gd2Ti2O7 has a cubic structure with Fd3m space group. The combustion powder was sintered to high density (97% of theoretical density) at ˜13000 C for 4h and the surface morphology was examined by Scanning Electron Microscopy (SEM). The optical band gap of Gd2Ti2O7 determined from the absorption spectrum is found to be 4.2 eV, which corresponds to direct allowed transitions. The dielectric measurements were carried out using LCR meter in the radio frequency region at room temperature. The sintered Gd2Ti2O7 has a dielectric constant (Ɛr) = 40 and dielectric loss (tan δ) = 0.01 at 1MHz.

  16. Ultrathin and lightweight organic solar cells with high flexibility

    PubMed Central

    Kaltenbrunner, Martin; White, Matthew S.; Głowacki, Eric D.; Sekitani, Tsuyoshi; Someya, Takao; Sariciftci, Niyazi Serdar; Bauer, Siegfried

    2012-01-01

    Application-specific requirements for future lighting, displays and photovoltaics will include large-area, low-weight and mechanical resilience for dual-purpose uses such as electronic skin, textiles and surface conforming foils. Here we demonstrate polymer-based photovoltaic devices on plastic foil substrates less than 2 μm thick, with equal power conversion efficiency to their glass-based counterparts. They can reversibly withstand extreme mechanical deformation and have unprecedented solar cell-specific weight. Instead of a single bend, we form a random network of folds within the device area. The processing methods are standard, so the same weight and flexibility should be achievable in light emitting diodes, capacitors and transistors to fully realize ultrathin organic electronics. These ultrathin organic solar cells are over ten times thinner, lighter and more flexible than any other solar cell of any technology to date. PMID:22473014

  17. Ultrathin Au-Alloy Nanowires at the Liquid-Liquid Interface.

    PubMed

    Chatterjee, Dipanwita; Shetty, Shwetha; Müller-Caspary, Knut; Grieb, Tim; Krause, Florian F; Schowalter, Marco; Rosenauer, Andreas; Ravishankar, Narayanan

    2018-03-14

    Ultrathin bimetallic nanowires are of importance and interest for applications in electronic devices such as sensors and heterogeneous catalysts. In this work, we have designed a new, highly reproducible and generalized wet chemical method to synthesize uniform and monodispersed Au-based alloy (AuCu, AuPd, and AuPt) nanowires with tunable composition using microwave-assisted reduction at the liquid-liquid interface. These ultrathin alloy nanowires are below 4 nm in diameter and about 2 μm long. Detailed microstructural characterization shows that the wires have an face centred cubic (FCC) crystal structure, and they have low-energy twin-boundary and stacking-fault defects along the growth direction. The wires exhibit remarkable thermal and mechanical stability that is critical for important applications. The alloy wires exhibit excellent electrocatalytic activity for methanol oxidation in an alkaline medium.

  18. Electrical and dielectric properties of ZnO and CeO{sub 2} doped ZrTi{sub 2}O{sub 6} ceramic

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    George, Aneesh; Thomas, Jijimon K.; John, Annamma

    2014-01-28

    Zirconium oxide (ZrO{sub 2}) and titanium dioxide (TiO{sub 2}) are the important catalyst supports, since it has acidic and basic properties. The intermediate phase zirconium titanate ZrTi{sub 2}O{sub 6}, which is a solid solution with Zr:Ti ratio 1:2 has outstanding dielectric properties. The effects of doping of ZnO and CeO{sub 2} on the dielectric and electrical properties of ZrTi{sub 2}O{sub 6} ceramic are investigated. On adding 0.5 wt% ZnO, the dielectric constant is increased but, on adding CeO{sub 2}, the dielectric constant is decreased. The bulk density of pure sample sintered at 1530 °C is 91% of theoretical density whilemore » that of the doped samples sintered at 1450 °C is more than 94% of theoretical density. Scanning electron micrographs reveal that the samples are well sintered with minimum porosity. The semicircle behavior in the Cole-Cole plots at room temperature reveals that the samples are good ionic conductor. The induced impedance is reduced for doped samples and this can be used as a material for electrolyte in Solid Oxide Fuel Cell.« less

  19. Electrical characteristics and interface properties of ALD-HfO2/AlGaN/GaN MIS-HEMTs fabricated with post-deposition annealing

    NASA Astrophysics Data System (ADS)

    Kubo, Toshiharu; Egawa, Takashi

    2017-12-01

    HfO2/AlGaN/GaN metal-insulator-semiconductor (MIS)-type high electron mobility transistors (HEMTs) on Si substrates were fabricated by atomic layer deposition of HfO2 layers and post-deposition annealing (PDA). The current-voltage characteristics of the MIS-HEMTs with as-deposited HfO2 layers showed a low gate leakage current (I g) despite the relatively low band gap of HfO2, and a dynamic threshold voltage shift (ΔV th) was observed. After PDA above 500 °C, ΔV th was reduced from 2.9 to 0.7 V with an increase in I g from 2.2 × 10-7 to 4.8 × 10-2 mA mm-1. Effects of the PDA on the HfO2 layer and the HfO2/AlGaN interface were investigated by x-ray photoelectron spectroscopy (XPS) using synchrotron radiation. XPS data showed that oxygen vacancies exist in the as-deposited HfO2 layers and they disappeared with an increase in the PDA temperature. These results indicate that the deep electron traps that cause ΔV th are related to the oxygen vacancies in the HfO2 layers.

  20. Origin of the colossal dielectric permittivity and magnetocapacitance in LuFe2O4

    NASA Astrophysics Data System (ADS)

    Ren, P.; Yang, Z.; Zhu, W. G.; Huan, C. H. A.; Wang, L.

    2011-04-01

    We report the detailed study on the colossal dielectric constant and magnetocapacitance of LuFe2O4. The experimental results indicate that the large dielectric constant of LuFe2O4 is originated from two sources, (1) Maxwell Wagner-type contributions of depletion layers at grain boundaries and the interfaces between sample and contacts, (2) AC response of the constant phase element in the bulk. A detailed equivalent circuit analysis indicates that the conductivity variation can be responsible for the observed "magnetocapacitance."