Sample records for acid etching dental

  1. Femtosecond laser etching of dental enamel for bracket bonding.

    PubMed

    Kabas, Ayse Sena; Ersoy, Tansu; Gülsoy, Murat; Akturk, Selcuk

    2013-09-01

    The aim is to investigate femtosecond laser ablation as an alternative method for enamel etching used before bonding orthodontic brackets. A focused laser beam is scanned over enamel within the area of bonding in a saw tooth pattern with a varying number of lines. After patterning, ceramic brackets are bonded and bonding quality of the proposed technique is measured by a universal testing machine. The results are compared to the conventional acid etching method. Results show that bonding strength is a function of laser average power and the density of the ablated lines. Intrapulpal temperature changes are also recorded and observed minimal effects are observed. Enamel surface of the samples is investigated microscopically and no signs of damage or cracking are observed. In conclusion, femtosecond laser exposure on enamel surface yields controllable patterns that provide efficient bonding strength with less removal of dental tissue than conventional acid-etching technique.

  2. Cell Adhesion and in Vivo Osseointegration of Sandblasted/Acid Etched/Anodized Dental Implants

    PubMed Central

    Kim, Mu-Hyon; Park, Kyeongsoon; Choi, Kyung-Hee; Kim, Soo-Hong; Kim, Se Eun; Jeong, Chang-Mo; Huh, Jung-Bo

    2015-01-01

    The authors describe a new type of titanium (Ti) implant as a Modi-anodized (ANO) Ti implant, the surface of which was treated by sandblasting, acid etching (SLA), and anodized techniques. The aim of the present study was to evaluate the adhesion of MG-63 cells to Modi-ANO surface treated Ti in vitro and to investigate its osseointegration characteristics in vivo. Four different types of Ti implants were examined, that is, machined Ti (control), SLA, anodized, and Modi-ANO Ti. In the cell adhesion study, Modi-ANO Ti showed higher initial MG-63 cell adhesion and induced greater filopodia growth than other groups. In vivo study in a beagle model revealed the bone-to-implant contact (BIC) of Modi-ANO Ti (74.20% ± 10.89%) was much greater than those of machined (33.58% ± 8.63%), SLA (58.47% ± 12.89), or ANO Ti (59.62% ± 18.30%). In conclusion, this study demonstrates that Modi-ANO Ti implants produced by sandblasting, acid etching, and anodizing improve cell adhesion and bone ongrowth as compared with machined, SLA, or ANO Ti implants. These findings suggest that the application of Modi-ANO surface treatment could improve the osseointegration of dental implant. PMID:25955650

  3. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    PubMed

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (p<0.05) SBS and SFL with pre-etching than it did without pre-etching. The SBS and SFL of dentin bonds decreased with phosphoric acid pre-etching. The SBS and SFL of bonds using phosphoric acid prior to application of self-etching adhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was

  4. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    PubMed

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  5. Comparison of bond strength and surface morphology of dental enamel for acid and Nd-YAG laser etching

    NASA Astrophysics Data System (ADS)

    Parmeswearan, Diagaradjane; Ganesan, Singaravelu; Ratna, P.; Koteeswaran, D.

    1999-05-01

    Recently, laser pretreatment of dental enamel has emerged as a new technique in the field of orthodontics. However, the changes in the morphology of the enamel surface is very much dependent on the wavelength of laser, emission mode of the laser, energy density, exposure time and the nature of the substance absorbing the energy. Based on these, we made a comparative in vitro study on laser etching with acid etching with reference to their bond strength. Studies were conducted on 90 freshly extracted, non carious, human maxillary or mandibular anteriors and premolars. Out of 90, 60 were randomly selected for laser irradiation. The other 30 were used for conventional acid pretreatment. The group of 60 were subjected to Nd-YAG laser exposure (1060 nm, 10 Hz) at differetn fluences. The remaining 30 were acid pretreated with 30% orthophosphoric acid. Suitable Begg's brackets were selected and bound to the pretreated surface and the bond strength were tested using Instron testing machine. The bond strength achieved through acid pretreatment is found to be appreciably greater than the laser pretreated tooth. Though the bond strength achieved through the acid pretreated tooth is found to be significantly greater than the laser pretreated specimens, the laser pretreatement is found to be successful enough to produce a clinically acceptable bond strength of > 0.60 Kb/mm. Examination of the laser pre-treated tooth under SEM showed globule formation which may produce the mechanical interface required for the retention of the resin material.

  6. AFM and SEM study of the effects of etching on IPS-Empress 2 TM dental ceramic

    NASA Astrophysics Data System (ADS)

    Luo, X.-P.; Silikas, N.; Allaf, M.; Wilson, N. H. F.; Watts, D. C.

    2001-10-01

    The aim of this study was to investigate the effects of increasing etching time on the surface of the new dental material, IPS-Empress 2 TM glass ceramic. Twenty one IPS-Empress 2 TM glass ceramic samples were made from IPS-Empress 2 TM ingots through lost-wax, hot-pressed ceramic fabrication technology. All samples were highly polished and cleaned ultrasonically for 5 min in acetone before and after etching with 9.6% hydrofluoric acid gel. The etching times were 0, 10, 20, 30, 60, 90 and 120 s respectively. Microstructure was analysed by scanning electron microscopy (SEM) and atomic force microscopy (AFM) was used to evaluate the surface roughness and topography. Observations with SEM showed that etching with hydrofluoric acid resulted in preferential dissolution of glass matrix, and that partially supported crystals within the glass matrix were lost with increasing etching time. AFM measurements indicated that etching increased the surface roughness of the glass-ceramic. A simple least-squares linear regression was used to establish a relationship between surface roughness parameters ( Ra, RMS), and etching time, for which r2>0.94. This study demonstrates the benefits of combining two microscopic methods for a better understanding of the surface. SEM showed the mode of action of hydrofluoric acid on the ceramic and AFM provided valuable data regarding the extent of surface degradation relative to etching time.

  7. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    PubMed

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  8. The Effects of Acid Etching on the Nanomorphological Surface Characteristics and Activation Energy of Titanium Medical Materials.

    PubMed

    Hung, Kuo-Yung; Lin, Yi-Chih; Feng, Hui-Ping

    2017-10-11

    The purpose of this study was to characterize the etching mechanism, namely, the etching rate and the activation energy, of a titanium dental implant in concentrated acid and to construct the relation between the activation energy and the nanoscale surface topographies. A commercially-pure titanium (CP Ti) and Ti-6Al-4V ELI surface were tested by shot blasting (pressure, grain size, blasting distance, blasting angle, and time) and acid etching to study its topographical, weight loss, surface roughness, and activation energy. An Arrhenius equation was applied to derive the activation energy for the dissolution of CP Ti/Ti-6Al-4V ELI in sulfuric acid (H₂SO₄) and hydrochloric acid (HCl) at different temperatures. In addition, white-light interferometry was applied to measure the surface nanomorphology of the implant to obtain 2D or 3D roughness parameters (Sa, Sq, and St). The nanopore size that formed after etching was approximately 100-500 nm. The surface roughness of CP Ti and Ti-6Al-4V ELI decreased as the activation energy decreased but weight loss increased. Ti-6Al-4V ELI has a higher level of activation energy than Ti in HCl, which results in lower surface roughness after acid etching. This study also indicates that etching using a concentrated hydrochloric acid provided superior surface modification effects in titanium compared with H₂SO₄.

  9. Ultrastructure of the surface of dental enamel with molar incisor hypomineralization (MIH) with and without acid etching.

    PubMed

    Bozal, Carola B; Kaplan, Andrea; Ortolani, Andrea; Cortese, Silvina G; Biondi, Ana M

    2015-01-01

    The aim of the present work was to analyze the ultrastructure and mineral composition of the surface of the enamel on a molar with MIH, with and without acid etching. A permanent tooth without clinical MIH lesions (control) and a tooth with clinical diagnosis of mild and moderate MIH, with indication for extraction, were processed with and without acid etching (H3PO4 37%, 20") for observation with scanning electron microscope (SEM) ZEISS (Supra 40) and mineral composition analysis with an EDS detector (Oxford Instruments). The control enamel showed normal prismatic surface and etching pattern. The clinically healthy enamel on the tooth with MIH revealed partial loss of prismatic pattern. The mild lesion was porous with occasional cracks. The moderate lesion was more porous, with larger cracks and many scales. The mineral composition of the affected surfaces had lower Ca and P content and higher O and C. On the tooth with MIH, even on normal looking enamel, the demineralization does not correspond to an etching pattern, and exhibits exposure of crystals with rods with rounded ends and less demineralization in the inter-prismatic spaces. Acid etching increased the presence of cracks and deep pores in the adamantine structure of the enamel with lesion. In moderate lesions, the mineral composition had higher content of Ca, P and Cl. Enamel with MIH, even on clinically intact adamantine surfaces, shows severe alterations in the ultrastructure and changes in ionic composition, which affect the acid etching pattern and may interfere with adhesion.

  10. Acid-etching technique of non-decalcified bone samples for visualizing osteocyte-lacuno-canalicular network using scanning electron microscope.

    PubMed

    Lampi, Tiina; Dekker, Hannah; Ten Bruggenkate, Chris M; Schulten, Engelbert A J M; Mikkonen, Jopi J W; Koistinen, Arto; Kullaa, Arja M

    2018-01-01

    The aim of this study was to define the acid-etching technique for bone samples embedded in polymethyl metacrylate (PMMA) in order to visualize the osteocyte lacuno-canalicular network (LCN) for scanning electron microscopy (SEM). Human jaw bone tissue samples (N = 18) were collected from the study population consisting of patients having received dental implant surgery. After collection, the bone samples were fixed in 70% ethanol and non-decalcified samples embedded routinely into polymethyl metacrylate (PMMA). The PMMA embedded specimens were acid-etched in either 9 or 37% phosphoric acid (PA) and prepared for SEM for further analysis. PMMA embedded bone specimens acid-etched by 9% PA concentration accomplishes the most informative and favorable visualization of the LCN to be observed by SEM. Etching of PMMA embedded specimens is recommendable to start with 30 s or 40 s etching duration in order to find the proper etching duration for the samples examined. Visualizing osteocytes and LCN provides a tool to study bone structure that reflects changes in bone metabolism and diseases related to bone tissue. By proper etching protocol of non-decalcified and using scanning electron microscope it is possible to visualize the morphology of osteocytes and the network supporting vitality of bone tissue.

  11. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    PubMed

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (p<0.001). The highest shear bond strength was found for FNR after phosphoric acid etching. Without phosphoric acid etching, only FNR showed no significant differences compared to the control (SC). SEM evaluations showed mostly adhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  12. The Effects of Acid Etching on the Nanomorphological Surface Characteristics and Activation Energy of Titanium Medical Materials

    PubMed Central

    Hung, Kuo-Yung; Lin, Yi-Chih; Feng, Hui-Ping

    2017-01-01

    The purpose of this study was to characterize the etching mechanism, namely, the etching rate and the activation energy, of a titanium dental implant in concentrated acid and to construct the relation between the activation energy and the nanoscale surface topographies. A commercially-pure titanium (CP Ti) and Ti-6Al-4V ELI surface were tested by shot blasting (pressure, grain size, blasting distance, blasting angle, and time) and acid etching to study its topographical, weight loss, surface roughness, and activation energy. An Arrhenius equation was applied to derive the activation energy for the dissolution of CP Ti/Ti-6Al-4V ELI in sulfuric acid (H2SO4) and hydrochloric acid (HCl) at different temperatures. In addition, white-light interferometry was applied to measure the surface nanomorphology of the implant to obtain 2D or 3D roughness parameters (Sa, Sq, and St). The nanopore size that formed after etching was approximately 100–500 nm. The surface roughness of CP Ti and Ti-6Al-4V ELI decreased as the activation energy decreased but weight loss increased. Ti-6Al-4V ELI has a higher level of activation energy than Ti in HCl, which results in lower surface roughness after acid etching. This study also indicates that etching using a concentrated hydrochloric acid provided superior surface modification effects in titanium compared with H2SO4. PMID:29019926

  13. Evaluation of Mineral Content and Photon Interaction Parameters of Dental Enamel After Phosphoric Acid and Er:YAG Laser Treatment.

    PubMed

    Simsek, Huseyin; Gurbuz, Taskın; Buyuk, Suleyman Kutalmış; Ozdemir, Yuksel

    2017-05-01

    The purpose of this study was to evaluate the effects of laser and acid etching on the mineral content and photon interaction parameters of dental enamel in human teeth. The composition of dental enamel may vary, especially at the surface, depending on the reactions that occur during dental treatment. Forty maxillary premolars were divided randomly into 2 groups of 20 teeth. In the first group, half of teeth crowns were etched by using 37% phosphoric acid; in the second group, half of teeth crowns were etched by using an erbium:yttrium-aluminum-garnet (Er:YAG) laser. The remaining half crowns in each group were used as untreated controls. We characterized the calcium (Ca), phosphorus (P), magnesium (Mg), sodium (Na), and potassium (K) contents in each specimen by using wavelength dispersive X-ray fluorescence spectrometry. The total atomic cross-section ([Formula: see text]), effective atomic number ([Formula: see text]), and electron density (N e ) of the tooth samples were determined at photon energies of 22.1, 25, 59.5, and 88 keV by using a narrow beam transmission method. Data were analyzed statistically by using the Mann-Whitney U test. The mineral contents after Er:YAG laser and phosphoric acid etching did not differ significantly (p > 0.05), and no significant variation in [Formula: see text], [Formula: see text], or N e was observed. Therefore, we conclude that the Er:YAG laser and phosphoric acid systems used in this study did not affect mineral composition or photon interaction parameters of dental enamel.

  14. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    PubMed

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  15. The research on conformal acid etching process of glass ceramic

    NASA Astrophysics Data System (ADS)

    Wang, Kepeng; Guo, Peiji

    2014-08-01

    A series of experiments have been done to explore the effect of different conditions on the hydrofluoric acid etching. The hydrofluoric acid was used to etch the glass ceramic called "ZERODUR", which is invented by SCHOTT in Germany. The glass ceramic was processed into cylindrical samples. The hydrofluoric acid etching was done in a plastic beaker. The concentration of hydrofluoric acid and the etching time were changed to measure the changes of geometric tolerance and I observed the surface using a microscope in order to find an appropriate condition of hydrofluoric acid etching.

  16. A comparative study of shear bond strength of orthodontic bracket after acid-etched and Er:YAG treatment on enamel surface

    NASA Astrophysics Data System (ADS)

    Leão, Juliana C.; Mota, Cláudia C. B. O.; Cassimiro-silva, Patricia F.; Gomes, Anderson S. L.

    2016-02-01

    This study aimed to evaluate the shear bond strength (SBS) of teeth prepared for orthodontic bracket bonding with 37% phosphoric acid and Er:YAG laser. Forty bovine incisors were divided into two groups. In Group I, the teeth were conditioned with 37% phosphoric acid and brackets were bonded with Transbond XT; in Group II, the teeth were irradiated with Er:YAG and bonding with Transbond XT. After SBS test, the adhesive remnant index was determined. Adhesion to dental hard tissues after Er:YAG laser etching was inferior to that obtained after acid etching but exceeded what is believed to be clinically sufficient strength, and therefore can be used in patients.

  17. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    PubMed

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  18. The Effect of Hydrofluoric Acid Etching Duration on the Surface Micromorphology, Roughness, and Wettability of Dental Ceramics

    PubMed Central

    Ramakrishnaiah, Ravikumar; Alkheraif, Abdulaziz A.; Divakar, Darshan Devang; Matinlinna, Jukka P.; Vallittu, Pekka K.

    2016-01-01

    The current laboratory study is evaluating the effect of hydrofluoric acid etching duration on the surface characteristics of five silica-based glass ceramics. Changes in the pore pattern, crystal structure, roughness, and wettability were compared and evaluated. Seventy-five rectangularly shaped specimens were cut from each material (IPS e-max™, Dentsply Celtra™, Vita Suprinity™, Vita mark II™, and Vita Suprinity FC™); the sectioned samples were finished, polished, and ultrasonically cleaned. Specimens were randomly assigned into study groups: control (no etching) and four experimental groups (20, 40, 80 and 160 s of etching). The etched surfaces’ microstructure including crystal structure, pore pattern, pore depth, and pore width was studied under a scanning electron microscope, and the surface roughness and wettability were analyzed using a non-contact surface profilometer and a contact angle measuring device, respectively. The results were statistically analyzed using one-way analysis of variance (ANOVA) and the post hoc Tukey’s test. The results showed a significant change in the pore number, pore pattern, crystal structure, surface roughness, and wettability with increased etching duration. Etching for a short time resulted in small pores, and etching for longer times resulted in wider, irregular grooves. A significant increase in the surface roughness and wettability was observed with an increase in the etching duration. The findings also suggested a strong association between the surface roughness and wettability. PMID:27240353

  19. Bone Response to Two Dental Implants with Different Sandblasted/Acid-Etched Implant Surfaces: A Histological and Histomorphometrical Study in Rabbits

    PubMed Central

    Piattelli, Adriano; Quaranta, Alesandro

    2017-01-01

    Background Scientific evidence in the field of implant dentistry of the past 20 years established that titanium rough surfaces have shown improved osseointegration rates. In a majority of dental implants, the surface microroughness was obtained by grit blasting and/or acid etching. The aim of the study was to evaluate in vivo two different highly hydrophilic surfaces at different experimental times. Methods Calcium-modified (CA) and SLActive surfaces were evaluated and a total of 18 implants for each type of surface were positioned into the rabbit articular femoral knee-joint in a split model experiment, and they were evaluated histologically and histomorphometrically at 15, 30, and 60 days of healing. Results Bone-implant contact (BIC) at the two-implant surfaces was significantly different in favor of the CA surface at 15 days (p = 0.027), while SLActive displayed not significantly higher values at 30 (p = 0.51) and 60 days (p = 0.061). Conclusion Both implant surfaces show an intimate interaction with newly formed bone. PMID:29445746

  20. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    PubMed Central

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (p<0.001) of bond strength with surface roughness of enamel. Conclusion All groups might show clinically

  1. Comparative Evaluation of the Etching Pattern of Er,Cr:YSGG & Acid Etching on Extracted Human Teeth-An ESEM Analysis

    PubMed Central

    Mazumdar, Dibyendu; Ranjan, Shashi; Krishna, Naveen Kumar; Kole, Ravindra; Singh, Priyankar; Lakiang, Deirimika; Jayam, Chiranjeevi

    2016-01-01

    Introduction Etching of enamel and dentin surfaces increases the surface area of the substrate for better bonding of the tooth colored restorative materials. Acid etching is the most commonly used method. Recently, hard tissue lasers have been used for this purpose. Aim The aim of the present study was to evaluate and compare the etching pattern of Er,Cr:YSGG and conventional etching on extracted human enamel and dentin specimens. Materials and Methods Total 40 extracted non-diseased teeth were selected, 20 anterior and 20 posterior teeth each for enamel and dentin specimens respectively. The sectioned samples were polished by 400 grit Silicon Carbide (SiC) paper to a thickness of 1.0 ± 0.5 mm. The enamel and dentin specimens were grouped as: GrE1 & GrD1 as control specimens, GrE2 & GrD2 were acid etched and GrE3 & GrD3 were lased. Acid etching was done using Conditioner 36 (37 % phosphoric acid) according to manufacturer instructions. Laser etching was done using Er,Cr:YSGG (Erbium, Chromium : Ytrium Scandium Gallium Garnet) at power settings of 3W, air 70% and water 20%. After surface treatment with assigned agents the specimens were analyzed under ESEM (Environmental Scanning Electron Microscope) at X1000 and X5000 magnification. Results Chi Square and Student “t” statistical analysis was used to compare smear layer removal and etching patterns between GrE2-GrE3. GrD2 and GrD3 were compared for smear layer removal and diameter of dentinal tubule opening using the same statistical analysis. Chi-square test for removal of smear layer in any of the treated surfaces i.e., GrE2-E3 and GrD2-D3 did not differ significantly (p>0.05). While GrE2 showed predominantly type I etching pattern (Chi-square=2.78, 0.05

    0.10) and GrE3 showed type III etching (Chi-square=4.50, p<0.05). The tubule diameters were measured using GSA (Gesellschaft fur Softwareentwicklung und Analytik, Germany) image analyzer and the ‘t’ value of student ‘t’ test was 18.10 which was a

  2. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    PubMed

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (p<0.05) than those recorded for VM and VE, except when VE was treated with MEP, where the difference was statistically insignificant. Traces of fluoride ion were detected when MEP was used with VE and VM. Under limited conditions, using MEP resulted in comparable SBS results to HFMP; meanwhile HFMP remains the gold standard for pretreatment of glass ceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  3. Acids with an equivalent taste lead to different erosion of human dental enamel.

    PubMed

    Beyer, Markus; Reichert, Jörg; Bossert, Jörg; Sigusch, Bernd W; Watts, David C; Jandt, Klaus D

    2011-10-01

    The consumption of acidic soft drinks may lead to demineralization and softening of human dental enamel, known as dental erosion. The aims of this in vitro study were to determine: (i) if different acids with a similar sensorial acidic taste lead to different hardness loss of enamel and (ii) if the fruit acids tartaric, malic, lactic or ascorbic acid lead to less hardness loss of enamel than citric or phosphoric acid when their concentration in solution is based on an equivalent sensorial acidic taste. Enamel samples of non-erupted human third molars were treated with acidic solutions of tartaric (TA), malic (MA), lactic (LA), ascorbic (AA), phosphoric (PA) and citric (CA) acids with a concentration that gave an equivalent sensorial acidic taste. The acidic solutions were characterized by pH value and titratable acidity. Atomic force microscopy (AFM) based nanoindentation was used to study the nano mechanical properties and scanning electron microscopy (SEM) was used to study the morphology of the treated enamel samples and the untreated control areas, respectively. The investigated acids fell into two groups. The nano hardnesses of MA, TA and CA treated enamel samples (group I) were statistically significantly greater (p<0.05) than the nano hardnesses of PA, AA and LA treated enamel samples (group II). Within each group the nano hardness was not statistically significantly different (p>0.05). The SEM micrographs showed different etch prism morphologies depending on the acid used. In vitro, the acids investigated led to different erosion effects on human dental enamel, despite their equivalent sensorial acidic taste. This has not been reported previously. Copyright © 2011 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  4. Hydroxyapatite induces spontaneous polymerization of model self-etch dental adhesives

    PubMed Central

    Zhang, Ying; Wu, Ningjing; Bai, Xinyan; Xu, Changqi; Liu, Yi; Wang, Yong

    2013-01-01

    The objective of this study is to report for the first time the spontaneous polymerization phenomenon of self-etch dental adhesives induced by hydroxylapatite (HAp). Model self-etch adhesives were prepared by using a monomer mixture of bis[2-(methacryloyloxy)ethyl] phosphate (2MP) with 2-hydroxyethyl methacrylate (HEMA). The initiator system consisted of camphorquinone (CQ, 0.022 mmol/g) and ethyl 4-dimethylaminobenzoate (4E, 0.022–0.088 mmol/g). HAp (2–8 wt.%) was added to the neat model adhesive. In a dark environment, the polymerization was monitored in-situ using ATR/FT-IR, and the mechanical properties of the polymerized adhesives were evaluated using nanoindentation technique. Results indicated that spontaneous polymerization was not observed in the absence of HAp. However, as different amounts of HAp were incorporated into the adhesives, spontaneous polymerization was induced. Higher HAp content led to higher degree of conversion (DC), higher rate of polymerization (RP) and shorter induction period (IP). In addition, higher 4E content also elevated DC and RP and reduced IP of the adhesives. Nanoindentation result suggested that the Young's modulus of the polymerized adhesives showed similar dependence on HAp and 4E contents. In summary, interaction with HAp could induce spontaneous polymerization of the model self-etch adhesives. This result provides important information for understanding the initiation mechanism of the self-etch adhesives, and may be of clinical significance to strengthen the adhesive/dentin interface based on the finding. PMID:23910263

  5. Self-etching adhesives: review of adhesion to tooth structure part II.

    PubMed

    Strydom, C

    2005-02-01

    Self-etching adhesives are steadily increasing in popularity among dental practitioners with their easy handling technique and their promise of no post-op sensitivity. As with any new bonding material, in vitro and in vivo investigations are required to assess the clinical efficacy of these systems. The current literature was reviewed to provide information on these systems, including the influence of their acidity and permeability on the quality of the bond, the role of water in long-term degradation of the bond in in vivo and in vitro studies, and the clinical efficacy of the self-etching adhesives in clinical research studies. Published abstracts, reviews, laboratory reports and clinical research papers in the dental literature. Very little information is available on self-etching systems pertaining to the long-term in vitro and in vivo durability of their bond and their medium- to long-term clinical outcome. Although post-op sensitivity seems to be something of the past, short-term clinical studies show that some self-etching adhesives do not perform as well as total-etch systems.

  6. Effects of attrition, prior acid-etching, and cyclic loading on the bond strength of a self-etching adhesive system to dentin.

    PubMed

    Shinkai, Koichi; Ebihara, Takashi; Shirono, Manabu; Seki, Hideaki; Wakaki, Suguru; Suzuki, Masaya; Suzuki, Shiro; Katoh, Yoshiroh

    2009-03-01

    The purpose of this study was to evaluate the effects of dentin attrition, phosphoric acid etching, and cyclic loading on the microtensile bond strength (microTBS) of a self-etching adhesive system to dentin. Flat dentin surfaces of human molars were assigned to eight experimental groups based on those with or without attrition, prior acid-etching, and cyclic loading. Resin composite paste was placed and polymerized after the bonding procedure according to manufacturer's instructions. The specimens were subjected to microTBS testing at a crosshead speed of 0.5 mm/min. Results showed that the minimum mean value of microTBS was 14.9 MPa in the group without attrition and acid-etching but with loading, while the maximum mean value of microTBS was 40.0 MPa in the group without attrition and loading but with acid etching. Therefore, the value of microTBS to dentin without attrition was significantly decreased by cyclic loading but that to dentin with attrition was not affected.

  7. Hydroxyapatite induces spontaneous polymerization of model self-etch dental adhesives.

    PubMed

    Zhang, Ying; Wu, Ningjing; Bai, Xinyan; Xu, Changqi; Liu, Yi; Wang, Yong

    2013-10-01

    The objective of this study is to report for the first time the spontaneous polymerization phenomenon of self-etch dental adhesives induced by hydroxylapatite (HAp). Model self-etch adhesives were prepared by using a monomer mixture of bis[2-(methacryloyloxy)ethyl] phosphate (2MP) with 2-hydroxyethyl methacrylate (HEMA). The initiator system consisted of camphorquinone (CQ, 0.022 mmol/g) and ethyl 4-dimethylaminobenzoate (4E, 0.022-0.088 mmol/g). HAp (2-8 wt.%) was added to the neat model adhesive. In a dark environment, the polymerization was monitored in-situ using ATR/FT-IR, and the mechanical properties of the polymerized adhesives were evaluated using nanoindentation technique. Results indicated that spontaneous polymerization was not observed in the absence of HAp. However, as different amounts of HAp were incorporated into the adhesives, spontaneous polymerization was induced. Higher HAp content led to higher degree of conversion (DC), higher rate of polymerization (RP) and shorter induction period (IP). In addition, higher 4E content also elevated DC and RP and reduced IP of the adhesives. Nanoindentation result suggested that the Young's modulus of the polymerized adhesives showed similar dependence on HAp and 4E contents. In summary, interaction with HAp could induce spontaneous polymerization of the model self-etch adhesives. This result provides important information for understanding the initiation mechanism of the self-etch adhesives, and may be of clinical significance to strengthen the adhesive/dentin interface based on the finding. Copyright © 2013 Elsevier B.V. All rights reserved.

  8. Influence of pH, bleaching agents, and acid etching on surface wear of bovine enamel.

    PubMed

    Soares, Ana Flávia; Bombonatti, Juliana Fraga Soares; Alencar, Marina Studart; Consolmagno, Elaine Cristina; Honório, Heitor Marques; Mondelli, Rafael Francisco Lia

    2016-01-01

    Development of new materials for tooth bleaching justifies the need for studies to evaluate the changes in the enamel surface caused by different bleaching protocols. The aim of this study was to evaluate the bovine dental enamel wear in function of different bleaching gel protocols, acid etching and pH variation. Sixty fragments of bovine teeth were cut, obtaining a control and test areas. In the test area, one half received etching followed by a bleaching gel application, and the other half, only the bleaching gel. The fragments were randomly divided into six groups (n=10), each one received one bleaching session with five hydrogen peroxide gel applications of 8 min, activated with hybrid light, diode laser/blue LED (HL) or diode laser/violet LED (VHL) (experimental): Control (C); 35% Total Blanc Office (TBO35HL); 35% Lase Peroxide Sensy (LPS35HL); 25% Lase Peroxide Sensy II (LPS25HL); 15% Lase Peroxide Lite (LPL15HL); and 10% hydrogen peroxide (experimental) (EXP10VHL). pH values were determined by a pHmeter at the initial and final time periods. Specimens were stored, subjected to simulated brushing cycles, and the superficial wear was determined (μm). ANOVA and Tukey´s tests were applied (α=0.05). The pH showed a slight decrease, except for Group LPL15HL. Group LPS25HL showed the highest degree of wear, with and without etching. There was a decrease from the initial to the final pH. Different bleaching gels were able to increase the surface wear values after simulated brushing. Acid etching before bleaching increased surface wear values in all groups.

  9. Effect of Hydrofluoric Acid Etching Time on Titanium Topography, Chemistry, Wettability, and Cell Adhesion

    PubMed Central

    Zahran, R.; Rosales Leal, J. I.; Rodríguez Valverde, M. A.; Cabrerizo Vílchez, M. A.

    2016-01-01

    Titanium implant surface etching has proven an effective method to enhance cell attachment. Despite the frequent use of hydrofluoric (HF) acid, many questions remain unresolved, including the optimal etching time and its effect on surface and biological properties. The objective of this study was to investigate the effect of HF acid etching time on Ti topography, surface chemistry, wettability, and cell adhesion. These data are useful to design improved acid treatment and obtain an improved cell response. The surface topography, chemistry, dynamic wetting, and cell adhesiveness of polished Ti surfaces were evaluated after treatment with HF acid solution for 0, 2; 3, 5, 7, or 10 min, revealing a time-dependent effect of HF acid on their topography, chemistry, and wetting. Roughness and wetting increased with longer etching time except at 10 min, when roughness increased but wetness decreased. Skewness became negative after etching and kurtosis tended to 3 with longer etching time. Highest cell adhesion was achieved after 5–7 min of etching time. Wetting and cell adhesion were reduced on the highly rough surfaces obtained after 10-min etching time. PMID:27824875

  10. Acid etching of titanium for bonding with veneering composite resins.

    PubMed

    Ban, Seiji; Taniki, Toshio; Sato, Hideo; Kono, Hiroshi; Iwaya, Yukari; Miyamoto, Motoharu

    2006-06-01

    Commercially pure titanium (cpTi) was etched using three concentrated acids: 18% HCl, 43% H3PO4, and 48% H2SO4. The bond strengths between five types of veneering composite resin and eight cpTi treatments (involving combinations of sandblasting, acid etching in 48% H2SO4, and vacuum firing) were determined before and after 10,000 and 20,000 thermal cycles. There were no significant differences in the bond strength of resin to cpTi after etching in 48% H2SO4 at 90 degrees C for 15 minutes, at 60 degrees C for 15, 30, or 60 minutes, and after sandblasting with and without vacuum firing (p > 0.05); moreover, these treatments yielded the highest values. As for vacuum firing, it had no significant effect on resin bond strength to cpTi before or after 10,000 and 20,000 thermal cycles. We therefore concluded that acid etching in concentrated H2SO4 is a simple and effective surface modification method of titanium for bonding to veneering composite resins.

  11. Influence of pH, bleaching agents, and acid etching on surface wear of bovine enamel

    PubMed Central

    Soares, Ana Flávia; Bombonatti, Juliana Fraga Soares; Alencar, Marina Studart; Consolmagno, Elaine Cristina; Honório, Heitor Marques; Mondelli, Rafael Francisco Lia

    2016-01-01

    ABSTRACT Development of new materials for tooth bleaching justifies the need for studies to evaluate the changes in the enamel surface caused by different bleaching protocols. Objective The aim of this study was to evaluate the bovine dental enamel wear in function of different bleaching gel protocols, acid etching and pH variation. Material and Methods Sixty fragments of bovine teeth were cut, obtaining a control and test areas. In the test area, one half received etching followed by a bleaching gel application, and the other half, only the bleaching gel. The fragments were randomly divided into six groups (n=10), each one received one bleaching session with five hydrogen peroxide gel applications of 8 min, activated with hybrid light, diode laser/blue LED (HL) or diode laser/violet LED (VHL) (experimental): Control (C); 35% Total Blanc Office (TBO35HL); 35% Lase Peroxide Sensy (LPS35HL); 25% Lase Peroxide Sensy II (LPS25HL); 15% Lase Peroxide Lite (LPL15HL); and 10% hydrogen peroxide (experimental) (EXP10VHL). pH values were determined by a pHmeter at the initial and final time periods. Specimens were stored, subjected to simulated brushing cycles, and the superficial wear was determined (μm). ANOVA and Tukey´s tests were applied (α=0.05). Results The pH showed a slight decrease, except for Group LPL15HL. Group LPS25HL showed the highest degree of wear, with and without etching. Conclusion There was a decrease from the initial to the final pH. Different bleaching gels were able to increase the surface wear values after simulated brushing. Acid etching before bleaching increased surface wear values in all groups. PMID:27008254

  12. Effect of different monomer-based composites and acid etching pre-treatment of enamel on the microleakage using self-etch adhesives systems.

    PubMed

    Catelan, Anderson; Giorgi, Maria Cecília Caldas; Soares, Giulliana Panfiglio; Lima, Debora Alves Nunes Leite; Marchi, Giselle Maria; Aguiar, Flávio Henrique Baggio

    2014-11-01

    To evaluate quantitatively the marginal microleakage of restorations carried out with self-etching adhesives with or without prior phosphoric enamel acid etching of silorane or methacrylate resin-based composite restorations subjected to thermal cycling. Forty cavities were prepared at the proximal surface of bovine incisors and randomly divided according to the etching of the enamel and restorative system used. The groups were restored with methacrylate [Adper SE Plus adhesive (3M ESPE) + Filtek Z250 (3M ESPE)] or silorane [Filtek LS adhesive (3M ESPE) + Filtek LS composite (3M ESPE)] restorative systems, light-cured using a LED unit (Bluephase 16i, Vivadent). After restorative procedure and thermocycling (1000 cycles), the specimens were immersed in methylene blue for 2 h. The specimens were triturated and the powder was used for analysis in an absorbance spectrophotometer. Data were statistically analyzed by 2-way ANOVA (alpha = 0.05). No statistical difference between the restorative materials tested with or without previous acid etching of enamel in Class II marginal microleakage was observed (p > 0.05). The use of acid etching prior to self-etching adhesives did not interfere on the microleakage of methacrylate- or silorane-based restorations.

  13. Aggressiveness of contemporary self-etching adhesives. Part II: etching effects on unground enamel.

    PubMed

    Pashley, D H; Tay, F R

    2001-09-01

    The aggressiveness of three self-etching adhesives on unground enamel was investigated. Ultrastructural features and microtensile bond strength were examined, first using these adhesives as both the etching and resin-infiltration components, and then examining their etching efficacy alone through substitution of the proprietary resins with the same control resins. For SEM examination, buccal, mid-coronal, unground enamel from human extracted bicuspids were etched with either Clearfil Mega Bond (Kuraray), Non-Rinse Conditioner (NRC; Dentsply DeTrey) or Prompt L-Pop (ESPE). Those in the control group were etched with 32% phosphoric acid (Bisco) for 15s. They were all rinsed off prior to examination of the etching efficacy. For TEM examination, the self-etching adhesives were used as recommended. Unground enamel treated with NRC were further bonded using Prime&Bond NT (Dentsply), while those in the etched, control group were bonded using All-Bond 2 (Bisco). Completely demineralized, resin replicas were embedded in epoxy resin for examination of the extent of resin infiltration. For microtensile bond strength evaluation, specimens were first etched and bonded using the self-etching adhesives. A second group of specimens were etched with the self-etching adhesives, rinsed but bonded using a control adhesive. Following restoration with Z100 (3M Dental Products), they were sectioned into beams of uniform cross-sectional areas and stressed to failure. Etching patterns of aprismatic enamel, as revealed by SEM, and the subsurface hybrid layer morphology, as revealed by TEM, varied according to the aggressiveness of the self-etching adhesives. Clearfil Mega Bond exhibited the mildest etching patterns, while Prompt L-Pop produced an etching effect that approached that of the total-etch control group. Microtensile bond strength of the three experimental groups were all significantly lower than the control group, but not different from one another. When the self-etching

  14. The impact of hydrofluoric acid etching followed by unfilled resin on the biaxial strength of a glass-ceramic.

    PubMed

    Posritong, Sumana; Borges, Alexandre Luiz Souto; Chu, Tien-Min Gabriel; Eckert, George J; Bottino, Marco A; Bottino, Marco C

    2013-11-01

    To evaluate the null hypotheses that hydrofluoric (HF) acid etching time would neither decrease the biaxial flexural strength of a glass-based veneering ceramic nor enhance it after silane and unfilled resin (UR) applications. Disc-shaped IPS e.max ZirPress specimens were allocated into 12 groups: G1-control (no-etching), G2-30 s, G3-60 s, G4-90 s, G5-120 s, G6-60 s+60 s. Groups (G7-G12) were treated in the same fashion as G1-G6, but followed by silane and UR applications. Surface morphology and roughness (Ra and Rq) of the ceramics were assessed by means of scanning electron microscopy (SEM) and profilometry, respectively. Flexural strength was determined by biaxial testing. Data were analyzed by two-way ANOVA and the Sidak test (α=0.05). Weibull statistics were estimated and finite element analysis (FEA) was carried out to verify the stress concentration end areas of fracture. The interaction (etching time vs. surface treatment) was significant for Ra (p=0.008) and Rq (0.0075). Resin-treated groups presented significantly lower Ra and Rq than non-treated groups, except for the 60s group (p<0.005). SEM revealed that etching affected the ceramic microstructure and that the UR was able to penetrate into the irregularities. A significant effect of etching time (p=0.029) on flexural strength was seen. G7-G12 presented higher strength than G1-G6 (p<0.0001). None of experimental groups failed to show 95% confidence intervals of σ0 and m overlapped. FEA showed lower stress concentration after resin treatment. HF acid etching time did not show a damaging effect on the ceramic flexural strength. Moreover, the flexural strength could be enhanced after UR treatment. Copyright © 2013 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  15. Metal etching composition

    NASA Technical Reports Server (NTRS)

    Otousa, Joseph E. (Inventor); Thomas, Clark S. (Inventor); Foster, Robert E. (Inventor)

    1991-01-01

    The present invention is directed to a chemical etching composition for etching metals or metallic alloys. The composition includes a solution of hydrochloric acid, phosphoric acid, ethylene glycol, and an oxidizing agent. The etching composition is particularly useful for etching metal surfaces in preparation for subsequent fluorescent penetrant inspection.

  16. Effects of acid etching and adhesive treatments on host-derived cysteine cathepsin activity in dentin.

    PubMed

    Zhang, Wenhao; Yang, Weixiang; Wu, Shuyi; Zheng, Kaibin; Liao, Weili; Chen, Boli; Yao, Ke; Liang, Guobin; Li, Yan

    2014-10-01

    To analyze the effects of different processes during bonding on endogenous cysteine cathepsin activity in dentin. Dentin powder, prepared from extracted human third molars, was divided into 10 groups. Two lots of dentin powder were used to detect the effects of the procedure of protein extraction on endogenous cathepsin activity. The others were used to study effects of different acid-etching or adhesive treatments on enzyme activity. Concentrations of 37% phosphoric acid or 10% phosphoric acid, two etch-and-rinse adhesive systems, and two self-etching adhesive systems were used as dentin powder treatments. The untreated mineralized dentin powder was set as the control. After treatment, the proteins of each group were extracted. The total cathepsin activity in the extracts of each group was monitored with a fluorescence reader. In the control group, there were no significant differences in cathepsin activity between the protein extract before EDTA treatment and the protein extract after EDTA treatment (p > 0.05). The cathepsin activities of the three different extracts in the 37% phosphoric acid-treated group were different from each other (p < 0.05). The two acid-etching groups and two etch-and-rinse groups showed significant enzyme activity reduction vs the control group (p < 0.05). There were no significant differences between those four groups (p > 0.05). Treating the dentin powder with any of the two self-etching adhesives resulted in an increase in cathepsin activity (p < 0.05). The activity of cysteine cathepsins can be detected in dentin powder. Treatment with EDTA during protein extraction exerted an influence on cathepsin activity. Acid etching or etch-and-rinse adhesive systems may reduce the activity of endogenous cathepsins in dentin. Self-etching adhesive systems may increase the enzyme activity.

  17. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure.

    PubMed

    Liu, Y; Bai, X; Liu, Y W; Wang, Y

    2016-03-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. © International & American Associations for Dental Research 2015.

  18. Evaluating EDTA as a substitute for phosphoric acid-etching of enamel and dentin.

    PubMed

    Imbery, Terence A; Kennedy, Matthew; Janus, Charles; Moon, Peter C

    2012-01-01

    Matrix metalloproteinases (MMPs) are proteolytic enzymes released when dentin is acid-etched. The enzymes are capable of destroying unprotected collagen fibrils that are not encapsulated by the dentin adhesive. Chlorhexidine applied after etching inhibits the activation of released MMPs, whereas neutral ethylenediamine tetra-acetic acid (EDTA) prevents the release of MMPs. The purpose of this study was to determine if conditioning enamel and dentin with EDTA can be a substitute for treating acid-etching enamel and dentin with chlorhexidine. A column of composite resin was bonded to enamel and dentin after conditioning. Shear bond strengths were evaluated after 48 hours and after accelerated aging for three hours in 12% sodium hypochlorite. Shear bond strengths ranged from 15.6 MP a for accelerated aged EDTA enamel specimens to 26.8 MPa for dentin conditioned with EDTA and tested after 48 hours. A three-way ANOVA and a Tukey HSD test found statistically significant differences among the eight groups and the three independent variables (P < 0.05). EDTA was successfully substituted for phosphoric acid-etched enamel and dentin treated with chlorhexidine. Interactions of conditioning agent and aging were significant for dentin but not for enamel. In an effort to reduce the detrimental effects of MMPs, conditioning enamel and dentin with EDTA is an alternative to treating acid-etched dentin and enamel with chlorhexidine.

  19. Corrosion of dental aluminium bronze in neutral saline and saline lactic acid.

    PubMed

    Tibballs, J E; Erimescu, Raluca

    2006-09-01

    To compare the corrosion behaviours of two aluminium bronze, dental casting alloys during a standard immersion test and for immersion in neutral saline. Cast specimens of aluminium bronzes with 1.4 wt% Fe (G) and 4 wt% Fe (N) were subject to progressively longer periods (up to in total 7 days) immersed in 0.1 M saline, 0.1 M lactic acid solutions and examined by scanning electron microscopy with EDX analysis. Immersion in 0.1M neutral saline was for 7 days. In the acidic solution, exposed interdendritic volumes in alloy N corroded completely away in 7 days with dissolution of Ni-enriched precipitate species as well as the copper-rich matrix. Alloy G begins to corrode more slowly but by a similar mechanism. The number density of an Fe-enriched species is insufficient to maintain a continuous galvanic potential to the copper matrix, and dissolution becomes imperceptible. In neutral saline solution, galvanic action alone caused pit-etching, without the dissolution of either precipitate species. The upper limit for the total dissolution of metallic ions in the standard immersion test can be set at 200 microg cm(-2). Aluminium bronze dental alloys can be expected to release both copper and nickel ions into an acidic oral environment.

  20. Improvement of enamel bond strengths for conventional and resin-modified glass ionomers: acid-etching vs. conditioning*

    PubMed Central

    Zhang, Ling; Tang, Tian; Zhang, Zhen-liang; Liang, Bing; Wang, Xiao-miao; Fu, Bai-ping

    2013-01-01

    Objective: This study deals with the effect of phosphoric acid etching and conditioning on enamel micro-tensile bond strengths (μTBSs) of conventional and resin-modified glass ionomer cements (GICs/RMGICs). Methods: Forty-eight bovine incisors were prepared into rectangular blocks. Highly-polished labial enamel surfaces were either acid-etched, conditioned with liquids of cements, or not further treated (control). Subsequently, two matching pre-treated enamel surfaces were cemented together with one of four cements [two GICs: Fuji I (GC), Ketac Cem Easymix (3M ESPE); two RMGICs: Fuji Plus (GC), RelyX Luting (3M ESPE)] in preparation for μTBS tests. Pre-treated enamel surfaces and cement-enamel interfaces were analyzed by scanning electron microscopy (SEM). Results: Phosphoric acid etching significantly increased the enamel μTBS of GICs/RMGICs. Conditioning with the liquids of the cements produced significantly weaker or equivalent enamel μTBS compared to the control. Regardless of etching, RMGICs yielded stronger enamel μTBS than GICs. A visible hybrid layer was found at certain enamel-cement interfaces of the etched enamels. Conclusions: Phosphoric acid etching significantly increased the enamel μTBSs of GICs/RMGICs. Phosphoric acid etching should be recommended to etch the enamel margins before the cementation of the prostheses such as inlays and onlays, using GICs/RMGICs to improve the bond strengths. RMGICs provided stronger enamel bond strength than GICs and conditioning did not increase enamel bond strength. PMID:24190447

  1. Effect of Surface Treatment on Enamel Cracks After Orthodontic Bracket Debonding: Er,Cr:YSGG Laser-Etching Versus Acid-Etching

    PubMed Central

    Ghaffari, Hassanali; Mirhashemi, Amirhossein; Baherimoghadam, Tahereh; Azmi, Amir

    2017-01-01

    Objectives: This study sought to compare enamel cracks after orthodontic bracket debonding in the surfaces prepared with erbium, chromium: yttrium-scandium-galliumgarnet (Er,Cr:YSGG) laser and the conventional acid-etching technique. Materials and Methods: This in-vitro experimental study was conducted on 60 sound human premolars extracted for orthodontic purposes. The teeth were randomly divided into two groups (n=30). The teeth in group A were etched with 37% phosphoric acid gel, while the teeth in group B were subjected to Er,Cr:YSGG laser irradiation (gold handpiece, MZ8 tip, 50Hz, 4.5W, 60μs, 80% water and 60% air). Orthodontic brackets were bonded to the enamel surfaces and were then debonded in both groups. The samples were inspected under a stereomicroscope at ×38 magnification to assess the number and length of enamel cracks before bonding and after debonding. Independent-samples t-test was used to compare the frequency of enamel cracks in the two groups. Levene’s test was applied to assess the equality of variances. Results: No significant difference was noted in the frequency or length of enamel cracks between the two groups after debonding (P>0.05). Conclusions: Despite the same results of the frequency and length of enamel cracks in the two groups and by considering the side effects of acid-etching (demineralization and formation of white spot lesions), Er,Cr:YSGG laser may be used as an alternative to acid-etching for enamel surface preparation prior to bracket bonding. PMID:29296111

  2. Laser investigation of the non-uniformity of fluorescent species in dental enamel

    NASA Astrophysics Data System (ADS)

    Tran, Stephanie U.; Ridge, Jeremy S.; Nelson, Leonard Y.; Seibel, Eric J.

    In the present study, artificial type I and type II erosions were created on dental specimen using acetic acid and EDTA respectively. Specimens were prepared by etching extracted teeth samples in acid to varying degrees, after which the absolute fluorescence intensity ratio of the etched enamel relative to sound enamel was recorded for each specimen using 405 and 532 nm laser excitation. Results showed differences in the fluorescence ratio of etched to sound enamel for type I and II erosions. These findings suggest a non-uniform distribution of fluorescent species in the interprismatic region as compared to the prismatic region.

  3. Acid Etching and Plasma Sterilization Fail to Improve Osseointegration of Grit Blasted Titanium Implants

    PubMed Central

    Saksø, Mikkel; Jakobsen, Stig S; Saksø, Henrik; Baas, Jørgen; Jakobsen, Thomas; Søballe, Kjeld

    2012-01-01

    Interaction between implant surface and surrounding bone influences implant fixation. We attempted to improve the bone-implant interaction by 1) adding surface micro scale topography by acid etching, and 2) removing surface-adherent pro-inflammatory agents by plasma cleaning. Implant fixation was evaluated by implant osseointegration and biomechanical fixation. The study consisted of two paired animal sub-studies where 10 skeletally mature Labrador dogs were used. Grit blasted titanium alloy implants were inserted press fit in each proximal tibia. In the first study grit blasted implants were compared with acid etched grit blasted implants. In the second study grit blasted implants were compared with acid etched grit blasted implants that were further treated with plasma sterilization. Implant performance was evaluated by histomorphometrical investigation (tissue-to-implant contact, peri-implant tissue density) and mechanical push-out testing after four weeks observation time. Neither acid etching nor plasma sterilization of the grit blasted implants enhanced osseointegration or mechanical fixation in this press-fit canine implant model in a statistically significant manner. PMID:22962567

  4. Bonding efficacy of new self-etching, self-adhesive dual-curing resin cements to dental enamel.

    PubMed

    Benetti, Paula; Fernandes, Virgílio Vilas; Torres, Carlos Rocha; Pagani, Clovis

    2011-06-01

    This study evaluated the efficacy of the union between two new self-etching self-adhesive resin cements and enamel using the microtensile bond strength test. Buccal enamel of 80 bovine teeth was submitted to finishing and polishing with metallographic paper to a refinement of #600, in order to obtain a 5-mm2 flat area. Blocks (2 x 4 x 4 mm) of laboratory composite resin were cemented to enamel according to different protocols: (1) untreated enamel + RelyX Unicem cement (RX group); (2) untreated enamel + Bifix SE cement (BF group); (3) enamel acid etching and application of resin adhesive Single Bond + RelyX Unicem (RXA group); (4) enamel acid etching and application of resin adhesive Solobond M + Bifix SE (BFA group). After 7 days of storage in distillated water at 37°C, the blocks were sectioned for obtaining microbar specimens with an adhesive area of 1 mm2 (n = 120). Specimens were submitted to the microtensile bond strength test at a crosshead speed of 0.5 mm/min. The results (in MPa) were analyzed statistically by ANOVA and Tukey's test. Enamel pre-treatment with phosphoric acid and resin adhesive (27.9 and 30.3 for RXA and BFA groups) significantly improved (p ≤ 0.05) the adhesion of both cements to enamel compared to the union achieved with as-polished enamel (9.9 and 6.0 for RX and BF). Enamel pre-treatment with acid etching and the application of resin adhesive significantly improved the bond efficacy of both luting agents compared to the union achieved with as-polished enamel.

  5. Self-etching adhesive on intact enamel, with and without pre-etching.

    PubMed

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  6. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure

    PubMed Central

    Liu, Y.; Bai, X.; Liu, Y.W.; Wang, Y.

    2015-01-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. PMID:26635279

  7. Effect of EDTA and phosphoric Acid pretreatment on the bonding effectiveness of self-etch adhesives to ground enamel.

    PubMed

    Ibrahim, Ihab M; Elkassas, Dina W; Yousry, Mai M

    2010-10-01

    This in vitro study determined the effect of enamel pretreatment with phosphoric acid and ethylenediaminetetraacetic acid (EDTA) on the bond strength of strong, intermediary strong, and mild self-etching adhesive systems. Ninety sound human premolars were used. Resin composite cylinders were bonded to flat ground enamel surfaces using three self-etching adhesive systems: strong Adper Prompt L-Pop (pH=0.9-1.0), intermediary strong AdheSE (pH=1.6-1.7), and mild Frog (pH=2). Adhesive systems were applied either according to manufacturer instructions (control) or after pretreatment with either phosphoric acid or EDTA (n=10). After 24 hours, shear bond strength was tested using a universal testing machine at a cross-head speed of 0.5 mm/minute. Ultra-morphological characterization of the surface topography and resin/enamel interfaces as well as representative fractured enamel specimens were examined using scanning electron microscopy (SEM). Neither surface pretreatment statistically increased the mean shear bond strength values of either the strong or the intermediary strong self-etching adhesive systems. However, phosphoric acid pretreatment significantly increased the mean shear bond strength values of the mild self-etching adhesive system. SEM examination of enamel surface topography showed that phosphoric acid pretreatment deepened the same etching pattern of the strong and intermediary strong adhesive systems but converted the irregular etching pattern of the mild self-etching adhesive system to a regular etching pattern. SEM examination of the resin/enamel interface revealed that deepening of the etching pattern was consistent with increase in the length of resin tags. EDTA pretreatment had a negligible effect on ultra-morphological features. Use of phosphoric acid pretreatment can be beneficial with mild self-etching adhesive systems for bonding to enamel.

  8. Effect of EDTA and Phosphoric Acid Pretreatment on the Bonding Effectiveness of Self-Etch Adhesives to Ground Enamel

    PubMed Central

    Ibrahim, Ihab M.; Elkassas, Dina W.; Yousry, Mai M.

    2010-01-01

    Objectives: This in vitro study determined the effect of enamel pretreatment with phosphoric acid and ethylenediaminetetraacetic acid (EDTA) on the bond strength of strong, intermediary strong, and mild self-etching adhesive systems. Methods: Ninety sound human premolars were used. Resin composite cylinders were bonded to flat ground enamel surfaces using three self-etching adhesive systems: strong Adper Prompt L-Pop (pH=0.9–1.0), intermediary strong AdheSE (pH=1.6–1.7), and mild Frog (pH=2). Adhesive systems were applied either according to manufacturer instructions (control) or after pretreatment with either phosphoric acid or EDTA (n=10). After 24 hours, shear bond strength was tested using a universal testing machine at a cross-head speed of 0.5 mm/minute. Ultra-morphological characterization of the surface topography and resin/enamel interfaces as well as representative fractured enamel specimens were examined using scanning electron microscopy (SEM). Results: Neither surface pretreatment statistically increased the mean shear bond strength values of either the strong or the intermediary strong self-etching adhesive systems. However, phosphoric acid pretreatment significantly increased the mean shear bond strength values of the mild self-etching adhesive system. SEM examination of enamel surface topography showed that phosphoric acid pretreatment deepened the same etching pattern of the strong and intermediary strong adhesive systems but converted the irregular etching pattern of the mild self-etching adhesive system to a regular etching pattern. SEM examination of the resin/enamel interface revealed that deepening of the etching pattern was consistent with increase in the length of resin tags. EDTA pretreatment had a negligible effect on ultra-morphological features. Conclusions: Use of phosphoric acid pretreatment can be beneficial with mild self-etching adhesive systems for bonding to enamel. PMID:20922162

  9. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  10. Effect of surface acid etching on the biaxial flexural strength of two hot-pressed glass ceramics.

    PubMed

    Hooshmand, Tabassom; Parvizi, Shaghayegh; Keshvad, Alireza

    2008-07-01

    The purpose of this study was to assess the effect of surface acid etching on the biaxial flexural strength of two hot-pressed glass ceramics reinforced by leucite or lithium disilicate crystals. Forty glass ceramic disks (14-mm diameter, 2-mm thick) consisting of 20 leucite-based ceramic disks (IPS Empress) and 20 lithia disilicate-based ceramic (IPS Empress 2) were produced by hot-pressing technique. All specimens were polished and then cleaned ultrasonically in distilled water. Ten specimens of each ceramic group were then etched with 9% hydrofluoric (HF) acid gel for 2 minutes and cleaned ultrasonically again. The biaxial flexural strength was measured by the piston-on-three-ball test in a universal testing machine. Data based on ten specimens in each group were analyzed by two-way ANOVA (alpha= 0.05). Microstructure of ceramic surfaces before and after acid etching was also examined by a scanning electron microscope. The mean biaxial flexural strength values for each group tested were (in MPa): nonetched IPS Empress = 118.6 +/- 25.5; etched IPS Empress = 102.9 +/- 15.4; nonetched IPS Empress 2 = 283.0 +/- 48.5; and etched IPS Empress 2 = 250.6 +/- 34.6. The results showed that the etching process reduced the biaxial flexural strengths significantly for both ceramic types (p= 0.025). No significant interaction between the ceramic type and etching process was found (p= 0.407). From the results, it was concluded that surface HF acid etching could have a weakening effect on hot-pressed leucite or lithia disilicate-based glass ceramic systems.

  11. Effect of etching and airborne particle abrasion on the microstructure of different dental ceramics.

    PubMed

    Borges, Gilberto Antonio; Sophr, Ana Maria; de Goes, Mario Fernando; Sobrinho, Lourenço Correr; Chan, Daniel C N

    2003-05-01

    The ceramic composition and microstructure surface of all-ceramic restorations are important components of an effective bonding substrate. Both hydrofluoric acid etching and airborne aluminum oxide particle abrasion produce irregular surfaces necessary for micromechanical bonding. Although surface treatments of feldspathic and leucite porcelains have been studied previously, the high alumina-containing and lithium disilicate ceramics have not been fully investigated. The purpose of this study was to assess the surface topography of 6 different ceramics after treatment with either hydrofluoric acid etching or airborne aluminum oxide particle abrasion. Five copings each of IPS Empress, IPS Empress 2 (0.8 mm thick), Cergogold (0.7 mm thick), In-Ceram Alumina, In-Ceram Zirconia, and Procera (0.8 mm thick) were fabricated following the manufacturer's instructions. Each coping was longitudinally sectioned into 4 equal parts by a diamond disk. The resulting sections were then randomly divided into 3 groups depending on subsequent surface treatments: Group 1, specimens without additional surface treatments, as received from the laboratory (control); Group 2, specimens treated by use of airborne particle abrasion with 50-microm aluminum oxide; and Group 3, specimens treated with 10% hydrofluoric acid etching (20 seconds for IPS Empress 2; 60 seconds for IPS Empress and Cergogold; and 2 minutes for In-Ceram Alumina, In-Ceram Zirconia, and Procera). Airborne particle abrasion changed the morphologic surface of IPS Empress, IPS Empress 2, and Cergogold ceramics. The surface topography of these ceramics exhibited shallow irregularities not evident in the control group. For Procera, the 50-microm aluminum oxide airborne particle abrasion produced a flattened surface. Airborne particle abrasion of In-Ceram Alumina and In-Ceram Zirconia did not change the morphologic characteristics and the same shallows pits found in the control group remained. For IPS Empress 2, 10% hydrofluoric

  12. Resin–dentin bonds to EDTA-treated vs. acid-etched dentin using ethanol wet-bonding

    PubMed Central

    Sauro, Salvatore; Toledano, Manuel; Aguilera, Fatima Sánchez; Mannocci, Francesco; Pashley, David H.; Tay, Franklin R.; Watson, Timothy F.; Osorio, Raquel

    2013-01-01

    Objective To compare resin–dentin bond strengths and the micropermeability of hydrophobic vs. hydrophilic resins bonded to acid-etched or EDTA-treated dentin, using the ethanol wet-bonding technique. Methods Flat dentin surfaces from extracted human third molars were conditioned before bonding with: 37% H3PO4 (15 s) or 0.1 M EDTA (60 s). Five experimental resin blends of different hydrophilicities and one commercial adhesive (SBMP: Scotchbond Multi-Purpose) were applied to ethanol wet-dentin (1 min) and light-cured (20 s). The solvated resins were used as primers (50% ethanol/50% comonomers) and their respective neat resins were used as the adhesive. The resin-bonded teeth were stored in distilled water (24 h) and sectioned in beams for microtensile bond strength testing. Modes of failure were examined by stereoscopic light microscopy and SEM. Confocal tandem scanning microscopy (TSM) interfacial characterization and micropermeability were also performed after filling the pulp chamber with 1 wt% aqueous rhodamine-B. Results The most hydrophobic resin 1 gave the lowest bond strength values to acid-etched dentin and all beams failed prematurely when the resin was applied to EDTA-treated dentin. Resins 2 and 3 gave intermediate bond strengths to both conditioned substrates. Resin 4, an acidic hydrophilic resin, gave the highest bond strengths to both EDTA-treated and acid-etched dentin. Resin 5 was the only hydrophilic resin showing poor resin infiltration when applied on acid-etched dentin. Significance The ethanol wet-bonding technique may improve the infiltration of most of the adhesives used in this study into dentin, especially when applied to EDTA-treated dentin. The chemical composition of the resin blends was a determining factor influencing the ability of adhesives to bond to EDTA-treated or 37% H3PO4 acid-etched dentin, when using the ethanol wet-bonding technique in a clinically relevant time period. PMID:20074787

  13. Active application of primer acid on acid-treated enamel: Influence on the bond effectiveness of self-etch adhesives systems.

    PubMed

    Araújo, Cíntia Tereza Pimenta; Prieto, Lúcia Trazzi; Costa, Daiane Cristianismo; Bosso, Mariana Avalone; Coppini, Erick Kamiya; Dias, Carlos Tadeu Santos; Paulillo, Luis Alexandre Maffei Sartini

    2017-08-01

    Evaluate the composite-to-enamel bond after passive or active application of self-etching primer systems on polished or pre-etched enamel with phosphoric acid. Two self-etch adhesives systems (SEAS) were used: Clearfil SE Bond and Easy Bond. Third human molars were divided into 8 groups (N = 10). The crown of each tooth was sectioned into halves and the mesial/distal surfaces were used. The adhesives were actively or passively applied on enamel with or without prior phosphoric-acid etching. Resin composite cylinders were built after adhesive application. After stored in relative humidity for 24 hr/37°C the specimens were subjected to microshear test in universal testing a machine at a crosshead speed of 0.5 mm/minute. The results were analyzed with three-way ANOVA and the Tukey test. The enamel-etching pattern was evaluated under SEM. The 2-step SEAS system presented significantly higher adhesive bond strength means (47.37 MPa) than the 1-step (36.87 MPa). A poor enamel- etching pattern was observed in active mode showing irregular and short resin tags, however there was not compromised the bond strength. Active or passive application produced similar values of bond strength to enamel regardless of enamel pretreatment and type of SEAS. © 2017 Wiley Periodicals, Inc.

  14. Effect of Reduced Phosphoric Acid Pre-etching Times 
on Enamel Surface Characteristics and Shear Fatigue Strength Using Universal Adhesives.

    PubMed

    Tsujimoto, Akimasa; Fischer, Nicholas; Barkmeier, Wayne; Baruth, Andrew; Takamizawa, Toshiki; Latta, Mark; Miyazaki, Masashi

    2017-01-01

    To examine the effect of reduced phosphoric acid pre-etching times on enamel fatigue bond strength of universal adhesives and surface characteristics by using atomic force microscopy (AFM). Three universal adhesives were used in this study (Clearfil Universal Bond [C], G-Premio Bond [GP], Scotchbond Universal Adhesive [SU]). Four pre-etching groups were employed: enamel pre-etched with phosphoric acid and immediately rinsed with an air-water spray, and enamel pre-etched with phosphoric acid for 5, 10, or 15 s. Ground enamel was used as the control group. For the initial bond strength test, 15 specimens per etching group for each adhesive were used. For the shear fatigue test, 20 specimens per etching group for each adhesive were loaded using a sine wave at a frequency of 20 Hz for 50,000 cycles or until failure occurred. Initial shear bond strengths and fatigue shear strengths of composite adhesively bonded to ground and pre-etched enamel were determined. AFM observations of ground and pre-etched enamel were also conducted, and surface roughness as well as surface area were evaluated. The initial shear bond strengths and fatigue shear strengths of the universal adhesives in the pre-etched groups were significantly higher than those of the control group, and were not influenced by the pre-etching time. Significantly higher surface roughness and surface area of enamel surfaces in pre-etched groups were observed compared with those in the control group. While the surface area was not significantly influenced by etching time, surface roughness of the enamel surfaces in the pre-etched groups significantly increased with pre-etching time. The results of this in vitro study suggest that reduced phosphoric acid pre-etching times do not impair the fatigue bond strength of universal adhesives. Although fatigue bond strength and surface area were not influenced by phosphoric-acid etching times, surface roughness increased with increasing etching time.

  15. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  16. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    PubMed

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  17. Comparison of microleakage on one composite etched with phosphoric acid or a combination of phosphoric and hydrofluoric acids and bonded with several different systems.

    PubMed

    Szep, Susanne; Langner, Nicole; Bayer, Silja; Börnichen, Diana; Schulz, Christoph; Gerhardt, Thomas; Schriever, Anette; Becker, Joachim; Heidemann, Detlef

    2003-02-01

    There are no data available on whether or to what extent hydrofluoric acid affects the marginal integrity of dentin-bonded composite restorations when it is used instead of phosphoric acid in the total-etch technique. This in vitro study examined the etching effects of phosphoric acid versus a combination of phosphoric and hydrofluoric acid by evaluation of microleakage in a composite restoration bonded with different dentin adhesive systems. Extracted teeth (n = 90) containing 2 class II preparations, mesial occlusal (MO) and distal occlusal (DO) standarized (cervical margins in dentin) were perfused with Ringer solution and etched in 1 of 2 ways: with phosphoric acid only or with phosphoric combined with hydrofluoric acid. Different dentin bonding agents were then applied (Etch & Prime 3.0, Optibond Solo, Prime & Bond NT, Scotchbond 1, Syntac Single Component, or Syntac Sprint; (n = 15 for each etching material)). The preparations were restored with a hybrid composite (Herculite XRV) and submitted to 5000 thermocycles (5 degrees C to 55 degrees C) to simulate the in vivo situation. Microleakage was assessed with 2% methylene blue diffusion for 24 hours. Dye penetration was calculated as a percentage of the total length of the gingival margins of the preparation with light microscopy at original magnification x 32. The results were analyzed with the Kruskal-Wallis multiple comparison z-value assay (alpha = .05). Differences in dye penetration were significant, both as a function of the dentin adhesive and the conditioning mode applied. In the specimen groups conditioned with phosphoric acid, Optibond Solo (54% +/- 44%) and Syntac Sprint (74% +/- 39%) demonstrated the lowest penetration values. Higher values were obtained for Prime & Bond NT (81% +/- 34%), Scotchbond 1 (83% +/- 31%), Etch & Prime 3.0 (85% +/- 33%), and Syntac Single Component (95% +/- 16%), with no significant differences (alpha=.05) between specimen groups. The best results were obtained for

  18. Liquid droplet sensing using twisted optical fiber couplers fabricated by hydrofluoric acid flow etching

    NASA Astrophysics Data System (ADS)

    Son, Gyeongho; Jung, Youngho; Yu, Kyoungsik

    2017-04-01

    We report a directional-coupler-based refractive index sensor and its cost-effective fabrication method using hydrofluoric acid droplet wet-etching and surface-tension-driven liquid flows. The proposed fiber sensor consists of a pair of twisted tapered optical fibers with low excess losses. The fiber cores in the etched microfiber region are exposed to the surrounding medium for efficient interaction with the guided light. We observe that the etching-based low-loss fiber-optic sensors can measure the water droplet volume by detecting the refractive index changes of the surrounding medium around the etched fiber core region.

  19. Effect of reducing acid etching time on bond strength to noncarious and caries-affected primary and permanent dentin.

    PubMed

    Scheffel, Débora Lopes Salles; Ricci, Hérica Adad; de Souza Costa, Carlos Alberto; Pashley, David Henry; Hebling, Josimeri

    2013-01-01

    The purpose was to evaluate the effect of acid etching time on the bond strength of a simplified etch-and-rinse adhesive system to noncarious and caries-affected dentin of primary and permanent teeth. Twenty-four extracted primary and permanent teeth were divided into three groups, according to the acid etching time. Four teeth from each group were exposed to a microbiological caries-inducing protocol. After caries removal, noncarious and caries-affected dentin surfaces were etched with 37 percent phosphoric acid for five, 10, or 15 seconds prior to the application of Prime & Bond NT adhesive. Crowns were restored with resin composite and prepared for microtensile testing. Data were submitted to Kruskal-Wallis and Mann-Whitney tests (α=0.05). Higher bond strengths were obtained for noncarious dentin vs. caries-affected dentin for both primary and permanent teeth. Reducing the acid etching time from 15 to five seconds did not affect the bond strength to caries-affected or noncarious dentin in primary teeth. For permanent teeth, lower bond strength values were observed when the noncarious dentin was etched for five seconds, while no difference was seen between 10 and 15 seconds. For Prime & Bond NT, the etching of dentin for five seconds could be recommended for primary teeth, while 10 seconds would be the minimum time for permanent teeth.

  20. Classification review of dental adhesive systems: from the IV generation to the universal type

    PubMed Central

    Sofan, Eshrak; Sofan, Afrah; Palaia, Gaspare; Tenore, Gianluca; Romeo, Umberto; Migliau, Guido

    2017-01-01

    Summary Adhesive dentistry has undergone great progress in the last decades. In light of minimal-invasive dentistry, this new approach promotes a more conservative cavity design, which relies on the effectiveness of current enamel-dentine adhesives. Adhesive dentistry began in 1955 by Buonocore on the benefits of acid etching. With changing technologies, dental adhesives have evolved from no-etch to total-etch (4th and 5th generation) to self-etch (6th, 7th and 8th generation) systems. Currently, bonding to dental substrates is based on three different strategies: 1) etch-and-rinse, 2) self-etch and 3) resin-modified glass-ionomer approach as possessing the unique properties of self-adherence to the tooth tissue. More recently, a new family of dentin adhesives has been introduced (universal or multi-mode adhesives), which may be used either as etch-and-rinse or as self-etch adhesives. The purpose of this article is to review the literature on the current knowledge for each adhesive system according to their classification that have been advocated by many authorities in most operative/restorative procedures. As noted by several valuable studies that have contributed to understanding of bonding to various substrates helps clinicians to choose the appropriate dentin bonding agents for optimal clinical outcomes. PMID:28736601

  1. Effect of sulfuric acid etching of polyetheretherketone on the shear bond strength to resin cements.

    PubMed

    Sproesser, Oliver; Schmidlin, Patrick R; Uhrenbacher, Julia; Roos, Malgorzata; Gernet, Wolfgang; Stawarczyk, Bogna

    2014-10-01

    To examine the influence of etching duration on the bond strength of PEEK substrate in combination with different resin composite cements. In total, 448 PEEK specimens were fabricated, etched with sulfuric acid for 5, 15, 30, 60, 90, 120, and 300 s and then luted with two conventional resin cements (RelyX ARC and Variolink II) and one self-adhesive resin cement (Clearfil SA Cement) (n = 18/subgroup). Non-etched specimens served as the control group. Specimens were stored in distilled water for 28 days at 37°C and shear bond strengths were measured. Data were analyzed nonparametrically using Kruskal-Wallis-H (p < 0.05). Non-etched PEEK demonstrated no bond strength to resin composite cements. The optimal etching duration varied with the type of resin composite: 60 s for RelyX ARC (15.3 ± 7.2 MPa), 90 s for Variolink II (15.2 ± 7.2 MPa), and 120 s for Clearfil SA Cement (6.4 ± 5.9 MPa). Regardless of etching duration, however, the self-etching resin composite cement showed significantly lower shear bond strength values when compared to groups luted with the conventional resin composites. Although sulfuric acid seems to be suitable and effective for PEEK surface pre-treatment, further investigations are required to examine the effect of other adhesive systems and cements.

  2. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    PubMed

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  3. Shear bond strength of one-step self-etch adhesives to enamel: effect of acid pretreatment.

    PubMed

    Poggio, Claudio; Scribante, Andrea; Della Zoppa, Federica; Colombo, Marco; Beltrami, Riccardo; Chiesa, Marco

    2014-02-01

    The purposes of this study were to evaluate the effect of surface pretreatment with phosphoric acid on the enamel bond strength of four-one-step self-etch adhesives with different pH values. One hundred bovine permanent mandibular incisors were used. The materials used in this study included four-one-step self-etch adhesives with different pH values: Adper(™) Easy Bond Self-Etch Adhesive (ph = 0,8-1), Futurabond NR (ph = 1,4), G-aenial Bond (ph = 1,5), Clearfil(3) S Bond (ph = 2,7). One two-step self-etch adhesive (Clearfil SE Bond/ph = 0,8-1) was used as control. The teeth were assigned into two subgroups according to bonding procedure. In the first subgroup (n = 50), no pretreatment agent was applied. In the second subgroup (n = 50), etching was performed using 37% phosphoric acid for 30 s. After adhesive systems application, a nanohybrid composite resin was inserted into the enamel surface. The specimens were placed in a universal testing machine (Model 3343, Instron Corp., Canton, Mass., USA). After the testing procedure, the fractured surfaces were examined with an optical microscope at a magnification of 10× to determine failure modes. The adhesive remnant index (ARI) was used to assess the amount of adhesive left on the enamel surface. Descriptive statistics of the shear bond strength and frequency distribution of ARI scores were calculated. Enamel pretreatment with phosphoric acid significantly increased bond strength values of all the adhesives tested. No significant differences in bond strength were detected among the four different one-step self-etch adhesives with different pH. Two-step self-etch adhesive showed the highest bond strength. © 2013 John Wiley & Sons A/S.

  4. Difference in anisotropic etching characteristics of alkaline and copper based acid solutions for single-crystalline Si.

    PubMed

    Chen, Wei; Liu, Yaoping; Yang, Lixia; Wu, Juntao; Chen, Quansheng; Zhao, Yan; Wang, Yan; Du, Xiaolong

    2018-02-21

    The so called inverted pyramid arrays, outperforming conventional upright pyramid textures, have been successfully achieved by one-step Cu assisted chemical etching (CACE) for light reflection minimization in silicon solar cells. Due to the lower reduction potential of Cu 2+ /Cu and different electronic properties of different Si planes, the etching of Si substrate shows orientation-dependent. Different from the upright pyramid obtained by alkaline solutions, the formation of inverted pyramid results from the coexistence of anisotropic etching and localized etching process. The obtained structure is bounded by Si {111} planes which have the lowest etching rate, no matter what orientation of Si substrate is. The Si etching rate and (100)/(111) etching ratio are quantitatively analyzed. The different behaviors of anisotropic etching of Si by alkaline and Cu based acid etchant have been systematically investigated.

  5. Shear Bond Strength of Self-etching Adhesives to Cavities Prepared by Diamond Bur or Er,Cr:YSGG Laser and Effect of Prior Acid Etching.

    PubMed

    Jhingan, Pulkit; Sachdev, Vinod; Sandhu, Meera; Sharma, Karan

    2015-12-01

    To compare and evaluate shear bond strength of self-etching adhesives bonded to cavities prepared by diamond bur or Er,Cr:YSGG laser and the effect of prior acid etching on shear bond strength. Ninety-six caries-free human premolars were selected and divided into 2 groups depending on mode of cavity preparation (48 teeth each). Cavities were prepared with Er,Cr:YSGG laser in group 1 and diamond burs in an air-turbine handpiece in group 2. Groups 1 and 2 were further subdivided into three subgroups of 8 teeth each, which were bonded with sixth- or seventh-generation adhesives with or without prior acid etching, followed by restoration of all samples with APX Flow. These samples were subjected to shear bond strength testing. In addition, the surface morphology of 24 samples each from groups 1 and 2 was evaluated using SEM. Data were analyzed using the Shapiro-Wilk test, one- and two-way ANOVA, the t-test, and the least significant difference test, which showed that the data were normally distributed (p > 0.05). The shear bond strength of adhesives in cavities prepared by Er,Cr:YSGG laser was significantly higher than in diamond bur-prepared cavities (p < 0.05). SEM analysis showed a smear-layer-free anfractuous surface on laser-ablated teeth, in contrast to conventional bur-prepared teeth. The Er,Cr:YSGG laser-ablated surface proved to be more receptive for adhesion than those prepared by diamond bur irrespective of the bonding agent used. Seventh-generation adhesives yielded higher shear bond strength than did sixth-generation adhesives. Prior acid etching decreased the shear bond strength of self-etching adhesives.

  6. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    PubMed

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  7. Influence of the number of cycles on shear fatigue strength of resin composite bonded to enamel and dentin using dental adhesives in self-etching mode.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Erickson, Robert L; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-01-30

    The influence of the number of cycles on shear fatigue strength to enamel and dentin using dental adhesives in self-etch mode was investigated. A two-step self-etch adhesive and two universal adhesives were used to bond to enamel and dentin in self-etch mode. Initial shear bond strength and shear fatigue strength to enamel and dentin using the adhesive in self-etch mode were determined. Fatigue testing was used with 20 Hz frequency and cycling periods of 50,000, 100,000 and 1,000,000 cycles, or until failure occurred. For each of the cycling periods, there was no significant difference in shear fatigue strength across the cycling periods for the individual adhesives. Differences in shear fatigue strength were found between the adhesives within the cycling periods. Regardless of the adhesive used in self-etch mode for bonding to enamel or dentin, shear fatigue strength was not influenced by the number of cycles used for shear fatigue strength testing.

  8. Osteoblastic differentiating potential of dental pulp stem cells in vitro cultured on a chemically modified microrough titanium surface.

    PubMed

    DE Colli, Marianna; Radunovic, Milena; Zizzari, Vincenzo L; DI Giacomo, Viviana; DI Nisio, Chiara; Piattelli, Adriano; Calvo Guirado, José L; Zavan, Barbara; Cataldi, Amelia; Zara, Susi

    2018-03-30

    Titanium surface modification is critical for dental implant success. Our aim was to determine surfaces influence on dental pulp stem cells (DPSCs) viability and differentiation. Implants were divided into sandblasted/acid-etched (control) and sandblasted/acid-etched coated with calcium and magnesium ions (CaMg), supplied as composite (test). Proliferation was evaluated by MTT, differentiation checking osteoblastic gene expression, PGE2 secretion and matrix formation, inflammation by Interleukin 6 (IL-6) detection. MTT and IL-6 do not modify on test. A PGE2 increase on test is recorded. BMP2 is higher on test at early experimental points, Osterix and RUNX2 augment later. Alizarin-red S reveals higher matrix production on test. These results suggest that test surface is more osteoinductive, representing a start point for in vivo studies aiming at the construction of more biocompatible dental implants, whose integration and clinical performance are improved and some undesired effects, such as implant stability loss and further surgical procedures, are reduced.

  9. Shear bond strength and SEM morphology evaluation of different dental adhesives to enamel prepared with ER:YAG laser.

    PubMed

    Pires, Patrícia T; Ferreira, João C; Oliveira, Sofia A; Azevedo, Alvaro F; Dias, Walter R; Melo, Paulo R

    2013-01-01

    Early observations of enamel surfaces prepared by erbium lasers motivated clinicians to use laser as an alternative to chemical etching. Evaluate shear bond strength (SBS) values of different dental adhesives on Erbium:Yttrium Aluminum Garnet (Er:YAG) laser prepared enamel and to evaluate possible etching patterns correlations between dental adhesives and SBS values. One hundred bovine incisors were randomly assigned to SBS tests on enamel (n = 15) and to enamel morphology analysis (n = 5) after Er:YAG laser preparation as follows: Group I - 37% phosphoric acid (PA)+ ExciTE(®); Group II - ExciTE(®); Group III - AdheSE(®) self-etching; Group IV - FuturaBond(®) no-rinse. NR; Group V - Xeno(®) V. Teeth were treated with the adhesive systems and subjected to thermal cycling. SBS were performed in a universal testing machine at 5 mm/min. One-way ANOVA and post-hoc tests (P < 0.05). For the morphology evaluation, specimens were immersed in Ethylenediamine tetraacetic acid (EDTA) and the etching pattern analyzed under Scanning Electron Microscope (SEM). Mean bond strengths were Group I - 47.17 ± 1.61 MPa (type I etching pattern); Group II - 32.56 ± 1.64 MPa, Group III - 29.10 ± 1.34 MPa, Group IV - 23.32 ± 1.53 MPa (type III etching pattern); Group V - 24.43 MPa ± 1.55 (type II etching pattern). Different adhesive systems yielded significantly different SBSs. Acid etching significantly increased the adhesion in laser treated enamel. No differences in SBS values were obtained between AdheSE(®) and ExciTE(®) without condition with PA. FuturaBond(®) NR and Xeno(®) V showed similar SBS, which was lower in comparison to the others adhesives. No correlation between enamel surface morphology and SBS values was observed, except when PA was used.

  10. Resin-dentin bonds to EDTA-treated vs. acid-etched dentin using ethanol wet-bonding. Part II: Effects of mechanical cycling load on microtensile bond strengths.

    PubMed

    Sauro, Salvatore; Toledano, Manuel; Aguilera, Fatima Sánchez; Mannocci, Francesco; Pashley, David H; Tay, Franklin R; Watson, Timothy F; Osorio, Raquel

    2011-06-01

    To compare microtensile bond strengths (MTBS) subsequent to load cycling of resin bonded acid-etched or EDTA-treated dentin using a modified ethanol wet-bonding technique. Flat dentin surfaces were obtained from extracted human molars and conditioned using 37% H(3)PO(4) (PA) (15s) or 0.1M EDTA (60s). Five experimental adhesives and one commercial bonding agent were applied to the dentin and light-cured. Solvated experimental resins (50% ethanol/50% comonomers) were used as primers and their respective neat resins were used as the adhesives. The resin-bonded teeth were stored in distilled water (24h) or submitted to 5000 loading cycles of 90N. The bonded teeth were then sectioned in beams for MTBS. Modes of failure were examined by scanning electron microscopy. The most hydrophobic resin 1 gave the lowest bond strength values to both acid and EDTA-treated dentin. The hydrophobic resin 2 applied to EDTA-treated dentin showed lower bond strengths after cycling load but this did not occur when it was bonded to PA-etched dentin. Resins 3 and 4, which contained hydrophilic monomers, gave higher bond strengths to both EDTA-treated or acid-etched dentin and showed no significant difference after load cycling. The most hydrophilic resin 5 showed no significant difference in bond strengths after cycling loading when bonded to EDTA or phosphoric acid treated dentin but exhibited low bond strengths. The presence of different functional monomers influences the MTBS of the adhesive systems when submitted to cyclic loads. Adhesives containing hydrophilic comonomers are not affected by cycling load challenge especially when applied on EDTA-treated dentin followed by ethanol wet bonding. Copyright © 2011 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  11. Influence of duration of phosphoric acid pre-etching on bond durability of universal adhesives and surface free-energy characteristics of enamel.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Takamizawa, Toshiki; Watanabe, Hidehiko; Johnson, William W; Latta, Mark A; Miyazaki, Masashi

    2016-08-01

    The purpose of this study was to evaluate the influence of duration of phosphoric acid pre-etching on the bond durability of universal adhesives and the surface free-energy characteristics of enamel. Three universal adhesives and extracted human molars were used. Two no-pre-etching groups were prepared: ground enamel; and enamel after ultrasonic cleaning with distilled water for 30 s to remove the smear layer. Four pre-etching groups were prepared: enamel pre-etched with phosphoric acid for 3, 5, 10, and 15 s. Shear bond strength (SBS) values of universal adhesive after no thermal cycling and after 30,000 or 60,000 thermal cycles, and surface free-energy values of enamel surfaces, calculated from contact angle measurements, were determined. The specimens that had been pre-etched showed significantly higher SBS and surface free-energy values than the specimens that had not been pre-etched, regardless of the aging condition and adhesive type. The SBS and surface free-energy values did not increase for pre-etching times of longer than 3 s. There were no significant differences in SBS values and surface free-energy characteristics between the specimens with and without a smear layer. The results of this study suggest that phosphoric acid pre-etching of enamel improves the bond durability of universal adhesives and the surface free-energy characteristics of enamel, but these bonding properties do not increase for phosphoric acid pre-etching times of longer than 3 s. © 2016 Eur J Oral Sci.

  12. Effect of phosphate treatment of Acid-etched implants on mineral apposition rates near implants in a dog model.

    PubMed

    Foley, Christine Hyon; Kerns, David G; Hallmon, William W; Rivera-Hidalgo, Francisco; Nelson, Carl J; Spears, Robert; Dechow, Paul C; Opperman, Lynne A

    2010-01-01

    This study evaluated the effects of phosphate coating of acid-etched titanium on the mineral apposition rate (MAR) and new bone-to-implant contact (BIC) in a canine model. Titanium implants (2.2 3 4 mm) with acid-etched surfaces that were electrolytically phosphated or not were placed in 48 mandibular sites in six foxhounds. Tetracycline and calcein dyes were administered 1 week after implant placement and 1 week before sacrifice. At 12 weeks after implant placement, the animals were sacrificed. MAR and BIC were evaluated using fluorescence microscopy. Light microscopic and histologic evaluations were performed on undecalcified sections. Microscopic evaluation showed the presence of healthy osteoblasts lining bone surfaces near implants. Similar BIC was observed in phosphated and nonphosphated titanium implant sites. MAR was significantly higher around the nonphosphated titanium implant surfaces than around the phosphated titanium samples. No significant differences were found between dogs or implant sites. Acid-etched implants showed significantly higher MARs compared to acid-etched, phosphate-coated implants. Int J Maxillofac Implants 2010;25:278-286.

  13. Effects of etching time on enamel bond strengths.

    PubMed

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  14. Occupational dental erosion from exposure to acids: a review.

    PubMed

    Wiegand, Annette; Attin, Thomas

    2007-05-01

    Dental erosion is characterized as a disorder with a multifactorial aetiology including environmental acid exposure. The purpose of this article was to summarize and discuss the available information concerning occupational dental erosion. Information from original scientific papers, case reports and reviews with additional case reports listed in PubMed, Medline or EMBASE [search term: (dental OR enamel OR dentin) AND (erosion OR tooth wear) AND (occupational OR worker)] were included in the review. References from the identified publications were manually searched to identify additional relevant articles. The systematic search resulted in 59 papers, of which 42 were suitable for the present review. Seventeen papers demonstrated evidence that battery, galvanizing and associated workers exposed to sulphuric or hydrochloric acid were at higher risk of dental erosion. For other industrial workers, wine tasters and competitive swimmers, only a few clinical studies exist and these do not allow the drawing of definitive conclusions. Occupational acid exposure might increase the risk of dental erosion. Evidence for occupational dental erosion is limited to battery and galvanizing workers, while data for other occupational groups need to be confirmed by further studies.

  15. Effect of acid etching on bond strength of nanoionomer as an orthodontic bonding adhesive

    PubMed Central

    Khan, Saba; Verma, Sanjeev K.; Maheshwari, Sandhya

    2015-01-01

    Aims: A new Resin Modified Glass Ionomer Cement known as nanoionomer containing nanofillers of fluoroaluminosilicate glass and nanofiller 'clusters' has been introduced. An in-vitro study aimed at evaluating shear bond strength (SBS) and adhesive remnant index (ARI) of nanoionomer under etching/unetched condition for use as an orthodontic bonding agent. Material and Methods: A total of 75 extracted premolars were used, which were divided into three equal groups of 25 each: 1-Conventional adhesive (Enlight Light Cure, SDS, Ormco, CA, USA) was used after and etching with 37% phosphoric acid for 30 s, followed by Ortho Solo application 2-nanoionomer (Ketac™ N100, 3M, ESPE, St. Paul, MN, USA) was used after etching with 37% phosphoric acid for 30 s 3-nanoionomer was used without etching. The SBS testing was performed using a digital universal testing machine (UTM-G-410B, Shanta Engineering). Evaluation of ARI was done using scanning electron microscopy. The SBS were compared using ANOVA with post-hoc Tukey test for intergroup comparisons and ARI scores were compared with Chi-square test. Results: ANOVA (SBS, F = 104.75) and Chi-square (ARI, Chi-square = 30.71) tests revealed significant differences between groups (P < 0.01). The mean (SD) SBS achieved with conventional light cure adhesive was significantly higher (P < 0.05) (10.59 ± 2.03 Mpa, 95% CI, 9.74-11.41) than the nanoionomer groups (unetched 4.13 ± 0.88 Mpa, 95% CI, 3.79-4.47 and etched 9.32 ± 1.87 Mpa, 95% CI, 8.58-10.06). However, nanoionomer with etching, registered SBS in the clinically acceptable range of 5.9–7.8 MPa, as suggested by Reynolds (1975). The nanoionomer groups gave significantly lower ARI values than the conventional adhesive group. Conclusion: Based on this in-vitro study, nanoionomer with etching can be successfully used as an orthodontic bonding agent leaving less adhesive remnant on enamel surface, making cleaning easier. However, in-vivo studies are needed to confirm the validity

  16. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    PubMed

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  17. A macro- and nanostructure evaluation of a novel dental implant.

    PubMed

    Tetè, Stefano; Mastrangelo, Filiberto; Traini, Tonino; Vinci, Raffaele; Sammartino, Gilberto; Marenzi, Gaetano; Gherlone, Enrico

    2008-09-01

    Success in implant dentistry also comes from the implant macrodesign and nanostructure of its surface. Titanium implant surface treatments have been shown to enhance osseointegration, maximize bone healing, and bone-to-implant contact for predictable clinical results. The aim of the study, was to evaluate the geometric macrodesign and the surface nanostructure of a novel dental implant full contact covering (FCC) obtained by electrochemical procedures. FCC implants were analyzed by scanning electronic microscope, profilometer, and x-ray photoelectron spectroscopy and compared with commercial sandblasted and sandblasted, large-grit acid-etched dental implants. Sample analysis allowed to distinguish the different implant macrodesigns, the step and the profile of the coils that cover the fixture, and the surface characteristics. FCC implant showed novel macro-characteristic of crestal module, coils, and apical zone compared with sandblasted and sandblasted and acid-etched dental implants. Moreover, the FCC nanostructure surface showed roughness values statistically higher than the 2 other surfaces, with a more homogeneity in a peaks and valleys arrangement. Finally, the x-ray photoelectron spectroscopy analysis detected differences between the examined surfaces, with the presence of several contaminants according to the different treatment procedures. Research on new macrostructures and nano morphology should result in a better qualitative and quantitative osseointegration response, with a predictability of the clinical results and long-term success of the implants.

  18. Effect of additional etching and ethanol-wet bonding on the dentin bond strength of one-step self-etch adhesives

    PubMed Central

    Ahn, Joonghee; Jung, Kyoung-Hwa; Son, Sung-Ae; Hur, Bock; Kwon, Yong-Hoon

    2015-01-01

    Objectives This study examined the effects of additional acid etching on the dentin bond strength of one-step self-etch adhesives with different compositions and pH. The effect of ethanol wetting on etched dentin bond strength of self-etch adhesives was also evaluated. Materials and Methods Forty-two human permanent molars were classified into 21 groups according to the adhesive types (Clearfil SE Bond [SE, control]; G-aenial Bond [GB]; Xeno V [XV]; Beauti Bond [BB]; Adper Easy Bond [AE]; Single Bond Universal [SU]; All Bond Universal [AU]), and the dentin conditioning methods. Composite resins were placed on the dentin surfaces, and the teeth were sectioned. The microtensile bond strength was measured, and the failure mode of the fractured specimens was examined. The data were analyzed statistically using two-way ANOVA and Duncan's post hoc test. Results In GB, XV and SE (pH ≤ 2), the bond strength was decreased significantly when the dentin was etched (p < 0.05). In BB, AE and SU (pH 2.4 - 2.7), additional etching did not affect the bond strength (p > 0.05). In AU (pH = 3.2), additional etching increased the bond strength significantly (p < 0.05). When adhesives were applied to the acid etched dentin with ethanol-wet bonding, the bond strength was significantly higher than that of the no ethanol-wet bonding groups, and the incidence of cohesive failure was increased. Conclusions The effect of additional acid etching on the dentin bond strength was influenced by the pH of one-step self-etch adhesives. Ethanol wetting on etched dentin could create a stronger bonding performance of one-step self-etch adhesives for acid etched dentin. PMID:25671215

  19. Addition of Grape Seed Extract Renders Phosphoric Acid a Collagen-stabilizing Etchant.

    PubMed

    Liu, Y; Dusevich, V; Wang, Y

    2014-08-01

    Previous studies found that grape seed extract (GSE), which is rich in proanthocyanidins, could protect demineralized dentin collagen from collagenolytic activities following clinically relevant treatment. Because of proanthocyanidin's adverse interference to resin polymerization, it was believed that GSE should be applied and then rinsed off in a separate step, which in effect increases the complexity of the bonding procedure. The present study aimed to investigate the feasibility of combining GSE treatment with phosphoric acid etching to address the issue. It is also the first attempt to formulate collagen-cross-linking dental etchants. Based on Fourier-transformed infrared spectroscopy and digestion assay, it was established that in the presence of 20% to 5% phosphoric acid, 30 sec of GSE treatment rendered demineralized dentin collagen inert to bacterial collagenase digestion. Based on this positive result, the simultaneous dentin etching and collagen protecting of GSE-containing phosphoric acid was evaluated on the premise of a 30-second etching time. According to micro-Raman spectroscopy, the formulation containing 20% phosphoric acid was found to lead to overetching. Based on scanning and transmission electronic microscopy, this same formulation exhibited unsynchronized phosphoric acid and GSE penetration. Therefore, addition of GSE did render phosphoric acid a collagen-stabilizing etchant, but the preferable phosphoric acid concentration should be <20%. © International & American Associations for Dental Research.

  20. Effect of hydrofluoric acid surface treatments on micro-shear bond strength of CAD/CAM ceramics

    PubMed Central

    Mokhtarpour, Faraneh; Alaghehmand, Homayoon; Khafri, Soraya

    2017-01-01

    Introduction Dental ceramics are appreciated as highly esthetic restorative materials that can simulate the appearance of natural dentition better than other materials. The aim of this study was to evaluate the effect of hydrofluoric acid concentration and etching time on micro-shear bond strength (μSBS) to IPS e.max CAD and Vita Mark II of a dual cured resin cement (Panavia F2.0). Methods This study was an experimental in vitro study, performed in the dental material research center of Babol University of Medical Sciences in 2016. Two hydrofluoric acid concentrations (5% and 10%) and three different etching times (20, 60 and 120 seconds) were used to etch the specimens respectively. A silane coupling agent (Clearfil porcelain activator) and priming and bonding agent (Clearfil SE bond) were used on the etched surfaces in accordance to the manufacturer’s instructions of use. Then resin cement was applied on the prepared ceramic surfaces and light cured. μSBS between resin cement and the porcelains were measured with a universal testing machine. Mode of failure was observed with 40× magnification by means of a Stereo microscope. Data were analyzed with ANOVA and independent-samples t-test and Chi-square tests. Results In both e.max and Vita Mark II groups, μSBS were not significantly different when different etching times (one-way ANOVA) and HF acid concentrations (Independent-samples t-test) were used (p>0.05), but the highest μSBS was shown in e.max specimens etched 60 s with 5% HF and Vita Mark II specimens etched 20 s with 10% HF. μSBS of e.max was significantly higher than Vita Mark II (p=0.00). Conclusion Best surface treatment for e.max and Vita Mark II ceramics is 20 s etch using 5 % hydrofluoric acid. PMID:29238488

  1. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    PubMed

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  2. Shear bond strength and SEM morphology evaluation of different dental adhesives to enamel prepared with ER:YAG laser

    PubMed Central

    Pires, Patrícia T.; Ferreira, João C.; Oliveira, Sofia A.; Azevedo, Álvaro F.; Dias, Walter R.; Melo, Paulo R.

    2013-01-01

    Context: Early observations of enamel surfaces prepared by erbium lasers motivated clinicians to use laser as an alternative to chemical etching. Aims: Evaluate shear bond strength (SBS) values of different dental adhesives on Erbium:Yttrium Aluminum Garnet (Er:YAG) laser prepared enamel and to evaluate possible etching patterns correlations between dental adhesives and SBS values. Subjects and Methods: One hundred bovine incisors were randomly assigned to SBS tests on enamel (n = 15) and to enamel morphology analysis (n = 5) after Er:YAG laser preparation as follows: Group I – 37% phosphoric acid (PA)+ ExciTE®; Group II – ExciTE®; Group III – AdheSE® self-etching; Group IV – FuturaBond® no-rinse. NR; Group V – Xeno® V. Teeth were treated with the adhesive systems and subjected to thermal cycling. SBS were performed in a universal testing machine at 5 mm/min. Statistical Analysis Used: One-way ANOVA and post-hoc tests (P < 0.05). For the morphology evaluation, specimens were immersed in Ethylenediamine tetraacetic acid (EDTA) and the etching pattern analyzed under Scanning Electron Microscope (SEM). Results: Mean bond strengths were Group I – 47.17 ± 1.61 MPa (type I etching pattern); Group II – 32.56 ± 1.64 MPa, Group III – 29.10 ± 1.34 MPa, Group IV – 23.32 ± 1.53 MPa (type III etching pattern); Group V – 24.43 MPa ± 1.55 (type II etching pattern). Conclusions: Different adhesive systems yielded significantly different SBSs. Acid etching significantly increased the adhesion in laser treated enamel. No differences in SBS values were obtained between AdheSE® and ExciTE® without condition with PA. FuturaBond® NR and Xeno® V showed similar SBS, which was lower in comparison to the others adhesives. No correlation between enamel surface morphology and SBS values was observed, except when PA was used. PMID:23853447

  3. BOND STRENGTH AND MORPHOLOGY OF ENAMEL USING SELF-ETCHING ADHESIVE SYSTEMS WITH DIFFERENT ACIDITIES

    PubMed Central

    Moura, Sandra Kiss; Reis, Alessandra; Pelizzaro, Arlete; Dal-Bianco, Karen; Loguercio, Alessandro Dourado; Arana-Chavez, Victor Elias; Grande, Rosa Helena Miranda

    2009-01-01

    Objectives: To assess the bond strength and the morphology of enamel after application of self-etching adhesive systems with different acidities. The tested hypothesis was that the performance of the self-etching adhesive systems does not vary for the studied parameters. Material and methods: Composite resin (Filtek Z250) buildups were bonded to untreated (prophylaxis) and treated (burcut or SiC-paper) enamel surfaces of third molars after application of four self-etching and two etch-and-rinse adhesive systems (n=6/condition): Clearfil SE Bond (CSE); OptiBond Solo Plus Self-Etch (OP); AdheSe (AD); Tyrian Self Priming Etching (TY), Adper Scotchbond Multi-Purpose Plus (SBMP) and Adper Single Bond (SB). After storage in water (24 h/37°C), the bonded specimens were sectioned into sticks with 0.8 mm2 cross-sectional area and the microtensile bond strength was tested at a crosshead speed of 0.5 mm/min. The mean bond strength values (MPa) were subjected to two-way ANOVA and Tukey's test (α=0.05). The etching patterns of the adhesive systems were also observed with a scanning electron microscope. Results: The main factor adhesive system was statistically significant (p<0.05). The mean bond strength values (MPa) and standard deviations were: CSE (20.5±3.5), OP (11.3±2.3), AD (11.2±2.8), TY (11.1±3.0), SBMP (21.9±4.0) and SB (24.9±3.0). Different etching patterns were observed for the self-etching primers depending on the enamel treatment and the pH of the adhesive system. Conclusion: Although there is a tendency towards using adhesive systems with simplified application procedures, this may compromise the bonding performance of some systems to enamel, even when the prismless enamel is removed. PMID:19668991

  4. Surface Modification of Ti-35Nb-10Ta-1.5Fe by the Double Acid-Etching Process

    PubMed Central

    Amigó, Angélica

    2018-01-01

    Surface topography and composition influence the osteoblastic proliferation and osseointegration rates, which favor the biomechanical stability of bone anchoring and implants. In recent years, beta titanium alloys have been developed, and are composed of biocompatible elements, have low elastic modulus, high corrosion resistance, and mechanical properties to improve the long performance behavior of biomaterials. In the present research, the influence of the acid-etching process was studied in Ti6Al4V ELI and Ti35Nb10Ta1.5Fe. Samples were etched in a two-step acid treatment. Surface roughness parameters were quantified under a confocal microscope, topography was studied by scanning electron microscopy, and surface composition was analyzed with energy dispersive X-ray spectroscopy. The results revealed that the two-step acid treatment changes the topography of the β alloy, increases the surface area, and changes the chemical composition of the surface. Two differentiated regions were identified in the Ti35Nb10Ta1.5Fe alloy after the acid-etching process: The α + β region with higher values of mean roughness due to the lower chemical resistance of this region; and the β region with lower values of roughness parameters. PMID:29587427

  5. [Nutrition, diet and dental health--de- and remineralisation of teeth].

    PubMed

    Imfeld, Thomas

    2008-02-01

    There is little if any evidence that nutrition during the time of tooth formation has any clinically significant effect on caries susceptibility of erupted teeth in man. Caries, erosion and demastication are not nutritional diseases. They are, however, diet-related diseases because erupted teeth are subject to local chemical and mechanical effects of the diet contacting the teeth. Caries is the result of a chronic undermining demineralisation of the teeth by organic acids that are produced by the bacteria of the dental biofilm while fermenting carbohydrates from the human diet. Dental erosion is the result of a chronic localised loss of dental hard tissue etched away from the surface by acids derived from the diet or from gastric reflux. The physicochemical grounds of de- and remineralisation of teeth are explained using detailed diagrams. Initial caries lesions without cavitation of the surface can remineralise (heal) under conditions of low cariogenic diet and good oral hygiene. However, once the surface has broken and cavitation occurred, there is no alternative to restorative dental therapy because remineralisation is no more possible.

  6. Bond strength of etch-and-rinse and self-etch adhesive systems to enamel and dentin irradiated with a novel CO2 9.3 μm short-pulsed laser for dental restorative procedures.

    PubMed

    Rechmann, Peter; Bartolome, N; Kinsel, R; Vaderhobli, R; Rechmann, B M T

    2017-12-01

    The objective of this study was to evaluate the influence of CO 2 9.3 μm short-pulsed laser irradiation on the shear bond strength of composite resin to enamel and dentin. Two hundred enamel and 210 dentin samples were irradiated with a 9.3 µm carbon dioxide laser (Solea, Convergent Dental, Inc., Natick, MA) with energies which either enhanced caries resistance or were effective for ablation. OptiBond Solo Plus [OptiBondTE] (Kerr Corporation, Orange, CA) and Peak Universal Bond light-cured adhesive [PeakTE] (Ultradent Products, South Jordan, UT) were used. In addition, Scotchbond Universal [ScotchbondSE] (3M ESPE, St. Paul, MN) and Peak SE self-etching primer with Peak Universal Bond light-cured adhesive [PeakSE] (Ultradent Products) were tested. Clearfil APX (Kuraray, New York, NY) was bonded to the samples. After 24 h, a single plane shear bond test was performed. Using the caries preventive setting on enamel resulted in increased shear bond strength for all bonding agents except for self-etch PeakSE. The highest overall bond strength was seen with PeakTE (41.29 ± 6.04 MPa). Etch-and-rinse systems achieved higher bond strength values to ablated enamel than the self-etch systems did. PeakTE showed the highest shear bond strength with 35.22 ± 4.40 MPa. OptiBondTE reached 93.8% of its control value. The self-etch system PeakSE presented significantly lower bond strength. The shear bond strength to dentin ranged between 19.15 ± 3.49 MPa for OptiBondTE and 43.94 ± 6.47 MPa for PeakSE. Etch-and-rinse systems had consistently higher bond strength to CO 2 9.3 µm laser-ablated enamel. Using the maximum recommended energy for dentin ablation, the self-etch system PeakSE reached the highest bond strength (43.9 ± 6.5 MPa).

  7. Process for etching mixed metal oxides

    DOEpatents

    Ashby, Carol I. H.; Ginley, David S.

    1994-01-01

    An etching process using dicarboxylic and tricarboxylic acids as chelating etchants for mixed metal oxide films such as high temperature superconductors and ferroelectric materials. Undesirable differential etching rates between different metal oxides are avoided by selection of the proper acid or combination of acids. Feature sizes below one micron, excellent quality vertical edges, and film thicknesses in the 100 Angstom range may be achieved by this method.

  8. [Effect of hydrofluoric acid etching time on the resin bond durability of glass ceramic].

    PubMed

    Meng, Xiang-feng; Zhou, Xiao-lu; Luo, Xiao-ping

    2010-05-01

    To analyze the effect of hydrofluoric acid (HFA) etching time on the resin bond durability of glass ceramic. Three groups of samples of machinable glass ceramic (ProCAD) were etched by 4.8% HFA for 0, 30 and 60 s respectively. The roughness parameters (Ra, Sm, S) and surface area of the samples, were measured with a 3D-laser scanning microscope. Then the ceramic surfaces were bonded with four resin cements (silane coupler/resin cement), which were Monobond S/Variolink II, Clearfil Ceramic Primer/Clearfil Esthetic Cement, GC Ceramic Primer/Linkmax HV, and Porcelain Liner M/SuperBond. The micro-bond strengths between the ceramic and the resin were tested at baseline and after the samples had been treated in 30000 thermal cycles. The Ra [(3.89+/-1.94), (12.53+/-0.80), (13.58+/-1.10) microm] and surface area [(7.81+/-2.96), (30.18+/-2.05), (34.16+/-1.97) mm2] of ceramic increased with the increase of HFA etching time. The thermal cycling test reduced the bond strength of all test groups. The bond strength of Monobond S/Variolink II group [(3.59+/-3.51), (16.18+/-2.62), (20.33+/-2.45) MPa] and Clearfil Ceramic Primer/Clearfil Esthetic Cement group [(4.74+/-2.08), (7.77+/-1.55), (13.45+/-3.75) MPa] increased with the increase of HFA etching time; 30 s HFA etching group of Porcelain Liner M/SuperBond had higher bond strength [(22.00+/-1.64) MPa] than its 0 s HFA etching group [(12.96+/-4.17) MPa], and no significant difference was found between the 30 s and 60 s HFA etching groups of Porcelain Liner M/SuperBond [(20.42+/-3.01) MPa]. HFA etching time had no effect on the bond strength of GC Ceramic Primer/Linkmax HV. HFA etching can improve the resin bond durability of glass ceramic, and the etching time is not only related to the change of ceramic surface roughness and area, but also to the characteristics of resins.

  9. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    PubMed

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  10. Effect of etching time and resin bond on the flexural strength of IPS e.max Press glass ceramic.

    PubMed

    Xiaoping, Luo; Dongfeng, Ren; Silikas, Nick

    2014-12-01

    To evaluate the effect of hydrofluoric acid (HFA) etching time and resin cement bond on the flexural strength of IPS e.max(®) Press glass ceramic. Two hundred and ten bars, 25mm×3mm×2mm, were made from IPS e.max(®) Press ingots through lost-wax, hot-pressed ceramic fabrication technology and randomly divided into five groups with forty-two per group after polishing. The ceramic surfaces of different groups were etched by 9.5% hydrofluoric acid gel for 0, 20, 40, 60 and 120s respectively. Two specimens of each group were selected randomly to examine the surface roughness and 3-dimensional topography with atomic force microscope (AFM), and microstructure was analyzed by the field emission scanning electron microscope (FE-SEM). Then each group were subdivided into two subgroups (n=20). One subgroup of this material was selected to receive a thin (approximately 0.1mm) layer of resin luting agent (Variolink N) whereas the other subgroup remained unaltered. Half of subgroup's specimens were thermocycled 10,000 times before a 3-point bending test in order to determine the flexural strength. Interface between resin cement and ceramic was examined with field emission scanning electronic microscope. Roughness values increased with increasing etching time. The mean flexural strength values of group 0s, 20s, 40s, 60s and 120s were 384±33, 347±43, 330±53, 327±67 and 317±41MPa respectively. Increasing HF etching times reduced the mean flexural strength (p<0.05). However, the mean flexural strength of each group, except group 0s, increased significantly to 420±31, 435±50, 400±39 and 412±58MPa after the application of dual-curing resin cement. In the present investigation, no significant differences after thermocycling on the flexural strengths were evident. Overtime HF etching could have a wakening effect on IPS e.max(®) Press glass ceramic, but resin cement bonding to appropriately etched surface would strengthen the dental ceramic. Copyright © 2014 Academy of

  11. Process for etching mixed metal oxides

    DOEpatents

    Ashby, C.I.H.; Ginley, D.S.

    1994-10-18

    An etching process is described using dicarboxylic and tricarboxylic acids as chelating etchants for mixed metal oxide films such as high temperature superconductors and ferroelectric materials. Undesirable differential etching rates between different metal oxides are avoided by selection of the proper acid or combination of acids. Feature sizes below one micron, excellent quality vertical edges, and film thicknesses in the 100 Angstrom range may be achieved by this method. 1 fig.

  12. Titanium hydride and hydrogen concentration in acid-etched commercially pure titanium and titanium alloy implants: a comparative analysis of five implant systems.

    PubMed

    Szmukler-Moncler, S; Bischof, M; Nedir, R; Ermrich, M

    2010-09-01

    Acid etching is a popular method to texture the surface of dental implants. During etching, the titanium oxide protective layer is dissolved and small native hydrogen ions diffuse into the unprotected implant surface. They enrich the implant surface with hydrogen and precipitate into titanium hydride (TiH). The aim of this study was to measure the concentration of TiH at the implant surface and the total concentration of Hydrogen at five commercially available implant systems, made of either commercially pure (cp) titanium or titanium alloy. X-Ray diffraction (XRD) was conducted on each implant system to determine the compounds present at the implant surface. Following a TiH(2)/Ti calibration curve, the concentration of TiH was determined. Concentration of hydrogen in the implants was measured by the inert gas fusion thermal conductivity/infrared detection method. XRD data showed that TiH was present on all cp titanium implants but not on the alloyed implants. TiH concentration varied between 5% and 37%. Hydrogen concentration varied between 43 and 108 ppm, no difference in uptake was found between the cp titanium and alloyed implants. Low solubility of hydrogen in alpha-titanium is responsible for precipitation into TiH. Stronger etching conditions led to higher concentration of TiH2-x. High solubility of hydrogen in the beta-phase of the alloy is preventing hydrogen from precipitating into TiH. All implants, even those lacking TiH at the surface, were enriched with hydrogen. In all implants, hydrogen concentration was within the normative limit of 130 ppm.

  13. In situ chemical functionalization of gallium nitride with phosphonic acid derivatives during etching.

    PubMed

    Wilkins, Stewart J; Greenough, Michelle; Arellano, Consuelo; Paskova, Tania; Ivanisevic, Albena

    2014-03-04

    In situ functionalization of polar (c plane) and nonpolar (a plane) gallium nitride (GaN) was performed by adding (3-bromopropyl) phosphonic acid or propyl phosphonic acid to a phosphoric acid etch. The target was to modulate the emission properties and oxide formation of GaN, which was explored through surface characterization with atomic force microscopy, X-ray photoelectron spectroscopy, photoluminescence (PL), inductively coupled plasma-mass spectrometry, and water contact angle. The use of (3-bromopropyl) phosphonic acid and propyl phosphonic acid in phosphoric acid demonstrated lower amounts of gallium oxide formation and greater hydrophobicity for both sample sets, while also improving PL emission of polar GaN samples. In addition to crystal orientation, growth-related factors such as defect density in bulk GaN versus thin GaN films residing on sapphire substrates were investigated as well as their responses to in situ functionalization. Thin nonpolar GaN layers were the most sensitive to etching treatments due in part to higher defect densities (stacking faults and threading dislocations), which accounts for large surface depressions. High-quality GaN (both free-standing bulk polar and bulk nonpolar) demonstrated increased sensitivity to oxide formation. Room-temperature PL stands out as an excellent technique to identify nonradiative recombination as observed in the spectra of heteroepitaxially grown GaN samples. The chemical methods applied to tune optical and physical properties of GaN provide a quantitative framework for future novel chemical and biochemical sensor development.

  14. Shear bond strength and debonding characteristics of metal and ceramic brackets bonded with conventional acid-etch and self-etch primer systems: An in-vivo study.

    PubMed

    Mirzakouchaki, Behnam; Shirazi, Sajjad; Sharghi, Reza; Shirazi, Samaneh; Moghimi, Mahsan; Shahrbaf, Shirin

    2016-02-01

    Different in-vitro studies have reported various results regarding shear bond strength (SBS) of orthodontic brackets when SEP technique is compared to conventional system. This in-vivo study was designed to compare the effect of conventional acid-etching and self-etching primer adhesive (SEP) systems on SBS and debonding characteristics of metal and ceramic orthodontic brackets. 120 intact first maxillary and mandibular premolars of 30 orthodontic patients were selected and bonded with metal and ceramic brackets using conventional acid-etch or self-etch primer system. The bonded brackets were incorporated into the wire during the study period to simulate the real orthodontic treatment condition. The teeth were extracted and debonded after 30 days. The SBS, debonding characteristics and adhesive remnant indices (ARI) were determined in all groups. The mean SBS of metal brackets was 10.63±1.42 MPa in conventional and 9.38±1.53 MPa in SEP system, (P=0.004). No statistically significant difference was noted between conventional and SEP systems in ceramic brackets. The frequency of 1, 2 and 3 ARI scores and debonding within the adhesive were the most common among all groups. No statistically significant difference was observed regarding ARI or failure mode of debonded specimens in different brackets or bonding systems. The SBS of metal brackets bonded using conventional system was significantly higher than SEP system, although the SBS of SEP system was clinically acceptable. No significant difference was found between conventional and SEP systems used with ceramic brackets. Total SBS of metal brackets was significantly higher than ceramic brackets. Due to adequate SBS of SEP system in bonding the metal brackets, it can be used as an alternative for conventional system. Shear bond strength, Orthodontic brackets, Adhesive remnant index, self-etch.

  15. Shear bond strength and debonding characteristics of metal and ceramic brackets bonded with conventional acid-etch and self-etch primer systems: An in-vivo study

    PubMed Central

    Mirzakouchaki, Behnam; Sharghi, Reza; Shirazi, Samaneh; Moghimi, Mahsan; Shahrbaf, Shirin

    2016-01-01

    Background Different in-vitro studies have reported various results regarding shear bond strength (SBS) of orthodontic brackets when SEP technique is compared to conventional system. This in-vivo study was designed to compare the effect of conventional acid-etching and self-etching primer adhesive (SEP) systems on SBS and debonding characteristics of metal and ceramic orthodontic brackets. Material and Methods 120 intact first maxillary and mandibular premolars of 30 orthodontic patients were selected and bonded with metal and ceramic brackets using conventional acid-etch or self-etch primer system. The bonded brackets were incorporated into the wire during the study period to simulate the real orthodontic treatment condition. The teeth were extracted and debonded after 30 days. The SBS, debonding characteristics and adhesive remnant indices (ARI) were determined in all groups. Results The mean SBS of metal brackets was 10.63±1.42 MPa in conventional and 9.38±1.53 MPa in SEP system, (P=0.004). No statistically significant difference was noted between conventional and SEP systems in ceramic brackets. The frequency of 1, 2 and 3 ARI scores and debonding within the adhesive were the most common among all groups. No statistically significant difference was observed regarding ARI or failure mode of debonded specimens in different brackets or bonding systems. Conclusions The SBS of metal brackets bonded using conventional system was significantly higher than SEP system, although the SBS of SEP system was clinically acceptable. No significant difference was found between conventional and SEP systems used with ceramic brackets. Total SBS of metal brackets was significantly higher than ceramic brackets. Due to adequate SBS of SEP system in bonding the metal brackets, it can be used as an alternative for conventional system. Key words:Shear bond strength, Orthodontic brackets, Adhesive remnant index, self-etch. PMID:26855704

  16. Self-etching aspects of a three-step etch-and-rinse adhesive.

    PubMed

    Bahillo, Jose; Roig, Miguel; Bortolotto, Tissiana; Krejci, Ivo

    2013-11-01

    The purpose of this study is to assess the marginal adaptation of cavities restored with a three-step etch-and-rinse adhesive, OptiBond FL (OFL) under different application protocols. Twenty-four class V cavities were prepared with half of the margins located in enamel and half in dentin. Cavities were restored with OFL and a microhybrid resin composite (Clearfil AP-X). Three groups (n = 8) that differed in the etching technique were tested with thermomechanical loading, and specimens were subjected to quantitative marginal analysis before and after loading. Micromorphology of etching patters on enamel and dentin were observed with SEM. Data was evaluated with Kruskal-Wallis and Bonferroni post hoc test. Significantly lower percent CM (46.9 ± 19.5) were found after loading on enamel in group 3 compared to group 1 (96.5 ± 5.1) and group 2 (93.1 ± 8.1). However, no significant differences (p = 0.30) were observed on dentin margins. Etching enamel with phosphoric acid but avoiding etching dentin before the application of OFL, optimal marginal adaptation could be obtained, evidencing a self-etching primer effect. A reliable adhesive interface was attained with the application of the three-step etch-and-rinse OFL adhesive with a selective enamel etching, representing an advantage on restoring deep cavities.

  17. Etching of enamel for direct bonding with a thulium fiber laser

    NASA Astrophysics Data System (ADS)

    Kabaş Sarp, Ayşe S.; Gülsoy, Murat

    2011-03-01

    Background: Laser etching of enamel for direct bonding can decrease the risk of surface enamel loss and demineralization which are the adverse effects of acid etching technique. However, in excess of +5.5°C can cause irreversible pulpal responses. In this study, a 1940- nm Thulium Fiber Laser in CW mode was used for laser etching. Aim: Determination of the suitable Laser parameters of enamel surface etching for direct bonding of ceramic brackets and keeping that intrapulpal temperature changes below the threshold value. Material and Method: Polycrystalline ceramic orthodontic brackets were bonded on bovine teeth by using 2 different kinds of etching techniques: Acid and Laser Etching. In addition to these 3 etched groups, there was also a group which was bonded without etching. Brackets were debonded with a material testing machine. Breaking time and the load at the breaking point were measured. Intrapulpal temperature changes were recorded by a K-type Thermocouple. For all laser groups, intrapulpal temperature rise was below the threshold value of 5.5°C. Results and Conclusion: Acid-etched group ( 11.73 MPa) significantly required more debonding force than 3- second- irradiated ( 5.03 MPa) and non-etched groups ( 3.4 MPa) but the results of acid etched group and 4- second- irradiated group (7.5 MPa) showed no significant difference. Moreover, 4- second irradiated group was over the minimum acceptable value for clinical use. Also, 3- second lasing caused a significant reduction in time according to acid-etch group. As a result, 1940- nm laser irradiation is a promising method for laser etching.

  18. Dyract compomer: comparison of total etch vs. no etch technique.

    PubMed

    Kugel, G; Perry, R D; Hoang, E; Hoang, T; Ferrari, M

    1998-01-01

    Different dental materials and methods can influence the integrity of the marginal seal of restorations. To evaluate the microleakage of Dyract AP Light Cured Compomer, a polyacid modified resin (Caulk), using etched and unetched techniques, standardized trapezoidal Class V restorations were placed on facial or lingual surfaces of 20 human molars with the gingival margin in the cementum. Each restoration was scored at the cervical by two independent, double blinded operators, with reference to the DEJ, for dye penetration on a ranking system of: 0 = no evidence of dye penetration; 1 = dye penetration up to one-half the distance to the axial wall; 2 = dye penetration beyond one-half the distance to the axial wall but short of the axial wall; 3 = dye penetration to the axial wall or beyond. Statistical analysis (Fisher Exact Test) indicated that the etched compomer demonstrated significantly less microleakage when compared to the unetched compomer (p < 0.05).

  19. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    PubMed Central

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P < 0.0001 and P < 0.0001). When enamel and dentin interfaces were evaluated separately, (1) for the Self-Etch adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P < 0.0001); (2) for the Etch and Rinse adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  20. Nano-crystalline diamond-coated titanium dental implants - a histomorphometric study in adult domestic pigs.

    PubMed

    Metzler, Philipp; von Wilmowsky, Cornelius; Stadlinger, Bernd; Zemann, Wolfgang; Schlegel, Karl Andreas; Rosiwal, Stephan; Rupprecht, Stephan

    2013-09-01

    Promising biomaterial characteristics of diamond-coatings in biomedicine have been described in the literature. However, there is a lack of knowledge about implant osseointegration of this surface modification compared to the currently used sandblasted acid-etched Ti-Al6-V4 implants. The aim of this study was to investigate the osseointegration of microwave plasma-chemical-vapour deposition (MWP-CVD) diamond-coated Ti-Al6-V4 dental implants after healing periods of 2 and 5 months. Twenty-four MWP-CVD diamond-coated and 24 un-coated dental titanium-alloy implants (Ankylos(®)) were placed in the frontal skull of eight adult domestic pigs. To evaluate the effects of the nano-structured surfaces on bone formation, a histomorphometric analysis was performed after 2 and 5 months of implant healing. Histomorphometry analysed the bone-to-implant contact (BIC). No significant difference in BIC for the diamond-coated implants in comparison to reference implants could be observed for both healing periods. Scanning electron microscopy revealed an adequate interface between the bone and the diamond surface. No delamination or particle-dissociation due to shearing forces could be detected. In this study, diamond-coated dental titanium-alloy implants and sandblasted acid-etched implants showed a comparable degree of osseointegration. Copyright © 2012 European Association for Cranio-Maxillo-Facial Surgery. Published by Elsevier Ltd. All rights reserved.

  1. Enamel Deproteinization using Papacarie and 10% Papain Gel on Shear Bond Strength of Orthodontic Brackets Before and After Acid Etching.

    PubMed

    Agarwal, R M; Yeluri, R; Singh, C; Munshi, A K

    2015-01-01

    To suggest Papacarie(®) as a new deproteinizing agent in comparison with indigenously prepared 10% papain gel before and after acid etching that may enhance the quality of the bond between enamel surface and composite resin complex. One hundred and twenty five extracted human premolars were utilized and divided into five groups: In the group 1, enamel surface was etched and primer was applied. In group 2, treatment with papacarie(®) for 60 seconds followed by etching and primer application. In group 3, etching followed by treatment with papacarie(®) for 60 seconds and primer application. In group 4, treatment with 10% papain gel for 60 seconds followed by etching and primer application. In group 5, etching followed by treatment with 10% papain gel for 60 seconds and primer application . After bonding the brackets, the mechanical testing was performed using a Universal testing machine. The failure mode was analyzed using an adhesive remnant index. The etching patterns before and after application of papacarie(®) and 10% papain gel was also evaluated using SEM. The values obtained for shear bond strength were submitted to analysis of variance and Tukey test (p < 0.05). It was observed that group 2 and group 4 had the highest shear bond strength and was statistically significant from other groups (p=0.001). Regarding Adhesive remnant index no statistical difference was seen between the groups (p=0.538). Papacarie(®) or 10% papain gel can be used to deproteinize the enamel surface before acid etching to enhance the bond strength of orthodontic brackets.

  2. Smear layer-deproteinizing improves bonding of one-step self-etch adhesives to dentin.

    PubMed

    Thanatvarakorn, Ornnicha; Prasansuttiporn, Taweesak; Thittaweerat, Suppason; Foxton, Richard M; Ichinose, Shizuko; Tagami, Junji; Hosaka, Keiichi; Nakajima, Masatoshi

    2018-03-01

    Smear layer deproteinizing was proved to reduce the organic phase of smear layer covered on dentin surface. It was shown to eliminate hybridized smear layer and nanoleakage expression in resin-dentin bonding interface of two-step self-etch adhesive. This study aimed to investigate those effects on various one-step self-etch adhesives. Four different one-step self-etch adhesives were used in this study; SE One (SE), Scotchbond™ Universal (SU), BeautiBond Multi (BB), and Bond Force (BF). Flat human dentin surfaces with standardized smear layer were prepared. Smear layer deproteinizing was carried out by the application of 50ppm hypochlorous acid (HOCl) on dentin surface for 15s followed by Accel ® (p-toluenesulfinic acid salt) for 5s prior to adhesive application. No surface pretreatment was used as control. Microtensile bond strength (μTBS) and nanoleakage under TEM observation were investigated. The data were analyzed by two-way ANOVA and Tukey's post-hoc test and t-test at the significant level of 0.05. Smear layer deproteinizing significantly improved μTBS of SE, SU, and BB (p<0.001). Hybridized smear layer observed in control groups of SE, BB, and BF, and reticular nanoleakage presented throughout the hybridized complex in control groups of BB and BF were eliminated upon the smear layer deproteinizing. Smear layer deproteinizing by HOCl and Accel ® application could enhance the quality of dentin for bonding to one-step self-etch adhesives, resulting in the improving μTBS, eliminating hybridized smear layer and preventing reticular nanoleakage formation in resin-dentin bonding interface. Copyright © 2018 The Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  3. Dental erosion in workers exposed to sulfuric acid in lead storage battery manufacturing facility.

    PubMed

    Suyama, Yuji; Takaku, Satoru; Okawa, Yoshikazu; Matsukubo, Takashi

    2010-01-01

    Dental erosion, and specifically its symptoms, has long been studied in Japan as an occupational dental disease. However, in recent years, few studies have investigated the development of this disease or labor hygiene management aimed at its prevention. As a result, interest in dental erosion is comparatively low, even among dental professionals. Our investigation at a lead storage battery factory in 1991 found that the work environmental sulfuric acid density was above the tolerable range (1.0mg/m(3)) and that longterm workers had dental erosion. Therefore, workers handling sulfuric acid were given an oral examination and rates of dental erosion by tooth type, rates of erosion by number of working years and rates of erosion by sulfuric acid density in the work environment investigated. Where dental erosion was diagnosed, degree of erosion was identified according to a diagnostic criterion. No development of dental erosion was detected in the maxillary teeth, and erosion was concentrated in the anterior mandibular teeth. Its prevalence was as high as 20%. Rates of dental erosion rose precipitously after 10 working years. The percentages of workers with dental erosion were 42.9% for 10-14 years, 57.1% for 15-19 years and 66.7% for over 20 years with 22.5% for total number of workers. The percentages of workers with dental erosion rose in proportion to work environmental sulfuric acid density: 17.9% at 0.5-1.0, 25.0% at 1.0-4.0 and 50.0% at 4.0-8.0mg/m(3). This suggests that it is necessary to evaluate not only years of exposure to sulfuric acid but also sulfuric acid density in the air in factory workers.

  4. In vitro remineralization of acid-etched human enamel with Ca 3SiO 5

    NASA Astrophysics Data System (ADS)

    Dong, Zhihong; Chang, Jiang; Deng, Yan; Joiner, Andrew

    2010-02-01

    Bioactive and inductive silicate-based bioceramics play an important role in hard tissue prosthetics such as bone and teeth. In the present study, a model was established to study the acid-etched enamel remineralization with tricalcium silicate (Ca 3SiO 5, C 3S) paste in vitro. After soaking in simulated oral fluid (SOF), Ca-P precipitation layer was formed on the enamel surface, with the prolonged soaking time, apatite layer turned into density and uniformity and thickness increasingly from 250 to 350 nm for 1 day to 1.7-1.9 μm for 7 days. Structure of apatite crystals was similar to that of hydroxyapatite (HAp). At the same time, surface smoothness of the remineralized layer is favorable for the oral hygiene. These results suggested that C 3S treated the acid-etched enamel can induce apatite formation, indicating the biomimic mineralization ability, and C 3S could be used as an agent of inductive biomineralization for the enamel prosthesis and protection.

  5. Influence of water storage on fatigue strength of self-etch adhesives.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  6. Influence of the height of the external hexagon and surface treatment on fatigue life of commercially pure titanium dental implants.

    PubMed

    Gil, Francisco Javier; Aparicio, Conrado; Manero, Jose M; Padros, Alejandro

    2009-01-01

    This study evaluated the effect of external hexagon height and commonly applied surface treatments on the fatigue life of titanium dental implants. Electropolished commercially pure titanium dental implants (seven implants per group) with three different external hexagon heights (0.6, 1.2, and 1.8 mm) and implants with the highest external hexagon height (1.8 mm) and different surface treatments (electropolishing, grit blasting with aluminium oxide, and acid etching with sulfuric acid) were tested to evaluate their mechanical fatigue life. To do so, 10-Hz triangular flexural load cycles were applied at 37 degrees C in artificial saliva, and the number of load cycles until implant fracture was determined. Tolerances of the hexagon/abutment fit and implant surface roughness were analyzed by scanning electron microscopy and light interferometry. Transmission electron microscopy and electron diffraction analyses of titanium hydrides were performed. First, the fatigue life of implants with the highest hexagon (8,683 +/- 978 load cycles) was more than double that of the implants with the shortest hexagons (3,654 +/- 789 load cycles) (P < .02). Second, the grit-blasted implants had the longest fatigue life of the tested materials (21,393 +/- 2,356 load cycles), which was significantly greater than that of the other surfaces (P < .001). The compressive surface residual stresses induced when blasting titanium are responsible for this superior mechanical response. Third, precipitation of titanium hydrides in grain boundaries of titanium caused by hydrogen adsorption from the acid solution deteriorates the fatigue life of acid-etched titanium dental implants. These implants had the shortest fatigue life (P < .05). The fatigue life of threaded root-form dental implants varies with the height of the external hexagon and/or the surface treatment of the implant. An external hexagon height of 1.8 mm and/or a blasting treatment appear to significantly increase fatigue life of

  7. Influence of different etching modes on bond strength and fatigue strength to dentin using universal adhesive systems.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Berry, Thomas P; Watanabe, Hedehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-02-01

    The purpose of this study was to determine the dentin bonding ability of three new universal adhesive systems under different etching modes using fatigue testing. Prime & Bond elect [PE] (DENTSPLY Caulk), Scotchbond Universal [SU] (3M ESPE), and All Bond Universal [AU] (Bisco) were used in this study. A conventional single-step self-etch adhesive, Clearfil Bond SE ONE [CS] (Kuraray Noritake Dental) was also included as a control. Shear bond strengths (SBS) and shear fatigue strength (SFS) to human dentin were obtained in the total-etch mode and self-etch modes. For each test condition, 15 specimens were prepared for the SBS and 30 specimens for SFS. SEM was used to examine representative de-bonded specimens, treated dentin surfaces and the resin/dentin interface for each test condition. Among the universal adhesives, PE in total-etch mode showed significantly higher SBS and SFS values than in self-etch mode. SU and AU did not show any significant difference in SBS and SFS between the total-etch mode and self-etch mode. However, the single-step self-etch adhesive CS showed significantly lower SBS and SFS values in the etch-and-rinse mode when compared to the self-etch mode. Examining the ratio of SFS/SBS, for PE and AU, the etch-and-rinse mode groups showed higher ratios than the self-etch mode groups. The influence of different etching modes on dentin bond quality of universal adhesives was dependent on the adhesive material. However, for the universal adhesives, using the total-etch mode did not have a negative impact on dentin bond quality. Copyright © 2015 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  8. Comparative evaluation of self-etching primers and phosphoric acid effectiveness on composite to enamel bond: an in vitro study.

    PubMed

    Patil, Basanagouda S; Rao, Bk Raghavendra; Sharathchandra, Sm; Hegde, Reshma; Kumar, G Vinay

    2013-09-01

    The aim of the present study was to investigate the effectiveness of the one total-etch self-priming adhesive, one two-step self-etching primer adhesive, and one 'all-in-one' self-etching adhesive system on the adhesion of a resin composite to enamel. Thirty-six freshly extracted human mandibular molars were selected for this study. A fat area about 5 mm in diameter was created on the exposed mesial surface of enamel of each tooth by moist grinding with 320, 420 and 600 grit silicon carbide paper. Twelve teeth were randomly assigned into three groups. In group 1, Adper Easy One (3M ESPE), a one step self-etching primer adhesive was applied and light curing unit for 10 seconds. In group 2, Adper SE Plus, a two-step self-etching primer with bottle A containing the aqueous primer and bottle B containing the acidic adhesive was applied and light cured for 10 seconds. Group 3 (control)-etchant 37% phosphoric acid is applied to the surface for 15 seconds and rinsed with water and air dried and adhesive (single bond 2) is applied to the surface and tube is placed and light cured for 20 seconds. Composite material (Z350) was placed in the tube and light cured for 40 seconds in all the groups. Bond strength testing was done using universal testing machine at the enamel-composite interface. The debonded enamel surface was evaluated in stereomicroscope to assess the cohesive, adhesive or mixed fracture. Data was statistically analyzed by one way analysis of variance (ANOVA). Group 1 performed least among all groups with a mean score of 19.46 MPa. Group 2 had a mean score of 25.67 MPa. Group 3 had a mean score of 27.16 MPa. Under the conditions of this in vitro study, the bond strength values of the two-step self-etching primer systems tested were similar to the total-etch. And, one step self-etching primers have lower bond strength compared to the total-etch.

  9. Micro/nanofabrication of poly({sub L}-lactic acid) using focused ion beam direct etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oyama, Tomoko Gowa; Nagasawa, Naotsugu; Taguchi, Mitsumasa

    2013-10-14

    Micro/nanofabrication of biocompatible and biodegradable poly({sub L}-lactic acid) (PLLA) using focused Ga ion beam direct etching was evaluated for future bio-device applications. The fabrication performance was determined with different ion fluences and fluxes (beam currents), and it was found that the etching speed and fabrication accuracy were affected by irradiation-induced heat. Focused ion beam (FIB)-irradiated surfaces were analyzed using micro-area X-ray photoelectron spectroscopy. Owing to reactions such as the physical sputtering of atoms and radiation-induced decomposition, PLLA was gradually carbonized with increasing C=C bonds. Controlled micro/nanostructures of PLLA were fabricated with C=C bond-rich surfaces expected to have good cell attachmentmore » properties.« less

  10. Characterization of the porosity of human dental enamel and shear bond strength in vitro after variable etch times: initial findings using the BET method.

    PubMed

    Nguyen, Trang T; Miller, Arthur; Orellana, Maria F

    2011-07-01

    (1) To quantitatively characterize human enamel porosity and surface area in vitro before and after etching for variable etching times; and (2) to evaluate shear bond strength after variable etching times. Specifically, our goal was to identify the presence of any correlation between enamel porosity and shear bond strength. Pore surface area, pore volume, and pore size of enamel from extracted human teeth were analyzed by Brunauer-Emmett-Teller (BET) gas adsorption before and after etching for 15, 30, and 60 seconds with 37% phosphoric acid. Orthodontic brackets were bonded with Transbond to the samples with variable etch times and were subsequently applied to a single-plane lap shear testing system. Pore volume and surface area increased after etching for 15 and 30 seconds. At 60 seconds, this increase was less pronounced. On the contrary, pore size appears to decrease after etching. No correlation was found between variable etching times and shear strength. Samples etched for 15, 30, and 60 seconds all demonstrated clinically viable shear strength values. The BET adsorption method could be a valuable tool in enhancing our understanding of enamel characteristics. Our findings indicate that distinct quantitative changes in enamel pore architecture are evident after etching. Further testing with a larger sample size would have to be carried out for more definitive conclusions to be made.

  11. Influence of Etching Mode on Enamel Bond Durability of Universal Adhesive Systems.

    PubMed

    Suzuki, T; Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Endo, H; Erickson, R L; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to determine the enamel bond durability of three universal adhesives in different etching modes through fatigue testing. The three universal adhesives used were Scotchbond Universal, Prime&Bond Elect universal dental adhesive, and All-Bond Universal light-cured dental adhesive. A single-step self-etch adhesive, Clearfil S 3 Bond Plus was used as a control. The shear bond strength (SBS) and shear fatigue strength (SFS) to human enamel were evaluated in total-etch mode and self-etch mode. A stainless steel metal ring with an internal diameter of 2.4 mm was used to bond the resin composite to the flat-ground (4000-grit) tooth surfaces for determination of both SBS and SFS. For each enamel surface treatment, 15 specimens were prepared for SBS and 30 specimens for SFS. The staircase method for fatigue testing was then used to determine the SFS of the resin composite bonded to the enamel using 10-Hz frequencies for 50,000 cycles or until failure occurred. Scanning electron microscopy was used to observe representative debonded specimen surfaces and the resin-enamel interfaces. A two-way analysis of variance and the Tukey post hoc test were used for analysis of the SBS data, whereas a modified t-test with Bonferroni correction was used for the SFS data. All adhesives in total-etch mode showed significantly higher SBS and SFS values than those in self-etch mode. Although All-Bond Universal in self-etch mode showed a significantly lower SBS value than the other adhesives, there was no significant difference in SFS values among the adhesives in this mode. All adhesives showed higher SFS:SBS ratios in total-etch mode than in self-etch mode. With regard to the adhesive systems used in this study, universal adhesives showed higher enamel bond strengths in total-etch mode. Although the influence of different etching modes on the enamel-bonding performance of universal adhesives was found to be dependent on the adhesive material, total-etch mode

  12. Scanning electron microscopy evaluation of the effect of etching agents on human enamel surface.

    PubMed

    Zanet, Caio G; Arana-Chavez, Victor E; Fava, Marcelo

    2006-01-01

    Acid etching promotes microporosities on enamel surface, which provide a better bonding surface to adhesive materials. The purpose of this study was to comparatively analyze the microstructure of enamel surface after etching with 37% phosphoric acid or with two self-etching primers, Non-rinse conditioner (NRC) and Clearfil SE Bond (CSEB) using scanning electron microscopy. Thirty sound premolars were divided into 3 groups with ten teeth each: Group 1: the buccal surface was etched with 37% phosphoric acid for 15 seconds; Group 2: the buccal surface was etched with NRC for 20 seconds; Group 3: the buccal surface was etched with CSEB for 20 seconds. Teeth from Group 1 were rinsed with water; teeth from all groups were air-dried for 15 seconds. After that, all specimens were processed for scanning electron microscopy and analyzed in a Jeol 6100 SEM. The results showed deeper etching when the enamel surface was etched with 37% phosphoric acid, followed by NRC and CSEB. It is concluded that 37% phosphoric acid is still the best agent for a most effective enamel etching.

  13. Sputtered gold mask for deep chemical etching of silicon

    NASA Technical Reports Server (NTRS)

    Pisciotta, B. P.; Gross, C.; Olive, R. S.

    1975-01-01

    Sputtered mask resists chemical attack from acid and has adherence to withstand prolonged submergence in etch solution without lifting from silicon surface. Even under prolonged etch conditions with significant undercutting, gold mask maintained excellent adhesion to silicon surface and imperviousness to acid.

  14. Influence of previous acid etching on bond strength of universal adhesives to enamel and dentin.

    PubMed

    Torres, Carlos Rocha Gomes; Zanatta, Rayssa Ferreira; Silva, Tatiane Josefa; Huhtala, Maria Filomena Rocha Lima; Borges, Alessandra Bühler

    2017-01-01

    The objective of this study was to evaluate the effect of acid pretreatment on the bond strength of composite resin bonded to enamel and dentin with 2 different universal self-etching adhesives. The null hypothesis was that the acid treatment performed prior to adhesive application would not significantly change the bond strength to enamel or dentin for either universal adhesive tested. A sample of 112 bovine incisors were selected and embedded in acrylic resin. Half were ground until a flat enamel surface was obtained, and the other half were polished until a 6 × 6-mm area of dentin was exposed, resulting into 2 groups (n = 56). The enamel and dentin groups were divided into 2 subgroups according to the adhesive system applied: Futurabond U or Scotchbond Universal. Each of these subgroups was divided into 2 additional subgroups (n = 14); 1 subgroup received phosphoric acid pretreatment, and 1 subgroup did not. The bond strength was assessed with a microtensile test. Data from enamel and dentin specimens were analyzed separately using 1-way analysis of variance. The acid pretreatment did not significantly change the bond strength of the adhesives tested, either to enamel (P = 0.4161) or to dentin (P = 0.4857). The acid etching pretreatment did not affect the bond strength to dentin and enamel when the tested universal multipurpose adhesive systems were used.

  15. Measurement of the efficacy of calcium silicate for the protection and repair of dental enamel.

    PubMed

    Parker, Alexander S; Patel, Anisha N; Al Botros, Rehab; Snowden, Michael E; McKelvey, Kim; Unwin, Patrick R; Ashcroft, Alexander T; Carvell, Mel; Joiner, Andrew; Peruffo, Massimo

    2014-06-01

    To investigate the formation of hydroxyapatite (HAP) from calcium silicate and the deposition of calcium silicate onto sound and acid eroded enamel surfaces in order to investigate its repair and protective properties. Calcium silicate was mixed with phosphate buffer for seven days and the resulting solids analysed for crystalline phases by Raman spectroscopy. Deposition studies were conducted on bovine enamel surfaces. Acid etched regions were produced on the enamel surfaces using scanning electrochemical cell microscopy (SECCM) with acid filled pipettes and varying contact times. Following treatment with calcium silicate, the deposition was visualised with FE-SEM and etch pit volumes were measured by AFM. A second set of bovine enamel specimens were pre-treated with calcium silicate and fluoride, before acid exposure with the SECCM. The volumes of the resultant acid etched pits were measured using AFM and the intrinsic rate constant for calcium loss was calculated. Raman spectroscopy confirmed that HAP was formed from calcium silicate. Deposition studies demonstrated greater delivery of calcium silicate to acid eroded than sound enamel and that the volume of acid etched enamel pits was significantly reduced following one treatment (p<0.05). In the protection study, the intrinsic rate constant for calcium loss from enamel was 0.092 ± 0.008 cm/s. This was significantly reduced, 0.056 ± 0.005 cm/s, for the calcium silicate treatments (p<0.0001). Calcium silicate can transform into HAP and can be deposited on acid eroded and sound enamel surfaces. Calcium silicate can provide significant protection of sound enamel from acid challenges. Calcium silicate is a material that has potential for a new approach to the repair of demineralised enamel and the protection of enamel from acid attacks, leading to significant dental hard tissue benefits. © 2014 Elsevier Ltd. All rights reserved.

  16. Characterization of selectively etched halloysite nanotubes by acid treatment

    NASA Astrophysics Data System (ADS)

    Garcia-Garcia, Daniel; Ferri, Jose M.; Ripoll, Laura; Hidalgo, Montserrat; Lopez-Martinez, Juan; Balart, Rafael

    2017-11-01

    Halloysite nanotubes (HNTs) are a type of naturally occurring inorganic nanotubes that are characterized by a different composition between their external and internal walls. The internal walls are mainly composed of alumina whilst external walls are composed of silica. This particular structure offers a dual surface chemistry that allows different selective surface treatments which can be focused on increasing the lumen, increasing porosity, etc. In this work, HNTs were chemically treated with different acids (sulphuric, acetic and acrylic acid), for 72 h at a constant temperature of 50 °C. As per the obtained results, the treatment with sulphuric acid is highly aggressive and the particular shape of HNTs is almost lost, with a remarkable increase in porosity. The BET surface area increases from 52.9 (untreated HNTs) up to 132.4 m2 g-1 with sulphuric acid treatment, thus showing an interesting potential in the field of catalysis. On the other hand, the treatment with acetic acid led to milder effects with a noticeable increase in the lumen diameter that changed from 13.8 nm (untreated HNTs) up to 18.4 nm which the subsequent increase in the loading capacity by 77.8%. The aluminium content was measured by X-ray fluorescence (XRF) and laser induced breakdown spectroscopy (LIBS). The final results using two systems, suggest a good correlation between the acid strength and the aluminium reduction. Consequently, is possible to conclude that new applications for HNTs can be derived from selective etching with acids. Sulphuric acid widens the potential of HNTs in the field of catalysis while weak acids such as acetic and acrylic acids give a controlled and homogeneous lumen increase with the corresponding increase in the loading capacity.

  17. Effects of Different Surface Treatments on the Tensile Bond Strength of Polymethyl Methacrylate Processed against Chemically Etched Ticonium 100

    DTIC Science & Technology

    1988-01-01

    is more physical. In dental bonding systems, primary bonding is the ultimate goal, though it is more . likely that present systems are more of the...Ohno et al. 31 ,34 were the first to study the-adhesion of dental adhesives containing 4-META. They utilized adhesion models based on the measured... handpiece and a #2 round carbide bur. Etching of Specimens * Sticky wax was applied to the periphery of the surfaces to be etched. All specimens were

  18. Influence of Nd:YAG laser on the bond strength of self-etching and conventional adhesive systems to dental hard tissues.

    PubMed

    Marimoto, A K; Cunha, L A; Yui, K C K; Huhtala, M F R L; Barcellos, D C; Prakki, A; Gonçalves, S E P

    2013-01-01

    The aim of this study was to investigate the influence of Nd:YAG laser on the shear bond strength to enamel and dentin of total and self-etch adhesives when the laser was applied over the adhesives, before they were photopolymerized, in an attempt to create a new bonding layer by dentin-adhesive melting. One-hundred twenty bovine incisors were ground to obtain flat surfaces. Specimens were divided into two substrate groups (n=60): substrate E (enamel) and substrate D (dentin). Each substrate group was subdivided into four groups (n=15), according to the surface treatment accomplished: X (Xeno III self-etching adhesive, control), XL (Xeno III + laser Nd:YAG irradiation at 140 mJ/10 Hz for 60 seconds + photopolymerization, experimental), S (acid etching + Single Bond conventional adhesive, Control), and SL (acid etching + Single Bond + laser Nd:YAG at 140 mJ/10 Hz for 60 seconds + photopolymerization, experimental). The bonding area was delimited with 3-mm-diameter adhesive tape for the bonding procedures. Cylinders of composite were fabricated on the bonding area using a Teflon matrix. The teeth were stored in water at 37°C/48 h and submitted to shear testing at a crosshead speed of 0.5 mm/min in a universal testing machine. Results were analyzed with three-way analysis of variance (ANOVA; substrate, adhesive, and treatment) and Tukey tests (α=0.05). ANOVA revealed significant differences for the substrate, adhesive system, and type of treatment: lased or unlased (p<0.05). The mean shear bond strength values (MPa) for the enamel groups were X=20.2 ± 5.61, XL=23.6 ± 4.92, S=20.8 ± 4.55, SL=22.1 ± 5.14 and for the dentin groups were X=14.1 ± 7.51, XL=22.2 ± 6.45, S=11.2 ± 5.77, SL=15.9 ± 3.61. For dentin, Xeno III self-etch adhesive showed significantly higher shear bond strength compared with Single Bond total-etch adhesive; Nd:YAG laser irradiation showed significantly higher shear bond strength compared with control (unlased). Nd:YAG laser application

  19. Improvement in etching rate for epilayer lift-off with surfactant

    NASA Astrophysics Data System (ADS)

    Wu, Fan-Lei; Horng, Ray-Hua; Lu, Jian-Heng; Chen, Chun-Li; Kao, Yu-Cheng

    2013-03-01

    In this study, the GaAs epilayer is quickly separated from GaAs substrate by epitaxial lift-off (ELO) process with mixture etchant solution. The HF solution mixes with surfactant as mixture etchant solution to etch AlAs sacrificial layer for the selective wet etching of AlAs sacrificial layer. Addiction surfactants etchant significantly enhance the etching rate in the hydrofluoric acid etching solution. It is because surfactant provides hydrophilicity to change the contact angle with enhances the fluid properties of the mixture etchant between GaAs epilayer and GaAs substrate. Arsine gas was released from the etchant solution because the critical reaction product in semiconductor etching is dissolved arsine gas. Arsine gas forms a bubble, which easily displaces the etchant solution, before the AlAs layer was undercut. The results showed that acetone and hydrofluoric acid ratio of about 1:1 for the fastest etching rate of 13.2 μm / min. The etching rate increases about 4 times compared with pure hydrofluoric acid, moreover can shorten the separation time about 70% of GaAs epilayer with GaAs substrate. The results indicate that etching ratio and stability are improved by mixture etchant solution. It is not only saving the epilayer and the etching solution exposure time, but also reducing the damage to the epilayer structure.

  20. Combinatorial localized dissolution analysis: Application to acid-induced dissolution of dental enamel and the effect of surface treatments.

    PubMed

    Parker, Alexander S; Al Botros, Rehab; Kinnear, Sophie L; Snowden, Michael E; McKelvey, Kim; Ashcroft, Alexander T; Carvell, Mel; Joiner, Andrew; Peruffo, Massimo; Philpotts, Carol; Unwin, Patrick R

    2016-08-15

    A combination of scanning electrochemical cell microscopy (SECCM) and atomic force microscopy (AFM) is used to quantitatively study the acid-induced dissolution of dental enamel. A micron-scale liquid meniscus formed at the end of a dual barrelled pipette, which constitutes the SECCM probe, is brought into contact with the enamel surface for a defined period. Dissolution occurs at the interface of the meniscus and the enamel surface, under conditions of well-defined mass transport, creating etch pits that are then analysed via AFM. This technique is applied to bovine dental enamel, and the effect of various treatments of the enamel surface on acid dissolution (1mM HNO3) is studied. The treatments investigated are zinc ions, fluoride ions and the two combined. A finite element method (FEM) simulation of SECCM mass transport and interfacial reactivity, allows the intrinsic rate constant for acid-induced dissolution to be quantitatively determined. The dissolution of enamel, in terms of Ca(2+) flux ( [Formula: see text] ), is first order with respect to the interfacial proton concentration and given by the following rate law: [Formula: see text] , with k0=0.099±0.008cms(-1). Treating the enamel with either fluoride or zinc ions slows the dissolution rate, although in this model system the partly protective barrier only extends around 10-20nm into the enamel surface, so that after a period of a few seconds dissolution of modified surfaces tends towards that of native enamel. A combination of both treatments exhibits the greatest protection to the enamel surface, but the effect is again transient. Copyright © 2016 Elsevier Inc. All rights reserved.

  1. Evaluation of the effectiveness of peracetic acid in the sterilization of dental equipment.

    PubMed

    Ceretta, R; Paula, M M S; Angioletto, Ev; Méier, M M; Mitellstädt, F G; Pich, C T; Junior, S A; Angioletto, E

    2008-01-01

    To evaluate the effectiveness of peracetic acid in the microbiological sterilisation of dental materials. Peracetic acid solution was evaluated at concentrations of 800, 1500 and 2500 ppm. At these concentrations, it was determined whether peracetic acid caused corrosion to dental instruments and induced cellular mutagenicity and cytotoxicity. In addition, the minimum inhibitory concentration (MIC), the minimum bactericidal concentration (MBC), agar diffusion and diffusion by well method, were also verified. The corrosion rate, calculated from potentiodynamic assays was 10(-6) cm/year, indicating that the product does not damage equipment. The sterilisation capacity of peracetic acid at 2500 ppm was the best. The comet assay indicated genotoxic activity at 2500 ppm. This study demonstrated the effectiveness of peracetic acid for sterilizing dental equipment, providing another alternative for the prevention of infections in clinics.

  2. Adhesion of Dental Materials to Tooth Structure

    NASA Astrophysics Data System (ADS)

    Mitra, Sumita B.

    2000-03-01

    The understanding and proper application of the principles of adhesion has brought forth a new paradigm in the realm of esthetic dentistry. Modern restorative tooth procedures can now conserve the remaining tooth-structure and also provide for the strengthening of the tooth. Adhesive restorative techniques call for the application and curing of the dental adhesive at the interface between the tooth tissue and the filling material. Hence the success of the restoration depends largely on the integrity of this interface. The mechanism of adhesion of the bonding materials to the dental hard tissue will be discussed in this paper. There are four main steps that occur during the application of the dental adhesive to the oral hard tissues: 1) The first step is the creation of a microstructure in the tooth enamel or dentin by means of an acidic material. This can be through the application of a separate etchant or can be accomplished in situ by the adhesive/primer. This agent has to be effective in removing or modifying the proteinaceous “smear” layer, which would otherwise act as a weak boundary layer on the surface to be bonded. 2) The primer/adhesive must then be able to wet and penetrate the microstructure created in the tooth. Since the surface energies of etched enamel and that of etched dentin are different finding one material to prime both types of dental tissues can be quite challenging. 3) The ionomer types of materials, particularly those that are carboxylate ion-containing, can chemically bond with the calcium ions of the hydroxyapatite mineral. 4) Polymerization in situ allows for micromechanical interlocking of the adhesive. The importance of having the right mechanical properties of the cured adhesive layer and its role in absorbing and dissipating stresses encountered by a restored tooth will also be discussed.

  3. Surface Modifications and Their Effects on Titanium Dental Implants

    PubMed Central

    Jemat, A.; Ghazali, M. J.; Razali, M.; Otsuka, Y.

    2015-01-01

    This review covers several basic methodologies of surface treatment and their effects on titanium (Ti) implants. The importance of each treatment and its effects will be discussed in detail in order to compare their effectiveness in promoting osseointegration. Published literature for the last 18 years was selected with the use of keywords like titanium dental implant, surface roughness, coating, and osseointegration. Significant surface roughness played an important role in providing effective surface for bone implant contact, cell proliferation, and removal torque, despite having good mechanical properties. Overall, published studies indicated that an acid etched surface-modified and a coating application on commercial pure titanium implant was most preferable in producing the good surface roughness. Thus, a combination of a good surface roughness and mechanical properties of titanium could lead to successful dental implants. PMID:26436097

  4. Retrospective clinical study of an implant with a sandblasted, large-grit, acid-etched surface and internal connection: analysis of short-term success rate and marginal bone loss.

    PubMed

    Lee, Jae-Wang; An, Jun Hyeong; Park, Sang-Hoon; Chong, Jong-Hyon; Kim, Gwang-Seok; Han, JeongJoon; Jung, Seunggon; Kook, Min-Suk; Oh, Hee-Kyun; Ryu, Sun-Youl; Park, Hong-Ju

    2016-12-01

    The purpose of this retrospective study was to evaluate the clinical utility of an implant with a sandblasted, large-grit, acid-etched (SLA) surface and internal connection. Six patients who received dental implants in the Department of Oral and Maxillofacial Surgery, Chonnam National University Dental Hospital, were analyzed by factors influencing the success rate and marginal bone loss. Factors included patient's age, sex, implant installation site, whether bone graft was done, type of bone graft materials, approaching method if sinus lift was done, and the size of the fixture. In addition, the marginal bone loss was analyzed by using a radiograph. All implants were successful, and the cumulative survival rate was 100 %. Average marginal bone loss of 6 months after the installation was 0.52 mm and 20 months after the functional loading was 1.06 mm. Total marginal bone resorption was 1.58 mm on average. There was no statistically significant difference in mesial and distal marginal bone loss. The short-term clinical success rate of the implant with an SLA surface and internal connection was satisfactory. Moreover, the marginal bone loss was also consistent with the implant success criteria.

  5. Dental and oropharyngeal lesions in rats with chronic acid reflux esophagitis.

    PubMed

    Shimazu, Rintaro; Yamamoto, Mihoko; Minesaki, Akimichi; Kuratomi, Yuichiro

    2018-06-01

    In this study, we evaluated pathological changes in the tooth and pharynx of GERD rats to elucidate the association between gastric acid reflux and oral and pharyngeal diseases. An experimental rat model of chronic acid reflux esophagitis was surgically created. The oral cavities were observed histologically every 2 weeks until 20 weeks after surgery. At 10 weeks after surgery, molar crown heights in GERD rats were shorter than that in control rats, and inflammatory cell infiltration by gastric acid reflux was found in the periodontal mucosa of GERD rats. Furthermore, dental erosion progressed in GERD rats at 20 weeks after surgery, and enamel erosion and dentin exposure were observed. During the same period, inflammatory cell infiltration was observed in the mucosa of the posterior part of the tongue. These findings suggest that gastric acid reflux may be one of the exacerbating factors of dental erosion, periodontitis and glossitis. We investigated oral changes in an experimental rat model of GERD and observed development of dental erosion, periodontitis and glossitis. Our findings suggested chronic gastric acid reflux may be involved in the pathogenesis of oral disease. Copyright © 2017 Elsevier B.V. All rights reserved.

  6. Immediate occlusal loading of double acid-etched surface titanium implants in 41 consecutive full-arch cases in the mandible and maxilla: 6- to 74-month results.

    PubMed

    Ibañez, Juan C; Tahhan, Marcelo J; Zamar, Juan A; Menendez, Alicia B; Juaneda, Agustina M; Zamar, Nicolas J; Monqaut, Jose L

    2005-11-01

    The high success rate of dental implants has changed the quality of life for many patients. Immediate loading finds its application in some clinical cases and certainly adds another modality of treatment for the implant patient. Starting with a few implants immediately loaded with a bar overdenture in the mandible, the concept of immediate loading evolved to loading multiple implants in both the maxilla and mandible. In this investigation, 41 consecutive patients who needed full-arch restorations were treated with 343 double acid-etched surface implants between May 1998 and March 2004. The sample included smokers and bruxers. Twenty-three mandibular and 26 maxillary cases were treated, loading the implants within 48 hours, by using resin provisional prostheses, metal-reinforced provisional prostheses, or definitive prostheses (metal-acrylic or metal-ceramic). All implants were followed for 12 to 74 months. Follow-up consisted of clinical as well as radiographic examination. Furthermore, resonance frequency analysis was done in most of the implants during the last 2 years. The success rate obtained was 99.42% (only two mandibular implants that are still surviving were considered failures). The bone level was measured every year. The average radiographic bone level change was 0.56 mm at 12, 0.76 mm at 24, 0.84 mm at 36, 0.82 mm at 48, 0.83 mm at 60, and 0.94 mm at 72 months. A high success rate can be achieved when double acid-etched surface implants are immediately loaded with fixed full-arch restorations in the maxilla and mandible.

  7. Impact of Dental Implant Surface Modifications on Osseointegration

    PubMed Central

    Smeets, Ralf; Stadlinger, Bernd; Schwarz, Frank; Beck-Broichsitter, Benedicta; Jung, Ole; Precht, Clarissa; Kloss, Frank; Gröbe, Alexander; Heiland, Max

    2016-01-01

    Objective. The aim of this paper is to review different surface modifications of dental implants and their effect on osseointegration. Common marketed as well as experimental surface modifications are discussed. Discussion. The major challenge for contemporary dental implantologists is to provide oral rehabilitation to patients with healthy bone conditions asking for rapid loading protocols or to patients with quantitatively or qualitatively compromised bone. These charging conditions require advances in implant surface design. The elucidation of bone healing physiology has driven investigators to engineer implant surfaces that closely mimic natural bone characteristics. This paper provides a comprehensive overview of surface modifications that beneficially alter the topography, hydrophilicity, and outer coating of dental implants in order to enhance osseointegration in healthy as well as in compromised bone. In the first part, this paper discusses dental implants that have been successfully used for a number of years focusing on sandblasting, acid-etching, and hydrophilic surface textures. Hereafter, new techniques like Discrete Crystalline Deposition, laser ablation, and surface coatings with proteins, drugs, or growth factors are presented. Conclusion. Major advancements have been made in developing novel surfaces of dental implants. These innovations set the stage for rehabilitating patients with high success and predictable survival rates even in challenging conditions. PMID:27478833

  8. Comparison of Shear Bond Strength of Orthodontic Brackets Bonded to Enamel Prepared By Er:YAG Laser and Conventional Acid-Etching

    PubMed Central

    Hosseini, M.H.; Namvar, F.; Chalipa, J.; Saber, K.; Chiniforush, N.; Sarmadi, S.; Mirhashemi, A.H.

    2012-01-01

    Introduction: The purpose of this study was to compare shear bond strength (SBS) of orthodontic brackets bonded to enamel prepared by Er:YAG laser with two different powers and conventional acid-etching. Materials and Methods: Forty-five human premolars extracted for orthodontic purposes were randomly assigned to three groups based on conditioning method: Group 1- conventional etching with 37% phosphoric acid; Group 2- irradiation with Er:YAG laser at 1 W; and Group 3- irradiation with Er:YAG laser at 1.5 W. Metal brackets were bonded on prepared enamel using a light-cured composite. All groups were subjected to thermocycling process. Then, the specimens mounted in auto-cure acryle and shear bond strength were measured using a universal testing machine with a crosshead speed of 0.5 mm per second. After debonding, the amount of resin remaining on the teeth was determined using the adhesive remnant index (ARI) scored 1 to 5. One-way analysis of variance was used to compare shear bond strengths and the Kruskal-Wallis test was performed to evaluate differences in the ARI for different etching types. Results: The mean and standard deviation of conventional acid-etch group, 1W laser group and 1.5W laser group was 3.82 ± 1.16, 6.97 ± 3.64 and 6.93 ± 4.87, respectively. Conclusion: The mean SBS obtained with an Er:YAG laser operated at 1W or 1.5W is approximately similar to that of conventional etching. However, the high variability of values in bond strength of irradiated enamel should be considered to find the appropriate parameters for applying Er:YAG laser as a favorable alternative for surface conditioning. PMID:22924098

  9. Nanoparticle-based etching of silicon surfaces

    DOEpatents

    Branz, Howard [Boulder, CO; Duda, Anna [Denver, CO; Ginley, David S [Evergreen, CO; Yost, Vernon [Littleton, CO; Meier, Daniel [Atlanta, GA; Ward, James S [Golden, CO

    2011-12-13

    A method (300) of texturing silicon surfaces (116) such to reduce reflectivity of a silicon wafer (110) for use in solar cells. The method (300) includes filling (330, 340) a vessel (122) with a volume of an etching solution (124) so as to cover the silicon surface 116) of a wafer or substrate (112). The etching solution (124) is made up of a catalytic nanomaterial (140) and an oxidant-etchant solution (146). The catalytic nanomaterial (140) may include gold or silver nanoparticles or noble metal nanoparticles, each of which may be a colloidal solution. The oxidant-etchant solution (146) includes an etching agent (142), such as hydrofluoric acid, and an oxidizing agent (144), such as hydrogen peroxide. Etching (350) is performed for a period of time including agitating or stirring the etching solution (124). The etch time may be selected such that the etched silicon surface (116) has a reflectivity of less than about 15 percent such as 1 to 10 percent in a 350 to 1000 nanometer wavelength range.

  10. Depth of Etch Comparison Between Self-limiting and Traditional Etchant Systems

    DTIC Science & Technology

    2016-06-18

    two different etchants (Ultradent’s Opal Etch 35%, a self-limiting phosphoric acid, or 34% Tooth Conditioning Gel by Dentsply) at varied time... Opal versus Dentsply and there was also a significant difference between etch time. There is no significant difference between the interaction of...etch material and etch time. Conclusion: The depth of etch of Opal etchant was consistently less than Dentsply etchant but continued to etch and

  11. Selective Acid Etching Improves the Bond Strength of Universal Adhesive to Sound and Demineralized Enamel of Primary Teeth.

    PubMed

    Antoniazzi, Bruna Feltrin; Nicoloso, Gabriel Ferreira; Lenzi, Tathiane Larissa; Soares, Fabio Zovico Maxnuck; Rocha, Rachel de Oliveira

    To evaluate the influence of enamel condition and etching strategy on bond strength of a universal adhesive in primary teeth. Thirty-six primary molars were randomly assigned to six groups (n = 6) according to the enamel condition (sound [S] and demineralized [DEM]/cariogenic challenge by pH cycling prior to restorative procedures) and adhesive system (Scotchbond Universal Adhesive [SBU]) used in either etch-and-rinse (ER) or selfetching (SE) mode, with Clearfil SE Bond as the self-etching control. The adhesives were applied to flat enamel surfaces and composite cylinders (0.72 mm2) were built up. After 24-h storage in water, specimens were subjected to the microshear test. Bond strength (MPa) data were analyzed using two-way ANOVA and Tukey's post-hoc tests (α = 0.05). Significant differences were found considering the factors adhesive system (p = 0.003) and enamel condition (p = 0.001). Demineralized enamel negatively affected the bond strength, with μSBS values approximately 50% lower than those obtained for sound enamel. SBU performed better in etch-and-rinse mode, and the bond strength found for SBU applied in self-etching mode was similar to that of CSE. Enamel etching with phosphoric acid improves the bond strength of a universal adhesive system to primary enamel. Demineralized primary enamel results in lower bond strength.

  12. Copper-assisted, anti-reflection etching of silicon surfaces

    DOEpatents

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  13. Effect of water on dental material ablation of the Er:YAG laser

    NASA Astrophysics Data System (ADS)

    Wigdor, Harvey A.; Visuri, Steven R.; Walsh, Joseph T., Jr.

    1994-09-01

    It is understood that if a laser is to replace the dental high speed handpiece it must be able to ablate dental materials which are present in teeth being treated with the laser. It is the intent of this paper to evaluate the effects of the Er:YAG laser on dental composite restorative material concentrating on the etch rate with and without waterspray. Composite dental material is used to form plugs of known thickness and the etch rate of the Er:YAG laser on this material is determined. The results are compared with those obtained from studies of the Er:YAG on dentin and enamel. In these studies the water reduced the efficiency of the Er:YAG laser 15 - 20% on these tissues.

  14. Influence of different repair procedures on bond strength of adhesive filling materials to etched enamel in vitro.

    PubMed

    Hannig, Christian; Hahn, Petra; Thiele, Patrick-Philipp; Attin, Thomas

    2003-01-01

    Contamination of etched enamel with repair bond agents during repair of dental restorations may interfere with the bonding of composite to enamel. This study examined the bond strength of adhesive filling materials to etched bovine enamel after pre-treatment with the repair systems Monobond S, Silibond and Co-Jet. The materials Tetric Ceram, Dyract and Definite and their corresponding bonding agents (Syntac Single Comp, Prime & Bond NT, Etch and Prime) were tested in combination with the repair systems. One hundred and thirty-five enamel specimens were etched (37% phosphoric acid, 60 seconds) and equally distributed among three groups (A-C). In Group A, the repair materials were applied on etched enamel followed by applying the composite materials without using their respective bonding material. In Group B, the composite materials were placed on etched enamel after applying the repair materials and bonding agents. In control Group C, the composite materials and bonding agents were applied on etched enamel without using the repair systems. In each sub-group, every composite material was applied on 15 specimens. Samples were stored in artificial saliva for 14 days and thermocycled 1,000 times (5 degrees C/55 degrees C). The shear bond strength of the samples were then determined in a universal testing machine (ISO 10477). Applying Monobond or Silibond followed by the use of its respective bonding agents resulted in a bond strength that was not statistically different from the controls for all filling materials (Group C). The three composites that used Monobond and Silibond without applying the corresponding bonding agent resulted in bond strengths that were significantly lower than the controls. Utilizing the Co-Jet-System drastically reduced the bond strength of composites on etched enamel. Contamination of etched enamel with the repairing bonding agents Monobond and Silibond does not interfere with bond strength if the application of Monobond and Silibond is

  15. Evaluation of erbium:YAG and holmium:YAG laser radiation and dental hard tissue

    NASA Astrophysics Data System (ADS)

    Attrill, David Cameron

    and evidence of molten enamel in particular were apparent when the Er:YAG laser was used without water. The Cr-Tm-Ho;YAG laser produced extensive charring and carbonisation of tissues. It was concluded that this laser was unsuitable for clinical applications directed at the removal or modification of enamel and dentine, particularly as the Er:YAG laser offers superior qualities. Further research with the Cr-Tm-Ho:YAG laser was discontinued. A comparison of the mean shear bond strengths of a composite (ZlOO, 3M Dental Products) bonded to enamel was made using either a conventional acid etch technique or one of a range of experimental Er:YAG laser etching configurations. The mean values for acid etching (16.6 MPa) were in all cases significantly greater (p<0.01, Bonferroni) than those obtained with laser etching. The optimal laser etching parameters were a fluence of 24J/cm[2] (1/e[2] calculation) with water resulting in a mean bond strength of 11.5 MPa. This figure represents approximately 70% of the mean obtained with acid etching, and was significantly greater (p<0.01, Bonferroni) than the mean recorded for non etched negative control surfaces (4.4 MPa). Histological evaluation of the etched surfaces demonstrated clear differences in the etching patterns observed between laser and acid etching. Some surface cracking was noted in most laser etched surfaces, but the extent of this was minimised when the laser was applied in conjunction with a surface water film. Pulpal temperature increments following Er:YAG laser irradiation were significantly lower in teeth prepared with water than without (paired t-test, p<0.01). The largest increment in samples prepared with water was 3.9°C, compared to 24.7°C without water. The principal determinant of the temperature increment in either group was the total delivered energy, up to 140J. Linear regression modelling predicts that continuous irradiation up to 160J with water would not result in iatrogenic pulpal damage. In

  16. Restoration of obliterated engraved marks on steel surfaces by chemical etching reagent.

    PubMed

    Song, Qingfang

    2015-05-01

    Chemical etching technique is widely used for restoration of obliterated engraved marks on steel surface in the field of public security. The consumed thickness of steel surface during restoration process is considered as a major criterion for evaluating the efficiency of the chemical etching reagent. The thinner the consumed thickness, the higher the restoration efficiency. According to chemical principles, maintaining the continuous oxidative capabilities of etching reagents and increasing the kinetic rate difference of the reaction between the engraved and non-engraved area with the chemical etching reagent can effectively reduce the consumed steel thickness. The study employed steel surface from the engine case of motorcycle and the car frame of automobile. The chemical etching reagents are composed of nitric acid as the oxidizer, hydrofluoric acid as the coordination agent and mixed with glacial acetic acid or acetone as the solvents. Based on the performance evaluation of three different etching reagents, the one composed of HNO3, HF and acetone gave the best result. Copyright © 2015 Elsevier Ireland Ltd. All rights reserved.

  17. Microleakage of self-etching sealant on noncontaminated and saliva-contaminated enamel.

    PubMed

    2011-01-01

    The purpose of this study was to compare the microleakage of a self-etching sealant with a traditional phosphoric acid-etched sealant under noncontaminated and saliva-contaminated conditions. Fifty-two sound extracted human molars were randomly divided into 4 groups (N=13). Teeth in Groups 1 and 2 were cleaned with pumice, etched with phosphoric acid, rinsed, coated with a drying agent, placed with sealants (UltraSeal XT Plus), and light cured. Teeth in Groups 3 and 4 were cleaned with a proprietary flour pumice and rinsed prior to being sealed with a self-etching sealant (Enamel Loc). Teeth in Groups 2 and 4 were contaminated with saliva and thoroughly air-dried prior to the sealant placement. All teeth were subjected to a thermocycling process, stained with silver nitrate, and sectioned, and images of the sealant on the occlusal surface were recorded. Microleakage distance was measured in millimeters and subjected to a 2-way analysis of variance. Significantly larger microleakage distances were found for the self-etching sealant vs the traditional sealant (P<.001). Saliva contamination did not significantly affect the microleakage distance (P<.17). Under the conditions used in this in vitro study, the self-etching sealant, regardless of contamination condition, had extensive microleakage distances vs. little microleakage in the traditional phosphoric acid-etched sealant.

  18. Effects of various etching protocols on the flexural properties and surface topography of fiber-reinforced composite dental posts.

    PubMed

    Aksornmuang, Juthatip; Chuenarrom, Chanya; Chittithaworn, Natjira

    2017-09-26

    The purpose of this study was to evaluate the flexural properties and surface topography of fiber posts surface-treated with various etching protocols. Seventy each of three types of fiber posts: RelyX Fiber Post, Tenax Fiber Trans, and D.T. Light-Post Illusion X-Ro, were randomly divided into 7 groups: no surface treatment, surface treated with hydrofluoric acid (HF) 4.5% for 60 s, HF 4.5% for 120 s, HF 9.6% for 15 s, HF 9.6% for 60 s, HF 9.6% for 120 s, and treated with H 2 O 2 24% for 10 min. The specimens were then subjected to a three-point bending test. Surface topographies of the posts were observed using a SEM. The results indicate that fiber post surface pretreatments had no adverse effects on the flexural properties. However, the fiber posts treated with high HF concentrations or long etching times seemed to have more surface irregularities.

  19. Effect of etching with distinct hydrofluoric acid concentrations on the flexural strength of a lithium disilicate-based glass ceramic.

    PubMed

    Prochnow, Catina; Venturini, Andressa B; Grasel, Rafaella; Bottino, Marco C; Valandro, Luiz Felipe

    2017-05-01

    This study examined the effects of distinct hydrofluoric acid concentrations on the mechanical behavior of a lithium disilicate-based glass ceramic. Bar-shaped specimens were produced from ceramic blocks (e.max CAD, Ivoclar Vivadent). The specimens were polished, chamfered, and sonically cleaned in distilled water. The specimens were randomly divided into five groups (n = 23). The HF1, HF3, HF5, and HF10 specimens were etched for 20 s with acid concentrations of 1%, 3%, 5%, and 10%, respectively, while the SC (control) sample was untreated. The etched surfaces were evaluated using a scanning electron microscope and an atomic force microscope. Finally, the roughness was measured, and 3-point bending flexural tests were performed. The data were analyzed using one-way analysis of variance (ANOVA) and Tukey's test (α = 0.05). The Weibull modulus and characteristic strength were also determined. No statistical difference in the roughness and flexural strength was determined among the groups. The structural reliabilities (Weilbull moduli) were similar for the tested groups; however, the characteristic strength of the HF1 specimen was greater than that of the HF10 specimen. Compared with the untreated ceramic, the surface roughness and flexural strength of the ceramic were unaffected upon etching, regardless of the acid concentration. © 2016 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 105B: 885-891, 2017. © 2016 Wiley Periodicals, Inc.

  20. Enamel resistance to demineralization following Er:YAG laser etching for bonding orthodontic brackets

    PubMed Central

    Ahrari, Farzaneh; Poosti, Maryam; Motahari, Pourya

    2012-01-01

    Background: Several studies have shown that laser-etching of enamel for bonding orthodontic brackets could be an appropriate alternative for acid conditioning, since a potential advantage of laser could or might be caries prevention. This study compared enamel resistance to demineralization following etching with acid phosphoric or Er:YAG laser for bonding orthodontic brackets. Materials and Methods: Fifty sound human premolars were divided into two equal groups. In the first group, enamel was etched with 37% phosphoric acid for 15 seconds. In the second group, Er:YAG laser (wavelength, 2 940 nm; 300 mJ/pulse, 10 pulses per second, 10 seconds) was used for tooth conditioning. The teeth were subjected to 4-day PH-cycling process to induce caries-like lesions. The teeth were then sectioned and the surface area of the lesion was calculated in each microphotographs and expressed in pixel. The total surface of each specimen was 196 608 pixels. Results: Mean lesion areas were 7 171 and 7532 pixels for Laser-etched and Acid-etched groups, respectively. The two sample t-test showed that there was no significant difference in lesion area between the two groups (P = 0.914). Conclusion: Although Er:YAG laser seems promising for etching enamel before bonding orthodontic brackets, it does not reduce enamel demineralization when exposed to acid challenge. PMID:23162591

  1. Finite element analysis (FEA) of dental implant fixture for mechanical stability and rapid osseointegration

    NASA Astrophysics Data System (ADS)

    Tabassum, Shafia; Murtaza, Ahmar; Ali, Hasan; Uddin, Zia Mohy; Zehra, Syedah Sadaf

    2017-10-01

    For rapid osseointegration of dental implant fixtures, various surface treatments including plasma spraying, hydroxyapatite coating, acid-etching, and surface grooving are used. However undesirable effects such as chemical modifications, loss of mechanical properties, prolonged processing times and post production treatment steps are often associated with these techniques. The osseointegration rate of the dental implants can be promoted by increasing the surface area of the dental implant, thus increasing the bone cells - implant material contact and allow bone tissues to grow rapidly. Additive Manufacturing (AM) techniques can be used to fabricate dental implant fixtures with desirable surface area in a single step manufacturing process. AM allows the use of Computer Aided Designing (CAD) for customised rapid prototyping of components with precise control over geometry. In this study, the dental implant fixture that replaces the tooth root was designed on commercially available software COMSOL. Nickel - titanium alloy was selected as build materials for dental implant. The geometry of the dental fixture was varied by changing the interspacing distance (thread pitch) and number of threads to increase the total surface area. Three different microstructures were introduced on the surface of dental implant. The designed models were used to examine the effect of changing geometries on the total surface area. Finite Element Analysis (FEA) was performed to investigate the effect of changing geometries on the mechanical properties of the dental implant fixtures using stress analysis.

  2. Wet etching technique for fabrication of a high-quality plastic optical fiber sensor.

    PubMed

    Zhao, Mingfu; Dai, Lang; Zhong, Nianbing; Wang, Zhengkun; Chen, Ming; Li, Bingxin; Luo, Binbin; Tang, Bin; Shi, Shenghui; Song, Tao; Zou, Xue

    2017-11-01

    In this study, a simple wet etching technique is developed by employing aqueous solutions of acetic acid and ultrasonic irradiation for the fabrication of a high-quality plastic optical fiber (POF) sensor. The effects of acetic acid concentration and temperature and ultrasonic power on the etching rate and surface morphology of the etched POFs are investigated. The transmission spectrum and sensitivity of the etched POF sensors are evaluated using glucose solutions. We discovered that the POF sensors, which are fabricated using an aqueous solution of acetic acid with a concentration of 80 vol. % under an ultrasonic power of 130 W and temperature of 25°C, exhibit good light transmission and a high sensitivity of 9.10  [(RIU)(g/L)] -1 in the glucose solutions.

  3. Total etch technique and cavity isolation.

    PubMed

    Fusayama, T

    1992-01-01

    In the total etch technique for chemically adhesive composite restorations, the phosphoric acid penetrates only 10 microns or less into the vital dentin with the dentinal tubules being filled with the odontoblast processes. The acid is completely removed by subsequent air-water jet spray washing. The tubule apertures are perfectly sealed by the protective bonding agent layer with the resin tags adhering to the tubule walls and the resin-impregnated dentin surface. Isolation of the cavity from moisture contamination is required for only less than a few seconds after drying the etched cavity until the bonding agent coating and after this coating until the composite resin placement. Such a short time for isolation is quite easy even without a rubber dam when a trained assistant is cooperating.

  4. Current dental adhesives systems. A narrative review.

    PubMed

    Milia, Egle; Cumbo, Enzo; Cardoso, Rielson Jose A; Gallina, Giuseppe

    2012-01-01

    Adhesive dentistry is based on the development of materials which establish an effective bond with the tooth tissues. In this context, adhesive systems have attracted considerable research interest in recent years. Successful adhesive bonding depends on the chemistry of the adhesive, on appropriate clinical handling of the material as well as on the knowledge of the morphological changes caused on dental tissue by different bonding procedures. This paper outlines the status of contemporary adhesive systems, with particular emphasis on chemical characteristics and mode of interaction of the adhesives with enamel and dentinal tissues. Dental adhesives are used for several clinical applications and they can be classified based on the clinical regimen in "etch-and-rinse adhesives" and "self-etch adhesives". Other important considerations concern the different anatomical characteristics of enamel and dentine which are involved in the bonding procedures that have also implications for the technique used as well as for the quality of the bond. Etch-and-rinse adhesive systems generally perform better on enamel than self-etching systems which may be more suitable for bonding to dentine. In order to avoid a possible loss of the restoration, secondary caries or pulp damage due to bacteria penetration or due to cytotoxicity effects of eluted adhesive components, careful consideration of several factors is essential in selecting the suitable bonding procedure and adhesive system for the individual patient situation.

  5. Metal-assisted etch combined with regularizing etch

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yim, Joanne; Miller, Jeff; Jura, Michael

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performingmore » a chemical etch which results in regularized openings in the silicon substrate.« less

  6. An Industrial Dental-Erosion by Chromic Acid: A Case Report

    PubMed Central

    Dülgergil, Ç. Türksel; Erdemir, Ebru Olgun; Ercan, Ertuḡrul; Erdemir, Ali

    2007-01-01

    A case of uncommon occupational dental erosion was reported in an individual who had worked in the war industry for twenty years. This occupation involved daily, at least 8 hours, inhalation of chromic acid being used for cleaning of barrel of cannons. The erosion manifested as dental sensitivity with excessive cervical erosion even with pulpal exposure in certain teeth. Moreover, due to the adverse effect of the chemical against to gingival and/or periodontal tissues, the lesions were extremely harmful with respect to the exposed root-cementum. After proper periodontal therapy, cervical lesions were treated conservatively with a compomer based restorative material without cavity preparation. Although today it is not common due to the well-controlled working conditions, occupational combined dental and medical problems via airborne fumes and/or elements can be seen at workers in chemical factories. A cumulative biohazardous effect is generally seen as not only medical but also dental disorders. PMID:19212488

  7. Effect of the calcium silicate-based sealer removal protocols and time-point of acid etching on the dentin adhesive interface.

    PubMed

    Morais, Jéssika Mayhara Pereira; Victorino, Keli Regina; Escalante-Otárola, Wilfredo Gustavo; Jordão-Basso, Keren Cristina Fagundes; Palma-Dibb, Regina Guenka; Kuga, Milton Carlos

    2018-06-15

    The aim of the study was to evaluate the effects when acid etching on the dentin surface was immediately performed (I) or 7 days (D) after calcium silicate-based sealer (MTA Fillapex) removal, using 95% ethanol (E) or xylol (X). First study, 60 bovine incisor dentin specimens were impregnated with sealer and divided into six groups (n = 10): (EI), E + I; (XI), X + I; (ED), E + D; (XD), X + D, (UN), untreated and (MR), mechanical removal of sealer. Scanning electron microscopy (SEM) images (500×) were obtained from each specimen and scores assessed the sealer residues persistence. Second study, 60 specimens were similarly treated; however, the specimens were restored with composite resin after the removal protocols. Hybrid layer formation was evaluated using confocal laser microscopy (1,024×). Third study, 60 specimens were similarly obtained and subjected to micro-shear test to evaluate the effects of removal protocols on the bond strength of etch-and- rinse adhesive system to dentin. XI showed the highest persistence of sealer residues (p < .05), similar to MR (p > .05). EI showed the greatest hybrid layer extension, except in relation to UN (p < .05). XI and MR presented the lowest bond strength adhesive system to dentin (p < .05). Acid etching immediately after calcium silicate-based endodontic sealer removal using xylol presented the highest residues persistence and negatively affected the adhesive interface between dentin and etch-and-rinse adhesive system. © 2018 Wiley Periodicals, Inc.

  8. Acid Solutions for Etching Corrosion-Resistant Metals

    NASA Technical Reports Server (NTRS)

    Simmons, J. R.

    1982-01-01

    New study characterized solutions for etching austenitic stainless steels, nickel-base alloys, and titanium alloys (annealed). Solutions recommended for use remove at least 0.4 mil of metal from surface in less than an hour. Solutions do not cause intergranular attack on metals for which they are effective, when used under specified conditions.

  9. Redox buffered hydrofluoric acid etchant for the reduction of galvanic attack during release etching of MEMS devices having noble material films

    DOEpatents

    Hankins, Matthew G [Albuquerque, NM

    2009-10-06

    Etchant solutions comprising a redox buffer can be used during the release etch step to reduce damage to the structural layers of a MEMS device that has noble material films. A preferred redox buffer comprises a soluble thiophosphoric acid, ester, or salt that maintains the electrochemical potential of the etchant solution at a level that prevents oxidation of the structural material. Therefore, the redox buffer preferentially oxidizes in place of the structural material. The sacrificial redox buffer thereby protects the exposed structural layers while permitting the dissolution of sacrificial oxide layers during the release etch.

  10. Efficacy of standard (SLA) and modified sandblasted and acid-etched (SLActive) dental implants in promoting immediate and/or early occlusal loading protocols: a systematic review of prospective studies.

    PubMed

    Chambrone, Leandro; Shibli, Jamil Awad; Mercúrio, Carlos Eduardo; Cardoso, Bruna; Preshaw, Philip M

    2015-04-01

    To assess the survival percentage, clinical and radiographic outcomes of sandblasted and acid-etched (SLA) dental implants and its modified surface (SLActive) in protocols involving immediate and early occlusal loading. MEDLINE, EMBASE and the Cochrane Oral Health Group's Trials Register CENTRAL were searched in duplicate up to, and including, June 2013 to include randomised controlled trials (RCTs) and prospective observational studies of at least 6-month duration published in all languages. Studies limited to patients treated with SLA and/or SLActive implants involving a treatment protocol describing immediate and early loading of these implants were eligible for inclusion. Data on clinical and/or radiographic outcomes following implant placement were considered for inclusion. Of the 447 potentially eligible publications identified by the search strategy, seven RCTs comprising a total of 853 implants (8% titanium plasma-sprayed, 41.5% SLA and 50.5% SLActive) and 12 prospective observational studies including 1394 SLA and 145 SLActive implants were included in this review. According to the Cochrane Collaboration's tool for assessing risk of bias, one of the studies was considered to be at a low risk of bias, whereas the remaining studies were considered to be at an unclear risk. Regarding the observational studies, all of them presented a medium methodological quality based on the Modified Newcastle-Ottawa scale. There were no significant differences reported in the studies in relation to implant loss or clinical parameters between the immediate/early loading and delayed loading protocols. Overall, 95% of SLA and 97% of SLActive implants still survive at the end of follow-up. Despite of the positive findings achieved by the included studies, few RCTs were available for analysis for SLActive implants. Study heterogeneity, scarcity of data and the lack of pooled estimates represent a limitation between studies' comparisons and should be considered when interpreting

  11. Formation of nanostructured silicon surfaces by stain etching

    PubMed Central

    2014-01-01

    In this work, we report the fabrication of ordered silicon structures by chemical etching of silicon in vanadium oxide (V2O5)/hydrofluoric acid (HF) solution. The effects of the different etching parameters including the solution concentration, temperature, and the presence of metal catalyst film deposition (Pd) on the morphologies and reflective properties of the etched Si surfaces were studied. Scanning electron microscopy (SEM) was carried out to explore the morphologies of the etched surfaces with and without the presence of catalyst. In this case, the attack on the surfaces with a palladium deposit begins by creating uniform circular pores on silicon in which we distinguish the formation of pyramidal structures of silicon. Fourier transform infrared spectroscopy (FTIR) demonstrates that the surfaces are H-terminated. A UV-Vis-NIR spectrophotometer was used to study the reflectance of the structures obtained. A reflectance of 2.21% from the etched Si surfaces in the wavelength range of 400 to 1,000 nm was obtained after 120 min of etching while it is of 4.33% from the Pd/Si surfaces etched for 15 min. PMID:25435830

  12. Effect of surface etching and electrodeposition of copper on nitinol

    NASA Astrophysics Data System (ADS)

    Ramos-Moore, E.; Rosenkranz, A.; Matamala, L. F.; Videla, A.; Durán, A.; Ramos-Grez, J.

    2017-10-01

    Nitinol-based materials are very promising for medical and dental applications since those materials can combine shape memory, corrosion resistance, biocompatibility and antibacterial properties. In particular, surface modifications and coating deposition can be used to tailor and to unify those properties. We report preliminary results on the study of the effect of surface etching and electrodeposition of Copper on Nitinol using optical, chemical and thermal techniques. The results show that surface etching enhances the surface roughness of Nitinol, induces the formation of Copper-based compounds at the Nitinol-Copper interface, reduces the austenitic-martensitic transformations enthalpies and reduces the Copper coating roughness. Further studies are needed in order to highlight the influence of the electrodeposited Copper on the memory shape properties of NiTi.

  13. Microdroplet-etched highly birefringent low-loss fiber tapers.

    PubMed

    Mikkelsen, Jared C; Poon, Joyce K S

    2012-07-01

    We use hydrofluoric acid microdroplets to directly etch highly birefringent biconical fiber tapers from standard single-mode fibers. The fiber tapers have micrometer-sized cross sections, which are controlled by the etching condition. The characteristic teardrop cross section leads to a high group birefringence of B(G)≈0.017 and insertion losses <0.7 dB over waist lengths of about 2.1 mm.

  14. Micro-PIXE and micro-RBS characterization of micropores in porous silicon prepared using microwave-assisted hydrofluoric acid etching.

    PubMed

    Ahmad, Muthanna; Grime, Geoffrey W

    2013-04-01

    Porous silicon (PS) has been prepared using a microwave-assisted hydrofluoric acid (HF) etching method from a silicon wafer pre-implanted with 5 MeV Cu ions. The use of microbeam proton-induced X-ray emission (micro-PIXE) and microbeam Rutherford backscattering techniques reveals for the first time the capability of these techniques for studying the formation of micropores. The porous structures observed from micro-PIXE imaging results are compared to scanning electron microscope images. It was observed that the implanted copper accumulates in the same location as the pores and that at high implanted dose the pores form large-scale patterns of lines and concentric circles. This is the first work demonstrating the use of microwave-assisted HF etching in the formation of PS.

  15. No positive effect of Acid etching or plasma cleaning on osseointegration of titanium implants in a canine femoral condyle press-fit model.

    PubMed

    Saksø, H; Jakobsen, T; Saksø, M; Baas, J; Jakobsen, Ss; Soballe, K

    2013-01-01

    Implant surface treatments that improve early osseointegration may prove useful in long-term survival of uncemented implants. We investigated Acid Etching and Plasma Cleaning on titanium implants. In a randomized, paired animal study, four porous coated Ti implants were inserted into the femurs of each of ten dogs. PC (Porous Coating; control)PC+PSHA (Plasma Sprayed Hydroxyapatite; positive control)PC+ET (Acid Etch)PC+ET+PLCN (Plasma Cleaning) After four weeks mechanical fixation was evaluated by push-out test and osseointegration by histomorphometry. The PSHA-coated implants were better osseointegrated than the three other groups on outer surface implant porosity (p<0.05) while there was no statistical difference in deep surface implant porosity when compared with nontreated implant. Within the deep surface implant porosity, there was more newly formed bone in the control group compared to the ET and ET+PCLN groups (p<0.05). In all compared groups, there was no statistical difference in any biomechanical parameter. In terms of osseointegration on outer surface implant porosity PC+PSHA was superior to the other three groups. Neither the acid etching nor the plasma cleaning offered any advantage in terms of implant osseointegration. There was no statistical difference in any of the biomechanical parameters among all groups in the press-fit model at 4 weeks of evaluation time.

  16. In vivo effect of a self-etching primer on dentin.

    PubMed

    Milia, E; Lallai, M R; García-Godoy, F

    1999-08-01

    To determine the ultrastructural aspects of the dentin collagen area in the cavity preparation floor produced in vivo after phosphoric acid acid-etching or after using Clearfil Liner Bond 2 self-etching primer (LB2 Primer). Twenty-four non-carious third molars scheduled for extraction from young adult patients (16-30 years old) were used. Conventional Class I cavities (+/- 2 mm deep) were prepared on the occlusal surfaces of all teeth using a cylindrical diamond bur on a high-speed handpiece with copious water spray. To avoid dehydration of the dentin, the smear layer-covered dentin was briefly air-dried for 2 seconds. Cavities were assigned at random to the following groups: Group A: Dentin etched for 15 seconds with 34% phosphoric acid, rinsed for 20 seconds and then briefly air-dried for 2 seconds with oil-free compressed air leaving the surfaces slightly moist. Group B: LB2 Primer was applied to the cavity surfaces for 30 seconds and then briefly air-dried to remove the solvent. Group C: The untreated dentin smear layer was used as a control. In all three groups, the cavities were filled incrementally with a resin-based composite (APX), light curing every increment for 40 seconds. After 30 minutes, the teeth were extracted atraumatically and the samples immediately prepared for evaluation with the transmission electron microscope. The use of a self-etching primer did not produce significant morphological changes in the moist dentin substrate. Adverse morphological conditions where observed when there was an excess water on the dentin surface. Phosphoric acid altered the collagen more severely than the self-etching primer.

  17. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  18. Comparison of bioactive glass coated and hydroxyapatite coated titanium dental implants in the human jaw bone.

    PubMed

    Mistry, S; Kundu, D; Datta, S; Basu, D

    2011-03-01

    Current trends in clinical dental implant therapy include modification of titanium surfaces for the purpose of improving osseointegration by different additive (bioactive coatings) and subtractive processes (acid etching, grit-blasting). The aim of this study was to evaluate and compare the behaviour of hydroxyapatite and the newly developed bioactive glass coated implants (62 implants) in osseous tissue following implantation in 31 patients. Bioactive glass and hydroxyapatite was suitably coated on titanium alloy. Hydroxyapatite coating was applied on the implant surface by air microplasma spray technique and bioactive glass coating was applied by vitreous enamelling technique. The outcome was assessed up to 12 months after prosthetic loading using different clinical and radiological parameters. Hydroxyapatite and bioactive glass coating materials were non-toxic and biocompatible. Overall results showed that bioactive glass coated implants were as equally successful as hydroxyapatite in achieving osseointegration and supporting final restorations. The newly developed bioactive glass is a good alternative coating material for dental implants. © 2011 Australian Dental Association.

  19. BisGMA/TEGDMA dental nanocomposites containing glyoxylic acid modified high-aspect ratio hydroxyapatite nanofibers with enhanced dispersion

    PubMed Central

    Chen, Liang; Xu, Changqi; Wang, Yong; Shi, Jian; Yu, Qingsong

    2012-01-01

    The purpose of this research was to investigate the influence of the glyoxylic acid (GA) modification of hydroxyapatite (HAP) nanofibers on their dispersion in bisphenol A glycidyl methacrylate (BisGMA)/triethylene glycol dimethacrylate (TEGDMA) dental composites and also investigate the mechanical properties, water absorption, and water solubility of the resulting dental resins and composites. Scanning/Transmission electron microscopy (STEM) images showed that microsized HAP nanofiber bundles could be effectively broken down to individual HAP nanofibers with an average length of ~15 μm after the surface modification process. Fourier transform infrared spectroscopy (FT-IR), X-ray photoelectron spectroscopy (XPS) and thermal gravimetric analysis (TGA) characterization confirmed glyoxylic acid was chemically grafted on the HAP nanofiber surface, hypothetically by reacting with the amine group on HAP nanofiber surface. The enhanced dispersion of HAP nanofibers in dental matrix led to increased biaxial flexural strength (BFS) compared with the corresponding dental resins and composites filled with untreated HAP nanofibers. In addition, impregnation of small mass fractions of the glyoxylic acid modified HAP nanofibers into the BisGMA/TEGDMA dental resins (5wt%, 10wt%) or composites (2wt%, 3wt%) could also substantially improve the BFS in comparison with the controls(pure resins or dental composites filled with silica particles alone). Larger mass fractions could not further increase the mechanical property or even degrade the BFS values. Water behavior testing results indicated that the addition of glyoxylic acid modified HAP nanofibers resulted in higher water absorption and water solubility values which is not preferred for clinical application. In summary, well dispersed HAP nanofibers and their dental composites with enhanced mechanical property have been successfully fabricated but the water absorption and water solubility of such dental composites need to be

  20. Oral lactic acid bacteria related to the occurrence and/or progression of dental caries in Japanese preschool children

    PubMed Central

    SHIMADA, Ayumi; NODA, Masafumi; MATOBA, Yasuyuki; KUMAGAI, Takanori; KOZAI, Katsuyuki; SUGIYAMA, Masanori

    2015-01-01

    Previous studies have demonstrated that the presence of lactic acid bacteria (LAB), especially those classified into the genus Lactobacillus, is associated with the progression of dental caries in preschool children. Nevertheless, the kinds of species of LAB and the characteristics that are important for dental caries have been unclear. The aims of this study were: (1) to investigate the distribution of oral LAB among Japanese preschool children with various prevalence levels of caries; and (2) to reveal the characteristics of these isolated LAB species. Seventy-four Japanese preschool children were examined for caries scores and caries progression, and their dental cavity samples were collected for LAB isolation and identification. The saliva-induced agglutination rate and the resistance to acidic environments of the identified strains were measured. Statistical analysis showed that preschool children carrying Lactobacillus (L.) salivarius or Streptococcus mutans have a significantly higher prevalence of dental caries, the growth ability in acidic environments correlates with the caries scores of individuals with L. salivarius, and the caries scores exhibit positive correlation with saliva-induced agglutination in L. salivarius. These results show that specific Lactobacillus species are associated with dental caries based on the level of carious lesion severity. The present study suggests that these specific Lactobacillus species, especially those with easily agglutinated properties and acid resistance, affect the dental caries scores of preschool children, and that these properties may provide useful information for research into the prevention of dental caries. PMID:25918670

  1. Oral lactic acid bacteria related to the occurrence and/or progression of dental caries in Japanese preschool children.

    PubMed

    Shimada, Ayumi; Noda, Masafumi; Matoba, Yasuyuki; Kumagai, Takanori; Kozai, Katsuyuki; Sugiyama, Masanori

    2015-01-01

    Previous studies have demonstrated that the presence of lactic acid bacteria (LAB), especially those classified into the genus Lactobacillus, is associated with the progression of dental caries in preschool children. Nevertheless, the kinds of species of LAB and the characteristics that are important for dental caries have been unclear. The aims of this study were: (1) to investigate the distribution of oral LAB among Japanese preschool children with various prevalence levels of caries; and (2) to reveal the characteristics of these isolated LAB species. Seventy-four Japanese preschool children were examined for caries scores and caries progression, and their dental cavity samples were collected for LAB isolation and identification. The saliva-induced agglutination rate and the resistance to acidic environments of the identified strains were measured. Statistical analysis showed that preschool children carrying Lactobacillus (L.) salivarius or Streptococcus mutans have a significantly higher prevalence of dental caries, the growth ability in acidic environments correlates with the caries scores of individuals with L. salivarius, and the caries scores exhibit positive correlation with saliva-induced agglutination in L. salivarius. These results show that specific Lactobacillus species are associated with dental caries based on the level of carious lesion severity. The present study suggests that these specific Lactobacillus species, especially those with easily agglutinated properties and acid resistance, affect the dental caries scores of preschool children, and that these properties may provide useful information for research into the prevention of dental caries.

  2. Assessment of microleakage of class V restored by resin composite and resin-modified glass ionomer and pit and fissure resin-based sealants following Er:YAG laser conditioning and acid etching: in vitro study

    PubMed Central

    Luong, Emilie; Shayegan, Amir

    2018-01-01

    Aim The aim of this study was to make a comparison between microleakage of conventionally restored class V cavities using acid etchant and the ones conditioned by erbium-doped yttrium aluminum garnet (Er:YAG) laser, and also to assess and compare the effectiveness of enamel surface treatments of occlusal pits and fissures by acid etching and conditioned by Er:YAG laser-etch. Materials and methods Seventy-two extracted third molars were used in this study. The samples were divided into two major groups: class V cavities and pit and fissure sealants. Each subgroup was divided into conventional acid etching, Er:YAG laser conditioning and conventional acid etching, and combination with Er:YAG laser conditioning (n=12). The teeth were placed in 2% methylene blue dye solution, were sectioned, and were evaluated according to the dye penetration criteria. Two samples per subgroup were chosen for scanning electron microscopic (SEM) analysis. Results There was a significant difference between occlusal and cervical margin groups. Laser conventional composite cementum group showed more microleakage values compared to other groups. There was no significant difference between occlusal margin groups. However, there was a significant difference between cervical margin groups in terms of microleakage. In sealant groups, there was a significant difference between laser and conventional with/without laser treatment groups in terms of microleakage. Conclusion Based on the results reported in this study, it can be concluded that the application of the Er:YAG laser beneath the resin composite, the resin-modified glass ionomers (GIs), and the fissure sealant placement may be an alternative enamel and dentin etching method to acid etching. PMID:29881311

  3. Comparison of removal torques between laser-etched and modified sandblasted acid-etched Ti implant surfaces in rabbit tibias

    PubMed Central

    Al Awamleh, Abdel Ghani Ibrahim

    2018-01-01

    PURPOSE The purpose of this study was to analyze the effects of two different implant surface treatments on initial bone connection by comparing the Removal Torque Values (RTQs) at 7 and 10 days after chemically modified, sandblasted, large-grit and acid-etched (modSLA), and Laser-etched (LE) Ti implant placements. MATERIALS AND METHODS Twenty modSLA and 20 LE implants were installed on the left and right tibias of 20 adult rabbits. RTQs were measured after 7 and 10 days in 10 rabbits each. Scanning electron microscope (SEM) photographs of the two implants were observed by using Quanta FEG 650 from the FEI company (Hillsboro, OR, USA). Analyses of surface elements and components were conducted using energy dispersive spectroscopy (EDS, Horiba, Kyoto, Japan). RESULTS The mean RTQs were 12.29 ± 0.830 and 12.19 ± 0.713 Ncm after 7 days (P=.928) and 16.47 ± 1.324 and 16.17 ± 1.165 Ncm after 10 days (P=.867) for LE and modSLA, respectively, indicating no significant inter-group differences. Pore sizes in the LE were 40 µm and consisted of numerous small pores, whereas pore sizes in the modSLA were 5 µm. In the EDS analysis, Ti, O, and C were the only three elements found in the LE surfaces. Na, Ca, Cl, and K were also observed in modSLA, in addition to Ti, O, and C. CONCLUSION The implants showed no significant difference in biomechanical bond strength to bone in early-stage osseointegration. LE implant can be considered an excellent surface treatment method in addition to the modSLA implant and can be applied to the early loading of the prosthesis clinically. PMID:29503717

  4. Effects of potassium oxalate on knoop hardness of etch-and-rinse adhesives.

    PubMed

    Silva, S M A; Malacarne-Zanon, J; Carvalho, R M; Alves, M C; De Goes, M F; Anido-Anido, A; Carrilho, M R

    2012-01-01

    The objective of this study was to determine whether the hardness of etch-and-rinse adhesives may be affected by the pretreatment of acid-etched dentin with potassium oxalate desensitizer. Unerupted human third molars were cut into crown segments by removing the occlusal enamel and roots. The pulp chamber of these crown segments was connected to a syringe barrel filled with phosphate-buffered saline so that the moisture of dentin was maintained during the bonding procedures. Three etch-and-rinse adhesives-two two-step systems (Adper Single Bond 2 [SB], One-Step [OS]) and one three-step system (Adper Scotchbond Multi-Purpose [MP])-were applied to acid-etched dentin that had been treated (experimental groups) or not (control groups) with potassium oxalate (BisBlock). The Knoop hardness (KHN) of adhesives was taken at different sites of the outer surface of the adhesive-bonded dentin. The KHN of the three tested adhesives applied to acid-etched dentin treated with potassium oxalate was significantly lower than that exhibited by the respective controls (not treated with oxalate; p<0.05). Regardless of the adhesive, the treatment with potassium oxalate reduced the adhesives' KHN (p<0.05), with the OS system exhibiting the lowest KHN compared with the MP and SB systems.

  5. Morphological and chemical characterization of the dentin/resin cement interface produced with a self-etching primer.

    PubMed

    Walker, Mary P; Wang, Yong; Spencer, Paulette

    2002-01-01

    The purpose of this study was to analyze a resin cement/dentin interface by comparing the diffusion of a resin cement into dentin surfaces pretreated with a self-etching primer with or without pretreatment by conventional acid etching. Dentin surfaces of 8 unerupted human third molars were treated with a self-etch primer (Panavia 21) with or without conventional phosphoric acid pretreatment. Panavia 21 resin cement was applied according to manufacturer's instructions. Dentin/resin cement interface sections from each tooth were examined with scanning electron microscopy and micro-Raman spectroscopy. When the self-etch primer was used following conventional acid pretreatment, the resin cement did not penetrate to the depth of the zone of demineralized dentin, leaving a substantial area of exposed dentin matrix at the dentin/cement interface. In contrast, there was substantial resin cement diffusion throughout the demineralized dentin when the self-etch primer was used without acid etching pretreatment. The in vitro evaluation of resin cement penetration throughout the zone of demineralized dentin is an important step in identifying sites of exposed dentin matrix that may promote postoperative sensitivity and may leave the dentin/resin cement interface vulnerable to premature degradation under clinical conditions. In this study, the self-etch primer used alone produced substantial resin cement penetration and left no exposed dentin matrix at the dentin/resin cement interface.

  6. Development and evaluation of an interactive dental video game to teach dentin bonding.

    PubMed

    Amer, Rafat S; Denehy, Gerald E; Cobb, Deborah S; Dawson, Deborah V; Cunningham-Ford, Marsha A; Bergeron, Cathia

    2011-06-01

    Written and clinical tests compared the change in clinical knowledge and practical clinical skill of first-year dental students watching a clinical video recording of the three-step etch-and-rinse resin bonding system to those using an interactive dental video game teaching the same procedure. The research design was a randomized controlled trial with eighty first-year dental students enrolled in the preclinical operative dentistry course. Students' change in knowledge was measured through written examination using a pre-test and a post-test, as well as clinical tests in the form of a benchtop shear bond strength test. There was no statistically significant difference between teaching methods in regards to change in either knowledge or clinical skills, with one minor exception relating to the wetness of dentin following etching. Students expressed their preference for an interactive self-paced method of teaching.

  7. Influence of Different Etching Modes on Bond Strength to Enamel using Universal Adhesive Systems.

    PubMed

    Diniz, Ana Cs; Bandeca, Matheus C; Pinheiro, Larissa M; Dos Santosh Almeida, Lauber J; Torres, Carlos Rg; Borges, Alvaro H; Pinto, Shelon Cs; Tonetto, Mateus R; De Jesus Tavarez, Rudys R; Firoozmand, Leily M

    2016-10-01

    The adhesive systems and the techniques currently used are designed to provide a more effective adhesion with reduction of the protocol application. The objective of this study was to evaluate the bond strength of universal adhesive systems on enamel in different etching modes (self-etch and total etch). The mesial and distal halves of 52 bovine incisors, healthy, freshly extracted, were used and divided into seven experimental groups (n = 13). The enamel was treated in accordance with the following experimental conditions: FUE-Universal System - Futurabond U (VOCO) with etching; FUWE - Futurabond U (VOCO) without etching; SB-Total Etch System - Single Bond 2 (3M); SBUE-Universal System - Single Bond Universal (3M ESPE) with etching; SBUWE - Single Bond Universal (3M ESPE) without etching; CLE-Self-etch System - Clearfil SE Bond (Kuraray) was applied with etching; CLWE - Clearfil SE Bond (Kuraray) without etching. The specimens were made using the composite spectrum TPH (Dentsply) and stored in distilled water (37 ± 1°C) for 1 month. The microshear test was performed using the universal testing machine EMIC DL 2000 with the crosshead speed of 0.5 mm/minute. The bond strength values were analyzed using statistical tests (Kruskal-Wallis test and Mann-Whitney test) with Bonferroni correction. There was no statistically significant difference between groups (p < 0.05), where FUE (36.83 ± 4.9 MPa) showed the highest bond strength values and SBUWE (18.40 ± 2.2 MPa) showed the lowest bond strength values. The analysis of adhesive interface revealed that most failures occurred between the interface composite resin and adhesive. The universal adhesive system used in dental enamel varies according to the trademark, and the previous enamel etching for universal systems and the self-etch both induced greater bond strength values. Selective enamel etching prior to the application of a universal adhesive system is a relevant strategy for better performance bonding.

  8. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    NASA Astrophysics Data System (ADS)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M. P.; Hihn, J. Y.

    2015-11-01

    Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  9. Etch bias inversion during EUV mask ARC etch

    NASA Astrophysics Data System (ADS)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  10. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching.

    PubMed

    Nazarov, Denis V; Zemtsova, Elena G; Solokhin, Alexandr Yu; Valiev, Ruslan Z; Smirnov, Vladimir M

    2017-01-13

    In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions) and the etching time on the morphology and surface relief of ultrafine grained (UFG) and coarse grained (CG) titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM), atomic force microscopy (AFM), and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF) and X-ray Photoelectron Spectroscopy (XPS). Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  11. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching

    PubMed Central

    Nazarov, Denis V.; Zemtsova, Elena G.; Solokhin, Alexandr Yu.; Valiev, Ruslan Z.; Smirnov, Vladimir M.

    2017-01-01

    In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions) and the etching time on the morphology and surface relief of ultrafine grained (UFG) and coarse grained (CG) titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM), atomic force microscopy (AFM), and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF) and X-ray Photoelectron Spectroscopy (XPS). Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed. PMID:28336849

  12. ScAlN etch mask for highly selective silicon etching

    DOE PAGES

    Henry, Michael David; Young, Travis R.; Griffin, Ben

    2017-09-08

    Here, this work reports the utilization of a recently developed film, ScAlN, as a silicon etch mask offering significant improvements in high etch selectivity to silicon. Utilization of ScAlN as a fluorine chemistry based deep reactive ion etch mask demonstrated etch selectivity at 23 550:1, four times better than AlN, 11 times better than Al 2O 3, and 148 times better than silicon dioxide with significantly less resputtering at high bias voltage than either Al 2O 3 or AlN. Ellipsometry film thickness measurements show less than 0.3 nm/min mask erosion rates for ScAlN. Micromasking of resputtered Al for Al 2Omore » 3, AlN, and ScAlN etch masks is also reported here, utilizing cross-sectional scanning electron microscope and confocal microscope roughness measurements. With lower etch bias, the reduced etch rate can be optimized to achieve a trench bottom surface roughness that is comparable to SiO 2 etch masks. Etch mask selectivity enabled by ScAlN is likely to make significant improvements in microelectromechanical systems, wafer level packaging, and plasma dicing of silicon.« less

  13. The management of defective resin composite restorations: current trends in dental school teaching in Japan.

    PubMed

    Lynch, C D; Hayashi, M; Seow, L L; Blum, I R; Wilson, N H F

    2013-01-01

    The aim of this article is to investigate the contemporary teaching of the management of defective direct resin composite restorations in dental schools in Japan. A questionnaire relating to the teaching of the management of defective resin composite restorations was developed and e-mailed to 29 dental schools in Japan in 2010. Completed responses were received from 19 of the 29 invited schools (response rate = 66%). Eighteen schools (95%) report that they included the teaching of repair of direct defective resin composite restorations in their dental school programs. Thirteen schools reported that they included both clinical and didactic instruction on the repair of direct resin composite restorations. Fourteen schools did not teach any mechanical roughening of the exposed resin composite restoration surface before undertaking a repair. The most commonly reported treatment was acid etching with phosphoric acid (12 schools). The most commonly taught material for completing repairs was a flowable resin composite (16 schools). The teaching of repair of defective resin composite restorations is well established within many Japanese dental schools, to a greater extent than in some other regions of the world. The impact of this teaching on subsequent clinical practices in Japan should be investigated. Furthermore, it is concluded that there is a need for much stronger leadership in operative and conservative dentistry, ideally at the global level, to resolve differences in key aspects of operative procedures such as repairs.

  14. Influence of laser etching on enamel and dentin bond strength of Silorane System Adhesive.

    PubMed

    Ustunkol, Ildem; Yazici, A Ruya; Gorucu, Jale; Dayangac, Berrin

    2015-02-01

    The aim of this in vitro study was to evaluate the shear bond strength (SBS) of Silorane System Adhesive to enamel and dentin surfaces that had been etched with different procedures. Ninety freshly extracted human third molars were used for the study. After the teeth were embedded with buccal surfaces facing up, they were randomly divided into two groups. In group I, specimens were polished with a 600-grit silicon carbide (SiC) paper to obtain flat exposed enamel. In group II, the overlying enamel layer was removed and exposed dentin surfaces were polished with a 600-grit SiC paper. Then, the teeth in each group were randomly divided into three subgroups according to etching procedures: etched with erbium, chromium:yttrium-scandium-gallium-garnet laser (a), etched with 35% phosphoric acid (b), and non-etched (c, control). Silorane System Adhesive was used to bond silorane restorative to both enamel and dentin. After 24-h storage in distilled water at room temperature, a SBS test was performed using a universal testing machine at a crosshead speed of 1 mm/min. The data were analyzed using two-way ANOVA and Bonferroni tests (p < 0.05). The highest SBS was found after additional phosphoric acid treatment in dentin groups (p < 0.05). There were no statistically significant differences between the laser-etched and non-etched groups in enamel and dentin (p > 0.05). The SBS of self-etch adhesive to dentin was not statistically different from enamel (p > 0.05). Phosphoric acid treatment seems the most promising surface treatment for increasing the enamel and dentin bond strength of Silorane System Adhesive.

  15. Ultrasonic recovery of copper and iron through the simultaneous utilization of Printed Circuit Boards (PCB) spent acid etching solution and PCB waste sludge.

    PubMed

    Huang, Zhiyuan; Xie, Fengchun; Ma, Yang

    2011-01-15

    A method was developed to recover the copper and iron from Printed Circuit Boards (PCB) manufacturing generated spent acid etching solution and waste sludge with ultrasonic energy at laboratory scale. It demonstrated that copper-containing PCB spent etching solution could be utilized as a leaching solution to leach copper from copper contained PCB waste sludge. It also indicated that lime could be used as an alkaline precipitating agent in this method to precipitate iron from the mixture of acidic PCB spent etching solution and waste sludge. This method provided an effective technique for the recovery of copper and iron through simultaneous use of PCB spent acid solution and waste sludge. The leaching rates of copper and iron enhanced with ultrasound energy were reached at 93.76% and 2.07% respectively and effectively separated copper from iron. Followed by applying lime to precipitate copper from the mixture of leachate and rinsing water produced by the copper and iron separation, about 99.99% and 1.29% of soluble copper and calcium were settled as the solids respectively. Furthermore the settled copper could be made as commercial rate copper. The process performance parameters studied were pH, ultrasonic power, and temperature. This method provided a simple and reliable technique to recover copper and iron from waste streams generated by PCB manufacturing, and would significantly reduce the cost of chemicals used in the recovery. Copyright © 2010 Elsevier B.V. All rights reserved.

  16. Direct and transdentinal (indirect) antibacterial activity of commercially available dental gel formulations against Streptococcus mutans.

    PubMed

    Tüzüner, Tamer; Ulusoy, Ayça Tuba; Baygin, Ozgul; Yahyaoglu, Gorkem; Yalcin, Ilkay; Buruk, Kurtulus; Nicholson, John

    2013-01-01

    To evaluate the direct and transdentinal (indirect) agar diffusion antibacterial activity of different commercially available antibacterial dental gel formulations against Streptococcus mutans. The commercially available dental gel formulations were Corsodyl® (COG, 1% chlorhexidine), Cervitec® (CEG, 0.2% chlorhexidine + 0.2% sodium fluoride), Forever Bright® (FOB, aloe vera), Gengigel® (GEG, 0.2% hyaluronic acid), 35% phosphoric acid gel and distilled water (control). Direct agar diffusion was performed by isolating three wells from brain-heart infusion agar plates using sterile glass pipettes attached to a vacuum pump and adding 0.1 ml of the gels to each well. Transdentinal (indirect) agar diffusion was performed by applying gel to 0.2- and 0.5-mm-thick human dentin discs previously etched with phosphoric acid and rinsed with distilled water. Zones formed around the wells and the dentin discs were measured and analyzed using Kruskal-Wallis and Mann-Whitney U tests with Bonferroni correction (p < 0.01). Direct agar diffusion tests showed significant differences among all gel formulations (p < 0.01) except for COG and CEG (p > 0.01). COG and CEG exhibited higher antibacterial effects compared to FOB and GEG (p < 0.01) in both direct and transdentinal (indirect) testing procedures. GEG did not show any antimicrobial activity in transdentinal (indirect) testing. Commercially available dental gels inhibited S. mutans, which may indicate their potential as cavity disinfectants. Copyright © 2013 S. Karger AG, Basel.

  17. Wide angle near-field optical probes by reverse tube etching.

    PubMed

    Patanè, S; Cefalì, E; Arena, A; Gucciardi, P G; Allegrini, M

    2006-04-01

    We present a simple modification of the tube etching process for the fabrication of fiber probes for near-field optical microscopy. It increases the taper angle of the probe by a factor of two. The novelty is that the fiber is immersed in hydrofluoric acid and chemically etched in an upside-down geometry. The tip formation occurs inside the micrometer tube cavity formed by the polymeric jacket. By applying this approach, called reverse tube etching, to multimode fibers with 200/250 microm core/cladding diameter, we have fabricated tapered regions featuring high surface smoothness and average cone angles of approximately 30 degrees . A simple model based on the crucial role of the gravity in removing the etching products, explains the tip formation process.

  18. Microbial acid production (Clinpro Cario L-Pop) and dental caries in infants and children.

    PubMed

    Bretz, W A; Corby, P M A; Costa, S; Quadros, M; Tavares, V S; Moreira, G; Filho, M R Melo; Weyant, R J

    2007-04-01

    The purpose of this study was to evaluate the diagnostic utility of the Clinpro Cario-L-Pop test as it relates to dental caries rates and severity in infants and children. The study population was comprised of 771 infants and children who were on average 5.2 years of age (range of 1.5 to 8 years of age). Examiners conducted dental caries clinical examination using established criteria. In addition, lesion severity was determined be measuring its depth. An indicator swab was applied to the tongue dorsum until completely moistened with saliva. The indicator swab was processed according to the manufacturer's instructions, and acid production was assessed with the aid of a color chart. Twenty-three percent of children were caries free, and 7% (n = 50) of participants were categorized as having low production of lactic acid (scores 1 to 3), 17% (n = 135) moderate production of lactic acid (scores 4 to 6), and 76% (n = 586) high production of lactic acid (scores 7 to 9). There was a tendency for moderate and high lactic acid formers to exhibit higher surface-based caries prevalence rates, higher rates for deep dentinal lesions, and increased lesion severity. There was a linear increase of white spot surface-based lesions from low to high lactic acid formers and for initial dentinal lesions. Clinpro Cario-L-Pop test results, when controlling for age and gender, significantly distinguished caries-free participants from those exhibiting any form of decay. These results suggest that Clinpro Cario-L-Pop test was useful in explaining elevated frequency and severity of dental caries in spite of the high levels of decay and of microbial acid production observed in this population.

  19. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    PubMed

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  20. Dry etching of metallization

    NASA Technical Reports Server (NTRS)

    Bollinger, D.

    1983-01-01

    The production dry etch processes are reviewed from the perspective of microelectronic fabrication applications. The major dry etch processes used in the fabrication of microelectronic devices can be divided into two categories - plasma processes in which samples are directly exposed to an electrical discharge, and ion beam processes in which samples are etched by a beam of ions extracted from a discharge. The plasma etch processes can be distinguished by the degree to which ion bombardment contributes to the etch process. This, in turn is related to capability for anisotropic etching. Reactive Ion Etching (RIE) and Ion Beam Etching are of most interest for etching of thin film metals. RIE is generally considered the best process for large volume, anisotropic aluminum etching.

  1. Method for etching thin films of niboium and niobium-containing compounds for preparing superconductive circuits

    DOEpatents

    Kampwirth, R.T.; Schuller, I.K.; Falco, C.M.

    1979-11-23

    An improved method of preparing thin film superconducting electrical circuits of niobium or niobium compounds is provided in which a thin film of the niobium or niobium compound is applied to a nonconductive substrate and covered with a layer of photosensitive material. The sensitive material is in turn covered with a circuit pattern exposed and developed to form a mask of the circuit in photoresistive material on the surface of the film. The unmasked excess niobium film is removed by contacting the substrate with an aqueous etching solution of nitric acid, sulfuric acid, and hydrogen fluoride, which will rapidly etch the niobium compound without undercutting the photoresist. A modification of the etching solution will permit thin films to be lifted from the substrate without further etching.

  2. Silicon vertical microstructure fabrication by catalytic etching

    NASA Astrophysics Data System (ADS)

    Huang, Mao-Jung; Yang, Chii-Rong; Chang, Chun-Ming; Chu, Nien-Nan; Shiao, Ming-Hua

    2012-08-01

    This study presents an effective, simple and inexpensive process for forming micro-scale vertical structures on a (1 0 0) silicon wafer. Several modified etchants and micro-patterns including rectangular, snake-like, circular and comb patterns were employed to determine the optimum etching process. We found that an etchant solution consisting of 4.6 M hydrofluoric acid, 0.44 M hydrogen peroxide and isopropyl alcohol produces microstructures at an etching rate of 0.47 µm min-1 and surface roughness of 17.4 nm. All the patterns were transferred faithfully to the silicon substrate.

  3. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    PubMed

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  4. Characterization and analyses of acid-extractable and leached trace elements in dental cements.

    PubMed

    Camilleri, J; Kralj, P; Veber, M; Sinagra, E

    2012-08-01

    Determination of the elemental constitution and investigation of the total and leachable arsenic, chromium and lead in Portland cement, pure tricalcium silicate, Biodentine, Bioaggregate and mineral trioxide aggregate (MTA) Angelus. The chemical composition of Portland cement, MTA Angelus, tricalcium silicate cement, Biodentine and Bioaggregate was determined using X-ray fluorescence (XRF). Measurements of arsenic, lead and chromium were taken with inductively coupled plasma-mass spectrometry (ICP-MS), following acid digestion on the hydrated material and on leachates of cements soaked in Hank's balanced salt solution (HBSS). All the cements investigated had a similar oxide composition with the main oxide being calcium and silicon oxide. Both the Portland cement and MTA Angelus had an additional aluminium oxide. The dental cements included a radiopacifying material. All the materials tested had higher acid-extractable arsenic content than the level set by ISO 9917-1 (2007) and an acceptable level of lead. Regardless these high levels of trace elements present in the materials, the leaching in HBSS was minimal for all the dental material tested in contrast to the high levels displayed by Portland cement. Dental materials based on tricalcium silicate cement and MTA Angelus release minimal quantities of trace elements when in contact with simulated body fluids. The results of acid extraction could be affected by nonspecific matrix effects by the cement. © 2012 International Endodontic Journal.

  5. Control of dental-derived induced pluripotent stem cells through modified surfaces for dental application.

    PubMed

    Choi, Hyunmin; Park, Kyu-Hyung; Lee, Ah-Reum; Mun, Chin Hee; Shin, Yong Dae; Park, Yong-Beom; Park, Young-Bum

    2017-07-01

    The aim of this study is to investigate the behaviour of iPSc derived from dental stem cells in terms of initial adhesion, differentiation potential on differently surface-treated titanium disc. iPSc derived from human gingival fibroblasts (hGFs) were established using 4-reprogramming factors transduction with Sendai virus. The hGF-iPSc established in this study exhibited the morphology and growth properties similar to human embryonic stem (ES) cells and expressed pluripotency makers. Alkaline Phosphatase (AP) staining, Embryoid Body (EB) formation and in vitro differentiation and karyotyping further confirmed pluripotency of hGF-iPSc. Then, hGF-iPSc were cultured on machined- and Sandblasted and acid etched (SLA)-treated titanium discs with osteogenic induction medium and their morphological as well as quantitative changes according to different surface types were investigated using Alizrin Red S staining, Scanning electron microscopy (SEM), Flow cytometry and RT-PCR. Time-dependent and surface-dependent morphological changes as well as quantitative change in osteogenic differentiation of hGF-iPSc were identified and osteogenic gene expression of hGF-iPSc cultured on SLA-treated titanium disc found to be greater than machined titanium disc, suggesting the fate of hGF-iPSc may be determined by the characteristics of surface to which hGF-iPSc first adhere. iPSc derived from dental stem cell can be one of the most promising and practical cell sources for personalized regenerative dentistry and their morphological change as well as quantitative change in osteogenic differentiation according to different surface types may be further utilized for future clinical application incorporated with dental implant.

  6. Association of dental enamel lead levels with risk factors for environmental exposure.

    PubMed

    Olympio, Kelly Polido Kaneshiro; Naozuka, Juliana; Oliveira, Pedro Vitoriano; Cardoso, Maria Regina Alves; Bechara, Etelvino José Henriques; Günther, Wanda Maria Risso

    2010-10-01

    To analyze household risk factors associated with high lead levels in surface dental enamel. A cross-sectional study was conducted with 160 Brazilian adolescents aged 1418 years living in poor neighborhoods in the city of Bauru, southeastern Brazil, from August to December 2008. Body lead concentrations were assessed in surface dental enamel acid-etch microbiopsies. Dental enamel lead levels were measured by graphite furnace atomic absorption spectrometry and phosphorus levels were measured by inductively coupled plasma optical emission spectrometry. The parents answered a questionnaire about their children's potential early (05 years old) exposure to well-known lead sources. Logistic regression was used to identify associations between dental enamel lead levels and each environmental risk factor studied. Social and familial covariables were included in the models. The results suggest that the adolescents studied were exposed to lead sources during their first years of life. Risk factors associated with high dental enamel lead levels were living in or close to a contaminated area (OR = 4.49; 95% CI: 1.69;11.97); and member of the household worked in the manufacturing of paints, paint pigments, ceramics or batteries (OR = 3.43; 95% CI: 1.31;9.00). Home-based use of lead-glazed ceramics, low-quality pirated toys, anticorrosive paint on gates and/or sale of used car batteries (OR = 1.31; 95% CI: 0.56;3.03) and smoking (OR = 1.66; 95% CI: 0.52;5.28) were not found to be associated with high dental enamel lead levels. Surface dental enamel can be used as a marker of past environmental exposure to lead and lead concentrations detected are associated to well-known sources of lead contamination.

  7. Weaker dental enamel explains dental decay.

    PubMed

    Vieira, Alexandre R; Gibson, Carolyn W; Deeley, Kathleen; Xue, Hui; Li, Yong

    2015-01-01

    Dental caries continues to be the most prevalent bacteria-mediated non-contagious disease of humankind. Dental professionals assert the disease can be explained by poor oral hygiene and a diet rich in sugars but this does not account for caries free individuals exposed to the same risk factors. In order to test the hypothesis that amount of amelogenin during enamel development can influence caries susceptibility, we generated multiple strains of mice with varying levels of available amelogenin during dental development. Mechanical tests showed that dental enamel developed with less amelogenin is "weaker" while the dental enamel of animals over-expressing amelogenin appears to be more resistant to acid dissolution.

  8. Enamel and dentin bond strengths of a new self-etch adhesive system.

    PubMed

    Walter, Ricardo; Swift, Edward J; Boushell, Lee W; Braswell, Krista

    2011-12-01

    statement of problem:  Self-etch adhesives typically are mildly acidic and therefore less effective than etch-and-rinse adhesives for bonding to enamel.   The purpose of this study was to evaluate the enamel and dentin shear bond strengths of a new two-step self-etch adhesive system, OptiBond XTR (Kerr Corporation, Orange, CA, USA).   The labial surfaces of 80 bovine teeth were ground to create flat, 600-grit enamel or dentin surfaces. Composite was bonded to enamel or dentin using the new two-step self-etch system or a three-step etch-and-rinse (OptiBond FL, Kerr), two-step self-etch (Clearfil SE Bond, Kuraray America, Houston, TX, USA), or one-step self-etch adhesive (Xeno IV, Dentsply Caulk, Milford, DE, USA). Following storage in water for 24 hours, shear bond strengths were determined using a universal testing machine. The enamel and dentin data sets were subjected to separate analysis of variance and Tukey's tests. Scanning electron microscopy was used to evaluate the effects of each system on enamel.   Mean shear bond strengths to enamel ranged from 18.1 MPa for Xeno IV to 41.0 MPa for OptiBond FL. On dentin, the means ranged from 33.3 MPa for OptiBond FL to 47.1 MPa for Clearfil SE Bond. OptiBond XTR performed as well as Clearfil SE Bond on dentin and as well as OptiBond FL on enamel. Field emission scanning electron microscope revealed that OptiBond XTR produced an enamel etch pattern that was less defined than that of OptiBond FL (37.5% phosphoric acid) but more defined than that of Clearfil SE Bond or Xeno IV.   The new two-step self-etch adhesive system formed excellent bonds to enamel and dentin in vitro. OptiBond XTR, a new two-step self-etch adhesive system, is a promising material for bonding to enamel as well as to dentin. © 2011 Wiley Periodicals, Inc.

  9. Comparison of shear bond strength and surface structure between conventional acid etching and air-abrasion of human enamel.

    PubMed

    Olsen, M E; Bishara, S E; Damon, P; Jakobsen, J R

    1997-11-01

    Recently, air-abrasion technology has been examined for potential applications within dentistry, including the field of orthodontics. The purpose of this study was to compare the traditional acid-etch technique with an air-abrasion surface preparation technique, with two different sizes of abrading particles. The following parameters were evaluated: (a) shear bond strength, (b) bond failure location, and (c) enamel surface preparation, as viewed through a scanning electron microscope. Sixty extracted human third molars were pumiced and divided into three groups of 20. The first group was etched with a 37% phosphoric acid gel for 30 seconds, rinsed for 30 seconds, and dried for 20 seconds. The second and third groups were air-abraded with (a) a 50 microm particle and (b) a 90 microm particle of aluminum oxide, with the Micro-etcher microabrasion machine (Danville Engineering Inc.). All three groups had molar stainless steel orthodontic brackets bonded to the buccal surface of each tooth with Transbond XT bonding system (3M Unitek). A Zwick Universal Testing Machine (Calitek Corp.) was used to determine shear bond strengths. The analysis of variance was used to compare the three groups. The Adhesive Remnant Index (ARI) was used to evaluate the residual adhesive on the enamel after bracket removal. The chi square test was used to evaluate differences in the ARI scores among the groups. The significance for all tests was predetermined at p < or = 0.05. The results indicated that there was a significant difference in shear bond strength among the three groups (p = 0.0001). The Duncan Multiple Range test showed a significant decrease in shear bond strength in the air-abraded groups. The chi square test revealed significant differences among the ARI scores of the acid-etched group and the air-abraded groups (chi(2) = 0.0001), indicating no adhesive remained on the enamel surface after debonding when air-abrasion was used. In conclusion, the current findings indicate that

  10. Adiabatic tapered optical fiber fabrication in two step etching

    NASA Astrophysics Data System (ADS)

    Chenari, Z.; Latifi, H.; Ghamari, S.; Hashemi, R. S.; Doroodmand, F.

    2016-01-01

    A two-step etching method using HF acid and Buffered HF is proposed to fabricate adiabatic biconical optical fiber tapers. Due to the fact that the etching rate in second step is almost 3 times slower than the previous droplet etching method, terminating the fabrication process is controllable enough to achieve a desirable fiber diameter. By monitoring transmitted spectrum, final diameter and adiabaticity of tapers are deduced. Tapers with losses about 0.3 dB in air and 4.2 dB in water are produced. The biconical fiber taper fabricated using this method is used to excite whispering gallery modes (WGMs) on a microsphere surface in an aquatic environment. So that they are suitable to be used in applications like WGM biosensors.

  11. Optical fiber nanoprobe preparation for near-field optical microscopy by chemical etching under surface tension and capillary action.

    PubMed

    Mondal, Samir K; Mitra, Anupam; Singh, Nahar; Sarkar, S N; Kapur, Pawan

    2009-10-26

    We propose a technique of chemical etching for fabrication of near perfect optical fiber nanoprobe (NNP). It uses photosensitive single mode optical fiber to etch in hydro fluoric (HF) acid solution. The difference in etching rate for cladding and photosensitive core in HF acid solution creates capillary ring along core-cladding boundary under a given condition. The capillary ring is filled with acid solution due to surface tension and capillary action. Finally it creates near perfect symmetric tip at the apex of the fiber as the height of the acid level in capillary ring decreases while width of the ring increases with continuous etching. Typical tip features are short taper length (approximately 4 microm), large cone angle (approximately 38 degrees ), and small probe tip dimension (<100 nm). A finite difference time domain (FDTD) analysis is also presented to compare near field optics of the NNP with conventional nanoprobe (CNP). The probe may be ideal for near field optical imaging and sensor applications.

  12. Effect of helium ion beam treatment on wet etching of silicon dioxide

    NASA Astrophysics Data System (ADS)

    Petrov, Yu. V.; Grigoryev, E. A.; Sharov, T. V.; Baraban, A. P.

    2018-03-01

    We investigated the effect of helium ion beam treatment on the etching rate of silicon dioxide in a water based solution of hydrofluoric acid. A 460-nm-thick silicon dioxide film on silicon was irradiated with helium ions having energies of 20 keV and 30 keV with ion fluences ranging from 1014 cm-2 to 1017 cm-2. The dependence of the etching rate on depth was obtained and compared with the depth distribution of ion-induced defects, which was obtained from numerical simulation. Irradiation with helium ions results in an increase of the etching rate of silicon dioxide. The dependence of the etching rate on the calculated concentration of ion-induced defects is described.

  13. Weaker Dental Enamel Explains Dental Decay

    PubMed Central

    Vieira, Alexandre R.; Gibson, Carolyn W.; Deeley, Kathleen; Xue, Hui; Li, Yong

    2015-01-01

    Dental caries continues to be the most prevalent bacteria-mediated non-contagious disease of humankind. Dental professionals assert the disease can be explained by poor oral hygiene and a diet rich in sugars but this does not account for caries free individuals exposed to the same risk factors. In order to test the hypothesis that amount of amelogenin during enamel development can influence caries susceptibility, we generated multiple strains of mice with varying levels of available amelogenin during dental development. Mechanical tests showed that dental enamel developed with less amelogenin is “weaker” while the dental enamel of animals over-expressing amelogenin appears to be more resistant to acid dissolution. PMID:25885796

  14. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    NASA Astrophysics Data System (ADS)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, <100> and <111> preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  15. Effect of fluoride on growth and acid production by Streptococcus mutans in dental plaque.

    PubMed Central

    van der Hoeven, J S; Franken, H C

    1984-01-01

    The aim of this study was to measure the effect of fluoride on the production of organic acids by Streptococcus mutans in dental plaque. The effect was studied in a simplified model of dental plaque with gnotobiotic rats monoinfected with S. mutans Ny341. Adaptation of S. mutans to fluoride was induced by feeding one group of the rats on fluoride-containing diet and drinking water. No difference was found in the accumulation of S. mutans on the teeth between the fluoride-adapted and the control groups. However, there was a significant difference in the amount of lactic acid in metabolically resting plaque between the groups, lactic acid being lower in the fluoride-adapted plaque. At 5 min after a rinse containing 10% sucrose, a high level of lactic acid was found in plaque from animals not exposed to fluoride. Rinses containing 4 or 20 mM fluoride before the sucrose rinse significantly inhibited the lactic acid production in the control group. In the plaque from rats on fluoridated diet and drinking water the sucrose-induced production of lactic acid was not inhibited by a 4 mM fluoride rinse. Moreover, the production of lactic acid in the fluoride-adapted plaque was prolonged. The results indicate that due to fluoride adaptation the inhibition of acid production is unlikely to be important for the caries-preventive action of fluoride. PMID:6746094

  16. Bond strength of a pit-and-fissure sealant associated to etch-and-rinse and self-etching adhesive systems to saliva-contaminated enamel: individual vs. simultaneous light curing.

    PubMed

    Gomes-Silva, Jaciara Miranda; Torres, Carolina Paes; Contente, Marta Maria Martins Giamatei; Oliveira, Maria Angélica Hueb de Menezes; Palma-Dibb, Regina Guenka; Borsatto, Maria Cristina

    2008-01-01

    This study evaluated in vitro the shear bond strength (SBS) of a resin-based pit-and-fissure sealant [Fluroshield (F), Dentsply/Caulk] associated with either an etch-and-rinse [Adper Single Bond 2 (SB), 3M/ESPE] or a self-etching adhesive system [Clearfil S3 Bond (S3), Kuraray Co., Ltd.] to saliva-contaminated enamel, comparing two curing protocols: individual light curing of the adhesive system and the sealant or simultaneous curing of both materials. Mesial and distal enamel surfaces from 45 sound third molars were randomly assigned to 6 groups (n=15), according to the bonding technique: I - F was applied to 37% phosphoric acid etched enamel. The other groups were contaminated with fresh human saliva (0.01 mL; 10 s) after acid etching: II - SB and F were light cured separately; III - SB and F were light cured together; IV - S3 and F were light cured separately; V - S3 and F were light cured simultaneously; VI - F was applied to saliva-contaminated, acid-etched enamel without an intermediate bonding agent layer. SBS was tested to failure in a universal testing machine at 0.5 mm/min. Data were analyzed by one-way ANOVA and Fisher's test (alpha=0.05).The debonded specimens were examined with a stereomicroscope to assess the failure modes. Three representative specimens from each group were observed under scanning electron microscopy for a qualitative analysis. Mean SBS in MPa were: I-12.28 (+/-4.29); II-8.57 (+/-3.19); III-7.97 (+/-2.16); IV-12.56 (+/-3.11); V-11.45 (+/-3.77); and VI-7.47 (+/-1.99). In conclusion, individual or simultaneous curing of the intermediate bonding agent layer and the resin sealant did not seem to affect bond strength to saliva-contaminated enamel. S3/F presented significantly higher SBS than the that of the groups treated with SB etch-and-rinse adhesive system and similar SBS to that of the control group, in which the sealant was applied under ideal dry, noncontaminated conditions.

  17. Effect of enamel etching time on roughness and bond strength.

    PubMed

    Barkmeier, Wayne W; Erickson, Robert L; Kimmes, Nicole S; Latta, Mark A; Wilwerding, Terry M

    2009-01-01

    The current study examined the effect of different enamel conditioning times on surface roughness and bond strength using an etch-and-rinse system and four self-etch adhesives. Surface roughness (Ra) and composite to enamel shear bond strengths (SBS) were determined following the treatment of flat ground human enamel (4000 grit) with five adhesive systems: (1) Adper Single Bond Plus (SBP), (2) Adper Prompt L-Pop (PLP), (3) Clearfil SE Bond (CSE), (4) Clearfil S3 Bond (CS3) and (5) Xeno IV (X4), using recommended treatment times and an extended treatment time of 60 seconds (n = 10/group). Control groups were also included for Ra (4000 grit surface) and SBS (no enamel treatment and Adper Scotchbond Multi-Purpose Adhesive). For surface roughness measurements, the phosphoric acid conditioner of the SBP etch-and-rinse system was rinsed from the surface with an air-water spray, and the other four self-etch adhesive agents were removed with alternating rinses of water and acetone. A Proscan 2000 non-contact profilometer was used to determine Ra values. Composite (Z100) to enamel bond strengths (24 hours) were determined using Ultradent fixtures and they were debonded with a crosshead speed of 1 mm/minute. The data were analyzed with ANOVA and Fisher's LSD post-hoc test. The etch-and- rinse system (SBP) produced the highest Ra (microm) and SBS (MPa) using both the recommended treatment time (0.352 +/- 0.028 microm and 40.5 +/- 6.1 MPa) and the extended treatment time (0.733 +/- 0.122 microm and 44.2 +/- 8.2 MPa). The Ra and SBS of the etch-and-rinse system were significantly greater (p < 0.05) than all the self-etch systems and controls. Increasing the treatment time with phosphoric acid (SBP) and PLP produced greater surface roughness (p < 0.05) but did not result in significantly higher bond strengths (p > 0.05).

  18. Is laser conditioning a valid alternative to conventional etching for aesthetic brackets?

    PubMed

    Sfondrini, M F; Calderoni, G; Vitale, M C; Gandini, P; Scribante, A

    2018-03-01

    ER:Yag lasers have been described as a more conservative alternative to conventional acid-etching enamel conditioning technique, when bonding conventional metallic orthodontic brackets. Since the use of aesthetic orthodontic brackets is constantly increasing, the purpose of the present report has been to test laser conditioning with different aesthetic brackets. Study Design: Five different aesthetic brackets (microfilled copolymer, glass fiber, sapphire, polyoxymethylene and sintered ceramic) were tested for shear bond strength and Adhesive Remnant Index scores using two different enamel conditioning techniques (acid etching and ER:Yag laser application). Two hundred bovine incisors were extracted, cleaned and embedded in resin. Specimens were then divided into 10 groups with random tables. Half of the specimens were conditioned with conventional orthophosphoric acid gel, the other half with ER:Yag laser. Different aesthetic brackets (microfilled copolymer, glass fiber, sapphire, polyoxymethylene and sintered ceramic) were then bonded to the teeth. Subsequently all groups were tested in shear mode with a Universal Testing Machine. Shear bond strength values and adhesive remnant index scores were recorded. Statistical analysis was performed. When considering conventional acid etching technique, sapphire, polyoxymethylene and sintered ceramic brackets exhibited the highest SBS values. Lowest values were reported for microfilled copolymer and glass fiber appliances. A significant decrease in SBS values after laser conditioning was reported for sapphire, polyoxymethylene and sintered ceramic brackets, whereas no significant difference was reported for microfilled copolymer and glass fiber brackets. Significant differences in ARI scores were also reported. Laser etching can significantly reduce bonding efficacy of sapphire, polyoxymethylene and sintered ceramic brackets.

  19. Influence of Conditioning Time of Universal Adhesives on Adhesive Properties and Enamel-Etching Pattern.

    PubMed

    Cardenas, A M; Siqueira, F; Rocha, J; Szesz, A L; Anwar, M; El-Askary, F; Reis, A; Loguercio, A

    2016-01-01

    To evaluate the effect of application protocol in resin-enamel microshear bond strength (μSBS), in situ degree of conversion, and etching pattern of three universal adhesive systems. Sixty-three extracted third molars were sectioned in four parts (buccal, lingual, and proximals) and divided into nine groups, according to the combination of the main factors-Adhesive (Clearfil Universal, Kuraray Noritake Dental Inc, Tokyo, Japan; Futurabond U, VOCO, Cuxhaven, Germany; and Scotchbond Universal Adhesive, 3M ESPE, St Paul, MN, USA)-and enamel treatment/application time (etch-and-rinse mode [ER], self-etch [SE] application for 20 seconds [SE20], and SE application for 40 seconds [SE40]). Specimens were stored in water (37°C/24 h) and tested at 1.0 mm/min (μSBS). The degree of conversion of the adhesives at the resin-enamel interfaces was evaluated using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a scanning electron microscope. Data were analyzed with two-way analysis of variance and Tukey test (α=0.05). In general, the application of the universal adhesives in the SE40 produced μSBS and degree of conversion that were higher than in the SE20 (p<0.01) and similar to the ER mode. The deepest enamel-etching pattern was obtained in the ER mode, followed by the SE40. The active and prolonged application of universal adhesives in the SE mode may be a viable alternative to increase the degree of conversion, etching pattern, and resin-enamel bond strength.

  20. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    PubMed Central

    Zhan, Zhan; Li, Wei; Yu, Lingke; Wang, Lingyun; Sun, Daoheng

    2017-01-01

    In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching) is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement. PMID:28772521

  1. Cytotoxicity of Etch-and-Rinse, Self-Etch, and Universal Dental Adhesive Systems in Fibroblast Cell Line 3T3

    PubMed Central

    Bernardo, Cintia Fernanda de Freitas; de Souza, Francielly Fernanda de Freitas A.; Michél, Milton Domingos; Ribeiro, Camila Nunes de Morais; Germano, Sandro; Maluf, Daniela Florencio

    2017-01-01

    The aim of this study was to evaluate in fibroblast cultures the direct cytotoxic effects of etch-and-rinse, self-etch, and universal adhesive systems. The sterile glass cover slips (n = 3) were then immersed in culture medium to obtain the eluates for the experimental groups: (1) Adper™ Single Bond 2; (2) Ambar; (3) Adper™ Scotchbond™ Multi-Purpose; (4) Scotchbond™ Universal; (5) Ambar Universal; and (6) OptiBond All-In-One. As a negative control, sterile glass cover slips were immersed in culture medium only. After 24 h, the eluate obtained was applied on fibroblast culture. Cell viability and cell morphology were evaluated by MTT assay and SEM, respectively. Data were analyzed by Kruskal–Wallis and Mann–Whitney tests (α = 0.05). All adhesive systems except universal reduced cell viability in 3T3 cells to between 26.04% and 56.57%, and Scotchbond Universal and Ambar Universal reduced cell viability to 2.13% and 3.57%, respectively, when compared to the negative control. Cytoplasmic membrane shrinkage and cell-free areas with residual membrane fragments from dead cells were observed. In conclusion, improvements in universal adhesive system formulations and their mechanisms of action are not accompanied by increased toxicity compared with those in other systems, warranting commitment to the use of these dentin-pulp complexes. PMID:29109829

  2. Adhesion of resin composite core materials to dentin.

    PubMed

    O'Keefe, K L; Powers, J M

    2001-01-01

    This study determined (1) the effect of polymerization mode of resin composite core materials and dental adhesives on the bond strength to dentin, and (2) if dental adhesives perform as well to dentin etched with phosphoric acid as to dentin etched with self-etching primer. Human third molars were sectioned 2 mm from the highest pulp horn and polished. Three core materials (Fluorocore [dual cured], Core Paste [self-cured], and Clearfil Photo Core [light cured]) and two adhesives (Prime & Bond NT Dual Cure and Clearfil SE Bond [light cured]) were bonded to dentin using two dentin etching conditions. After storage, specimens were debonded in microtension and bond strengths were calculated. Scanning electron micrographs of representative bonding interfaces were analyzed. Analysis showed differences among core materials, adhesives, and etching conditions. Among core materials, dual-cured Fluorocore had the highest bond strengths. There were incompatibilities between self-cured Core Paste and Prime & Bond NT in both etched (0 MPa) and nonetched (3.0 MPa) dentin. Among adhesives, in most cases Clearfil SE Bond had higher bond strengths than Prime & Bond NT and bond strengths were higher to self-etched than to phosphoric acid-etched dentin. Scanning electron micrographs did not show a relationship between resin tags and bond strengths. There were incompatibilities between a self-cured core material and a dual-cured adhesive. All other combinations of core materials and adhesives produced strong in vitro bond strengths both in the self-etched and phosphoric acid-etched conditions.

  3. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    NASA Astrophysics Data System (ADS)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (<30 sec), comparable with the annealing times necessary for dopant activation of p-GaN films and provides an opportunity for streamlining process flow. Plasma etching degrades contact quality on n-GaN films and this degradation has been found to increase with the rf bias levels (ion energies) used, most notably in films with higher doping levels. Immersion in 1:1 mixture of hydrochloric acid and de-ionized water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer

  4. Dental erosion due to abuse of illicit drugs and acidic carbonated beverages.

    PubMed

    Bassiouny, Mohamed A

    2013-01-01

    Consumption of illicit drugs and the abusive intake of acidic carbonated beverages (particularly soda) often are associated with similar types of damage to the human dentition, the most common of which is dental erosion. The dentitions of individuals who are addicted to methamphetamines or crack cocaine can be misdiagnosed as dental caries rather than generalized dental erosion, a condition that also is associated with chronic excessive consumption of soda. Failing to identify the causative etiology could lead to a wrongful diagnosis that could in turn adversely affect treatment planning and misdirect a specified prevention protocol. This article seeks to identify the unique clinical features of each one of these conditions, highlight the resemblances between them, and recognize the unambiguous differences in their fundamental characteristics. Three representative cases-involving a methamphetamine user, a crack cocaine addict, and an avid consumer of diet soda-are presented. In each case, the patient has admitted to the cause of their poor oral health. The dental, oral, and paraoral manifestations of each case are documented and differentiated from one another, and the factors that contributed to the associated disease process are discussed.

  5. Preventive dental management of osteonecrosis of the jaws related to zoledronic acid treatment.

    PubMed

    Coello-Suanzes, J A; Rollon-Ugalde, V; Castaño-Seiquer, A; Lledo-Villar, E; Herce-Lopez, J; Infante-Cossio, P; Rollon-Mayordomo, A

    2018-02-07

    To evaluate the effect of preventive dental management on reducing the incidence and delaying the onset of bisphosphonate-related osteonecrosis of the jaw (BRONJ) in patients treated with intravenous zoledronic acid (ZA). This single-center clinical study included 255 cancer patients monitored over a 6-year period. Patients received dental treatment prior (Group A) or after (Group B) the initiation of ZA therapy. Dental treatments performed, incidence proportion (IP) and incidence rate (IR) in both groups were analyzed using significance tests. BRONJ onset were estimated using the Kaplan-Meier estimator and log-rank test. Independent risk factors to develop BRONJ were evaluated using Cox regression analysis models. 37 patients suffered from BRONJ (IP=14.5%), 7.3% in group A and 36.5% in group B (p=0.000). The IR was 0.007 patients/month in group B and 0.004 in group A. BRONJ free survival at 3 years were 97% in group A and 66% in group B. Survival curves were significant (p=0.056) according to log-rank test. Multivariate Cox models showed that dental extractions (p=0.000) were significant. BRONJ occurred significantly in patients who underwent dental extractions after the initiation of ZA and did not accomplish a preventive dental program. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.

  6. Tranexamic Acid in Control of Haemorrhage after Dental Extraction in Haemophilia and Christmas Disease

    PubMed Central

    Forbes, C. D.; Barr, R. D.; Reid, G.; Thomson, C.; Prentice, C. R. M.; Nicol, G. P. Mc; Douglas, A. S.

    1972-01-01

    In a double-blind trial tranexamic acid (AMCA, Cyclokapron), 1 g three times a day for five days, significantly reduced blood loss and transfusion requirements after dental extraction in patients with haemophilia and Christmas disease. No side effects were seen in either group of patients. Screening tests showed no toxic action of tranexamic acid on the liver, kidney, or heart. PMID:4553818

  7. Enzyme-enhanced fluorescence detection of DNA on etched optical fibers.

    PubMed

    Niu, Shu-yan; Li, Quan-yi; Ren, Rui; Zhang, Shu-sheng

    2009-05-15

    A novel DNA biosensor based on enzyme-enhanced fluorescence detection on etched optical fibers was developed. The hybridization complex of DNA probe and biotinylated target was formed on the etched optical fiber, and was then bound with streptavidin labeled horseradish peroxidase (streptavidin-HRP). The target DNA was quantified through the fluorescent detection of bi-p,p'-4-hydroxyphenylacetic acid (DBDA) generated from the substrate 4-hydroxyphenylacetic acid (p-HPA) under the catalysis of HRP, with a detection limit of 1 pM and a linear range from 1.69 pM to 169 pM. It is facile to regenerate this sensor through surface treatment with concentrated urea solution. It was discovered that the sensor can retain 70% of its original activity after three detection-regeneration cycles.

  8. Improved bonding of adhesive resin to sintered porcelain with the combination of acid etching and a two-liquid silane conditioner.

    PubMed

    Kato, H; Matsumura, H; Ide, T; Atsuta, M

    2001-01-01

    This study determined the bond strengths of adhesive resins joined to a feldspathic porcelain (VMK 68) for the purpose of developing the most durable surface preparation for the porcelain. Three porcelain surfaces-ground, air-abraded with alumina, and etched with hydrofluoric acid-were prepared. A two-liquid porcelain conditioner that contained both 4-methacryloyloxyethyl trimellitate anhydride (4-META) and a silane coupler (Porcelain Liner M) was used as the priming agent. Each of the two liquid components of the conditioner was also used individually in order to examine the effects of the respective chemical ingredients on adhesive bonding. Two methyl methacrylate (MMA)-based resins initiated with tri-n-butylborane (TBB) either with or without 4-META (MMA-TBB and 4-META/MMA-TBB resins) were used as the luting agents. Shear bond strengths were determined both before and after thermocycling. Shear testing results indicated that thermocycling was effective for disclosing poor bonding systems, and that both mechanical and chemical retention were indispensable for bonding the porcelain. Of the combinations assessed, etching with hydrofluoric acid followed by two-liquid priming with the Porcelain Liner M material generated the most durable bond strength (33.3 MPa) for the porcelain bonded with the 4-META/MMA-TBB resin (Super-Bond C&B).

  9. Effects of Dextrose and Lipopolysaccharide on the Corrosion Behavior of a Ti-6Al-4V Alloy with a Smooth Surface or Treated with Double-Acid-Etching

    PubMed Central

    Faverani, Leonardo P.; Assunção, Wirley G.; de Carvalho, Paulo Sérgio P.; Yuan, Judy Chia-Chun; Sukotjo, Cortino; Mathew, Mathew T.; Barao, Valentim A.

    2014-01-01

    Diabetes and infections are associated with a high risk of implant failure. However, the effects of such conditions on the electrochemical stability of titanium materials remain unclear. This study evaluated the corrosion behavior of a Ti-6Al-4V alloy, with a smooth surface or conditioned by double-acid-etching, in simulated body fluid with different concentrations of dextrose and lipopolysaccharide. For the electrochemical assay, the open-circuit-potential, electrochemical impedance spectroscopy, and potentiodynamic test were used. The disc surfaces were characterized by scanning electron microscopy and atomic force microscopy. Their surface roughness and Vickers microhardness were also tested. The quantitative data were analyzed by Pearson's correlation and independent t-tests (α = 0.05). In the corrosion parameters, there was a strong lipopolysaccharide correlation with the Ipass (passivation current density), Cdl (double-layer capacitance), and Rp (polarization resistance) values (p<0.05) for the Ti-6Al-4V alloy with surface treatment by double-acid-etching. The combination of dextrose and lipopolysaccharide was correlated with the Icorr (corrosion current density) and Ipass (p<0.05). The acid-treated groups showed a significant increase in Cdl values and reduced Rp values (p<0.05, t-test). According to the topography, there was an increase in surface roughness (R2 = 0.726, p<0.0001 for the smooth surface; R2 = 0.405, p = 0.036 for the double-acid-etching-treated surface). The microhardness of the smooth Ti-6Al-4V alloy decreased (p<0.05) and that of the treated Ti-6Al-4V alloy increased (p<0.0001). Atomic force microscopy showed changes in the microstructure of the Ti-6Al-4V alloy by increasing the surface thickness mainly in the group associated with dextrose and lipopolysaccharide. The combination of dextrose and lipopolysaccharide affected the corrosion behavior of the Ti-6Al-4V alloy surface treated with double-acid-etching. However, no

  10. Effects of dextrose and lipopolysaccharide on the corrosion behavior of a Ti-6Al-4V alloy with a smooth surface or treated with double-acid-etching.

    PubMed

    Faverani, Leonardo P; Assunção, Wirley G; de Carvalho, Paulo Sérgio P; Yuan, Judy Chia-Chun; Sukotjo, Cortino; Mathew, Mathew T; Barao, Valentim A

    2014-01-01

    Diabetes and infections are associated with a high risk of implant failure. However, the effects of such conditions on the electrochemical stability of titanium materials remain unclear. This study evaluated the corrosion behavior of a Ti-6Al-4V alloy, with a smooth surface or conditioned by double-acid-etching, in simulated body fluid with different concentrations of dextrose and lipopolysaccharide. For the electrochemical assay, the open-circuit-potential, electrochemical impedance spectroscopy, and potentiodynamic test were used. The disc surfaces were characterized by scanning electron microscopy and atomic force microscopy. Their surface roughness and Vickers microhardness were also tested. The quantitative data were analyzed by Pearson's correlation and independent t-tests (α = 0.05). In the corrosion parameters, there was a strong lipopolysaccharide correlation with the Ipass (passivation current density), Cdl (double-layer capacitance), and Rp (polarization resistance) values (p<0.05) for the Ti-6Al-4V alloy with surface treatment by double-acid-etching. The combination of dextrose and lipopolysaccharide was correlated with the Icorr (corrosion current density) and Ipass (p<0.05). The acid-treated groups showed a significant increase in Cdl values and reduced Rp values (p<0.05, t-test). According to the topography, there was an increase in surface roughness (R2 = 0.726, p<0.0001 for the smooth surface; R2 = 0.405, p = 0.036 for the double-acid-etching-treated surface). The microhardness of the smooth Ti-6Al-4V alloy decreased (p<0.05) and that of the treated Ti-6Al-4V alloy increased (p<0.0001). Atomic force microscopy showed changes in the microstructure of the Ti-6Al-4V alloy by increasing the surface thickness mainly in the group associated with dextrose and lipopolysaccharide. The combination of dextrose and lipopolysaccharide affected the corrosion behavior of the Ti-6Al-4V alloy surface treated with double-acid-etching. However, no

  11. Note: Dissolved hydrogen detection in power transformer oil based on chemically etched fiber Bragg grating.

    PubMed

    Jiang, Jun; Ma, Guo-ming; Song, Hong-tu; Zhou, Hong-yang; Li, Cheng-rong; Luo, Ying-ting; Wang, Hong-bin

    2015-10-01

    A fiber Bragg grating (FBG) sensor based on chemically etched cladding to detect dissolved hydrogen is proposed and studied in this paper. Low hydrogen concentration tests have been carried out in mixed gases and transformer oil to investigate the repeatability and sensitivity. Moreover, to estimate the influence of etched cladding thickness, a physical model of FBG-based hydrogen sensor is analyzed. Experimental results prove that thin cladding chemically etched by HF acid solution improves the response to hydrogen detection in oil effectively. At last, the sensitivity of FBG sensor chemically etched 16 μm could be as high as 0.060 pm/(μl/l), increased by more than 30% in comparison to un-etched FBG.

  12. Selective dry etching of silicon containing anti-reflective coating

    NASA Astrophysics Data System (ADS)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  13. Formation of nanogaps in InAs nanowires by selectively etching embedded InP segments.

    PubMed

    Schukfeh, M I; Storm, K; Hansen, A; Thelander, C; Hinze, P; Beyer, A; Weimann, T; Samuelson, L; Tornow, M

    2014-11-21

    We present a method to fabricate nanometer scale gaps within InAs nanowires by selectively etching InAs/InP heterostructure nanowires. We used vapor-liquid-solid grown InAs nanowires with embedded InP segments of 10-60 nm length and developed an etching recipe to selectively remove the InP segment. A photo-assisted wet etching process in a mixture of acetic acid and hydrobromic acid gave high selectivity, with accurate removal of InP segments down to 20 nm, leaving the InAs wire largely unattacked, as verified using scanning electron and transmission electron microscopy. The obtained nanogaps in InAs wires have potential as semiconducting electrodes to investigate electronic transport in nanoscale objects. We demonstrate this functionality by dielectrophoretically trapping 30 nm diameter gold nanoparticles into the gap.

  14. Dry etched SiO2 Mask for HgCdTe Etching Process

    NASA Astrophysics Data System (ADS)

    Chen, Y. Y.; Ye, Z. H.; Sun, C. H.; Deng, L. G.; Zhang, S.; Xing, W.; Hu, X. N.; Ding, R. J.; He, L.

    2016-09-01

    A highly anisotropic etching process with low etch-induced damage is indispensable for advanced HgCdTe (MCT) infrared focal plane array (IRFPA) detectors. The inductively coupled plasma (ICP) enhanced reactive ion etching technique has been widely adopted in manufacturing HgCdTe IRFPA devices. An accurately patterned mask with sharp edges is decisive to accomplish pattern duplication. It has been reported by our group that the SiO2 mask functions well in etching HgCdTe with high selectivity. However, the wet process in defining the SiO2 mask is limited by ambiguous edges and nonuniform patterns. In this report, we patterned SiO2 with a mature ICP etching technique, prior to which a thin ZnS film was deposited by thermal evaporation. The SiO2 film etching can be terminated at the auto-stopping point of the ZnS layer thanks to the high selectivity of SiO2/ZnS in SF6 based etchant. Consequently, MCT etching was directly performed without any other treatment. This mask showed acceptable profile due to the maturity of the SiO2 etching process. The well-defined SiO2 pattern and the etched smooth surfaces were investigated with scanning electron microscopy and atomic force microscope. This new mask process could transfer the patterns exactly with very small etch-bias. A cavity with aspect-ratio (AR) of 1.2 and root mean square roughness of 1.77 nm was achieved first, slightly higher AR of 1.67 was also get with better mask profile. This masking process ensures good uniformity and surely benefits the delineation of shrinking pixels with its high resolution.

  15. Maskless micro/nanofabrication on GaAs surface by friction-induced selective etching

    PubMed Central

    2014-01-01

    In the present study, a friction-induced selective etching method was developed to produce nanostructures on GaAs surface. Without any resist mask, the nanofabrication can be achieved by scratching and post-etching in sulfuric acid solution. The effects of the applied normal load and etching period on the formation of the nanostructure were studied. Results showed that the height of the nanostructure increased with the normal load or the etching period. XPS and Raman detection demonstrated that residual compressive stress and lattice densification were probably the main reason for selective etching, which eventually led to the protrusive nanostructures from the scratched area on the GaAs surface. Through a homemade multi-probe instrument, the capability of this fabrication method was demonstrated by producing various nanostructures on the GaAs surface, such as linear array, intersecting parallel, surface mesas, and special letters. In summary, the proposed method provided a straightforward and more maneuverable micro/nanofabrication method on the GaAs surface. PMID:24495647

  16. [Nanoleakage at the resin-dental interface of four self-etching adhesives].

    PubMed

    Liao, Zhi-qing; Ouyang, Yong; Yang, Jian-zhen

    2011-09-01

    To evaluate the nanoleakage and ultramorphology of four self-etching adhesives. Sixteen freshly extracted, caries-free human third molars were selected. A flat dentin surface was exposed by removing occlusal enamel. All teeth were randomly divided into four groups acorrding to four different self-etch adhesive: Adper Prompt (A), iBond (B), Xeno III (C) and SE Bond (D). The dentin were bonded with dentin adhesive system according to manufacturer's directions. Composite layers were built up incrementally. The specimens were sectioned longitudinally across the resin-dentin interface into 4.0 mm×0.9 mm sticks and then traced with ammoniacal silver solution. Epoxy resin-embedded sections were prepared for transmission electron microscope (TEM) to observe nanoleakage. The images were qualitatively compared by NIH software, and data was analyzed by SPSS. Different thickness of hybrid layer and adhesives layer were observed for each adhesive. The hybrid layer of A, C was thicker than that of B, D, and adhesive layer of D was thicker than the others. The extent of nanoleakage varied among different adhesives: A (45.02 ± 9.49), B (43.97 ± 8.55), C (27.02 ± 10.86), D (12.94 ± 2.07). D presented significantly less silver deposition than any of the others did (P < 0.05). The thickness of hybrid layer and adhesive layer vary among the four adhesives. The shape and extent of nanoleakage of each adhesive are also different. Two-step system shows less nanoleakage than one-step systems do.

  17. Laboratory evaluation of the effect of unfilled resin after the use of self-etch and total-etch dentin adhesives on the Shear Bond Strength of composite to dentin.

    PubMed

    Nasseri, Ehsan Baradaran; Majidinia, Sara; Sharbaf, Davood Aghasizadeh

    2017-05-01

    Based on the frequent application of composite resins as tooth-colored fillings, this method is considered a viable alternative to dental amalgam. However, this method has the low bond strength of the composite to dentin. To solve this issue, various dental adhesive systems with different characteristics have been developed by dentistry experts. To assess the effect of an additional layer of unfilled resin in self-etch and total-etch dentin adhesives on the shear bond strength (SBS) of composite to dentin. Moreover, we assessed the effects of sample storage in artificial saliva on the SBS of composite to dentin. Methods: This experimental study was conducted on 160 freshly extracted human first or second premolar teeth, which were randomly divided into 16 groups. The teeth were prepared from Mashhad University of Medical Sciences, Mashhad, Iran (2008-2009). Scotchbond Multi-purpose (SBMP), single bond (SB), Clearfil SE Bond, and Clearfil S3 Bond were applied to dentin surface with or without the placement of hydrophobic resin (Margin Bond) in accordance with the instructions of the manufacturers. To expose the coronal dentin, the teeth were abraded with 600 grit SiC paper. Immediately after restoration, half of the samples were tested in terms of SBS, while the other samples were evaluated in terms of SBS after three months of storage in artificial saliva. SBS rates of dental composites evaluated by universal testing machine and samples were studied by optical stereomicroscopy to verify the failure type. Data analysis was performed in SPSS V.16 using Kolmogorov-Smirnov test, independent-samples t-test, ANOVA, and Duncan's logistic regression test. In this study, a significant reduction was observed in the SBS rates of SB and S3 bond adhesive systems after storage with and without hydrophobic resin (p>0.000). Without storage in normal saline, a significant increase was observed in the SBS rate of the SE bond (p=0.013). In addition, SBS rate of SBMP significantly

  18. Laboratory evaluation of the effect of unfilled resin after the use of self-etch and total-etch dentin adhesives on the Shear Bond Strength of composite to dentin

    PubMed Central

    Nasseri, Ehsan Baradaran; Majidinia, Sara; Sharbaf, Davood Aghasizadeh

    2017-01-01

    Background Based on the frequent application of composite resins as tooth-colored fillings, this method is considered a viable alternative to dental amalgam. However, this method has the low bond strength of the composite to dentin. To solve this issue, various dental adhesive systems with different characteristics have been developed by dentistry experts. Aim To assess the effect of an additional layer of unfilled resin in self-etch and total-etch dentin adhesives on the shear bond strength (SBS) of composite to dentin. Moreover, we assessed the effects of sample storage in artificial saliva on the SBS of composite to dentin. Methods Methods: This experimental study was conducted on 160 freshly extracted human first or second premolar teeth, which were randomly divided into 16 groups. The teeth were prepared from Mashhad University of Medical Sciences, Mashhad, Iran (2008–2009). Scotchbond Multi-purpose (SBMP), single bond (SB), Clearfil SE Bond, and Clearfil S3 Bond were applied to dentin surface with or without the placement of hydrophobic resin (Margin Bond) in accordance with the instructions of the manufacturers. To expose the coronal dentin, the teeth were abraded with 600 grit SiC paper. Immediately after restoration, half of the samples were tested in terms of SBS, while the other samples were evaluated in terms of SBS after three months of storage in artificial saliva. SBS rates of dental composites evaluated by universal testing machine and samples were studied by optical stereomicroscopy to verify the failure type. Data analysis was performed in SPSS V.16 using Kolmogorov-Smirnov test, independent-samples t-test, ANOVA, and Duncan’s logistic regression test. Results In this study, a significant reduction was observed in the SBS rates of SB and S3 bond adhesive systems after storage with and without hydrophobic resin (p>0.000). Without storage in normal saline, a significant increase was observed in the SBS rate of the SE bond (p=0.013). In addition

  19. Purposeful exposure of a polylactic acid barrier to achieve socket preservation for placement of dental implants: case series report.

    PubMed

    Rosen, Paul S; Rosen, Adam D

    2013-01-01

    This retrospective case series reports on the use of a polylactic acid barrier that was left exposed in the process of socket preparation for the placement of dental implants. A retrospective chart review found 43 patients with 48 extraction sockets that were treated in this manner. Teeth were removed and the sockets were thoroughly debrided, with 40 of them receiving a bone replacement graft covered by the polylactic acid barrier and the additional 8 receiving the membrane alone. Suturing left the barrier exposed, and the sites were re-entered on average at 23 weeks for the placement of a dental implant. All sites were able to receive a dental implant, demonstrating the ability to leave a polylactic acid barrier exposed and achieve successful guided bone regeneration (GBR) results. This ultimately helped avoid some of the negative sequelae of trying to achieve primary closure of the flaps at the time of tooth extraction.

  20. Effect of acid and laser etching on shear bond strength of conventional and resin-modified glass-ionomer cements to composite resin.

    PubMed

    Navimipour, Elmira Jafari; Oskoee, Siavash Savadi; Oskoee, Parnian Alizadeh; Bahari, Mahmoud; Rikhtegaran, Sahand; Ghojazadeh, Morteza

    2012-03-01

    Success in sandwich technique procedures can be achieved through an acceptable bond between the materials. The aim of this study was to compare the effect of 35% phosphoric acid and Er,Cr:YSGG laser on shear bond strength of conventional glass-ionomer cement (GIC) and resin-modified glass-ionomer cement (RMGIC) to composite resin in sandwich technique. Sixty-six specimens were prepared from each type of glass-ionomer cements and divided into three treatment groups as follows: without pretreatment, acid etching by 35% phosphoric acid for 15 s, and 1-W Er,Cr:YSGG laser treatment for 15 s with a 600-μm-diameter tip aligned perpendicular to the target area at a distance of 1 mm from the surface. Energy density of laser irradiation was 17.7 J/cm(2). Two specimens in each group were prepared for evaluation under a scanning electron microscope (SEM) after surface treatment and the remainder underwent bonding procedure with a bonding agent and composite resin. Then the shear bond strength was measured at a crosshead speed of 0.5 mm/min. Two-factor analysis of variance and post-hoc Tukey test showed that the cement type, surface treatment method, and the interaction of these two factors significantly affect the shear bond strength between glass-ionomer cements and composite resin (p < 0.05). Surface treatment with phosphoric acid or Er,Cr:YSGG laser increased the shear bond strength of GIC to composite resin; however, in RMGIC only laser etching resulted in significantly higher bond strength. These findings were supported by SEM results. The fracture mode was evaluated under a stereomicroscope at ×20.

  1. Characterization and adsorption properties of diatomaceous earth modified by hydrofluoric acid etching.

    PubMed

    Tsai, Wen-Tien; Lai, Chi-Wei; Hsien, Kuo-Jong

    2006-05-15

    This work was a study of the chemical modification of diatomaceous earth (DE) using hydrofluoric acid (HF) solution. Under the experimental conditions investigated, it was found that HF under controlled conditions significantly etched inward into the interior of the existing pore structure in the clay mineral due to its high content of silica, leaving a framework possessing a larger BET surface area (ca. 10 m2 g(-1)) in comparison with that (ca. 4 m2 g(-1)) of its precursor (i.e., DE). Further, the results indicated that the HF concentration is a more determining factor in creating more open pores than other process parameters (temperature, holding time, and solid/liquid ratio). This observation was also in close agreement with the examinations by the silicon analysis, scanning electron microscopy, X-ray diffraction, and Fourier transform infrared spectroscopy. The adsorption kinetics and the adsorption isotherm of methylene blue onto the resulting clay adsorbent can be well described by a pseudo-second-order reaction model and the Freundlich model, respectively.

  2. Effect of clearfil protect bond and transbond plus self-etch primer on shear bond strength of orthodontic brackets

    PubMed Central

    Raji, S. Hamid; Ghorbanipour, Reza; Majdzade, Fateme

    2011-01-01

    Background: The aim of this study was to evaluate the shear bond strength of an antimicrobial and fluoride-releasing self-etch primer (clearfil protect bond) and compare it with transbond plus self-etch primer and conventional acid etching and priming system. Materials and Methods: Forty-eight extracted human premolars were divided randomly to three groups. In group 1, the teeth were bonded with conventional acid etching and priming method. In group 2, the teeth were bonded with clearfil protect bond self-etch primer, and transbond plus self-etch primer was used to bond the teeth in group 3. The samples were stored in 37°C distilled water and thermocycled. Then, the SBS of the sample was evaluated with Zwick testing machine. Descriptive statistics and the analysis of variances (ANOVA) and Tukey's test and Kruskal-Wallis were used to analyze the data. Results: The results of the ANOVA showed that the mean of group 3 was significantly lower than that of other groups. Most of the sample showed a pattern of failure within the adhesive resin. Conclusion: The shear bond strength of clearfil protect bond and transbond plus self-etch primer was enough for bonding the orthodontic brackets. The mode of failure of bonded brackets with these two self-etch primers is safe for enamel. PMID:23372605

  3. Stereo imaging and cytocompatibility of a model dental implant surface formed by direct laser fabrication.

    PubMed

    Mangano, Carlo; Raspanti, Mario; Traini, Tonino; Piattelli, Adriano; Sammons, Rachel

    2009-03-01

    Direct laser fabrication (DLF) allows solids with complex geometry to be produced by sintering metal powder particles in a focused laser beam. In this study, 10 Ti6Al4V alloy model dental root implants were obtained by DLF, and surface characterization was carried out using stereo scanning electron microscopy to produce 3D reconstructions. The surfaces were extremely irregular, with approximately 100 microm deep, narrow intercommunicating crevices, shallow depressions and deep, rounded pits of widely variable shape and size, showing ample scope for interlocking with the host bone. Roughness parameters were as follows: R(t), 360.8 microm; R(z), 358.4 microm; R(a), 67.4 microm; and R(q), 78.0 microm. Disc specimens produced by DLF with an identically prepared surface were used for biocompatibility studies with rat calvarial osteoblasts: After 9 days, cells had attached and spread on the DLF surface, spanning across the crevices, and voids. Cell density was similar to that on a commercial rough microtextured surface but lower than on commercial smooth machined and smooth-textured grit-blasted, acid-etched surfaces. Human fibrin clot extension on the DLF surface was slightly improved by inorganic acid etching to increase the microroughness. With further refinements, DLF could be an economical means of manufacturing implants from titanium alloys. (c) 2008 Wiley Periodicals, Inc.

  4. Bend measurement using an etched fiber incorporating a fiber Bragg grating.

    PubMed

    Rauf, Abdul; Zhao, Jianlin; Jiang, Biqiang; Jiang, Yajun; Jiang, Wei

    2013-01-15

    A fiber Bragg grating (FBG) based bend measurement method using an etched fiber is proposed that utilizes the coupling of the core mode to the cladding and radiation modes at the bending region. An etching region of 99 µm diameter that serves as bend sensing head is achieved at 10 mm upstream the FBG through processing in 40% hydrofluoric acid, while the FBG acts as a narrowband reflector to enhance the sensitivity. The power variation curves are obtained for a wide range of bend angles, but the performance is limited due to the presence of the loss peaks. The sensing response is improved by immersing the etching region in a refractive index matching gel. The results are analyzed by using curve fitting formulas and are in good agreement. A large dynamic range of -27° to +27° and sensitivity of 0.43 dBm/deg is achieved, which can be enhanced by reducing the etched diameter.

  5. Controlled ion track etching

    NASA Astrophysics Data System (ADS)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  6. More vertical etch profile using a Faraday cage in plasma etching

    NASA Astrophysics Data System (ADS)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  7. Early healing of nanothickness bioceramic coatings on dental implants. An experimental study in dogs.

    PubMed

    Coelho, Paulo G; Cardaropoli, Giuseppe; Suzuki, Marcelo; Lemons, Jack E

    2009-02-01

    Thick bioceramic coatings like plasma sprayed hydroxyapatite have been shown to increase the overall tissue response and biomechanical fixation of dental implants. However, the presence and potential fracture of a bone-coating-metallic substrate interface at long times after implantation led these implants to fall from favor in clinical practice. The purpose of this study was to evaluate the biomechanical fixation and biological response of Ca- and P-based, 20-50 nm thickness bioceramic deposition on a previously alumina-blasted/acid-etched Ti-6Al-4V implant surface in a dog model. Cylindrical alumina-blasted/acid-etched (AB/AE) (Control, n = 16), and Nanothickness bioceramic coated AB/AE(Nano, n = 16) implant surfaces were surgically placed in dogs proximal tibia and remained for 2 and 4 weeks in vivo. Following euthanization, the implants-in-bone were mounted in epoxy and pullout at a 0.5 mm/min rate. Following mechanical testing, the specimens were decalcified and processed (Hematoxylin and Eosin) for standard transmitted light microscopy evaluation. Percent bone-to-implant contact (BIC) to the pulled out implant surface was determined through computer software. Statistical analyses were performed by one-way ANOVA at 95% level of significance and Tukey's post-hoc multiple comparisons. No significant differences in pullout force were observed (p > 0.88): 2W Control (212.08 +/- 42.96 N), 2W Nano (224.35 +/- 42.97 N), 4W Control (207.07 +/- 42.97 N), and 4W Nano (190.15 +/- 45.94 N). No significant differences in %BIC were observed (p > 0.94): 2W Control (72.66 +/- 8.51), 2W Nano (69.44 +/- 8.51), 4W Control (70.44 +/- 8.51), and 4W Nano (69.11 +/- 9.09). It is shown that 20-50 nm thickness bioceramic depositions onto previously alumina-blasted/acid-etched substrates did not improve the biomechanical fixation and the BIC at early implantation times, and studies concerning shorter and longer implantation times are recommended for confirmation or before a conclusion

  8. Phase Analysis of Laser Direct Etching and Water Assisted Laser Combined Etching of SiC Ceramics

    NASA Astrophysics Data System (ADS)

    Yuan, Genfu; Cong, Qidong; Zhang, Chen; Xie, Bingbing

    2017-12-01

    In this study, to discover the etching mechanism of SiC ceramics under laser direct etching and water-jet assisted laser combined etching, the phenomena of substance change on the etched surface were investigated. Also, the rules of substance transfer in etching are discussed. The elemental content change and the phase change of the etching products on the etched surface were analyzed by energy dispersive spectroscopy (EDS) and X-ray diffraction (XRD), respectively. These studies showed a high amount of carbon black on the etched surface, because of the decomposition of SiC ceramics under the high-power-density laser irradiation. SiC decomposed to Si under the laser irradiation, and the subsequent chemical reaction of Si and O2 easily produced SiO2. The SiO2 on the etched surface melted and vaporized, whereas most of SiO2 was removed through splashing, changing the chemical composition of the etched surface. Following the water jet introduction, an increased amount of O existed on the combined etching surface, because the chemical reaction of SiC and H2O easily produced SiO2 under the high-power-density laser irradiation.

  9. Wet etching mechanism and crystallization of indium-tin oxide layer for application in light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Su, Shui-Hsiang; Kong, Hsieng-Jen; Tseng, Chun-Lung; Chen, Guan-Yu

    2018-01-01

    In the article, we describe the etching mechanism of indium-tin oxide (ITO) film, which was wet-etched using a solution of hydrochloric acid (HCl) and ferric chloride (FeCl3). The etching mechanism is analyzed at various etching durations of ITO films by scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HR-TEM), and selective area diffraction (SAD) analysis. In comparison with the crystalline phase of SnO2, the In2O3 phase can be more easily transformed to In3+ and can form an inverted conical structure during the etching process. By adjusting the etching duration, the residual ITO is completely removed to show a designed pattern. This is attributed to the negative Gibbs energy of In2O3 transformed to In3+. The result also corresponds to the finding of energy-dispersive X-ray spectroscopy (EDS) analysis that the Sn/In ratio increases with increasing etching duration.

  10. Imunohistological aspects of the tissue around dental implants

    NASA Astrophysics Data System (ADS)

    Nimigean, Victor; Nimigean, Vanda R.; Sǎlǎvǎstru, Dan I.; Moraru, Simona; BuÅ£incu, Lavinia; Ivaşcu, Roxana V.; Poll, Alexandru

    2016-03-01

    Objectives: study of soft and hard tissues around implants. Material and methods: For the immunohistochemical and histological study of the implant/soft tissue interface, we examined pieces of peri-implant mucosa harvested from 35 patients. The implant/bone interface was assessed using histologic and histomorphometric examination of hard tissues around unloaded, early loaded or delayed loaded dental implants with pre-established design, with a sandblasted and acid-etched surface, placed both in extraction sockets, or after bone healing following tooth removal. This study was performed on 9 common race dogs. Results: The histological study of the implant/soft tissue interface showed regenerative modifications and moderate chronic subepithelial inflammatory reactions. Immunohistochemical evaluation of the soft tissue biopsies revealed the presence of specific immunocompetent cells and proteins of the matrix metalloproteinase (MMP) expression. Bone-implants contacts were more obvious in the apical half of the implants and at the edges of the threads, than between them. A mature, lamelliform bone containing lacunae with osteocytes and lack of connective tissue were noticed around implants that were late placed and loaded. The new-formed bone was also abundant in the crestal zone, not only in the apical part of the implants. Conclusions: A thorough understanding of the microstructure of dental implant/soft and hard tissue interface will improve the longevity of osseointegrated implants.

  11. Microbiologic aspects of dental plaque and dental caries.

    PubMed

    Marsh, P D

    1999-10-01

    Dental plaque is an example of a microbial biofilm with a diverse microbial composition; it is found naturally on teeth and confers advantages to the host, for example, by preventing colonization by exogenous, and often pathogenic, micro-organisms. In individuals with a high frequency sugar diet, or with a severely compromised saliva flow, the levels of potentially cariogenic bacteria (acid-producing and acid-tolerating species) can increase beyond those compatible with enamel health. This article discusses antimicrobial strategies to control dental caries, including; reducing plaque levels, in general or specific cariogenic bacteria in particular, by antiplaque or antimicrobial agents; reducing bacterial acid production by replacing fermentable carbohydrates in the diet with sugar substitutes, or by interfering with bacterial metabolism with fluoride or antimicrobial agents.

  12. Caries-preventive effect of fissure sealant containing surface reaction-type pre-reacted glass ionomer filler and bonded by self-etching primer.

    PubMed

    Shimazu, Kisaki; Ogata, Kiyokazu; Karibe, Hiroyuki

    2012-01-01

    We aimed to evaluate the caries-preventive effect of a fissure sealant containing surface reaction-type pre-reacted glass ionomer (S-PRG) filler and bonded by self-etching primer versus those of 2 conventional resin-based sealants bonded by acid etching in terms of its impact on enamel demineralization and remineralization, enamel bond strength, and integrity of debonded enamel surfaces. Demineralization, remineralization, and bond strength on untreated enamel and enamel subsurface lesions of bovine incisors were assessed among the sealants by polarizing microscopy and microradiography; debonded enamel surfaces were examined by scanning electron microscopy. The conventional resin-based sealants bonded by acid etching caused surface defects on the enamel subsurface lesions and significantly increased the lesion depth (p = 0.014), indicative of enamel demineralization. However the S-PRG filler-containing sealant bonded by self-etching primer maintained the enamel surface integrity and inhibited enamel demineralization. No difference in bond strength on both untreated enamel and enamel subsurface lesions was noted among the sealants. An S-PRG filler-containing fissure sealant bonded by self-etching primer can prevent enamel demineralization, microleakage, and gaps without the tags created by acid etching regardless of the enamel condition. Such sealants are suitable for protecting the pits and fissures of immature permanent teeth.

  13. Acid production in dental plaque after exposure to probiotic bacteria.

    PubMed

    Keller, Mette K; Twetman, Svante

    2012-10-24

    The increasing interest in probiotic lactobacilli in health maintenance has raised the question of potential risks. One possible side effect could be an increased acidogenicity in dental plaque. The aim of this study was to investigate the effect of probiotic lactobacilli on plaque lactic acid (LA) production in vitro and in vivo. In the first part (A), suspensions of two lactobacilli strains (L. reuteri DSM 17938, L. plantarum 299v) were added to suspensions of supragingival dental plaque collected from healthy young adults (n=25). LA production after fermentation with either xylitol or fructose was analyzed. In the second part (B), subjects (n=18) were given lozenges with probiotic lactobacilli (L. reuteri DSM 17938 and ATCC PTA 5289) or placebo for two weeks in a double-blinded, randomized cross-over trial. The concentration of LA in supragingival plaque samples was determined at baseline and after 2 weeks. Salivary counts of mutans streptococci (MS) and lactobacilli were estimated with chair-side methods. Plaque suspensions with L. reuteri DSM 17938 produced significantly less LA compared with L. plantarum 299v or controls (p<0.05). Fructose gave higher LA concentrations than xylitol. In part B, there were no significant differences in LA production between baseline and follow up in any of the groups and no differences between test and placebo were displayed. The salivary MS counts were not significantly altered during the intervention but the lactobacilli counts increased significantly in the test group (p<0.05). Lactic acid production in suspensions of plaque and probiotic lactobacilli was strain-dependant and the present study provides no evidence of an increase in plaque acidity by the supply of selected probiotic lactobacilli when challenged by fructose or xylitol. The study protocol was approved by The Danish National Committee on Biomedical Research Ethics (protocol no H-2-2010-112). NCT01700712.

  14. Controlled in situ etch-back

    NASA Technical Reports Server (NTRS)

    Mattauch, R. J.; Seabaugh, A. C. (Inventor)

    1981-01-01

    A controlled in situ etch-back technique is disclosed in which an etch melt and a growth melt are first saturated by a source-seed crystal and thereafter etch-back of a substrate takes place by the slightly undersaturated etch melt, followed by LPE growth of a layer by the growth melt, which is slightly supersaturated.

  15. Effect of Induced Periimplantitis on Dental Implants With and Without Ultrathin Hydroxyapatite Coating.

    PubMed

    Madi, Marwa; Zakaria, Osama; Ichinose, Shizuko; Kasugai, Shohei

    2016-02-01

    The aim of this study was to compare the effect of ligature-induced periimplantitis on dental implants with and without hydroxyapatite (HA) coat. Thirty-two dental implants (3.3 mm wide, 13 mm long) with 4 surface treatments (8 implant/group) (M: machined, SA: sandblasted acid etched, S: sputter HA coat and P: plasma-sprayed HA coat) were inserted into canine mandibles. After 12 weeks, oral hygiene procedures were stopped and silk ligatures were placed around the implant abutments to allow plaque accumulation for the following 16 weeks. Implants with the surrounding tissues were retrieved and prepared for histological examination. Bone-to-implant contact (BIC) and implant surfaces were examined using scanning electron microscopy and energy dispersive x-ray spectroscopy. Histological observation revealed marginal bone loss and large inflammatory cell infiltrates in the periimplant soft tissue. Sputter HA implants showed the largest BIC (98.1%) and machined implant showed the smallest values (70.4%). After 28 weeks, thin sputter HA coat was almost completely dissolved, whereas plasma-sprayed HA coat showed complete thickness preservation. Thin sputter HA-coated implants showed more bone implant contact and less marginal bone loss than thick HA-coated implants under periimplantitis condition.

  16. [Analysis of organic acids in human dental plaque by means of gas chromatography/mass spectrometry].

    PubMed

    Li, J; Dai, C; Zhou, X; Xiang, Z; Chen, H

    1999-09-01

    The purpose of this study was to investigate the composition of plaque fatty acids in the healthy population. The study was made on 10 volunteers over the age of 18 who were divided into three sub-groups (3-4 individuals). Neither subject exhibited clinical evidence of salivary gland disorder and any medication affecting salivary functions were not used. A sensitive GC/MS method with VG7070E mass spectrometer was developed in our study. The sample separation was carried out on a fused silica capillary column with OV-1. The column size was 23 m x 0.23 mm. The temperature program was as follows: from 40 degrees C to 120 degrees C fast, then from 120 degrees C to 240 degrees C at 6 degrees C/min. The results showed that there were 14 organic acids and isomers present in plaque. They were C12:0, C14:0, C15:0, C16:0, C16:1, C18:0, C18:1, C18:2, C20:0 and phenylacetic acid, phenylpropionic acid. The higher content of fatty acids in the sample were C16:0, C18:0, and C18:1. The aromatic acids were detected only in some samples. The odd-numbers fatty acids and aromatic acids were for the first time detected. The origin of organic acids in plaque is an ongoing area of research. Our data clearly identify the bacterial contribution to the organic acids of plaque matrix, which may have a special relationship with bacteria metabolism. The research will help us to understand fatty acids metabolism of dental plaque and to determine their role in the microbial homeostasis of dental plaque.

  17. Pattern sampling for etch model calibration

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2017-06-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels as well as the choice of calibration patterns is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels -"internal, external, curvature, Gaussian, z_profile" - designed to capture the finest details of the resist contours and represent precisely any etch bias. By evaluating the etch kernels on various structures it is possible to map their etch signatures in a multi-dimensional space and analyze them to find an optimal sampling of structures to train an etch model. The method was specifically applied to a contact layer containing many different geometries and was used to successfully select appropriate calibration structures. The proposed kernels evaluated on these structures were combined to train an etch model significantly better than the standard one. We also illustrate the usage of the specific kernel "z_profile" which adds a third dimension to the description of the resist profile.

  18. Bond strength with various etching times on young permanent teeth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, W.N.; Lu, T.C.

    1991-07-01

    Tensile bond strengths of an orthodontic resin cement were compared for 15-, 30-, 60-, 90-, or 120-second etching times, with a 37% phosphoric acid solution on the enamel surfaces of young permanent teeth. Fifty extracted premolars from 9- to 16-year-old children were used for testing. An orthodontic composite resin was used to bond the bracket directly onto the buccal surface of the enamel. The tensile bond strengths were tested with an Instron machine. Bond failure interfaces between bracket bases and teeth surfaces were examined with a scanning electron microscope and calculated with mapping of energy-dispersive x-ray spectrometry. The results ofmore » tensile bond strength for 15-, 30-, 60-, or 90-second etching times were not statistically different. For the 120-second etching time, the decrease was significant. Of the bond failures, 43%-49% occurred between bracket and resin interface, 12% to 24% within the resin itself, 32%-40% between resin and tooth interface, and 0% to 4% contained enamel fragments. There was no statistical difference in percentage of bond failure interface distribution between bracket base and resin, resin and enamel, or the enamel detachment. Cohesive failure within the resin itself at the 120-second etching time was less than at other etching times, with a statistical significance. To achieve good retention, to decrease enamel loss, and to reduce moisture contamination in the clinic, as well as to save chairside time, a 15-second etching time is suggested for teenage orthodontic patients.« less

  19. Does active application of universal adhesives to enamel in self-etch mode improve their performance?

    PubMed

    Loguercio, Alessandro D; Muñoz, Miguel Angel; Luque-Martinez, Issis; Hass, Viviane; Reis, Alessandra; Perdigão, Jorge

    2015-09-01

    To evaluate the effect of adhesion strategy on the enamel microshear bond strengths (μSBS), etching pattern, and in situ degree of conversion (DC) of seven universal adhesives. 84 extracted third molars were sectioned in four parts (buccal, lingual, proximal) and divided into 21 groups, according to the combination of the main factors adhesive (AdheSE Universal [ADU], All-Bond Universal [ABU], Clearfil Universal [CFU], Futurabond U [FBU], G-Bond Plus [GBP], Prime&Bond Elect (PBE), and Scotchbond Universal Adhesive [SBU]), and adhesion strategy (etch-and-rinse, active self-etch, and passive self-etch). Specimens were stored in water (37°C/24h) and tested at 1.0mm/min (μSBS). Enamel-resin interfaces were evaluated for DC using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a field-emission scanning electron microscope (direct and replica techniques). Data were analyzed with two-way ANOVA and Tukey's test (α=0.05). Active self-etch application increased μSBS and DC for five out of the seven universal adhesives when compared to passive application (p<0.001). A deeper enamel-etching pattern was observed for all universal adhesives in the etch-and-rinse strategy. A slight improvement in etching ability was observed in active self-etch application compared to that of passive self-etch application. Replicas of GBP and PBE applied in active self-etch mode displayed morphological features compatible with water droplets. The DC of GBP and PBE were not affected by the application/strategy mode. In light of the improved performance of universal adhesives when applied actively in SE mode, selective enamel etching with phosphoric acid may not be crucial for their adhesion to enamel. The active application of universal adhesives in self-etch mode may be a practical alternative to enamel etching in specific clinical situations. Copyright © 2015 Elsevier Ltd. All rights reserved.

  20. Principles and applications of laser-induced liquid-phase jet-chemical etching

    NASA Astrophysics Data System (ADS)

    Stephen, Andreas; Metev, Simeon; Vollertsen, Frank

    2003-11-01

    In this treatment method laser radiation, which is guided from a coaxially expanding liquid jet-stream, locally initiates a thermochemical etching reaction on a metal surface, which leads to selective material removal at high resolution and quality of the treated surface as well as low thermal influence on the workpiece. Electrochemical investigations were performed under focused laser irradiation using a cw-Nd:YAG laser with a maximum power of 15 W and a simultaneous impact of the liquid jet-stream consisting of phosphoric acid with a maximum flow rate of 20 m/s. The time resolved measurements of the electrical potential difference against an electrochemical reference electrode were correlated with the specific processing parameters and corresponding etch rates to identify processing conditions for temporally stable and enhanced chemical etching reactions. Applications of laser-induced liquid-phase jet-chemical etching in the field of sensor technology, micromechanics and micrmoulding technology are presented. This includes the microstructuring of thin film systems, cutting of foils of shape memory alloys or the generation of structures with defined shape in bulk material.

  1. Thermodynamics of nuclear track chemical etching

    NASA Astrophysics Data System (ADS)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  2. Surface engineering on CeO2 nanorods by chemical redox etching and their enhanced catalytic activity for CO oxidation

    NASA Astrophysics Data System (ADS)

    Gao, Wei; Zhang, Zhiyun; Li, Jing; Ma, Yuanyuan; Qu, Yongquan

    2015-07-01

    Controllable surface properties of nanocerias are desired for various catalytic processes. There is a lack of efficient approaches to adjust the surface properties of ceria to date. Herein, a redox chemical etching method was developed to controllably engineer the surface properties of ceria nanorods. Ascorbic acid and hydrogen peroxide were used to perform the redox chemical etching process, resulting in a rough surface and/or pores on the surface of ceria nanorods. Increasing the etching cycles induced a steady increase of the specific surface area, oxygen vacancies and surface Ce3+ fractions. As a result, the etched nanorods delivered enhanced catalytic activity for CO oxidation, compared to the non-etched ceria nanorods. Our method provides a novel and facile approach to continuously adjust the surface properties of ceria for practical applications.Controllable surface properties of nanocerias are desired for various catalytic processes. There is a lack of efficient approaches to adjust the surface properties of ceria to date. Herein, a redox chemical etching method was developed to controllably engineer the surface properties of ceria nanorods. Ascorbic acid and hydrogen peroxide were used to perform the redox chemical etching process, resulting in a rough surface and/or pores on the surface of ceria nanorods. Increasing the etching cycles induced a steady increase of the specific surface area, oxygen vacancies and surface Ce3+ fractions. As a result, the etched nanorods delivered enhanced catalytic activity for CO oxidation, compared to the non-etched ceria nanorods. Our method provides a novel and facile approach to continuously adjust the surface properties of ceria for practical applications. Electronic supplementary information (ESI) available: Diameter distributions of as-prepared and etched samples, optical images, specific catalytic data of CO oxidation and comparison of CO oxidation. See DOI: 10.1039/c5nr01846c

  3. Bi/In thermal resist for both Si anisotropic wet etching and Si/SiO2 plasma etching

    NASA Astrophysics Data System (ADS)

    Chapman, Glenn H.; Tu, Yuqiang; Peng, Jun

    2004-01-01

    Bi/In thermal resist is a bilayer structure of Bi over In films which can be exposed by laser with a wide range of wavelengths and can be developed by diluted RCA2 solutions. Current research shows bimetallic resist can work as etch masking layer for both dry plasma etching and wet anisotropic etching. It can act as both patterning and masking layers for Si and SiO2 with plasma "dry" etch using CF4/CHF3. The etching condition is CF4 flow rate 50 sccm, pressure 150 mTorr, and RF power 100 - 600W. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1 nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In. Bi/In also creates etch masking layers for alkaline-based (KOH, TMAH and EDP) "wet" anisotropic bulk Si etch without the need of SiO2 masking steps. The laser exposed Bi/In etches two times more slowly than SiO2. Experiment result shows that single metal Indium film exhibits thermal resist characteristics but at twice the exposure levels. It can be developed in diluted RCA2 solution and used as an etch mask layer for Si anisotropic etch. X-ray diffraction analysis shows that laser exposure causes both Bi and In single film to oxidize. In film may become amorphous when exposed to high laser power.

  4. Correlation between surface chemistry and ion energy dependence of the etch yield in multicomponent oxides etching

    NASA Astrophysics Data System (ADS)

    Bérubé, P.-M.; Poirier, J.-S.; Margot, J.; Stafford, L.; Ndione, P. F.; Chaker, M.; Morandotti, R.

    2009-09-01

    The influence of surface chemistry in plasma etching of multicomponent oxides was investigated through measurements of the ion energy dependence of the etch yield. Using pulsed-laser-deposited CaxBa(1-x)Nb2O6 (CBN) and SrTiO3 thin films as examples, it was found that the etching energy threshold shifts toward values larger or smaller than the sputtering threshold depending on whether or not ion-assisted chemical etching is the dominant etching pathway and whether surface chemistry is enhancing or inhibiting desorption of the film atoms. In the case of CBN films etched in an inductively coupled Cl2 plasma, it is found that the chlorine uptake is inhibiting the etching reaction, with the desorption of nonvolatile NbCl2 and BaCl2 compounds being the rate-limiting step.

  5. Etching twin core fiber for the temperature-independent refractive index sensing

    NASA Astrophysics Data System (ADS)

    Zhang, Chuanbiao; Ning, Tigang; Li, Jing; Zheng, Jingjing; Gao, Xuekai; Lin, Heng; Pei, Li

    2018-04-01

    We proposed an ultra-compact chemically etched twin core fiber (TCF) based optic refractive index (RI) sensor, in which the etched fiber was fabricated by immersing in an aqueous solution of hydrofluoric acid (HF) to etch the cladding. Due to the multipath evolutions of light during the TCF, the mode induced interference pattern can be used for measurement. Numerical simulations were performed, demonstrating that only the cladding mode strongly interacts with the surrounding media, and the higher cladding modes will be more sensitive to external medium. In the experiment demonstration, the RI response characteristics of the sensor were investigated, which shows a relatively high RI sensitivity and a much low temperature cross-sensitivity with about 1.06 × 10-6 RIU °C-1. Due to low cost and easy fabrication, the sensor can be a suitable candidate in the biochemical field.

  6. ZERODUR: bending strength data for etched surfaces

    NASA Astrophysics Data System (ADS)

    Hartmann, Peter; Leys, Antoine; Carré, Antoine; Kerz, Franca; Westerhoff, Thomas

    2014-07-01

    In a continuous effort since 2007 a considerable amount of new data and information has been gathered on the bending strength of the extremely low thermal expansion glass ceramic ZERODUR®. By fitting a three parameter Weibull distribution to the data it could be shown that for homogenously ground surfaces minimum breakage stresses exist lying much higher than the previously applied design limits. In order to achieve even higher allowable stress values diamond grain ground surfaces have been acid etched, a procedure widely accepted as strength increasing measure. If surfaces are etched taking off layers with thickness which are comparable to the maximum micro crack depth of the preceding grinding process they also show statistical distributions compatible with a three parameter Weibull distribution. SCHOTT has performed additional measurement series with etch solutions with variable composition testing the applicability of this distribution and the possibility to achieve further increase of the minimum breakage stress. For long term loading applications strength change with time and environmental media are important. The parameter needed for prediction calculations which is combining these influences is the stress corrosion constant. Results from the past differ significantly from each other. On the basis of new investigations better information will be provided for choosing the best value for the given application conditions.

  7. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    NASA Astrophysics Data System (ADS)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  8. On the influence of etch pits in the overall dissolution rate of apatite basal sections

    NASA Astrophysics Data System (ADS)

    Alencar, Igor; Guedes, Sandro; Palissari, Rosane; Hadler, Julio C.

    2015-09-01

    Determination of efficiencies for particle detection plays a central role for proper estimation of reaction rates. If chemical etching is employed in the revelation of latent particle tracks in solid-state detectors, dissolution rates and etchable lengths are important factors governing the revelation and observation. In this work, the mask method, where a reference part of the sample is protected during dissolution, was employed to measure step heights in basal sections of apatite etched with a nitric acid, HNO, solution at a concentration of 1.1 M and a temperature of 20 °C. We show a drastic increase in the etching velocity as the number of etch pits in the surface augments, in accordance with the dissolution stepwave model, where the outcrop of each etch pit generates a continuous sequence of stepwaves. The number of etch pits was varied by irradiation with neutrons and perpendicularly incident heavy ions. The size dependence of the etch-pit opening with etching duration for ion (200-300 MeV 152Sm and 238U) tracks was also investigated. There is no distinction for the etch pits between the different ions, and the dissolution seems to be governed by the opening velocity when a high number of etch pits are present in the surface. Measurements of the etchable lengths of these ion tracks show an increase in these lengths when samples are not pre-annealed before irradiation. We discuss the implications of these findings for fission-track modelling.

  9. New frontiers of atomic layer etching

    NASA Astrophysics Data System (ADS)

    Sherpa, Sonam D.; Ranjan, Alok

    2018-03-01

    Interest in atomic layer etching (ALE) has surged recently because it offers several advantages over continuous or quasicontinuous plasma etching. These benefits include (1) independent control of ion energy, ion flux, and radical flux, (2) flux-independent etch rate that mitigates the iso-dense loading effects, and (3) ability to control the etch rate with atomic or nanoscale precision. In addition to these benefits, we demonstrate an area-selective etching for maskless lithography as a new frontier of ALE. In this paper, area-selective etching refers to the confinement of etching into the specific areas of the substrate. The concept of area-selective etching originated during our studies on quasi-ALE of silicon nitride which consists of sequential exposure of silicon nitride to hydrogen and fluorinated plasma. The findings of our studies reported in this paper suggest that it may be possible to confine the etching into specific areas of silicon nitride without using any mask by replacing conventional hydrogen plasma with a localized source of hydrogen ions.

  10. Decontamination of metals using chemical etching

    DOEpatents

    Lerch, Ronald E.; Partridge, Jerry A.

    1980-01-01

    The invention relates to chemical etching process for reclaiming contaminated equipment wherein a reduction-oxidation system is included in a solution of nitric acid to contact the metal to be decontaminated and effect reduction of the reduction-oxidation system, and includes disposing a pair of electrodes in the reduced solution to permit passage of an electrical current between said electrodes and effect oxidation of the reduction-oxidation system to thereby regenerate the solution and provide decontaminated equipment that is essentially radioactive contamination-free.

  11. Ion-beam-assisted etching of diamond

    NASA Technical Reports Server (NTRS)

    Efremow, N. N.; Geis, M. W.; Flanders, D. C.; Lincoln, G. A.; Economou, N. P.

    1985-01-01

    The high thermal conductivity, low RF loss, and inertness of diamond make it useful in traveling wave tubes operating in excess of 500 GHz. Such use requires the controlled etching of type IIA diamond to produce grating like structures tens of micrometers deep. Previous work on reactive ion etching with O2 gave etching rates on the order of 20 nm/min and poor etch selectivity between the masking material (Ni or Cr) and the diamond. An alternative approach which uses a Xe(+) beam and a reactive gas flux of NO2 in an ion-beam-assisted etching system is reported. An etching rate of 200 nm/min was obtained with an etching rate ratio of 20 between the diamond and an aluminum mask.

  12. Saliva and dental erosion

    PubMed Central

    BUZALAF, Marília Afonso Rabelo; HANNAS, Angélicas Reis; KATO, Melissa Thiemi

    2012-01-01

    Dental erosion is a multifactorial condition. The consideration of chemical, biological and behavioral factors is fundamental for its prevention and therapy. Among the biological factors, saliva is one of the most important parameters in the protection against erosive wear. Objective This review discusses the role of salivary factors on the development of dental erosion. Material and Methods A search was undertaken on MEDLINE website for papers from 1969 to 2010. The keywords used in the research were "saliva", "acquired pellicle", "salivary flow", "salivary buffering capacity" and "dental erosion". Inclusion of studies, data extraction and quality assessment were undertaken independently and in duplicate by two members of the review team. Disagreements were solved by discussion and consensus or by a third party. Results Several characteristics and properties of saliva play an important role in dental erosion. Salivary clearance gradually eliminates the acids through swallowing and saliva presents buffering capacity causing neutralization and buffering of dietary acids. Salivary flow allows dilution of the acids. In addition, saliva is supersaturated with respect to tooth mineral, providing calcium, phosphate and fluoride necessary for remineralization after an erosive challenge. Furthermore, many proteins present in saliva and acquired pellicle play an important role in dental erosion. Conclusions Saliva is the most important biological factor affecting the progression of dental erosion. Knowledge of its components and properties involved in this protective role can drive the development of preventive measures targeting to enhance its known beneficial effects. PMID:23138733

  13. Saliva and dental erosion.

    PubMed

    Buzalaf, Marília Afonso Rabelo; Hannas, Angélicas Reis; Kato, Melissa Thiemi

    2012-01-01

    Dental erosion is a multifactorial condition. The consideration of chemical, biological and behavioral factors is fundamental for its prevention and therapy. Among the biological factors, saliva is one of the most important parameters in the protection against erosive wear. This review discusses the role of salivary factors on the development of dental erosion. A search was undertaken on MeDLINe website for papers from 1969 to 2010. The keywords used in the research were "saliva", "acquired pellicle", "salivary flow", "salivary buffering capacity" and "dental erosion". Inclusion of studies, data extraction and quality assessment were undertaken independently and in duplicate by two members of the review team. Disagreements were solved by discussion and consensus or by a third party. Several characteristics and properties of saliva play an important role in dental erosion. Salivary clearance gradually eliminates the acids through swallowing and saliva presents buffering capacity causing neutralization and buffering of dietary acids. Salivary flow allows dilution of the acids. In addition, saliva is supersaturated with respect to tooth mineral, providing calcium, phosphate and fluoride necessary for remineralization after an erosive challenge. Furthermore, many proteins present in saliva and acquired pellicle play an important role in dental erosion. Saliva is the most important biological factor affecting the progression of dental erosion. Knowledge of its components and properties involved in this protective role can drive the development of preventive measures targeting to enhance its known beneficial effects.

  14. Microtensile bond strength of etch and rinse versus self-etch adhesive systems.

    PubMed

    Hamouda, Ibrahim M; Samra, Nagia R; Badawi, Manal F

    2011-04-01

    The aim of this study was to compare the microtensile bond strength of the etch and rinse adhesive versus one-component or two-component self-etch adhesives. Twelve intact human molar teeth were cleaned and the occlusal enamel of the teeth was removed. The exposed dentin surfaces were polished and rinsed, and the adhesives were applied. A microhybride composite resin was applied to form specimens of 4 mm height and 6 mm diameter. The specimens were sectioned perpendicular to the adhesive interface to produce dentin-resin composite sticks, with an adhesive area of approximately 1.4 mm(2). The sticks were subjected to tensile loading until failure occurred. The debonded areas were examined with a scanning electron microscope to determine the site of failure. The results showed that the microtensile bond strength of the etch and rinse adhesive was higher than that of one-component or two-component self-etch adhesives. The scanning electron microscope examination of the dentin surfaces revealed adhesive and mixed modes of failure. The adhesive mode of failure occurred at the adhesive/dentin interface, while the mixed mode of failure occurred partially in the composite and partially at the adhesive/dentin interface. It was concluded that the etch and rinse adhesive had higher microtensile bond strength when compared to that of the self-etch adhesives. Copyright © 2010 Elsevier Ltd. All rights reserved.

  15. Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Posseme, N., E-mail: nicolas.posseme@cea.fr; Pollet, O.; Barnola, S.

    2014-08-04

    Silicon nitride spacer etching realization is considered today as one of the most challenging of the etch process for the new devices realization. For this step, the atomic etch precision to stop on silicon or silicon germanium with a perfect anisotropy (no foot formation) is required. The situation is that none of the current plasma technologies can meet all these requirements. To overcome these issues and meet the highly complex requirements imposed by device fabrication processes, we recently proposed an alternative etching process to the current plasma etch chemistries. This process is based on thin film modification by light ionsmore » implantation followed by a selective removal of the modified layer with respect to the non-modified material. In this Letter, we demonstrate the benefit of this alternative etch method in term of film damage control (silicon germanium recess obtained is less than 6 A), anisotropy (no foot formation), and its compatibility with other integration steps like epitaxial. The etch mechanisms of this approach are also addressed.« less

  16. Dental unit waterlines disinfection using hypochlorous acid-based disinfectant

    PubMed Central

    Shajahan, Irfana Fathima; Kandaswamy, D; Srikanth, Padma; Narayana, L Lakshmi; Selvarajan, R

    2016-01-01

    Objective: The purpose of the study was to investigate the efficacy of a new disinfectant to disinfect the dental unit waterlines. Materials and Methods: New dental unit waterlines were installed in 13 dental chairs, and biofilm was allowed to grow for 10 days. Disinfection treatment procedure was carried out in the 12 units, and one unit was left untreated. The dental unit waterlines were removed and analyzed using the scanning electron microscope (SEM) (TESCAN VEGA3 SBU). Result: On examination, SEM images showed that there was no slime layer or bacterial cells seen in any of the 12 cut sections obtained from the treated dental waterlines which mean that there was no evident of biofilm formation. Untreated dental unit waterlines showed a microbial colonization with continuous filamentous organic matrix. There was significant biofilm formation in the control tube relative to the samples. Conclusion: The tested disinfectant was found to be effective in the removal of biofilm from the dental unit waterlines. PMID:27563184

  17. Etching fission tracks in zircons

    USGS Publications Warehouse

    Naeser, C.W.

    1969-01-01

    A new technique has been developed whereby fission tracks can be etched in zircon with a solution of sodium hydroxide at 220??C. Etching time varied between 15 minutes and 5 hours. Colored zircon required less etching time than the colorless varieties.

  18. Laser-driven fusion etching process

    DOEpatents

    Ashby, C.I.H.; Brannon, P.J.; Gerardo, J.B.

    1987-08-25

    The surfaces of solids are etched by a radiation-driven chemical reaction. The process involves exposing a substrate coated with a layer of a reactant material on its surface to radiation, e.g., a laser, to induce localized melting of the substrate which results in the occurrence of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic substrates, e.g., LiNbO/sub 3/, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  19. Submicron patterned metal hole etching

    DOEpatents

    McCarthy, Anthony M.; Contolini, Robert J.; Liberman, Vladimir; Morse, Jeffrey

    2000-01-01

    A wet chemical process for etching submicron patterned holes in thin metal layers using electrochemical etching with the aid of a wetting agent. In this process, the processed wafer to be etched is immersed in a wetting agent, such as methanol, for a few seconds prior to inserting the processed wafer into an electrochemical etching setup, with the wafer maintained horizontal during transfer to maintain a film of methanol covering the patterned areas. The electrochemical etching setup includes a tube which seals the edges of the wafer preventing loss of the methanol. An electrolyte composed of 4:1 water: sulfuric is poured into the tube and the electrolyte replaces the wetting agent in the patterned holes. A working electrode is attached to a metal layer of the wafer, with reference and counter electrodes inserted in the electrolyte with all electrodes connected to a potentiostat. A single pulse on the counter electrode, such as a 100 ms pulse at +10.2 volts, is used to excite the electrochemical circuit and perform the etch. The process produces uniform etching of the patterned holes in the metal layers, such as chromium and molybdenum of the wafer without adversely effecting the patterned mask.

  20. Dry etching technologies for reflective multilayer

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  1. Laser-driven fusion etching process

    DOEpatents

    Ashby, Carol I. H.; Brannon, Paul J.; Gerardo, James B.

    1989-01-01

    The surfaces of solid ionic substrates are etched by a radiation-driven chemical reaction. The process involves exposing an ionic substrate coated with a layer of a reactant material on its surface to radiation, e.g. a laser, to induce localized melting of the substrate which results in the occurrance of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic salt substrates, e.g., a solid inorganic salt such as LiNbO.sub.3, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  2. Dietary assessment and counseling for dental erosion.

    PubMed

    Marshall, Teresa A

    2018-02-01

    Dental erosion occurs after exposure to intrinsic or extrinsic acids. Exposure to intrinsic gastrointestinal acids is associated with anorexia nervosa, bulimia nervosa, rumination syndrome, or gastroesophageal reflux. Extrinsic dietary acids from foods or beverages also can cause erosion, particularly when exposure is prolonged by holding or swishing behaviors. Clinicians should screen patients exhibiting dental erosion for anorexia nervosa, bulimia nervosa, rumination syndrome, and gastroesophageal reflux disease. Clinicians should screen patients without a medical explanation for their erosion for exposure to acidic foods and beverages, particularly for habits that prolong exposure. Identification of intrinsic and extrinsic acid exposures and recommendations to minimize exposures are important to prevent erosion and maintain oral health. Copyright © 2018 American Dental Association. Published by Elsevier Inc. All rights reserved.

  3. Enhanced Activity and Acid pH Stability of Prussian Blue-type Oxygen Evolution Electrocatalysts Processed by Chemical Etching.

    PubMed

    Han, Lijuan; Tang, Pengyi; Reyes-Carmona, Álvaro; Rodríguez-García, Bárbara; Torréns, Mabel; Morante, Joan Ramon; Arbiol, Jordi; Galan-Mascaros, Jose Ramon

    2016-12-14

    The development of upscalable oxygen evolving electrocatalysts from earth-abundant metals able to operate in neutral or acidic environments and low overpotentials remains a fundamental challenge for the realization of artificial photosynthesis. In this study, we report a highly active phase of heterobimetallic cyanide-bridged electrocatalysts able to promote water oxidation under neutral, basic (pH < 13), and acidic conditions (pH > 1). Cobalt-iron Prussian blue-type thin films, formed by chemical etching of Co(OH) 1.0 (CO 3 ) 0.5 ·nH 2 O nanocrystals, yield a dramatic enhancement of the catalytic performance toward oxygen production, when compared with previous reports for analogous materials. Electrochemical, spectroscopic, and structural studies confirm the excellent performance, stability, and corrosion resistance, even when compared with state-of-the-art metal oxide catalysts under moderate overpotentials and in a remarkably large pH range, including acid media where most cost-effective water oxidation catalysts are not useful. The origin of the superior electrocatalytic activity toward water oxidation appears to be in the optimized interfacial matching between catalyst and electrode surface obtained through this fabrication method.

  4. Reinforcement of dentin in self-etch adhesive technology: a new concept.

    PubMed

    Waidyasekera, Kanchana; Nikaido, Toru; Weerasinghe, Dinesh S; Ichinose, Shizuko; Tagami, Junji

    2009-08-01

    Characterize the ultramorphology and secondary caries inhibition potential of different dentin adhesive systems in order to find a satisfactory explanation resist to recurrent caries. Human premolar dentin was treated with one of the two self-etching adhesive systems, Clearfil SE Bond, Clearfil Protect Bond or an acid-etching adhesive system, Single Bond. The bonded interface was exposed to an artificial demineralizing solution (pH 4.5) for 90 min and then 5% sodium hypochlorite for 20 min. Transmission electron microscopic observation was performed at the adhesive-dentin interface. The width of the reinforced zone was measured and data were analyzed with univariate analysis of variance under general linear model. In order to identify type of crystallites in the reinforced zone selected area electron diffraction was performed. An acid-base resistant zone (ABRZ) was found adjacent to the hybrid layer in the outer lesion front with only Clearfil SE Bond and Clearfil Protect Bond, while Single Bond was devoid of this protective zone. Crystallite arrangement and the ultramorphology were almost similar in the corresponding regions of Clearfil SE Bond and Clearfil Protect Bond. However, thickness of the ABRZ at the mid portion was 1159(+/-41.91)nm in Clearfil protect Bond, which was significantly thicker than that of Clearfil SE Bond (F=514.84, p<0.001). Selected area electron diffraction confirmed the crystallites in the zone as apatite. The self-etching adhesive systems created a new reinforced acid resistant dentin under the hybrid layer. Difference in the thickness of the zone expressed a different potential for demineralization inhibition.

  5. Chemically etched fiber tips for near-field optical microscopy: a process for smoother tips.

    PubMed

    Lambelet, P; Sayah, A; Pfeffer, M; Philipona, C; Marquis-Weible, F

    1998-11-01

    An improved method for producing fiber tips for scanning near-field optical microscopy is presented. The improvement consists of chemically etching quartz optical fibers through their acrylate jacket. This new method is compared with the previous one in which bare fibers were etched. With the new process the meniscus formed by the acid along the fiber does not move during etching, leading to a much smoother surface of the tip cone. Subsequent metallization is thus improved, resulting in better coverage of the tip with an aluminum opaque layer. Our results show that leakage can be avoided along the cone, and light transmission through the tip is spatially limited to an optical aperture of a 100-nm dimension.

  6. Acid production in dental plaque after exposure to probiotic bacteria

    PubMed Central

    2012-01-01

    Background The increasing interest in probiotic lactobacilli in health maintenance has raised the question of potential risks. One possible side effect could be an increased acidogenicity in dental plaque. The aim of this study was to investigate the effect of probiotic lactobacilli on plaque lactic acid (LA) production in vitro and in vivo. Methods In the first part (A), suspensions of two lactobacilli strains (L. reuteri DSM 17938, L. plantarum 299v) were added to suspensions of supragingival dental plaque collected from healthy young adults (n=25). LA production after fermentation with either xylitol or fructose was analyzed. In the second part (B), subjects (n=18) were given lozenges with probiotic lactobacilli (L. reuteri DSM 17938 and ATCC PTA 5289) or placebo for two weeks in a double-blinded, randomized cross-over trial. The concentration of LA in supragingival plaque samples was determined at baseline and after 2 weeks. Salivary counts of mutans streptococci (MS) and lactobacilli were estimated with chair-side methods. Results Plaque suspensions with L. reuteri DSM 17938 produced significantly less LA compared with L. plantarum 299v or controls (p<0.05). Fructose gave higher LA concentrations than xylitol. In part B, there were no significant differences in LA production between baseline and follow up in any of the groups and no differences between test and placebo were displayed. The salivary MS counts were not significantly altered during the intervention but the lactobacilli counts increased significantly in the test group (p<0.05). Conclusion Lactic acid production in suspensions of plaque and probiotic lactobacilli was strain-dependant and the present study provides no evidence of an increase in plaque acidity by the supply of selected probiotic lactobacilli when challenged by fructose or xylitol. The study protocol was approved by The Danish National Committee on Biomedical Research Ethics (protocol no H-2-2010-112). Trial registration NCT01700712

  7. Nanofabrication on monocrystalline silicon through friction-induced selective etching of Si3N4 mask

    PubMed Central

    2014-01-01

    A new fabrication method is proposed to produce nanostructures on monocrystalline silicon based on the friction-induced selective etching of its Si3N4 mask. With low-pressure chemical vapor deposition (LPCVD) Si3N4 film as etching mask on Si(100) surface, the fabrication can be realized by nanoscratching on the Si3N4 mask and post-etching in hydrofluoric acid (HF) and potassium hydroxide (KOH) solution in sequence. Scanning Auger nanoprobe analysis indicated that the HF solution could selectively etch the scratched Si3N4 mask and then provide the gap for post-etching of silicon substrate in KOH solution. Experimental results suggested that the fabrication depth increased with the increase of the scratching load or KOH etching period. Because of the excellent masking ability of the Si3N4 film, the maximum fabrication depth of nanostructure on silicon can reach several microns. Compared to the traditional friction-induced selective etching technique, the present method can fabricate structures with lesser damage and deeper depths. Since the proposed method has been demonstrated to be a less destructive and flexible way to fabricate a large-area texture structure, it will provide new opportunities for Si-based nanofabrication. PMID:24940174

  8. Etching and Growth of GaAs

    NASA Technical Reports Server (NTRS)

    Seabaugh, A. C.; Mattauch, R., J.

    1983-01-01

    In-place process for etching and growth of gallium arsenide calls for presaturation of etch and growth melts by arsenic source crystal. Procedure allows precise control of thickness of etch and newly grown layer on substrate. Etching and deposition setup is expected to simplify processing and improve characteristics of gallium arsenide lasers, high-frequency amplifiers, and advanced integrated circuits.

  9. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2013-10-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45 nm through 14/10 nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques, such as litho-etch-litho-etch, sidewall image transfer, line/cut mask, and self-aligned structures, have been implemented to solution required device scaling. Advances in dry plasma etch process control across wafer uniformity and etch selectivity to both masking materials have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes, such as trilayer etches, aggressive critical dimension shrink techniques, and the extension of resist trim processes, have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across-design variation, defectivity, profile stability within wafer, within lot, and across tools has been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated total patterning solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. We will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  10. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2012-03-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45nm through 14/10nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques such as litho-etch-litho-etch, sidewall image transfer, line/cut mask and self-aligned structures have been implemented to solution required device scaling. Advances in dry plasma etch process control, across wafer uniformity and etch selectivity to both masking materials and have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes such as trilayer etches, aggressive CD shrink techniques, and the extension of resist trim processes have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across design variation, defectivity, profile stability within wafer, within lot, and across tools have been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated Total Patterning Solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. This paper will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  11. Etch challenges for DSA implementation in CMOS via patterning

    NASA Astrophysics Data System (ADS)

    Pimenta Barros, P.; Barnola, S.; Gharbi, A.; Argoud, M.; Servin, I.; Tiron, R.; Chevalier, X.; Navarro, C.; Nicolet, C.; Lapeyre, C.; Monget, C.; Martinez, E.

    2014-03-01

    This paper reports on the etch challenges to overcome for the implementation of PS-b-PMMA block copolymer's Directed Self-Assembly (DSA) in CMOS via patterning level. Our process is based on a graphoepitaxy approach, employing an industrial PS-b-PMMA block copolymer (BCP) from Arkema with a cylindrical morphology. The process consists in the following steps: a) DSA of block copolymers inside guiding patterns, b) PMMA removal, c) brush layer opening and finally d) PS pattern transfer into typical MEOL or BEOL stacks. All results presented here have been performed on the DSA Leti's 300mm pilot line. The first etch challenge to overcome for BCP transfer involves in removing all PMMA selectively to PS block. In our process baseline, an acetic acid treatment is carried out to develop PMMA domains. However, this wet development has shown some limitations in terms of resists compatibility and will not be appropriated for lamellar BCPs. That is why we also investigate the possibility to remove PMMA by only dry etching. In this work the potential of a dry PMMA removal by using CO based chemistries is shown and compared to wet development. The advantages and limitations of each approach are reported. The second crucial step is the etching of brush layer (PS-r-PMMA) through a PS mask. We have optimized this step in order to preserve the PS patterns in terms of CD, holes features and film thickness. Several integrations flow with complex stacks are explored for contact shrinking by DSA. A study of CD uniformity has been addressed to evaluate the capabilities of DSA approach after graphoepitaxy and after etching.

  12. Effects of etch-and-rinse and self-etch adhesives on dentin MMP-2 and MMP-9.

    PubMed

    Mazzoni, A; Scaffa, P; Carrilho, M; Tjäderhane, L; Di Lenarda, R; Polimeni, A; Tezvergil-Mutluay, A; Tay, F R; Pashley, D H; Breschi, L

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives.

  13. Effects of Etch-and-Rinse and Self-etch Adhesives on Dentin MMP-2 and MMP-9

    PubMed Central

    Mazzoni, A.; Scaffa, P.; Carrilho, M.; Tjäderhane, L.; Di Lenarda, R.; Polimeni, A.; Tezvergil-Mutluay, A.; Tay, F.R.; Pashley, D.H.; Breschi, L.

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives. PMID:23128110

  14. Comparison of separation performance of laser-ablated and wet-etched microfluidic devices

    PubMed Central

    Baker, Christopher A.; Bulloch, Rayford; Roper, Michael G.

    2010-01-01

    Laser ablation of glass allows for production of microfluidic devices without the need of hydrofluoric acid and photolithography. The goal of this study was to compare the separation performance of microfluidic devices produced using a low-cost laser ablation system and conventional wet etching. During laser ablation, cracking of the glass substrate was prevented by heating the glass to 300°C. A range of laser energy densities was found to produce channel depths ranging from 4 – 35 μm and channel widths from 118 – 162 μm. The electroosmotic flow velocity was lower in laser-ablated devices, 0.110 ± 0.005 cm s−1, as compared to wet-etched microfluidic chips, 0.126 ± 0.003 cm s−1. Separations of both small and large molecules performed on both wet- and laser-ablated devices were compared by examining limits of detection, theoretical plate count, and peak asymmetry. Laser-induced fluorescence detection limits were 10 pM fluorescein for both types of devices. Laser-ablated and wet-etched microfluidic chips had reproducible migration times with ≤ 2.8% RSD and peak asymmetries ranging from 1.0 – 1.8. Numbers of theoretical plates were between 2.8- and 6.2-fold higher on the wet-etched devices compared to laser-ablated devices. Nevertheless, resolution between small and large analytes was accomplished, which indicates that laser ablation may find an application in pedagogical studies of electrophoresis or microfluidic devices, or in settings where hydrofluoric acid cannot be used. PMID:20827468

  15. Effect of Acidic Agents on Surface Roughness of Dental Ceramics

    PubMed Central

    Kukiattrakoon, Boonlert; Hengtrakool, Chanothai; Kedjarune-Leggat, Ureporn

    2011-01-01

    Background: An increase in surface roughness of ceramics may decrease strength and affect the clinical success of ceramic restorations. However, little is known about the effect of acidic agents on ceramic restorations. The aim of this study was to evaluate the surface roughness of dental ceramics after being immersed in acidic agents. Methods: Eighty-three ceramic disk specimens (12.0 mm in diameter and 2.0 mm in thickness) were made from four types of ceramics (VMK 95, Vitadur Alpha, IPS Empress Esthetic, and IPS e.max Ceram). Baseline data of surface roughness were recorded by profilometer. The specimens were then immersed in acidic agents (citrate buffer solution, pineapple juice and green mango juice) and deionized water (control) at 37°C for 168 hours. One group was immersed in 4% acetic acid at 80°C for 168 hours. After immersion, surface roughness was evaluated by a profilometer at intervals of 24, 96, and 168 hours. Surface characteristics of specimens were studied using scanning electron microscopy (SEM). Data were analyzed using two-way repeated ANOVA and Tukey's multiple comparisons (α = 0.05). Results: For all studied ceramics, all surface roughness parameters were significantly increased after 168 hours immersion in all acidic agents (P < 0.05). After 168 hours in 4% acetic acid, there were significant differences for all roughness parameters from other acidic agents of all evaluated ceramics. Among all studied ceramics, Vitadur Alpha showed significantly the greatest values of all surface roughness parameters after immersion in 4% acetic acid (P < 0.001). SEM photomicrographs also presented surface destruction of ceramics in varying degrees. Conclusion: Acidic agents used in this study negatively affected the surface of ceramic materials. This should be considered when restoring the eroded tooth with ceramic restorations in patients who have a high risk of erosive conditions. PMID:22132009

  16. Optimization of the etch-and-rinse technique: New perspectives to improve resin-dentin bonding and hybrid layer integrity by reducing residual water using dimethyl sulfoxide pretreatments.

    PubMed

    Stape, Thiago Henrique Scarabello; Tjäderhane, Leo; Abuna, Gabriel; Sinhoreti, Mário Alexandre Coelho; Martins, Luís Roberto Marcondes; Tezvergil-Mutluay, Arzu

    2018-04-13

    To determine whether bonding effectiveness and hybrid layer integrity on acid-etched dehydrated dentin would be comparable to the conventional wet-bonding technique through new dentin biomodification approaches using dimethyl sulfoxide (DMSO). Etched dentin surfaces from extracted sound molars were randomly bonded in wet or dry conditions (30s air drying) with DMSO/ethanol or DMSO/H 2 O as pretreatments using a simplified (Scotchbond Universal Adhesive, 3M ESPE: SU) and a multi-step (Adper Scotchbond Multi-Purpose, 3M ESPE: SBMP) etch-and-rinse adhesives. Untreated dentin surfaces served as control. Bonded teeth (n=8) were stored in distilled water for 24h and sectioned into resin-dentin beams (0.8mm 2 ) for microtensile bond strength test and quantitative interfacial nanoleakage analysis (n=8) under SEM. Additional teeth (n=2) were prepared for micropermeability assessment by CFLSM under simulated pulpar pressure (20cm H 2 O) using 5mM fluorescein as a tracer. Microtensile data was analyzed by 3-way ANOVA followed by Tukey Test and nanoleakage by Kruskal-Wallis and Dunn-Bonferroni multiple comparison test (α=0.05). While dry-bonding of SBMP produced significantly lower bond strengths than wet-bonding (p<0.05), DMSO/H 2 O and DMSO/ethanol produced significantly higher bond strengths for SBMP irrespective of dentin condition (p<0.05). SU presented significantly higher nanoleakage levels (p<0.05) and micropermeability than SBMP. Improvement in hybrid layer integrity occurred for SBMP and SU for both pretreatments, albeit most pronouncedly for DMSO/ethanol regardless of dentin moisture. DMSO pretreatments may be used as a new suitable strategy to improve bonding of water-based adhesives to demineralized air-dried dentin beyond conventional wet-bonding. Less porous resin-dentin interfaces with higher bond strengths on air-dried etched dentin were achieved; nonetheless, overall efficiency varied according to DMSO's co-solvent and adhesive type. DMSO pretreatments

  17. TrackEtching - A Java based code for etched track profile calculations in SSNTDs

    NASA Astrophysics Data System (ADS)

    Muraleedhara Varier, K.; Sankar, V.; Gangadathan, M. P.

    2017-09-01

    A java code incorporating a user friendly GUI has been developed to calculate the parameters of chemically etched track profiles of ion-irradiated solid state nuclear track detectors. Huygen's construction of wavefronts based on secondary wavelets has been used to numerically calculate the etched track profile as a function of the etching time. Provision for normal incidence and oblique incidence on the detector surface has been incorporated. Results in typical cases are presented and compared with experimental data. Different expressions for the variation of track etch rate as a function of the ion energy have been utilized. The best set of values of the parameters in the expressions can be obtained by comparing with available experimental data. Critical angle for track development can also be calculated using the present code.

  18. Dicalcium phosphate (CaHPO4·2H2O) precipitation through ortho- or meta-phosphoric acid-etching: effects on the durability and nanoleakage/ultra-morphology of resin-dentine interfaces.

    PubMed

    Feitosa, Victor Pinheiro; Bazzocchi, Maria Giulia; Putignano, Angelo; Orsini, Giovanna; Luzi, Arlinda Luzi; Sinhoreti, Mário Alexandre Coelho; Watson, Timothy F; Sauro, Salvatore

    2013-11-01

    To compare the effects of two etching procedures using meta-phosphoric (MPA) or ortho-phosphoric acid (OPA) on dentine demineralisation, resin-dentine bonds durability and interface nanoleakage/ultra-morphology. Middle-dentine specimens were etched using 37% OPA (15s) or 40% MPA (60s) and submitted to infrared spectroscopy (FTIR) or ultra-morphology dye-assisted (calcium-staining) confocal microscopy (Ca-CLSM). A three-step etch-and-rinse adhesive was formulated, applied onto dentine and light-cured for 30s before composite build-up. After 24h, the dentine-bonded specimens were cut into 1mm(2) beams; half were immediately submitted to microtensile bond strength (μTBS) and half stored in DW for six months. The μTBS results were analysed with repeated-measures ANOVA and Tukey's test (p<0.05). Further teeth were bonded and prepared for interface nanoleakage/ultra-morphology confocal evaluation. FTIR and Ca-CLSM analyses showed dicalcium phosphate dihydrate (Brushite) precipitation in MPA-etched dentine and on the bottom (front of demineralisation) of the OPA-etched dentine. Statistical analysis showed similar μTBS for both etching procedures after 24h. The μTBS of specimens in OPA-group dropped significantly (p<0.05) after six month; the specimens in the MPA group showed no statistically difference (p>0.05). CLSM depicted no evident sign of nanoleakage within the resin-dentine interface of the MPA-treated specimens, while the specimens in OPA-group presented intense nanoleakage and interface degradation. The use of MPA (60s) as an alternative dentine conditioning agent in etch-and-rinse bonding procedures may be a suitable strategy to create more durable resin-dentine bonds. Copyright © 2013 Elsevier Ltd. All rights reserved.

  19. Adhesive capability of total-etch, self-etch, and self-adhesive systems for fiber post cementation

    NASA Astrophysics Data System (ADS)

    Theodor, Y.; Koesmaningati, H.; Gita, F.

    2017-08-01

    The aim of this study was to analyze whether self-etch and self-adhesive systems are comparable to the total-etch system for fiber post cementation. This experimental laboratory study, which was approved by an ethics committee, was performed using 27 mandibular premolar teeth randomly divided into three groups. Fiber post cementation was done using three different adhesive systems. Specimens were prepared with a thickness of 5 mm, which was measured from the cervical to medial areas of the root, and stored for 24 h in saline solution at room temperature. A push-out test was performed using a universal testing machine (Shimidzu AG-5000E) with a crosshead speed of 0.5 mm/min. The results of one way ANOVA bivariate testing showed that the total-etch and self-etch systems have comparable adhesion capability (p<0.05) and that the self-adhesive system has the lowest adhesion capability (p>0.05). With easier application, the self-etch system has a comparable adhesion capability to the total-etch system.

  20. Diabetes induces metabolic alterations in dental pulp.

    PubMed

    Leite, Mariana Ferreira; Ganzerla, Emily; Marques, Márcia Martins; Nicolau, José

    2008-10-01

    Diabetes can interfere in tissue nutrition and can impair dental pulp metabolism. This disease causes oxidative stress in cells and tissues. However, little is known about the antioxidant system in the dental pulp of diabetics. Thus, it would be of importance to study this system in this tissue in order to verify possible alterations indicative of oxidative stress. The aim of this study was to evaluate some parameters of antioxidant system of the dental pulp of healthy (n = 8) and diabetic rats (n = 8). Diabetes was induced by streptozotocin in rats. Six weeks after diabetes induction, a pool of the dental pulp of the 4 incisors of each rat (healthy and diabetic) was used for the determination of total protein and sialic acid concentrations and catalase and peroxidase activities. Data were compared by a Student t test (p Dental pulps from both groups presented similar total protein concentrations and peroxidase activity. Dental pulps of diabetic rats exhibited significantly lower free, conjugated, and total sialic acid concentrations than those of control tissues. Catalase activity in diabetic dental pulps was significantly enhanced in comparison with that of control pulps. The result of the present study is indicative of oxidative stress in the dental pulp caused by diabetes. The increase of catalase activity and the reduction of sialic acid could be resultant of reactive oxygen species production.

  1. Electrolytic Polishing and Etching Techniques for Preparing Specimens of Bismuth and Antimony and Their Alloys: Materials and Structures.

    DTIC Science & Technology

    Electrolytic polishing was performed in a solution of methyl alcohol, sulphuric acid , hydrocloric acid and ethylene glycol. Etching was done...electrolytically in a 5 percent chromic acid solution. Use of these techniques has permitted detailed studies of the microstructures of bismuth-antimony single

  2. Non-thermal Atmospheric Plasma Treatment for Deactivation of Oral Bacteria and Improvement of Dental Composite Restoration

    NASA Astrophysics Data System (ADS)

    Yu, Qing Song; Li, H.; Ritts, A. C.; Yang, B.; Chen, M.; Hong, L.; Xu, C.; Yao, X.; Wang, Y.

    This paper reviews our recent research results of using non-thermal ­atmospheric plasmas for oral bacterial deactivation and for composite restoration improvement. Oral bacteria of Streptococcus mutans (S. mutans) and Lactobacillus acidophilus (L. acidophilus) with an initial bacterial population density between 1.0 × 108 and 5.0 × 108 cfu/ml were seeded on various media and their survivability with plasma exposure was examined. The plasma exposure time for a 99.9999% cell reduction was less than 15 s for S. mutans and within 5 min for L. acidophilus. To evaluate the dentin/composite interfacial bonding, extracted unerupted human third molars were used by removing the crowns and etching the exposed dentin surfaces with 35% phosphoric acid gel. After dental composite application and light curing, the teeth were then sectioned into micro-bars as the specimens for microtensile test. Student Newman Keuls (SNK) tests showed that the bonding strength of the composite restoration to peripheral dentin was significantly increased (by 64%) after 30 s plasma treatment of the dentin surfaces. These findings indicated that non-thermal atmospheric plasma technology is very promising for dental clinical applications.

  3. Effect of Minocycline on the Durability of Dentin Bonding Produced with Etch-and-Rinse Adhesives.

    PubMed

    Loguercio, A D; Stanislawczuk, R; Malaquias, P; Gutierrez, M F; Bauer, J; Reis, A

    2016-01-01

    To evaluate the effect of minocycline and chlorhexidine pretreatment of acid-etched dentin on the longevity of resin-dentin bond strength (μTBS) and nanoleakage of two-step etch-and-rinse adhesives. Before application of Prime & Bond NT and Adper Single Bond 2 in occlusal dentin, the dentin surfaces were treated with 37% phosphoric acid, rinsed, air-dried, and rewetted with water (control group), 2% minocycline, or 2% chlorexidine digluconate. Composite buildups were constructed incrementally, and specimens were longitudinally sectioned to obtain bonded sticks (0.8 mm 2 ) to be tested in tension (0.5 mm/min) immediately or after 24 months of water storage. For nanoleakage, two specimens of each tooth/period were immersed in the silver nitrate solution, photo-developed, and polished with SiC paper for analysis under energy-dispersive X-ray spectroscopy/scanning electron microscopy. Reductions of the μTBS and increases in the nanoleakage were observed for both adhesives when the rewetting procedure was performed with water. Stable bonds were observed for the 2% minocycline and 2% chlorexidine digluconate groups after 24 months. The use of 2% minocycline as pretreatment of acid-etched dentin is one alternative to retard the degradation of resin-dentin interfaces over a 24-month period as well as 2% chlorexidine digluconate.

  4. Etching method for photoresists or polymers

    NASA Technical Reports Server (NTRS)

    Lerner, Narcinda R. (Inventor); Wydeven, Theodore J., Jr. (Inventor)

    1991-01-01

    A method for etching or removing polymers, photoresists, and organic contaminants from a substrate is disclosed. The method includes creating a more reactive gas species by producing a plasma discharge in a reactive gas such as oxygen and contacting the resulting gas species with a sacrificial solid organic material such as polyethylene or polyvinyl fluoride, reproducing a highly reactive gas species, which in turn etches the starting polymer, organic contaminant, or photoresist. The sample to be etched is located away from the plasma glow discharge region so as to avoid damaging the substrate by exposure to high energy particles and electric fields encountered in that region. Greatly increased etching rates are obtained. This method is highly effective for etching polymers such as polyimides and photoresists that are otherwise difficult or slow to etch downstream from an electric discharge in a reactive gas.

  5. Anisotropic Etching Using Reactive Cluster Beams

    NASA Astrophysics Data System (ADS)

    Koike, Kunihiko; Yoshino, Yu; Senoo, Takehiko; Seki, Toshio; Ninomiya, Satoshi; Aoki, Takaaki; Matsuo, Jiro

    2010-12-01

    The characteristics of Si etching using nonionic cluster beams with highly reactive chlorine-trifluoride (ClF3) gas were examined. An etching rate of 40 µm/min or higher was obtained even at room temperature when a ClF3 molecular cluster was formed and irradiated on a single-crystal Si substrate in high vacuum. The etching selectivity of Si with respect to a photoresist and SiO2 was at least 1:1000. We also succeeded in highly anisotropic etching with an aspect ratio of 10 or higher. Moreover, this etching method has a great advantage of low damage, compared with the conventional plasma process.

  6. Bio-inspired silicon nanospikes fabricated by metal-assisted chemical etching for antibacterial surfaces

    NASA Astrophysics Data System (ADS)

    Hu, Huan; Siu, Vince S.; Gifford, Stacey M.; Kim, Sungcheol; Lu, Minhua; Meyer, Pablo; Stolovitzky, Gustavo A.

    2017-12-01

    The recently discovered bactericidal properties of nanostructures on wings of insects such as cicadas and dragonflies have inspired the development of similar nanostructured surfaces for antibacterial applications. Since most antibacterial applications require nanostructures covering a considerable amount of area, a practical fabrication method needs to be cost-effective and scalable. However, most reported nanofabrication methods require either expensive equipment or a high temperature process, limiting cost efficiency and scalability. Here, we report a simple, fast, low-cost, and scalable antibacterial surface nanofabrication methodology. Our method is based on metal-assisted chemical etching that only requires etching a single crystal silicon substrate in a mixture of silver nitrate and hydrofluoric acid for several minutes. We experimentally studied the effects of etching time on the morphology of the silicon nanospikes and the bactericidal properties of the resulting surface. We discovered that 6 minutes of etching results in a surface containing silicon nanospikes with optimal geometry. The bactericidal properties of the silicon nanospikes were supported by bacterial plating results, fluorescence images, and scanning electron microscopy images.

  7. Do matrix metalloproteinase inhibitors improve the bond durability of universal dental adhesives?

    PubMed

    Tekçe, Neslihan; Tuncer, Safa; Demirci, Mustafa; Balci, Sibel

    2016-11-01

    The aim of this study was to evaluate the effects of matrix metalloproteinases (MMPs) inhibitors on the microtensile bond strength (μTBS) and the adhesive-dentin interface of two universal dentin bonding agents, Single Bond Universal and All Bond Universal, after 12 months of water storage. Seventy extracted, caries-free, human third molars were used in this study. Of these, 50 were used for μTBS testing and 20 were used for scanning electron microscopy. The two bonding agents were applied to flat dentin surfaces in five different ways: self-etch mode, etch-and-rinse mode with 37% phosphoric acid, etch-and-rinse mode with phosphoric acid containing 1% benzalkonium chloride, etch-and-rinse mode with phosphoric acid and 2% chlorhexidine, and etch-and-rinse mode with 0.5 M ethylenediaminetetraacetic acid (EDTA) (n = 5 for each bonding agent in each group; N = 50). Half the specimens were subjected to μTBS tests at 24 h, while half were subjected to the tests after 12 months of water storage. For each bonding agent, inhibition, storage, and their interaction effects were tested by two-way analysis of variance and Bonferroni tests. For Single Bond Universal, the benzalkonium chloride (p = 0.024) and chlorhexidine groups (p = 0.033) exhibited significantly higher μTBS values at 24 h compared with the self-etch group. For All Bond Universal, all groups displayed similar bond strengths at 24 h (p > 0.05). After 12 months of water storage, the μTBS values decreased significantly in the benzalkonium chloride group for Single Bond Universal (p = 0.001) and the self-etch (p = 0.029), chlorhexidine (p = 0.046), and EDTA (p = 0.032) groups for All Bond Universal. These results suggest that the immediate dentin bond strength increases when universal bonding systems are applied in the etch-and-rinse mode, although the durability decreases. The use of chlorhexidine and EDTA can increase the bond durability of mild adhesives such as

  8. Alternating SiCl4/O2 passivation steps with SF6 etch steps for silicon deep etching

    NASA Astrophysics Data System (ADS)

    Duluard, C. Y.; Ranson, P.; Pichon, L. E.; Pereira, J.; Oubensaid, E. H.; Lefaucheux, P.; Puech, M.; Dussart, R.

    2011-06-01

    Deep etching of silicon has been investigated in an inductively coupled plasma etch reactor using short SiCl4/O2 plasma steps to passivate the sidewalls of the etched structures. A study was first carried out to define the appropriate parameters to create, at a substrate temperature of -20 °C, a passivation layer by SiCl4/O2 plasma that resists lateral chemical etching in SF6 plasma. The most efficient passivation layer was obtained for a SiCl4/O2 gas flow ratio of 2:1, a pressure of 1 Pa and a source power of 1000 W. Ex situ analyses on a film deposited with these parameters show that it is very rich in oxygen. Silicon etching processes that alternate SF6 plasma etch steps with SiCl4/O2 plasma passivation steps were then developed. Preliminary tests in pulsed-mode conditions have enabled etch rates greater than 2 µm min-1 with selectivities higher than 220. These results show that it is possible to develop a silicon deep etching process at substrate temperatures around -20 °C that uses low SiCl4 and O2 gas flows instead of conventional fluorocarbon gases for sidewall protection.

  9. The acid-base resistant zone in three dentin bonding systems.

    PubMed

    Inoue, Go; Nikaido, Toru; Foxton, Richard M; Tagami, Junji

    2009-11-01

    An acid-base resistant zone has been found to exist after acid-base challenge adjacent to the hybrid layer using SEM. The aim of this study was to examine the acid-base resistant zone using three different bonding systems. Dentin disks were applied with three different bonding systems, and then a resin composite was light-cured to make dentin disk sandwiches. After acid-base challenge, the polished surfaces were observed using SEM. For both one- and two-step self-etching primer systems, an acid-base resistant zone was clearly observed adjacent to the hybrid layer - but with differing appearances. For the wet bonding system, the presence of an acid-base resistant zone was unclear. This was because the self-etching primer systems etched the dentin surface mildly, such that the remaining mineral phase of dentin and the bonding agent yielded clear acid-base resistant zones. In conclusion, the acid-base resistant zone was clearly observed when self-etching primer systems were used, but not so for the wet bonding system.

  10. Dual-peak long-period fiber gratings with enhanced refractive index sensitivity by finely tailored mode dispersion that uses the light cladding etching technique.

    PubMed

    Chen, Xianfeng; Zhou, Kaiming; Zhang, Lin; Bennion, Ian

    2007-02-01

    We have experimentally investigated the mode dispersion property and refractive index sensitivity of dual-peak long-period fiber gratings (LPGs) that were sensitized by hydrofluoric acid (HF) etching. The nature of the coupled cladding modes close to the dispersion turning point makes the dual-peak LPGs ultrasensitive to cladding property, permitting a fine tailoring of the mode dispersion and index sensitivity by the light cladding etching method using HF acid of only 1% concentration. As an implementation of an optical biosensor, the etched device was used to detect the concentration of hemoglobin protein in a sugar solution, showing a sensitivity as high as 20 nm/1%.

  11. Method for dry etching of transition metals

    DOEpatents

    Ashby, Carol I. H.; Baca, Albert G.; Esherick, Peter; Parmeter, John E.; Rieger, Dennis J.; Shul, Randy J.

    1998-01-01

    A method for dry etching of transition metals. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorous-containing .pi.-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/.pi.-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the .pi.-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the .pi.-acceptor ligand for forming the volatile transition metal/.pi.-acceptor ligand complex.

  12. Comparative efficacy of Er,Cr:YSGG and Er:YAG lasers for etching of composite for orthodontic bracket bonding.

    PubMed

    Mirhashemi, Amir Hossein; Chiniforush, Nasim; Sharifi, Nastaran; Hosseini, Amir Mehdi

    2018-05-01

    Several techniques have been proposed to obtain a durable bond, and the efficacy of these techniques is assessed by measuring parameters such as bond strength. Laser has provided a bond strength as high as that of acid etching in vitro and has simpler use with shorter clinical time compared to acid etching. This study aimed to compare the efficacy of Er:YAG and Er,Cr:YSGG lasers for etching and bonding of composite to orthodontic brackets. No previous study has evaluated the effect of these particular types of laser. A total of 70 composite blocks were randomly divided into five groups (n = 14): group 1, etching with phosphoric acid for 20 s; group 2, Er:YAG laser irradiation with 2 W power for 10 s; group 3, Er:YAG laser with 3 W power for 10 s; group 4, Er,Cr:YSGG laser with 2 W power for 10 s; group 5, Er,Cr:YSGG laser with 3 W power for 10 s. Metal brackets were then bonded to composites, and after 5000 thermal cycles, they were subjected to shear bond strength test in a universal testing machine after 24 h of water storage. One sample of each group was evaluated under a scanning electron microscope (SEM) to assess changes in composite surface after etching. The adhesive remnant index (ARI) was calculated under a stereomicroscope. Data were statistically analyzed. The mean and standard deviation of shear bond strength were 18.65 ± 3.36, 19.68 ± 5.34, 21.31 ± 4.03, 17.38 ± 6.94, and 16.45 ± 4.26 MPa in groups 1-5, respectively. The ARI scores showed that the bond failure mode in all groups was mainly mixed. The groups were not significantly different in terms of shear bond strength. Er:YAG and Er,Cr:YSGG lasers with the mentioned parameters yield optimal shear bond strength and can be used as an alternative to acid etching for bracket bond to composite.

  13. Optimization of etching and reading procedures for the Autoscan 60 track etch system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McKeever, R.; Devine, R.; Coennen, C.

    1997-02-11

    The Los Alamos National Laboratory is charged with measuring the occupational exposure to radiological workers and contractors throughout the Laboratory, which includes many different sites with multiple and varied radiation fields. Of concern here are the high energy neutrons such as those generated during accelerator operations at Los Alamos Neutron Science Center (LANSCE). In 1993, the Los Alamos National Laboratory purchased an Autoscan 60 automated reader for use with chemically etched CR39 detectors. The dosimeter design employed at LANL uses a plastic, hemispherical case, encompassing a polystyrene pyramidal detector holder. The pyramidal holder supports three detectors at a 35{degree} angle.more » Averaging the results of the three detectors minimizes the angular dependence normally associated with a planar dosimeter. The Autoscan 60 is an automated reading system for use with CR39 chemical etch detectors. The detectors are immersed in an etch solution to enhance the visibility of the damage sites caused by recoil proton impact with the hydrogen atoms in the detector. The authors decided to increase the etch time from six hours to 15 hours, while retaining the 70 C temperature. The reason for the change in the etch is to enhance the sensitivity and precision of the CR39 detector as indicated by this study.« less

  14. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  15. Etching Behavior of Aluminum Alloy Extrusions

    NASA Astrophysics Data System (ADS)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  16. Method for dry etching of transition metals

    DOEpatents

    Ashby, C.I.H.; Baca, A.G.; Esherick, P.; Parmeter, J.E.; Rieger, D.J.; Shul, R.J.

    1998-09-29

    A method for dry etching of transition metals is disclosed. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorus-containing {pi}-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/{pi}-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the {pi}-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the {pi}-acceptor ligand for forming the volatile transition metal/{pi}-acceptor ligand complex.

  17. Nd:YAG laser ablation and acid resistance of enamel.

    PubMed

    Kwon, Yong Hoon; Kwon, Oh-Won; Kim, Hyung-Il; Kim, Kyo-Han

    2003-09-01

    The acid resistance of Nd:YAG laser-ablated enamel surfaces was studied by evaluating crystal structure, mineral distribution, and fluorescence radiance and image in the present study. For comparison, 37% phosphoric acid etching was performed. The formation of beta-tricalcium phosphate (beta-TCP) was confirmed in the laser-ablated surface. The Ca/P ratio increased after ablation due to mineral re-distribution. In contrast, the Ca/P ratio decreased after acid etching due to mineral loss. The laser-ablated enamels showed a smaller increase of fluorescence radiances and less clear laser confocal scanning microscope images than those observed in the acid-etched enamels. The former suggests a minimized mineral loss. The Nd:YAG laser irradiation will enhance the acid resistance and retard the carious progression in enamel.

  18. Dental biothermophotonics: How photothermal methods are winning the race with X-rays for dental caries diagnostic needs of clinical dentistry

    NASA Astrophysics Data System (ADS)

    Mandelis, A.; Jeon, R.; Matvienko, A.; Abrams, S. H.; Amaechi, B. T.

    2008-01-01

    Recent trends in biothermophotonics of teeth are presented. The presentation is centered on the development of clinical-level frequency-domain photothermal radiometry and modulated luminescence to address issues associated with the early diagnosis of demineralization caries in human teeth. Biothermophotonic principles and applications to the detection of the carious state in human teeth as embodied by laser photothermal radiometry are presented and further supported by modulated luminescence. The emphasis is on recent developments with regard to abilities of these techniques to diagnose interproximal lesions between teeth, etching with phosphoric acid and with an artificial demineralization gel in order to simulate early demineralization, as well as demineralization and remineralization of dental crown enamel and root dentin. These are lesions which normally go undetected by X-ray radiographs. Comparisons with X rays, Micro-Computed Tomography (μ-CT) and Transverse Micro-Radiography (TMR) are discussed. A theoretical model involving coupled diffuse photon density and thermal-wave fields is developed and applied to frequency scans from demineralized artificial lesions to produce quantitative values for optical and thermophysical parameters of teeth as well as the thickness of the induced lesion.

  19. Anisotropic Hydrogen Etching of Chemical Vapor Deposited Graphene

    NASA Astrophysics Data System (ADS)

    Zhang, Yi; Li, Zhen; Zhang, Luyao; Kim, Pyojae; Zhou, Chongwu

    2012-02-01

    In terms of the preparation of graphene, chemical vapor deposition (CVD) has raised its popularity as a scalable and cost effective approach for graphene synthesis. While the formation of graphene on copper foil has been intensively studied, the reverse reaction of graphene reacts with hydrogen has not been systematically studied. In this talk we will present a simple, clean, and highly anisotropic hydrogen etching method for CVD graphene catalyzed by the copper substrate. By exposing CVD graphene on copper foil to hydrogen flow around 800 ^oC, we observed that the initially continuous graphene can be etched to have many hexagonal openings. In addition, we found that the etching is temperature dependent and the etching of graphene at 800 oC is most efficient and anisotropic. 80% of the angles of graphene edges after etching are 120^o, indicating the etching is highly anisotropic. No increase of D band along the etched edges indicates that the crystallographic orientation of etching is zigzag direction. Furthermore, we observed that copper played an important role in catalyzing the etching reaction, as no etching was observed for graphene transferred to Si/SiO2 under similar conditions. This highly anisotropic hydrogen etching technology may work as a simple and convenient way to determine graphene crystal orientation and grain size, and may enable the etching of graphene into nanoribbons for electronic applications.

  20. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    NASA Astrophysics Data System (ADS)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  1. Bonding performance of universal adhesives to er,cr:YSGG laser-irradiated enamel.

    PubMed

    Ayar, Muhammet Kerim; Erdemir, Fatih

    2017-04-01

    Universal adhesives have been recently introduced for use as self-etch or etch-and-rinse adhesives depending on the dental substrate and clinical condition. However, their bonding effectiveness to laser-irradiated enamel is still not well-known. Thus, the aim of this study was to compare the shear bond strength (SBS) of universal adhesives (Single Bond Universal; Nova Compo-B Plus) applied to Er,Cr:YSGG laser-irradiated enamel with SBS of the same adhesives applied in self-etch and acid-etching modes, respectively. Crown segments of sixty bovine incisors were embedded into standardized acrylic blocks. Flattened enamel surfaces were prepared. Specimens were divided into six groups according to universal adhesives and application modes randomly (n = 10), as follows: Single Bond Universal/acid-etching mode; Nova Compo-B Plus/acid-etching mode; Single Bond Universal/self-etching mode; Nova Compo-B Plus/self-etching mode; and Single Bond Universal/Er,Cr:YSGG Laser-etching mode; Nova Compo-B Plus/Er,Cr:YSGG Laser-etching mode. After surface treatments, universal adhesives were applied onto surfaces. SBS was determined after storage in water for 24 h using a universal testing machine with a crosshead speed of 0.5 mm min -1 . Failure modes were evaluated using a stereomicroscope. Data was analyzed using two-way of analyses of variances (ANOVA) (p = 0.05). Two-way ANOVA revealed that adhesive had no effect on SBS (p = 0.88), but application mode significantly influenced SBS (p = 0.00). Acid-etching significantly increased SBS, whereas there are no significant differences between self-etch mode and laser-etching for both adhesives. The bond strength of universal adhesives may depend on application mode. Acid etching may significantly increase bond strength, while laser etching may provide similar bond strength when compared to self-etch mode. © 2016 Wiley Periodicals, Inc.

  2. Graphene nanoribbons: Relevance of etching process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simonet, P., E-mail: psimonet@phys.ethz.ch; Bischoff, D.; Moser, A.

    2015-05-14

    Most graphene nanoribbons in the experimental literature are patterned using plasma etching. Various etching processes induce different types of defects and do not necessarily result in the same electronic and structural ribbon properties. This study focuses on two frequently used etching techniques, namely, O{sub 2} plasma ashing and O{sub 2 }+ Ar reactive ion etching (RIE). O{sub 2} plasma ashing represents an alternative to RIE physical etching for sensitive substrates, as it is a more gentle chemical process. We find that plasma ashing creates defective graphene in the exposed trenches, resulting in instabilities in the ribbon transport. These are probably caused bymore » more or larger localized states at the edges of the ashed device compared to the RIE defined device.« less

  3. In-Situ Atomic Force Microscope Imaging of Calcite Etch Pit Morphology Changes in Undersaturated and 1-Hydroxyethylidene-1,1-diphosphonic Acid Poisoned Solutions

    PubMed Central

    Britt, David W.

    2012-01-01

    Morphology changes in etch pits formed on the (1014) cleavage plane of calcite were induced by varying the ratio of [Ca2+] to [CO32−] in the bulk solution as well as through the addition of the crystal poison 1-hydroxyethylidene-1,1-diphosphonic acid (HEDP). Three distinct morphologies were noted: symmetric rhombic, asymmetric rhombic, and triangular with a rough curved hypotenuse. The latter represents a transient morphology which is only observed during the actual dissolution process, while the former morphologies persist after dissolution is halted. PMID:25125794

  4. ent-Kaurenoic acid-rich extract from Mikania glomerata: In vitro activity against bacteria responsible for dental caries.

    PubMed

    Moreira, Monique Rodrigues; Souza, Ariana Borges; Soares, Sandra; Bianchi, Thamires Chiquini; de Souza Eugênio, Daniele; Lemes, Danieli Cristina; Martins, Carlos Henrique Gomes; da Silva Moraes, Thaís; Tavares, Denise Crispim; Ferreira, Natália Helen; Ambrósio, Sergio Ricardo; Veneziani, Rodrigo Cassio Sola

    2016-07-01

    Many studies have reported that medicinal plant extracts can inhibit oral pathogen growth or adhesion to surfaces and therefore reduce dental caries formation. The addition of these extracts to oral products like mouthwashes and dentifrices is considered an important strategy in caries control. In this sense, we have developed a Mikania glomerata extract with high ent-kaurenoic acid content (KAMg). So, this work describes the preparation of such extract and the development of a validated HPLC-DAD method to determine its ent-kaurenoic acid (KA) content. Herein it is also described the KAMg in vitro antibacterial evaluation against several cariogenic bacteria in comparison with KA and the investigation of further aspects of the KAMg activity. Toxicological aspects of the developed extract were evaluated by assessing its cytotoxicity and genotoxicity. KA and a KA-rich extract like KAMg showed to inhibit the growth of microorganisms responsible for dental caries at relatively low MIC (Minimum inhibitory concentration) values, albeit not as low as the MIC value obtained for chlorhexidine digluconate (CHD), the golden anticariogenic standard approved by the American Dental Association Council on Dental Therapeutics. However, KAMg was more effective to inhibit the formation of a Streptococcus mutans biofilm with four times lower MICB50 (minimum inhibitory concentration that reduces 50% of the biofilm) value as compared with CHD. Taking into account all these data and considering the absence of genotoxic and cytotoxic activity under the tested conditions, it is suggested that KAMg is a natural product to be considered as active ingredient in oral care products. Copyright © 2016 Elsevier B.V. All rights reserved.

  5. Protection From Dental Erosion: All Fluorides are Not Equal.

    PubMed

    Faller, Robert V; Noble, Warden H

    2018-03-01

    All fluoride sources help strengthen teeth against bacterial acids that cause caries. However, excessive exposure to dietary acids, which can result in dental erosion, presents a more aggressive level of challenge compared to caries. Despite the fact that almost all toothpastes contain fluoride, both the incidence and prevalence of dental erosion appear to be on the rise. This article: (1) describes key differences between caries and dental erosion and the ability of different fluoride sources to help prevent erosion; (2) discusses the importance of the evaluation of patients for dental erosion at the earliest stages using the Basic Erosive Wear Examination scoring system to help assess and educate patients; and (3) provides evidence-based information for making specific recommendations to patients with dental erosion. The objective of this article is to assess the comparative ability of fluoride agents to protect against dental erosion. Though all fluorides are able to help strengthen teeth against cariogenic acids, not all available sources of fluoride provide the same level of erosion protection. Daily use of a stabilized stannous fluoride dentifrice has been shown to provide the most effective means of protecting teeth against the increasing risk of dental erosion and erosive tooth wear.

  6. Effect of Storage Time on Bond Strength and Nanoleakage Expression of Universal Adhesives Bonded to Dentin and Etched Enamel.

    PubMed

    Makishi, P; André, C B; Ayres, Apa; Martins, A L; Giannini, M

    2016-01-01

    To investigate bond strength and nanoleakage expression of universal adhesives (UA) bonded to dentin and etched enamel. Extracted human third molars were sectioned and ground to obtain flat surfaces of dentin (n = 36) and enamel (n = 48). Dentin and etched enamel surfaces were bonded with one of two UAs, All-Bond Universal (ABU) or Scotchbond Universal (SBU); or a two-step self-etching adhesive, Clearfil SE Bond (CSEB). A hydrophobic bonding resin, Adper Scotchbond Multi-Purpose Bond (ASMP Bond) was applied only on etched enamel. Following each bonding procedure, resin composite blocks were built up incrementally. The specimens were sectioned and subjected to microtensile bond strength (MTBS) testing after 24 hours or one year water storage, or immersed into ammoniacal silver nitrate solution after aging with 10,000 thermocycles and observed using scanning electron microscopy. The percentage distribution of silver particles at the adhesive/tooth interface was calculated using digital image-analysis software. The MTBS (CSEB = SBU > ABU, for dentin; and CSEB > ABU = SBU = ASMP Bond, for etched enamel) differed significantly between the adhesives after 24 hours. After one year, MTBS values were reduced significantly within the same adhesive for both substrates (analysis of variance, Bonferroni post hoc, p<0.05), and no significant differences were found among the adhesives for etched enamel. Silver particles could be detected within the adhesive/dentin interface of all specimens tested. Kruskal-Wallis mean ranks for nanoleakage in ABU, SBU, and CSEB were 16.9, 18.5 and 11, respectively (p>0.05). In the short term, MTBS values were material and dental-substrate dependent. After aging, a decrease in bonding effectiveness was observed in all materials, with nanoleakage at the adhesive/dentin interface. The bonding of the UAs was equal or inferior to that of the conventional restorative systems when applied to either substrate and after either storage period.

  7. [Evaluation of the effect of one-step self etching adhesives applied in pit and fissure sealing].

    PubMed

    Su, Hong-Ru; Xu, Pei-Cheng; Qian, Wen-Hao

    2016-06-01

    To observe the effect of three one-step self etching adhesive systems used in fit and fissure sealant and explore the feasibility of application in caries prevention in school. Seven hundred and twenty completely erupted mandibular first molars in 360 children aged 7 to 9 years old were chosen. The split-mouth design was used to select one side as the experimental group, divided into A1(Easy One Adper), B1(Adper Easy One), and C1(iBond SE).The contra lateral teeth served as A2,B2 and C2 groups (phosphoric acid). The retention and caries status were regularly reviewed .The clinical effect of the two groups was compared using SPSS19.0 software package for Chi - square test. At 3 and 6 months, pit and fissure sealant retention rate in A1 and A2, B1 and B2,C1 and C2 group had no significant difference. At 12 months, sealant retention in A1 and B1 group was significantly lower than A2 and B2 group (P<0.05). No significant difference was found between C1 and C2 groups (P>0.05). At 24 months, sealant retention rate in A1, B1 and C1 group was significantly lower than A2, B2 and C2 group (P<0.05). The caries rate in A1and A2, B1 and B2, C1 and C2 group had no significant difference during different follow-up time (P>0.05). The clinical anticariogenic effect of three kinds of one-step etching adhesives and phosphoric acid etching sealant was similar .One-step self etching adhesive system was recommended for pit and fissure sealant to improve the students' oral health. The long-term retention rate of one-step self etching adhesive system was lower than the phosphoric acid method to long term observation is needed.

  8. Mechanisms of Hydrocarbon Based Polymer Etch

    NASA Astrophysics Data System (ADS)

    Lane, Barton; Ventzek, Peter; Matsukuma, Masaaki; Suzuki, Ayuta; Koshiishi, Akira

    2015-09-01

    Dry etch of hydrocarbon based polymers is important for semiconductor device manufacturing. The etch mechanisms for oxygen rich plasma etch of hydrocarbon based polymers has been studied but the mechanism for lean chemistries has received little attention. We report on an experimental and analytic study of the mechanism for etching of a hydrocarbon based polymer using an Ar/O2 chemistry in a single frequency 13.56 MHz test bed. The experimental study employs an analysis of transients from sequential oxidation and Ar sputtering steps using OES and surface analytics to constrain conceptual models for the etch mechanism. The conceptual model is consistent with observations from MD studies and surface analysis performed by Vegh et al. and Oehrlein et al. and other similar studies. Parameters of the model are fit using published data and the experimentally observed time scales.

  9. Relationship between mechanical properties of one-step self-etch adhesives and water sorption.

    PubMed

    Hosaka, Keiichi; Nakajima, Masatoshi; Takahashi, Masahiro; Itoh, Shima; Ikeda, Masaomi; Tagami, Junji; Pashley, David H

    2010-04-01

    The purpose of this study was to evaluate the relationship between changes in the modulus of elasticity and ultimate tensile strength of one-step self-etch adhesives, and their degree of water sorption. Five one-step self-etch adhesives, Xeno IV (Dentsply Caulk), G Bond (GC Corp.), Clearfil S3 Bond (Kuraray Medical Inc.), Bond Force (Tokuyama Dental Corp.), and One-Up Bond F Plus (Tokuyama Dental Corp.) were used. Ten dumbelled-shaped polymers of each adhesive were used to obtain the modulus of elasticity by the three-point flexural bending test and the ultimate tensile strength by microtensile testing. The modulus of elasticity and the ultimate tensile strength were measured in both dry and wet conditions before/after immersion in water for 24h. Water sorption was measured, using a modification of the ISO-4049 standard. Each result of the modulus of elasticity and ultimate tensile strength was statistically analyzed using a two-way ANOVA and the result of water sorption was statistically analyzed using a one-way ANOVA. Regression analyses were used to determine the correlations between the modulus of elasticity and the ultimate tensile strength in dry or wet states, and also the percent decrease in these properties before/after immersion of water vs. water sorption. In the dry state, the moduli of elasticity of the five adhesive polymers varied from 948 to 1530 MPa, while the ultimate tensile strengths varied from 24.4 to 61.5 MPa. The wet specimens gave much lower moduli of elasticity (from 584 to 1073 MPa) and ultimate tensile strengths (from 16.5 to 35.0 MPa). Water sorption varied from 32.1 to 105.8 g mm(-3). The moduli of elasticity and ultimate tensile strengths of the adhesives fell significantly after water-storage. Water sorption depended on the constituents of the adhesive systems. The percent decreases in the ultimate tensile strengths of the adhesives were related to water sorption, while the percent reductions in the moduli of elasticity of the

  10. Effect of beverages on bovine dental enamel subjected to erosive challenge with hydrochloric acid.

    PubMed

    Amoras, Dinah Ribeiro; Corona, Silmara Aparecida Milori; Rodrigues, Antonio Luiz; Serra, Mônica Campos

    2012-01-01

    This study evaluated by an in vitro model the effect of beverages on dental enamel previously subjected to erosive challenge with hydrochloric acid. The factor under study was the type of beverage, in five levels: Sprite® Zero Low-calorie Soda Lime (positive control), Parmalat® ultra high temperature (UHT) milk, Ades® Original soymilk, Leão® Ice Tea Zero ready-to-drink low-calorie peach-flavored black teaand Prata® natural mineral water (negative control). Seventy-five bovine enamel specimens were distributed among the five types of beverages (n=15), according to a randomized complete block design. For the formation of erosive wear lesions, the specimens were immersed in 10 mL aqueous solution of hydrochloric acid 0.01 M for 2 min. Subsequently, the specimens were immersed in 20 mL of the beverages for 1 min, twice daily for 2 days at room temperature. In between, the specimens were kept in 20 mL of artificial saliva at 37ºC. The response variable was the quantitative enamel microhardness. ANOVA and Tukey's test showed highly significant differences (p<0.00001) in the enamel exposed to hydrochloric acid and beverages. The soft drink caused a significantly higher decrease in microhardness compared with the other beverages. The black tea caused a significantly higher reduction in microhardness than the mineral water, UHT milk and soymilk, but lower than the soft drink. Among the analyzed beverages, the soft drink and the black tea caused the most deleterious effects on dental enamel microhardness.

  11. Semiconductor etching by hyperthermal neutral beams

    NASA Technical Reports Server (NTRS)

    Minton, Timothy K. (Inventor); Giapis, Konstantinos P. (Inventor)

    1999-01-01

    An at-least dual chamber apparatus and method in which high flux beams of fast moving neutral reactive species are created, collimated and used to etch semiconductor or metal materials from the surface of a workpiece. Beams including halogen atoms are preferably used to achieve anisotropic etching with good selectivity at satisfactory etch rates. Surface damage and undercutting are minimized.

  12. Trends in Dielectric Etch for Microelectronics Processing

    NASA Astrophysics Data System (ADS)

    Hudson, Eric A.

    2003-10-01

    Dielectric etch technology faces many challenges to meet the requirements for leading-edge microelectronics processing. The move to sub 100-nm device design rules increases the aspect ratios of certain features, imposes tighter restrictions on etched features' critical dimensions, and increases the density of closely packed arrays of features. Changes in photolithography are driving transitions to new photoresist materials and novel multilayer resist methods. The increasing use of copper metallization and low-k interlayer dielectric materials has introduced dual-damascene integration methods, with specialized dielectric etch applications. A common need is the selective removal of multiple layers which have very different compositions, while maintaining close control of the etched features' profiles. To increase productivity, there is a growing trend toward in-situ processing, which allows several films to be successively etched during a single pass through the process module. Dielectric etch systems mainly utilize capacitively coupled etch reactors, operating with medium-density plasmas and low gas residence time. Commercial technology development increasingly relies upon plasma diagnostics and modeling to reduce development cycle time and maximize performance.

  13. The influence of temporary cements on dental adhesive systems for luting cementation.

    PubMed

    Ribeiro, José C V; Coelho, Paulo G; Janal, Malvin N; Silva, Nelson R F A; Monteiro, André J; Fernandes, Carlos A O

    2011-03-01

    This study tested the hypothesis that bond strength of total- and self-etching adhesive systems to dentine is not affected by the presence of remnants from either eugenol-containing (EC) or eugenol-free (EF) temporary cements after standardized cleaning procedures. Thirty non-carious human third molars were polished flat to expose dentine surfaces. Provisional acrylic plates were fabricated and cemented either with EC, EF or no temporary cements. All specimens were incubated for 7 days in water at 37°C. The restorations were then taken out and the remnants of temporary cements were mechanically removed with a dental instrument. The dentine surfaces were cleaned with pumice and treated with either total-etching (TE) or self-etching (SE) dental adhesive systems. Atomic force microscopy was used to examine the presence of remnants of temporary cements before and after dentine cleaning procedures. Composite resin build-ups were fabricated and cemented to the bonded dentine surfaces with a resin luting cement. The specimens were then sectioned to obtain 0.9mm(2) beams for microtensile bond strength testing. Fractographic analysis was performed by optical and scanning electron microscopy. ANOVA showed lower mean microtensile bond strength in groups of specimens treated with EC temporary cement than in groups treated with either no cement or an EF cement (p<0.05). Mean microtensile bond strength was lower in groups employing the SE rather than the TE adhesive system (p<0.001). SE samples were also more likely to fail during initial processing of the samples. There was no evidence of interaction between cement and adhesive system effects on tensile strength. Fractographic analysis indicated different primary failure modes for SE and TE bonding systems, at the dentine-adhesive interface and at the resin cement-resin composite interface, respectively. The use of eugenol-containing temporary cements prior to indirect bonding restorations reduce, to a statistically similar

  14. Triangle pore arrays fabricated on Si (111) substrate by sphere lithography combined with metal-assisted chemical etching and anisotropic chemical etching

    NASA Astrophysics Data System (ADS)

    Asoh, Hidetaka; Fujihara, Kosuke; Ono, Sachiko

    2012-07-01

    The morphological change of silicon macropore arrays formed by metal-assisted chemical etching using shape-controlled Au thin film arrays was investigated during anisotropic chemical etching in tetramethylammonium hydroxide (TMAH) aqueous solution. After the deposition of Au as the etching catalyst on (111) silicon through a honeycomb mask prepared by sphere lithography, the specimens were etched in a mixed solution of HF and H2O2 at room temperature, resulting in the formation of ordered macropores in silicon along the [111] direction, which is not achievable by conventional chemical etching without a catalyst. In the anisotropic etching in TMAH, the macropores changed from being circular to being hexagonal and finally to being triangular, owing to the difference in etching rate between the crystal planes.

  15. Inorganic Bi/In thermal resist as a high-etch-ratio patterning layer for CF4/CHF3/O2 plasma etch

    NASA Astrophysics Data System (ADS)

    Tu, Yuqiang; Chapman, Glenn H.; Peng, Jun

    2004-05-01

    Bimetallic thin films containing indium and with low eutectic points, such as Bi/In, have been found to form highly sensitive thermal resists. They can be exposed by lasers with a wide range of wavelengths and be developed by diluted RCA2 solutions. The exposed bimetallic resist Bi/In can work as an etch masking layer for alkaline-based (KOH, TMAH and EDP) "wet" Si anisotropic etching. Current research shows that it can also act as a patterning and masking layer for Si and SiO2 plasma "dry" etch using CF4/CHF3. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In, indicating that laser exposure is an oxidation process. Experiment result shows that single metal Indium film and bilayer Sn/In exhibit thermal resist characteristics but at higher exposure levels. They can be developed in diluted RCA2 solution and used as etch mask layers for Si anisotropic etch and plasma etch.

  16. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    NASA Astrophysics Data System (ADS)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  17. Effects of different etching methods and bonding procedures on shear bond strength of orthodontic metal brackets applied to different CAD/CAM ceramic materials.

    PubMed

    Buyuk, S Kutalmış; Kucukekenci, Ahmet Serkan

    2018-03-01

    To investigate the shear bond strength (SBS) of orthodontic metal brackets applied to different types of ceramic surfaces treated with different etching procedures and bonding agents. Monolithic CAD/CAM ceramic specimens (N = 120; n = 40 each group) of feldspathic ceramic Vita Mark II, resin nanoceramic Lava Ultimate, and hybrid ceramic Vita Enamic were fabricated (14 × 12 × 3 mm). Ceramic specimens were separated into four subgroups (n = 10) according to type of surface treatment and bonding onto the ceramic surface. Within each group, four subgroups were prepared by phosphoric acid, hydrofluoric acid, Transbond XT primer, and Clearfill Ceramic primer. Mandibular central incisor metal brackets were bonded with light-cure composite. The SBS data were analyzed using three-way analysis of variance (ANOVA) and Tukey HSD tests. The highest SBS was found in the Vita Enamic group, which is a hybrid ceramic, etched with hydrofluoric acid and applied Transbond XT Adhesive primer (7.28 ± 2.49 MPa). The lowest SBS was found in the Lava Ultimate group, which is a resin nano-ceramic etched with hydrofluoric acid and applied Clearfill ceramic primer (2.20 ± 1.21 MPa). CAD/CAM material types and bonding procedures affected bond strength ( P < .05), but the etching procedure did not ( P > .05). The use of Transbond XT as a primer bonding agent resulted in higher SBS.

  18. High density plasma etching of magnetic devices

    NASA Astrophysics Data System (ADS)

    Jung, Kee Bum

    Magnetic materials such as NiFe (permalloy) or NiFeCo are widely used in the data storage industry. Techniques for submicron patterning are required to develop next generation magnetic devices. The relative chemical inertness of most magnetic materials means they are hard to etch using conventional RIE (Reactive Ion Etching). Therefore ion milling has generally been used across the industry, but this has limitations for magnetic structures with submicron dimensions. In this dissertation, we suggest high density plasmas such as ECR (Electron Cyclotron Resonance) and ICP (Inductively Coupled Plasma) for the etching of magnetic materials (NiFe, NiFeCo, CoFeB, CoSm, CoZr) and other related materials (TaN, CrSi, FeMn), which are employed for magnetic devices like magnetoresistive random access memories (MRAM), magnetic read/write heads, magnetic sensors and microactuators. This research examined the fundamental etch mechanisms occurring in high density plasma processing of magnetic materials by measuring etch rate, surface morphology and surface stoichiometry. However, one concern with using Cl2-based plasma chemistry is the effect of residual chlorine or chlorinated etch residues remaining on the sidewalls of etched features, leading to a degradation of the magnetic properties. To avoid this problem, we employed two different processing methods. The first one is applying several different cleaning procedures, including de-ionized water rinsing or in-situ exposure to H2, O2 or SF6 plasmas. Very stable magnetic properties were achieved over a period of ˜6 months except O2 plasma treated structures, with no evidence of corrosion, provided chlorinated etch residues were removed by post-etch cleaning. The second method is using non-corrosive gas chemistries such as CO/NH3 or CO2/NH3. There is a small chemical contribution to the etch mechanism (i.e. formation of metal carbonyls) as determined by a comparison with Ar and N2 physical sputtering. The discharge should be NH3

  19. Adsorptive conversion of nitrogen dioxide from etching vent gases over activated carbon.

    PubMed

    Fang, Mei-Ling; Wu, Ching-Yi; Chou, Ming-Shean

    2018-04-13

    Some metal etching operations emit limited flow rates of waste gases with reddish-brown NO 2 fume, which may cause visual and acidic-odor complaints, as well as negative health effects. In this study, tests were performed by passing caustic-treated waste gases vented from Al-etching operations through columns packed either with virgin or regenerated granular activated carbon (GAC) to test their adsorptive conversion performance of NO 2 in the gases. The gases contained 5-55 ppm NO 2 and acetic and nitric acids of below 3 ppm. Exhausted carbon was regenerated by scrubbing it with caustic solution and water, and dried for further adsorption tests. Results indicate that with an (empty bed residence time (EBRT) of 0.15 sec for the gas through the GAC-packed space, around 60% of the influent NO 2 of 54 ppm could be removed, and 47% of the removed NO 2 was converted by and desorbed from the carbon as NO. GAC used in the present study could be regenerated at least twice to restore its capacity for NO 2 adsorption. Within EBRTs of 0.076-0.18 sec, the adsorptive conversion capacity was linearly varied with EBRT. In practice, with an EBRT of 0.20 sec, a conversion capacity of 0.80 kg NO 2 (kg GAC) -1 with an influent NO 2 of 40 ppm can be used as a basis for system design. Some metal etching operations emit waste gases with reddish-brown (yellow when diluted) NO 2 fume which may cause visual and acidic-odor complaints, as well as negative health effects. This study provides a simple process for the adsorptive conversion of NO 2 in caustic-treated waste gases vented from metal-etching operations through a GAC column. With an EBRT of 0.20 sec, a conversion capacity of 0.80 kg NO 2 (kg GAC) -1 with an influent NO 2 of 40 ppm can be used as a basis for system design. Saturated GAC can be regenerated at least twice by simply scrubbing it with aqueous caustic solution.

  20. Investigation of Nitride Morphology After Self-Aligned Contact Etch

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Keil, J.; Helmer, B. A.; Chien, T.; Gopaladasu, P.; Kim, J.; Shon, J.; Biegel, Bryan (Technical Monitor)

    2001-01-01

    Self-Aligned Contact (SAC) etch has emerged as a key enabling technology for the fabrication of very large-scale memory devices. However, this is also a very challenging technology to implement from an etch viewpoint. The issues that arise range from poor oxide etch selectivity to nitride to problems with post etch nitride surface morphology. Unfortunately, the mechanisms that drive nitride loss and surface behavior remain poorly understood. Using a simple langmuir site balance model, SAC nitride etch simulations have been performed and compared to actual etched results. This approach permits the study of various etch mechanisms that may play a role in determining nitride loss and surface morphology. Particle trajectories and fluxes are computed using Monte-Carlo techniques and initial data obtained from double Langmuir probe measurements. Etched surface advancement is implemented using a shock tracking algorithm. Sticking coefficients and etch yields are adjusted to obtain the best agreement between actual etched results and simulated profiles.

  1. The effect of dimethyl sulfoxide (DMSO) on dentin bonding and nanoleakage of etch-and-rinse adhesives.

    PubMed

    Tjäderhane, Leo; Mehtälä, Pekka; Scaffa, Polliana; Vidal, Cristina; Pääkkönen, Virve; Breschi, Lorenzo; Hebling, Josimeri; Tay, Franklin R; Nascimento, Fabio D; Pashley, David H; Carrilho, Marcela R

    2013-10-01

    The objective was to examine the effect of a solvent dimethyl sulfoxide (DMSO) on resin-dentin bond durability, as well as potential functional mechanisms behind the effect. Microtensile bond strength (μTBS) was evaluated in extracted human teeth in two separate experiments. Dentin specimens were acid-etched and assigned to pre-treatment with 0.5mM (0.004%) DMSO as additional primer for 30s and to controls with water pre-treatment. Two-step etch-and-rinse adhesive (Scotchbond 1XT, 3M ESPE) was applied and resin composite build-ups were created. Specimens were immediately tested for μTBS or stored in artificial saliva for 6 and 12 months prior to testing. Additional immediate and 6-month specimens were examined for interfacial nanoleakage analysis under SEM. Matrix metalloproteinase (MMP) inhibition by DMSO was examined with gelatin zymography. Demineralized dentin disks were incubated in 100% DMSO to observe the optical clearing effect. The use of 0.5mM DMSO had no effect on immediate bond strength or nanoleakage. In controls, μTBS decreased significantly after storage, but increased significantly in DMSO-treated group. The control group had significantly lower μTBS than DMSO-group after 6 and 12 months. DMSO also eliminated the increase in nanoleakage seen in controls. 5% and higher DMSO concentrations significantly inhibited the gelatinases. DMSO induced optical clearing effect demonstrating collagen dissociation. DMSO as a solvent may be useful in improving the preservation of long-term dentin-adhesive bond strength. The effect may relate to dentinal enzyme inhibition or improved wetting of collagen by adhesives. The collagen dissociation required much higher DMSO concentrations than the 0.5mM DMSO used for bonding. Copyright © 2013 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  2. Introduction of pre-etch deposition techniques in EUV patterning

    NASA Astrophysics Data System (ADS)

    Xiang, Xun; Beique, Genevieve; Sun, Lei; Labonte, Andre; Labelle, Catherine; Nagabhirava, Bhaskar; Friddle, Phil; Schmitz, Stefan; Goss, Michael; Metzler, Dominik; Arnold, John

    2018-04-01

    The thin nature of EUV (Extreme Ultraviolet) resist has posed significant challenges for etch processes. In particular, EUV patterning combined with conventional etch approaches suffers from loss of pattern fidelity in the form of line breaks. A typical conventional etch approach prevents the etch process from having sufficient resist margin to control the trench CD (Critical Dimension), minimize the LWR (Line Width Roughness), LER (Line Edge Roughness) and reduce the T2T (Tip-to-Tip). Pre-etch deposition increases the resist budget by adding additional material to the resist layer, thus enabling the etch process to explore a wider set of process parameters to achieve better pattern fidelity. Preliminary tests with pre-etch deposition resulted in blocked isolated trenches. In order to mitigate these effects, a cyclic deposition and etch technique is proposed. With optimization of deposition and etch cycle time as well as total number of cycles, it is possible to open the underlying layers with a beneficial over etch and simultaneously keep the isolated trenches open. This study compares the impact of no pre-etch deposition, one time deposition and cyclic deposition/etch techniques on 4 aspects: resist budget, isolated trench open, LWR/LER and T2T.

  3. Laboratory studies of sweets re-formulated to improve their dental properties.

    PubMed

    Grenby, T H; Mistry, M

    1996-03-01

    To evaluate the potential dental effects of ten new types of sugar-free sweets formulated with Lycasin or isomalt as bulk sweeteners instead of sugars. Examination of the sweets for their acidity, fermentability by oral microorganisms, influence on the demineralisation of dental enamel, and their influence on human interdental plaque pH, compared with conventional sugar-containing sweets. The importance of reducing the levels of flavouring acids in the sweets was demonstrated. It was not straightforward to evaluate chocolate products in this system, but the potential benefits of re-formulating fruit gums, lollipops, chew-bars, toffee and fudge with Lycasin or isomalt in place of sugars were shown by determining their reduced acidogenicity and fermentability compared with conventional confectionery. The extent of demineralisation of dental enamel was related to both the acidity and the fermentability of the sweets. Re-formulating sweets with reduced acidity levels and bulk sweeteners not fermentable by dental plaque microorganisms can provide a basis for improving their potential dental effects.

  4. Porous silicon formation during Au-catalyzed etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Algasinger, Michael; Bernt, Maximilian; Koynov, Svetoslav

    2014-04-28

    The formation of “black” nano-textured Si during the Au-catalyzed wet-chemical etch process was investigated with respect to photovoltaic applications. Cross-sectional scanning electron microscopy (SEM) images recorded at different stages of the etch process exhibit an evolution of a two-layer structure, consisting of cone-like Si hillocks covered with a nano-porous Si (np-Si) layer. Optical measurements confirm the presence of a np-Si phase which appears after the first ∼10 s of the etch process and continuously increases with the etch time. Furthermore, the etch process was investigated on Si substrates with different doping levels (∼0.01–100 Ω cm). SEM images show a transition frommore » the two-layer morphology to a structure consisting entirely of np-Si for higher doping levels (<0.1 Ω cm). The experimental results are discussed on the basis of the model of a local electrochemical etch process. A better understanding of the metal-catalyzed etch process facilitates the fabrication of “black” Si on various Si substrates, which is of significant interest for photovoltaic applications.« less

  5. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    NASA Technical Reports Server (NTRS)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  6. Nanoporous Gallium Nitride Through Anisotropic Metal-Assisted Electroless Photochemical Wet Etching Technique

    NASA Astrophysics Data System (ADS)

    Perumal, R.; Hassan, Z.

    2016-12-01

    Nanoporous gallium nitride (GaN) has many potential applications in light-emitting diodes (LEDs), photovoltaics, templates and chemical sensors. This article reports the porosification of GaN through UV enhanced metal-assisted electroless photochemical wet etching technique using three different acid-based etchants and platinum served as catalyst for porosification. The etching process was conducted at room temperature for a duration of 90min. The morphological, structural, spectral and optical features of the developed porous GaN were studied with appropriate characterization techniques and the obtained results were presented. Field emission scanning electron micrographs exhibited the porosity nature along with excellent porous network of the etched samples. Structural studies confirmed the mono crystalline quality of the porous nanostructures. Raman spectral analyzes inferred the presenting phonon modes such as E2 (TO) and A1 (LO) in fabricated nanoporous structures. The resulted porous nanostructures hold the substantially enhanced photoluminescence intensity compared with the pristine GaN epitaxial film that is interesting and desirable for several advances in the applications of Nano-optoelectronic devices.

  7. Effect of a functional monomer (MDP) on the enamel bond durability of single-step self-etch adhesives.

    PubMed

    Tsuchiya, Kenji; Takamizawa, Toshiki; Barkmeier, Wayne W; Tsubota, Keishi; Tsujimoto, Akimasa; Berry, Thomas P; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-02-01

    The present study aimed to determine the effect of the functional monomer, 10-methacryloxydecyl dihydrogen phosphate (MDP), on the enamel bond durability of single-step self-etch adhesives through integrating fatigue testing and long-term water storage. An MDP-containing self-etch adhesive, Clearfil Bond SE ONE (SE), and an experimental adhesive, MDP-free (MF), which comprised the same ingredients as SE apart from MDP, were used. Shear bond strength (SBS) and shear fatigue strength (SFS) were measured with or without phosphoric acid pre-etching. The specimens were stored in distilled water for 24 h, 6 months, or 1 yr. Although similar SBS and SFS values were obtained for SE with pre-etching and for MF after 24 h of storage in distilled water, SE with pre-etching showed higher SBS and SFS values than MF after storage in water for 6 months or 1 yr. Regardless of the pre-etching procedure, SE showed higher SBS and SFS values after 6 months of storage in distilled water than after 24 h or 1 yr. To conclude, MDP might play an important role in enhancing not only bond strength but also bond durability with respect to repeated subcritical loading after long-term water storage. © 2015 Eur J Oral Sci.

  8. Fabrication of through-silicon via arrays by photo-assisted electrochemical etching and supercritical electroplating

    NASA Astrophysics Data System (ADS)

    Chuang, Ho-Chiao; Yang, Hsi-Min; Wu, Cheng-Xiang; Sanchez, Jorge; Shyu, Jenq-Huey

    2017-01-01

    This paper aims to fabricate high aspect ratio through silicon via (TSV) by photo-assisted electrochemical etching (PAECE) and supercritical CO2 copper electroplating. A blind-holed silicon array was first fabricated by PAECE. By studying the etching parameters, including hydrofluoric acid concentration, etchant temperature, stirring speed, tetrabutylammonium perchlorate (TBAP) content, and Ohmic contact thickness, an array of pores with a 1∶45 aspect ratio (height=250 μm and diameter=5.5 μm) was obtained successfully. Moreover, TBAP and Kodak Photo-Flo (PF) solution were added into the etchant to acquire smooth sidewalls for the first time. TBAP was added for the first time to serve as an antistatic agent in deionized water-based etchant to prevent side-branch etching, and PF was used to degasify hydrogen bubbles in the etchant. The effect of gold thickness over Ohmic contact was investigated. Randomized etching was observed with an Au thickness of 200 Å, but it can be improved by increasing the etching voltage. The silicon mold of through-holes was filled with metal using supercritical CO2 copper electroplating, which features high diffusivity, permeability, and density. The TSV structure (aspect ratio=1∶35) was obtained at a supercritical pressure of 2000 psi, temperature of 50°C, and current density of 30 mA/cm2 in 2.5 h.

  9. Effect of bracket bonding with Er: YAG laser on nanomechanical properties of enamel.

    PubMed

    Alavi, Shiva; Birang, Reza; Hajizadeh, Fatemeh; Banimostafaee, Hamed

    2014-01-01

    The aim of this study was to compare the effects of conventional acid etching and laser etching on the nano-mechanical properties of the dental enamel using nano-indentation test. In this experimental in vitro study, buccal surfaces of 10 premolars were divided into three regions. One of the regions was etched with 37% phosphoric acid and another etched with Er:YAG laser, the third region was not etched. The brackets were bonded to both of etched regions. After thermocycling for 500 cycles, the brackets were removed and the teeth were decoronated from the bracket bonding area. Seven nano-indentations were applied at 1-31 μm depth from the enamel surface in each region. Mean values of the hardness and elastic modulus were analyzed with repeated measures analysis of variance and Tukey HSD tests, using the SPSS software (SPSS Inc., version16.0, Chicago, Il, USA). P < 0.05 was considered as significant. The hardness up to 21 μm in depth and elastic modulus up to 6 μm in depth from the enamel surface for laser-etched enamel had significantly higher values than control enamel and the hardness up to 11 μm in depth and elastic modulus up to 6 μm in depth for acid-etched enamel had significantly lower values than the control enamel. The mechanical properties of the enamel were decreased after bracket bonding with conventional acid etching and increased after bonding with Er:YAG laser.

  10. Ultradeep electron cyclotron resonance plasma etching of GaN

    DOE PAGES

    Harrison, Sara E.; Voss, Lars F.; Torres, Andrea M.; ...

    2017-07-25

    Here, ultradeep (≥5 μm) electron cyclotron resonance plasma etching of GaN micropillars was investigated. Parametric studies on the influence of the applied radio-frequency power, chlorine content in a Cl 2/Ar etch plasma, and operating pressure on the etch depth, GaN-to-SiO 2 selectivity, and surface morphology were performed. Etch depths of >10 μm were achieved over a wide range of parameters. Etch rates and sidewall roughness were found to be most sensitive to variations in RF power and % Cl 2 in the etch plasma. Selectivities of >20:1 GaN:SiO 2 were achieved under several chemically driven etch conditions where a maximummore » selectivity of ~39:1 was obtained using a 100% Cl 2 plasma. The etch profile and (0001) surface morphology were significantly influenced by operating pressure and the chlorine content in the plasma. Optimized etch conditions yielded >10 μm tall micropillars with nanometer-scale sidewall roughness, high GaN:SiO 2 selectivity, and nearly vertical etch profiles. These results provide a promising route for the fabrication of ultradeep GaN microstructures for use in electronic and optoelectronic device applications. In addition, dry etch induced preferential crystallographic etching in GaN microstructures is also demonstrated, which may be of great interest for applications requiring access to non- or semipolar GaN surfaces.« less

  11. Surface etching technologies for monocrystalline silicon wafer solar cells

    NASA Astrophysics Data System (ADS)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  12. Evaluation of the bond strength of resin cements used to lute ceramics on laser-etched dentin.

    PubMed

    Giray, Figen Eren; Duzdar, Lale; Oksuz, Mustafa; Tanboga, Ilknur

    2014-07-01

    The purpose of this study was to investigate the shear bond strength (SBS) of two different adhesive resin cements used to lute ceramics on laser-etched dentin. Erbium, chromium: yttrium, scandium, gallium, garnet (Er,Cr:YSGG) laser irradiation has been claimed to improve the adhesive properties of dentin, but results to date have been controversial, and its compatibility with existing adhesive resin cements has not been conclusively determined. Two adhesive cements, one "etch-and-rinse" [Variolink II (V)] and one "self-etch" [Clearfil Esthetic Cement (C)] luting cement, were used to lute ceramic blocks (Vita Celay Blanks, Vita) onto dentin surfaces. In total, 80 dentin specimens were distributed randomly into eight experimental groups according to the dentin surface-etching technique used Er,Cr:YSGG laser and Er:YAG laser: (1) 37% orthophosphoric acid+V (control group), (2) Er,Cr:YSGG laser+V, (3) Er,Cr:YSGG laser+acid+V, (4) Er:YAG laser+V, (5) Er:YAG laser+acid+V, (6) C, (7) Er,Cr:YSGG laser+C, and (8) Er:YAG laser+C. Following these applications, the ceramic discs were bonded to prepared surfaces and were shear loaded in a universal testing machine until fracture. SBS was recorded for each group in MPa. Shear test values were evaluated statistically using the Mann-Whitney U test. No statistically significant differences were evident between the control group and the other groups (p>0.05). The Er,Cr:YSGG laser+A+V group demonstrated significantly higher SBS than did the Er,Cr:YSGG laser+V group (p=0.034). The Er,Cr:YSGG laser+C and Er:YAG laser+C groups demonstrated significantly lower SBS than did the C group (p<0.05). Dentin surfaces prepared with lasers may provide comparable ceramic bond strengths, depending upon the adhesive cement used.

  13. Selective etching of silicon carbide films

    DOEpatents

    Gao, Di; Howe, Roger T.; Maboudian, Roya

    2006-12-19

    A method of etching silicon carbide using a nonmetallic mask layer. The method includes providing a silicon carbide substrate; forming a non-metallic mask layer by applying a layer of material on the substrate; patterning the mask layer to expose underlying areas of the substrate; and etching the underlying areas of the substrate with a plasma at a first rate, while etching the mask layer at a rate lower than the first rate.

  14. Recovering obliterated engraved marks on aluminium surfaces by etching technique.

    PubMed

    Baharum, Mohd Izhar Mohd; Kuppuswamy, R; Rahman, Azari Abd

    2008-05-20

    A study has been made of the characteristics of restoration of obliterated engraved marks on aluminium surfaces by etching technique. By etching different reagents on 0.61mm thick sheets of aluminium (99wt%) on which some engraved marks had been erased to different depths it was found that the reagent 60% hydrochloric acid and 40% sodium hydroxide on alternate swabbing on the surfaces was found to be the most sensitive one for these metal surfaces. This reagent was able to restore marks in the above plates erased down to 0.04mm below the bottom of the engraving. The marks also presented excellent contrast with the background. This reagent was further experimented with similar aluminium surfaces, but of relatively greater thickness of 1.5mm. It was noticed that the recovery depth increased slightly to 0.06mm; this suggested the dependence of recovery depth on the thickness of the sheet metal. Further, the depth of restoration decreased in cases where the original number was erased and over which a new number was engraved; the latter results are similar to those of steel surfaces reported earlier [M.A.M. Zaili, R. Kuppuswamy, H. Harun, Restoration of engraved marks on steel surfaces by etching technique, Forensic Sci. Int. 171 (2007) 27-32].

  15. Enlargement of halloysite clay nanotube lumen by selective etching of aluminum oxide.

    PubMed

    Abdullayev, Elshad; Joshi, Anupam; Wei, Wenbo; Zhao, Yafei; Lvov, Yuri

    2012-08-28

    Halloysite clay tubes have 50 nm diameter and chemically different inner and outer walls (inner surface of aluminum oxide and outer surface of silica). Due to this different chemistry, the selective etching of alumina from inside the tube was realized, while preserving their external diameter (lumen diameter changed from 15 to 25 nm). This increases 2-3 times the tube lumen capacity for loading and further sustained release of active chemical agents such as metals, corrosion inhibitors, and drugs. In particular, halloysite loading efficiency for the benzotriazole increased 4 times by selective etching of 60% alumina within the tubes' lumens. Specific surface area of the tubes increased over 6 times, from 40 to 250 m(2)/g, upon acid treatment.

  16. Comparison between universal adhesives and two-step self-etch adhesives in terms of dentin bond fatigue durability in self-etch mode.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Takamizawa, Toshiki; Watanabe, Hidehiko; Johnson, William W; Latta, Mark A; Miyazaki, Masashi

    2017-06-01

    This aim of this study was to compare universal adhesives and two-step self-etch adhesives in terms of dentin bond fatigue durability in self-etch mode. Three universal adhesives - Clearfil Universal, G-Premio Bond, and Scotchbond Universal Adhesive - and three-two-step self-etch adhesives - Clearfil SE Bond, Clearfil SE Bond 2, and OptiBond XTR - were used. The initial shear bond strength and shear fatigue strength of resin composite bonded to adhesive on dentin in self-etch mode were determined. Scanning electron microscopy observations of fracture surfaces after bond strength tests were also made. The initial shear bond strength of universal adhesives was material dependent, unlike that of two-step self-etch adhesives. The shear fatigue strength of Scotchbond Universal Adhesive was not significantly different from that of two-step self-etch adhesives, unlike the other universal adhesives. The shear fatigue strength of universal adhesives differed depending on the type of adhesive, unlike those of two-step self-etch adhesives. The results of this study encourage the continued use of two-step self-etch adhesive over some universal adhesives but suggest that changes to the composition of universal adhesives may lead to a dentin bond fatigue durability similar to that of two-step self-etch adhesives. © 2017 Eur J Oral Sci.

  17. Influence of laboratory degradation methods and bonding application parameters on microTBS of self-etch adhesives to dentin.

    PubMed

    Erhardt, Maria Carolina G; Pisani-Proença, Jatyr; Osorio, Estrella; Aguilera, Fátima S; Toledano, Manuel; Osorio, Raquel

    2011-04-01

    To evaluate the laboratory resistance to degradation and the use of different bonding treatments on resin-dentin bonds formed with three self-etching adhesive systems. Flat, mid-coronal dentin surfaces from extracted human molars were bonded according to manufacturer's directions and submitted to two challenging regimens: (A) chemical degradation with 10% NaOC1 immersion for 5 hours; and (B) fatigue loading at 90 N using 50,000 cycles at 3.0 Hz. Additional dentin surfaces were bonded following four different bonding application protocols: (1) according to manufacturer's directions; (2) acid-etched with 36% phosphoric acid (H3PO4) for 15 seconds; (3) 10% sodium hypochlorite (NaOClaq) treated for 2 minutes, after H3PO4-etching; and (4) doubling the application time of the adhesives. Two one-step self-etch adhesives (an acetone-based: Futurabond/FUT and an ethanol-based: Futurabond NR/FNR) and a two-step self-etch primer system (Clearfil SE Bond/CSE) were examined. Specimens were sectioned into beams and tested for microtensile bond strength (microTBS). Selected debonded specimens were observed under scanning electron microscopy (SEM). Data (MPa) were analyzed by ANOVA and multiple comparisons tests (alpha= 0.05). microTBS significantly decreased after chemical and mechanical challenges (P< 0.05). CSE showed higher microTBS than the other adhesive systems, regardless the bonding protocol. FUT attained the highest microTBS after doubling the application time. H3PO4 and H3PO4 + NaOCl pretreatments significantly decreased bonding efficacy of the adhesives.

  18. Morphological changes produced by acid dissolution in Er:YAG laser irradiated dental enamel.

    PubMed

    Manuela Díaz-Monroy, Jennifer; Contreras-Bulnes, Rosalía; Fernando Olea-Mejía, Oscar; Emma Rodríguez-Vilchis, Laura; Sanchez-Flores, Ignacio

    2014-06-01

    Several scientific reports have shown the effects of Er:YAG laser irradiation on enamel morphology. However, there is lack of information regarding the morphological alterations produced by the acid attack on the irradiated surfaces. The aim of this study was to evaluate the morphological changes produced by acid dissolution in Er:YAG laser irradiated dental enamel. Forty-eight enamel samples were divided into four groups (n = 12). GI (control); Groups II, III, and IV were irradiated with Er:YAG at 100 mJ (12.7 J/cm(2) ), 200 mJ (25.5 J/cm(2) ), and 300 mJ (38.2 J/cm(2) ), respectively, at 10 Hz without water irrigation. Enamel morphology was evaluated before-irradiation, after-irradiation, and after-acid dissolution, by scanning electron microscopy (SEM). Sample coating was avoided and SEM analysis was performed in a low-vacuum mode. To facilitate the location of the assessment area, a reference point was marked. Morphological changes produced by acid dissolution of irradiated enamel were observed, specifically on laser-induced undesired effects. These morphological changes were from mild to severe, depending on the presence of after-irradiation undesired effects. © 2014 Wiley Periodicals, Inc.

  19. Two-year clinical trial of a universal adhesive in total-etch and self-etch mode in non-carious cervical lesions☆

    PubMed Central

    Lawson, Nathaniel C.; Robles, Augusto; Fu, Chin-Chuan; Lin, Chee Paul; Sawlani, Kanchan; Burgess, John O.

    2016-01-01

    Objectives To compare the clinical performance of Scotchbond™ Universal Adhesive used in self- and total-etch modes and two-bottle Scotchbond™ Multi-purpose Adhesive in total-etch mode for Class 5 non-carious cervical lesions (NCCLs). Methods 37 adults were recruited with 3 or 6 NCCLs (>1.5 mm deep). Teeth were isolated, and a short cervical bevel was prepared. Teeth were restored randomly with Scotchbond Universal total-etch, Scotchbond Universal self-etch or Scotchbond Multi-purpose followed with a composite resin. Restorations were evaluated at baseline, 6, 12 and 24 months for marginal adaptation, marginal discoloration, secondary caries, and sensitivity to cold using modified USPHS Criteria. Patients and evaluators were blinded. Logistic and linear regression models using a generalized estimating equation were applied to evaluate the effects of time and adhesive material on clinical assessment outcomes over the 24 month follow-up period. Kaplan–Meier method was used to compare the retention between adhesive materials. Results Clinical performance of all adhesive materials deteriorated over time for marginal adaptation, and discoloration (p <0.0001). Both Scotchbond Universal self-etch and Scotchbond Multi-purpose materials were more than three times as likely to contribute to less satisfying performance in marginal discoloration over time than Scotchbond Universal total-etch. The retention rates up to 24 months were 87.6%, 94.9% and 100% for Scotchbond Multi-purpose and Scotchbond Universal self-etch and total-etch, respectively. Conclusions Scotchbond Universal in self- and total- etch modes performed similar to or better than Scotchbond Multipurpose, respectively. Clinical significance 24 month evaluation of a universal adhesive indicates acceptable clinical performance, particularly in a total-etch mode. PMID:26231300

  20. An investigation using atomic force microscopy nanoindentation of dental enamel demineralization as a function of undissociated acid concentration and differential buffer capacity

    NASA Astrophysics Data System (ADS)

    Barbour, Michele E.; Shellis, R. Peter

    2007-02-01

    Acidic drinks and foodstuffs can demineralize dental hard tissues, leading to a pathological condition known as dental erosion, which is of increasing clinical concern. The first step in enamel dissolution is a demineralization of the outer few micrometres of tissue, which results in a softening of the structure. The primary determinant of dissolution rate is pH, but the concentration of undissociated acid, which is related to buffer capacity, also appears to be important. In this study, atomic force microscopy nanoindentation was used to measure the first initial demineralization (softening) induced within 1 min by exposure to solutions with a range of undissociated acid concentration and natural pH of 3.3 or with an undissociated acid concentration of 10 mmol l-1 and pH adjusted to 3.3. The results indicate that differential buffering capacity is a better determinant of softening than undissociated acid concentration. Under the conditions of these experiments, a buffer capacity of >3 mmol l-1 pH-1 does not have any further effect on dissolution rate. These results imply that differential buffering capacity should be used for preference over undissociated acid concentration or titratable acidity, which are more commonly employed in the literature.

  1. Biomimetic Deposition of Hydroxyapatite by Mixed Acid Treatment of Titanium Surfaces.

    PubMed

    Zhao, J M; Park, W U; Hwang, K H; Lee, J K; Yoon, S Y

    2015-03-01

    A simple chemical method was established for inducing bioactivity of Ti metal. In the present study, two kinds of mixed acid solutions were used to treat Ti specimens to induce Ca-P formation. Following a strong mixed acid activation process, Ca-P coatings successfully formed on the Ti surfaces in the simulated body fluid. Strong mixed acid etching was used to increase the roughness of the metal surface, because the porous and rough surfaces allow better adhesion between Ca-P coatings and substrate. Nano-scale modification of titanium surfaces can alter cellular and tissue responses, which may benefit osseointegration and dental implant therapy. Some specimens were treated with a 5 M NaOH aqueous solution, and then heat treated at 600 °C in order to form an amorphous sodium titanate layer on their surface. This treated titanium metal is believed to form a dense and uniform bone-like apatite layer on its surface in a simulated body fluid (SBF). This study proved that mixed acid treatment is not only important for surface passivation but is also another bioactive treatment for titanium surfaces, an alternative to alkali treatment. In addition, mixed acid treatment uses a lower temperature and shorter time period than alkali treatment.

  2. Impact of Gastric Acid Induced Surface Changes on Mechanical Behavior and Optical Characteristics of Dental Ceramics.

    PubMed

    Kulkarni, Aditi; Rothrock, James; Thompson, Jeffery

    2018-01-14

    To test the impact of exposure to artificial gastric acid combined with toothbrush abrasion on the properties of dental ceramics. Earlier research has indicated that immersion in artificial gastric acid has caused increased surface roughness of dental ceramics; however, the combined effects of acid immersion and toothbrush abrasion and the impact of increased surface roughness on mechanical strength and optical properties have not been studied. Three commercially available ceramics were chosen for this study: feldspathic porcelain, lithium disilicate glass-ceramic, and monolithic zirconium oxide. The specimens (10 × 1 mm discs) were cut, thermally treated as required, and polished. Each material was divided into four groups (n = 8 per group): control (no exposure), acid only, brush only, acid + brush. The specimens were immersed in artificial gastric acid (50 ml of 0.2% [w/v] sodium chloride in 0.7% [v/v] hydrochloric acid mixed with 0.16 g of pepsin powder, pH = 2) for 2 minutes and rinsed with deionized water for 2 minutes. The procedure was repeated 6 times/day × 9 days, and specimens were stored in deionized water at 37°C. Toothbrush abrasion was performed using an ISO/ADA design brushing machine for 100 cycles/day × 9 days. The acid + brush group received both treatments. Specimens were examined under SEM and an optical microscope for morphological changes. Color and translucency were measured using spectrophotometer CIELAB coordinates (L*, a*, b*). Surface gloss was measured using a gloss meter. Surface roughness was measured using a stylus profilometer. Biaxial flexural strength was measured using a mechanical testing machine. The data were analyzed by one-way ANOVA followed by Tukey's HSD post hoc test (p < 0.05). Statistically significant changes were found for color, gloss, and surface roughness for porcelain and e.max specimens. No statistically significant changes were found for any properties of zirconia specimens. The acid treatment affected the

  3. Dry etching technologies for the advanced binary film

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  4. Effects of self-etching primer on shear bond strength of orthodontic brackets at different debond times.

    PubMed

    Turk, Tamer; Elekdag-Turk, Selma; Isci, Devrim

    2007-01-01

    To evaluate the effect of a self-etching primer on shear bond strengths (SBS) at the different debond times of 5, 15, 30, and 60 minutes and 24 hours. Brackets were bonded to human premolars with different etching protocols. In the control group (conventional method [CM]) teeth were etched with 37% phosphoric acid. In the study group, a self-etching primer (SEP; Transbond Plus Self Etching Primer; 3M Unitek, Monrovia, Calif) was applied as recommended by the manufacturer. Brackets were bonded with light-cure adhesive paste (Transbond XT; 3M Unitek) and light-cured for 20 seconds in both groups. The shear bond test was performed at the different debond times of 5, 15, 30 and 60 minutes and 24 hours. Lowest SBS was attained with a debond time of 5 minutes for the CM group (9.51 MPa) and the SEP group (8.97 MPa). Highest SBS was obtained with a debond time of 24 hours for the CM group (16.82 MPa) and the SEP group (19.11 MPa). Statistically significant differences between the two groups were not observed for debond times of 5, 15, 30, or 60 minutes. However, the SBS values obtained at 24 hours were significantly different (P < .001). Adequate SBS was obtained with self-etching primer during the first 60 minutes (5, 15, 30 and 60 minutes) when compared with the conventional method. It is reliable to load the bracket 5 minutes after bonding using self-etching primer (Transbond Plus) with the light-cure adhesive (Transbond XT).

  5. Structural and dynamical studies of acid-mediated conversion in amorphous-calcium-phosphate based dental composites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Fan; Allen, Andrew J.; Levine, Lyle E.

    Our objective was to investigate the complex structural and dynamical conversion process of the amorphous-calcium-phosphate (ACP)-to-apatite transition in ACP based dental composite materials. Composite disks were prepared using zirconia hybridized ACP fillers (0.4 mass fraction) and photo-activated Bis-GMA/TEGDMA resin (0.6 mass fraction). We performed an investigation of the solution-mediated ACP-to-apatite conversion mechanism in controlled acidic aqueous environment with in situ ultra-small angle X-ray scattering based coherent X-ray photon correlation spectroscopy and ex situ X-ray diffraction, as well as other complementary techniques. We established that the ACP-to-apatite conversion in ACP composites is a two-step process, owing to the sensitivity to localmore » structural changes provided by coherent X-rays. Initially, ACP undergoes a local microstructural rearrangement without losing its amorphous character. We established the catalytic role of the acid and found the time scale of this rearrangement strongly depends on the pH of the solution, which agrees with previous findings about ACP without the polymer matrix being present. In the second step, ACP is converted to an apatitic form with the crystallinity of the formed crystallites being poor. Separately, we also confirmed that in the regular Zr-modified ACP the rate of ACP conversion to hydroxyapatite is slowed significantly compared to unmodified ACP, which is beneficial for targeted slow release of functional calcium and phosphate ions from dental composite materials. Significantly, for the first time, we were able to follow the complete solution-mediated transition process from ACP to apatite in this class of dental composites in a controlled aqueous environment. A two-step process, suggested previously, was conclusively identified.« less

  6. Structural and dynamical studies of acid-mediated conversion in amorphous-calcium-phosphate based dental composites

    DOE PAGES

    Zhang, Fan; Allen, Andrew J.; Levine, Lyle E.; ...

    2014-07-28

    Our objective was to investigate the complex structural and dynamical conversion process of the amorphous-calcium-phosphate (ACP)-to-apatite transition in ACP based dental composite materials. Composite disks were prepared using zirconia hybridized ACP fillers (0.4 mass fraction) and photo-activated Bis-GMA/TEGDMA resin (0.6 mass fraction). We performed an investigation of the solution-mediated ACP-to-apatite conversion mechanism in controlled acidic aqueous environment with in situ ultra-small angle X-ray scattering based coherent X-ray photon correlation spectroscopy and ex situ X-ray diffraction, as well as other complementary techniques. We established that the ACP-to-apatite conversion in ACP composites is a two-step process, owing to the sensitivity to localmore » structural changes provided by coherent X-rays. Initially, ACP undergoes a local microstructural rearrangement without losing its amorphous character. We established the catalytic role of the acid and found the time scale of this rearrangement strongly depends on the pH of the solution, which agrees with previous findings about ACP without the polymer matrix being present. In the second step, ACP is converted to an apatitic form with the crystallinity of the formed crystallites being poor. Separately, we also confirmed that in the regular Zr-modified ACP the rate of ACP conversion to hydroxyapatite is slowed significantly compared to unmodified ACP, which is beneficial for targeted slow release of functional calcium and phosphate ions from dental composite materials. Significantly, for the first time, we were able to follow the complete solution-mediated transition process from ACP to apatite in this class of dental composites in a controlled aqueous environment. A two-step process, suggested previously, was conclusively identified.« less

  7. Structural and dynamical studies of acid-mediated conversion in amorphous-calcium-phosphate based dental composites

    PubMed Central

    Zhang, Fan; Allen, Andrew J.; Levine, Lyle E.; Vaudin, Mark D.; Skrtic, Drago; Antonucci, Joseph M.; Hoffman, Kathleen M.; Giuseppetti, Anthony A.; Ilavsky, Jan

    2014-01-01

    Objective To investigate the complex structural and dynamical conversion process of the amorphous-calcium-phosphate (ACP) -to-apatite transition in ACP based dental composite materials. Methods Composite disks were prepared using zirconia hybridized ACP fillers (0.4 mass fraction) and photo-activated Bis-GMA/TEGDMA resin (0.6 mass fraction). We performed an investigation of the solution-mediated ACP-to-apatite conversion mechanism in controlled acidic aqueous environment with in situ ultra-small angle X-ray scattering based coherent X-ray photon correlation spectroscopy and ex situ X-ray diffraction, as well as other complementary techniques. Results We established that the ACP-to-apatite conversion in ACP composites is a two-step process, owing to the sensitivity to local structural changes provided by coherent X-rays. Initially, ACP undergoes a local microstructural rearrangement without losing its amorphous character. We established the catalytic role of the acid and found the time scale of this rearrangement strongly depends on the pH of the solution, which agrees with previous findings about ACP without the polymer matrix being present. In the second step, ACP is converted to an apatitic form with the crystallinity of the formed crystallites being poor. Separately, we also confirmed that in the regular Zr-modified ACP the rate of ACP conversion to hydroxyapatite is slowed significantly compared to unmodified ACP, which is beneficial for targeted slow release of functional calcium and phosphate ions from dental composite materials. Significance For the first time, we were able to follow the complete solution-mediated transition process from ACP to apatite in this class of dental composites in a controlled aqueous environment. A two-step process, suggested previously, was conclusively identified. PMID:25082155

  8. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    NASA Astrophysics Data System (ADS)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  9. Comparison of shear bond strength of universal adhesives on etched and nonetched enamel.

    PubMed

    Beltrami, Riccardo; Chiesa, Marco; Scribante, Andrea; Allegretti, Jessica; Poggio, Claudio

    2016-04-06

    The purpose of this study was to evaluate the effect of surface pretreatment with 37% phosphoric acid on the enamel bond strength of different universal adhesives. One hundred and sixty bovine permanent mandibular incisors freshly extracted were used as a substitute for human teeth. The materials tested in this study included 6 universal adhesives, and 2 self-etch adhesives as control. The teeth were assigned into 2 groups: In the first group, etching was performed using 37% phosphoric acid for 30 seconds. In the second group, no pretreatment agent was applied. After adhesive application, a nanohybrid composite resin was inserted into the enamel surface by packing the material into cylindrical-shaped plastic matrices. After storing, the specimens were placed in a universal testing machine. The normality of the data was calculated using the Kolmogorov-Smirnov test. Analysis of variance (ANOVA) was applied to determine whether significant differences in debond strength values existed among the various groups. Groups with phosphoric acid pretreatment showed significantly higher shear bond strength values than groups with no enamel pretreatment (p<0.001). No significant variation in shear strength values was detected when comparing the different adhesive systems applied onto enamel after orthophosphoric acid application (p>0.05). All adhesives provide similar bond strength values when enamel pretreatment is applied even if compositions are different. Bond strength values are lower than promised by manufacturers.

  10. Is dental erosion really a problem?

    PubMed

    Schlueter, N; Jaeggi, T; Lussi, A

    2012-09-01

    Dental erosion is the non-carious dental substance loss induced by direct impact of exogenous or endogenous acids. It results in a loss of dental hard tissue, which can be serious in some groups, such as those with eating disorders, in patients with gastroesophageal reflux disease, and also in persons consuming high amounts of acidic drinks and foodstuffs. For these persons, erosion can impair their well-being, due to changes in appearance and/or loss of function of the teeth, e.g., the occurrence of hypersensitivity of teeth if the dentin is exposed. If erosion reaches an advanced stage, time- and money-consuming therapies may be necessary. The therapy, in turn, poses a challenge for the dentist, particularly if the defects are diagnosed at an advanced stage. While initial and moderate defects can mostly be treated non- or minimally invasively, severe defects often require complex therapeutic strategies, which often entail extensive loss of dental hard tissue due to preparatory measures. A major goal should therefore be to diagnose dental erosion at an early stage, to avoid functional and esthetic impairments as well as pain sensations and to ensure longevity of the dentition.

  11. The potential for dental plaque to protect against erosion using an in vivo-in vitro model--a pilot study.

    PubMed

    Cheung, A; Zid, Z; Hunt, D; McIntyre, J

    2005-12-01

    Tooth erosion is a problem for professional wine tasters (exogenous erosion from frequent exposure to wine acids) and for people with gastro oesophageal reflux disease (GORD) and bulimia who experience frequent reflux of gastric contents into the mouth (endogenous erosion from mainly HCl). The objective in this study was to determine whether plaque/pellicle could provide teeth with any protection from two common erosive acids, using an in vivo-in vitro technique. Tiles of human tooth enamel and root surfaces were prepared from six extracted, unerupted third molar teeth and sterilized. Mandibular stents were prepared for six volunteer subjects and the tiles bonded to the buccal flanges of these stents. They were worn initially for three days to permit a layer of pellicle and plaque to form over the tile surfaces, and for a further 10 days of experimentation. Following cleaning of the plaque/ pellicle layer from the tiles on the right side flange, all the tiles were submerged in either 0.06M HCl or white wine for an accumulated time of 600 and 1500 minutes, respectively. Depths of erosion were determined using light microscopy of sections of the enamel and root tiles. SEM of the lesion surfaces was carried out to investigate the nature of erosive damage and of plaque/pellicle remnants. Retained plaque was found to significantly inhibit dental erosion on enamel, from contact with both HCl and wine, compared with that resulting following its removal. However, it was found to provide no significant protection on root surfaces. SEM analysis of the tile surfaces revealed marked etching of enamel on the cleaned surfaces, and considerable alteration to the appearance of remaining plaque and pellicle on most surfaces. Within the limitations of numbers of specimens, dental plaque/pellicle provided a significant level of protection to tooth enamel against dental erosion from simulated gastric acids and from white wine, using an in vivo-in vitro model. It was unable to provide

  12. Determination of total fluoride in HF/HNO3/H2SiF6 etch solutions by new potentiometric titration methods.

    PubMed

    Weinreich, Wenke; Acker, Jörg; Gräber, Iris

    2007-03-30

    In the photovoltaic industry the etching of silicon in HF/HNO(3) solutions is a decisive process for cleaning wafer surfaces or to produce certain surface morphologies like polishing or texturization. With regard to cost efficiency, a maximal utilisation of etch baths in combination with highest quality and accuracy is strived. To provide an etch bath control realised by a replenishment with concentrated acids the main constituents of these HF/HNO(3) etch solutions including the reaction product H(2)SiF(6) have to be analysed. Two new methods for the determination of the total fluoride content in an acidic etch solution based on the precipitation titration with La(NO(3))(3) are presented within this paper. The first method bases on the proper choice of the reaction conditions, since free fluoride ions have to be liberated from HF and H(2)SiF(6) at the same time to be detected by a fluoride ion-selective electrode (F-ISE). Therefore, the sample is adjusted to a pH of 8 for total cleavage of the SiF(6)(2-) anion and titrated in absence of buffers. In a second method, the titration with La(NO(3))(3) is followed by a change of the pH-value using a HF resistant glass-electrode. Both methods provide consistent values, whereas the analysis is fast and accurate, and thus, applicable for industrial process control.

  13. Temperature-Dependent Nanofabrication on Silicon by Friction-Induced Selective Etching.

    PubMed

    Jin, Chenning; Yu, Bingjun; Xiao, Chen; Chen, Lei; Qian, Linmao

    2016-12-01

    Friction-induced selective etching provides a convenient and practical way for fabricating protrusive nanostructures. A further understanding of this method is very important for establishing a controllable nanofabrication process. In this study, the effect of etching temperature on the formation of protrusive hillocks and surface properties of the etched silicon surface was investigated. It is found that the height of the hillock produced by selective etching increases with the etching temperature before the collapse of the hillock. The temperature-dependent selective etching rate can be fitted well by the Arrhenius equation. The etching at higher temperature can cause rougher silicon surface with a little lower elastic modulus and hardness. The contact angle of the etched silicon surface decreases with the etching temperature. It is also noted that no obvious contamination can be detected on silicon surface after etching at different temperatures. As a result, the optimized condition for the selective etching was addressed. The present study provides a new insight into the control and application of friction-induced selective nanofabrication.

  14. Distinguishing shocked from tectonically deformed quartz by the use of the SEM and chemical etching

    USGS Publications Warehouse

    Gratz, A.J.; Fisler, D.K.; Bohor, B.F.

    1996-01-01

    Multiple sets of crystallographically-oriented planar deformation features (PDFs) are generated by high-strain-rate shock waves at pressures of > 12 GPa in naturally shocked quartz samples. On surfaces, PDFs appear as narrow (50-500 nm) lamellae filled with amorphosed quartz (diaplectic glass) which can be etched with hydrofluoric acid or with hydrothermal alkaline solutions. In contrast, slow-strain-rate tectonic deformation pressure produces wider, semi-linear and widely spaced arrays of dislocation loops that are not glass filled. Etching samples with HF before examination in a scanning electron microscope (SEM) allows for unambiguous visual distinction between glass-filled PDFs and glass-free tectonic deformation arrays in quartz. This etching also reveals the internal 'pillaring' often characteristic of shock-induced PDFs. This technique is useful for easily distinguishing between shock and tectonic deformation in quartz, but does not replace optical techniques for characterizing the shock features.

  15. Shear bond strengths of self-etching adhesives to caries-affected dentin on the gingival wall.

    PubMed

    Koyuturk, Alp Erdin; Sengun, Abdulkadir; Ozer, Fusun; Sener, Yagmur; Gokalp, Alparslan

    2006-03-01

    The purpose of this study was to evaluate the bonding ability of five current self-etching adhesives to caries-affected dentin on the gingival wall. Seventy extracted human molars with approximal dentin caries were employed in this study. In order to obtain caries-affected dentin on the gingival wall, grinding was performed under running water. Following which, specimens mounted in acrylic blocks and composite resins of the bonding systems were bonded to dentin with plastic rings and then debonded by shear bond strength. With Clearfil SE Bond, bonding to caries-affected dentin showed the highest bond strength. With Optibond Solo Plus Self-Etch, bonding to caries-affected dentin showed higher shear bond strength than AQ Bond, Tyrian SPE & One-Step Plus, and Prompt-L-Pop (p<0.05). Further, the bond strengths of Clearfil SE Bond and Optibond Solo Plus Self-Etch to sound dentin were higher than those of Prompt-L-Pop, AQ Bond, and Tyrian SPE & One-Step Plus (p<0.05). In conclusion, besides micromechanical interlocking through hybrid layer formation, bond strength of self-etch adhesives to dentin may be increased from additional chemical interaction between the functional monomer and residual hydroxyapatite. The results of this study confirmed that differences in bond strength among self-etching adhesives to both caries-affected and sound dentin were due to chemical composition rather than acidity.

  16. Method of sputter etching a surface

    DOEpatents

    Henager, Jr., Charles H.

    1984-01-01

    The surface of a target is textured by co-sputter etching the target surface with a seed material adjacent thereto, while the target surface is maintained at a pre-selected temperature. By pre-selecting the temperature of the surface while sputter etching, it is possible to predetermine the reflectance properties of the etched surface. The surface may be textured to absorb sunlight efficiently and have minimal emittance in the infrared region so as to be well-suited for use as a solar absorber for photothermal energy conversion.

  17. Selforganized Structure Formation in Organized Microstructuring by Laser-Jet Etching

    NASA Astrophysics Data System (ADS)

    Rabbow, T. J.; Plath, P. J.; Mora, A.; Haase, M.

    Laser-jet induced wet etching of stainless steel in 5M H3PO4 has been investigated. By this method, it is possible to cut and microstructure metals and alloys that form passive layers in strong etchants. Due to the laser heating of the metal and the adjacent layers of the etchant, the passive layer is removed and an active dissolution of the base metal together with the formation of hydrogen is observed. The reactions are limited by the transport of fresh acid and the removal of dissolved metal. A jet of etchant reduces the transport limitations. For definite ranges of the laser power, the feed velocity and the etchant jet velocity, a regime of periodic structure formation of the kerf, often called ripples, has been found. The ripple length depends on all three parameters. The ripple formation can be brought into correlation with a periodic change of the intensity of the reflected light as well as oscillations of the potential workpiece. It could be shown that the periodic structure formation is connected to a spreading of an etching front from the laser activated area, that temporarily moves ahead to the laser. This leads to modulations of the interface for the laser absorption, which results, for example, in oscillations of the intensity of the reflected light. This means the laser induced etching reaction attracts a feedback based on the conditions of absorption for the laser. For those parameters of feed velocity, laser power and etchant jet velocity, without ripple formation the laser induced etching front is of a constant distance to the laser which results in steady conditions at the interface for the absorption of the laser.

  18. Influence of caries infiltrant contamination on shear bond strength of different adhesives to dentin.

    PubMed

    Jia, Liuhe; Stawarczyk, Bogna; Schmidlin, Patrick R; Attin, Thomas; Wiegand, Annette

    2013-03-01

    To analyze whether the contamination with a caries infiltrant system impairs the adhesive performance of etch-and-rinse and self-etching adhesives on dentin. Dentin contamination with the caries infiltrant system (Icon, DMG) was simulated by applying either hydrochloric acid (15 % HCl, Icon Etch, 15 s), the resin infiltrant (Icon infiltrant, 4 min), or both prior to the application of the respective adhesives (each group n = 10). In the control groups, the etch-and-rinse adhesive (Optibond FL, Kerr) and the self-etching adhesive (iBOND Self Etch, Hereaus) were applied without former contamination with the infiltrant system. Additionally, the adhesive performance of the resin infiltrant alone was tested. Shear bond strength of a nano-hybrid composite was analyzed after thermocycling (5,000×, 5-55°C) of the specimens and analyzed by ANOVA/Scheffé post hoc tests (p < 0.05) and Weibull statistics. Failure mode was inspected under a stereomicroscope at × 25 magnification. Contamination with the resin infiltrant alone did not impair shear bond strength, while contamination with hydrochloric acid or with hydrochloric acid and the resin infiltrant reduced shear bond strength (MPa) of the adhesives (Optibond FL: 20.5 ± 3.6, iBOND Self Etch: 17.9 ± 2.6) significantly. Hydrochloric acid contamination increased the number of adhesive failures. The adhesive performance of the caries infiltrant system alone was insufficient. The contamination with the caries infiltrant system impaired the shear bond strength of conventional dental adhesives. Contamination of the caries infiltrant system on dentin should be avoided due to the detrimental effect of hydrochloric acid etching.

  19. Radicals are required for thiol etching of gold particles

    PubMed Central

    Dreier, Timothy A.

    2016-01-01

    Etching of gold with excess thiol ligand is used in both synthesis and analysis of gold particles. Mechanistically, the process of etching gold with excess thiol is opaque. Previous studies have obliquely considered the role of oxygen in thiolate etching of gold. Herein, we show that oxygen or a radical initator is a necessary component for efficient etching of gold by thiolates. Attenuation of the etching process by radical scavengers in the presence of oxygen, and the restoration of activity by radical initiators under inert atmosphere, strongly implicate the oxygen radical. These data led us to propose an atomistic mechanism in which the oxygen radical initiates the etching process. PMID:26089294

  20. Silicon Carbide Etching Using Chlorine Trifluoride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Oda, Satoko; Fukai, Yasushi; Fukae, Katsuya; Takeuchi, Takashi; Aihara, Masahiko

    2005-03-01

    The etch rate, chemical reactions and etched surface of β-silicon carbide are studied in detail using chlorine trifluoride gas. The etch rate is greater than 10 μm min-1 at 723 K with a flow rate of 0.1 \\ell min-1 at atmospheric pressure in a horizontal reactor. The maximum etch rate at a substrate temperature of 773 K is 40 μm min-1 with a flow rate of 0.25 \\ell min-1. The step-like pattern that initially exists on the β-silicon carbide surface tends to be smoothed; the root-mean-square surface roughness decreases from its initial value of 5 μm to 1 μm within 15 min; this minimum value is maintained for more than 15 min. Therefore, chlorine trifluoride gas is considered to have a large etch rate for β-silicon carbide associated with making a rough surface smooth.

  1. Anisotropic etching of silicon in solutions containing tensioactive compounds

    NASA Astrophysics Data System (ADS)

    Zubel, Irena

    2016-12-01

    The results of investigations concerning anisotropic etching in 3M KOH and 25% TMAH solutions modified by tensioactive compounds such as alcohols, diols and a typical surfactant Triton X100 have been compared. Etching anisotropy was assessed on the basis of etch rates ratio V(110)/V(100). It was stated that the relation between surface tension of the solutions and etch rates of particular planes depend not only on the kind of surfactant but also on the kind of etching solution (KOH, TMAH). It points out an important role of TMA+ ions in the etching process, probably in the process of forming an adsorption layer, consisting of the molecules of tensioactive compounds on Si surface, which decides about etch rate. We have observed that this phenomenon occurs only at high concentration of TMA+ ions (25% TMAH). Reduction of TMAH concentration changes the properties of surfactant containing TMAH solutions. From all investigated solutions, the solutions that assured developing of (110) plane inclined at the angle of 45° to (100) substrate were selected. Such planes can be used as micromirrors in MOEMS structures. The solutions provide the etch rate ratio V(110)/V(100)<0.7, thus they were selected from hydroxide solutions containing surfactants. A simple way for etch rate anisotropy V(110)/V(100) assessment based on microscopic images etched structures has been proposed.

  2. Directional Etching of Silicon by Silver Nanostructures

    NASA Astrophysics Data System (ADS)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  3. Method of sputter etching a surface

    DOEpatents

    Henager, C.H. Jr.

    1984-02-14

    The surface of a target is textured by co-sputter etching the target surface with a seed material adjacent thereto, while the target surface is maintained at a pre-selected temperature. By pre-selecting the temperature of the surface while sputter etching, it is possible to predetermine the reflectance properties of the etched surface. The surface may be textured to absorb sunlight efficiently and have minimal emittance in the infrared region so as to be well-suited for use as a solar absorber for photothermal energy conversion. 4 figs.

  4. Dry etching method for compound semiconductors

    DOEpatents

    Shul, Randy J.; Constantine, Christopher

    1997-01-01

    A dry etching method. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators.

  5. Dry etching method for compound semiconductors

    DOEpatents

    Shul, R.J.; Constantine, C.

    1997-04-29

    A dry etching method is disclosed. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators. 1 fig.

  6. Electroless epitaxial etching for semiconductor applications

    DOEpatents

    McCarthy, Anthony M.

    2002-01-01

    A method for fabricating thin-film single-crystal silicon on insulator substrates using electroless etching for achieving efficient etch stopping on epitaxial silicon substrates. Microelectric circuits and devices are prepared on epitaxial silicon wafers in a standard fabrication facility. The wafers are bonded to a holding substrate. The silicon bulk is removed using electroless etching leaving the circuit contained within the epitaxial layer remaining on the holding substrate. A photolithographic operation is then performed to define streets and wire bond pad areas for electrical access to the circuit.

  7. CR-39 track etching and blow-up method

    DOEpatents

    Hankins, Dale E.

    1987-01-01

    This invention is a method of etching tracks in CR-39 foil to obtain uniformly sized tracks. The invention comprises a step of electrochemically etching the foil at a low frequency and a "blow-up" step of electrochemically etching the foil at a high frequency.

  8. Effect of bracket bonding with Er: YAG laser on nanomechanical properties of enamel

    PubMed Central

    Alavi, Shiva; Birang, Reza; Hajizadeh, Fatemeh; Banimostafaee, Hamed

    2014-01-01

    Background: The aim of this study was to compare the effects of conventional acid etching and laser etching on the nano-mechanical properties of the dental enamel using nano-indentation test. Materials and Methods: In this experimental in vitro study, buccal surfaces of 10 premolars were divided into three regions. One of the regions was etched with 37% phosphoric acid and another etched with Er:YAG laser, the third region was not etched. The brackets were bonded to both of etched regions. After thermocycling for 500 cycles, the brackets were removed and the teeth were decoronated from the bracket bonding area. Seven nano-indentations were applied at 1-31 μm depth from the enamel surface in each region. Mean values of the hardness and elastic modulus were analyzed with repeated measures analysis of variance and Tukey HSD tests, using the SPSS software (SPSS Inc., version16.0, Chicago, Il, USA). P < 0.05 was considered as significant. Results: The hardness up to 21 μm in depth and elastic modulus up to 6 μm in depth from the enamel surface for laser-etched enamel had significantly higher values than control enamel and the hardness up to 11 μm in depth and elastic modulus up to 6 μm in depth for acid-etched enamel had significantly lower values than the control enamel. Conclusion: The mechanical properties of the enamel were decreased after bracket bonding with conventional acid etching and increased after bonding with Er:YAG laser. PMID:24688560

  9. Electrowetting Lens Employing Hemispherical Cavity Formed by Hydrofluoric Acid, Nitric Acid, and Acetic Acid Etching of Silicon

    NASA Astrophysics Data System (ADS)

    Lee, June Kyoo; Choi, Ju Chan; Jang, Won Ick; Kim, Hak-Rin; Kong, Seong Ho

    2012-06-01

    We demonstrate the design of an electrowetting lens employing a high-aspect-ratio hemispherical lens cavity and its micro-electro-mechanical-system (MEMS) fabrication process in this study. Our preliminary simulation results showed that the physical and electrical durability of the lens can be improved by the mitigation of stresses on the insulator at the hemispherical cavity. High-aspect-ratio hemispherical cavities with various diameters and very smooth sidewall surfaces were uniformly fabricated on a silicon wafer by a sophisticated isotropic wet etching technique. Moreover, we experimentally investigated the optical properties of the MEMS-based electrowetting lens with the proposed cavity. Two immiscible liquids in the proposed lens cavity were electrostatically controlled with negligible optical distortion and low focal-length hysteresis due to the fully axis-symmetrical geometry and smooth sidewall of the cavity.

  10. Effect of post-space treatment on retention of fiber posts in different root regions using two self-etching systems.

    PubMed

    Zhang, Ling; Huang, Li; Xiong, Yu; Fang, Ming; Chen, Ji-Hua; Ferrari, Marco

    2008-06-01

    The effect of post-space treatment on the retention of fiber posts in different root regions was evaluated using two self-etching systems. Post spaces were prepared in extracted premolars and then the root canals were subjected to one of the following post-space treatments: (i) water irrigation (control); (ii) etching with 35% phosphoric acid for 30 s; (iii) irrigation with 17% EDTA followed by 5.25% sodium hypochlorite (NaOCl); and (iv) ultrasonic agitation associated with 17% EDTA and 5.25% NaOCl irrigating solutions. The dentin surfaces were examined under scanning electron microscopy (SEM) after different post-space treatments. Fiber posts were then luted in the treated roots using resin cement with either Clearfil SE Bond or Clearfil DC Bond, and the thin-slice push-out test was performed. Scanning electron microscopy showed that all the post-space treatments tested were effective in removal of the smear layer of debris, or sealer/gutta-percha remnants, on the root canal. The apical push-out strength was affected by post-space treatment. Both 35% phosphoric acid etching and ultrasonic agitation in combination with EDTA/NaOCl irrigation improved the apical push-out strength of the fiber post, regardless of the type of self-etching system. A solo irrigation with an EDTA/NaOCl solution resulted in a lower apical push-out strength compared with the other two experimental groups.

  11. Barium-strontium-titanate etching characteristics in chlorinated discharges

    NASA Astrophysics Data System (ADS)

    Stafford, Luc; Margot, Joëlle; Langlois, Olivier; Chaker, Mohamed

    2003-07-01

    The etching characteristics of barium-strontium-titanate (BST) were investigated using a high-density plasma sustained by surface waves at 190 MHz in Ar/Cl2 gas mixtures. The etch rate was examined as a function of both the total gas pressure and the Cl2 fraction in Ar/Cl2 using a wafer temperature of 10 °C. The results were correlated to positive ion density and plasma composition obtained from Langmuir probes and mass spectrometry. The BST etch rate was found to increase linearly with the positive ion density and to decrease with increasing chlorine atom concentration. This result indicates that for the temperature conditions used, the interaction between chlorine and BST yields compounds having a volatility that is lower than the original material. As a consequence, the contribution of neutral atomic Cl atoms to the etch mechanism is detrimental, thereby reducing the etch rate. As the wafer temperature increases, the role of chemistry in the etching process is enhanced.

  12. Shear bond strength of orthodontic brackets bonded with different self-etching adhesives.

    PubMed

    Scougall Vilchis, Rogelio José; Yamamoto, Seigo; Kitai, Noriyuki; Yamamoto, Kohji

    2009-09-01

    The purpose of this study was to compare the shear bond strength (SBS) of orthodontic brackets bonded with 4 self-etching adhesives. A total of 175 extracted premolars were randomly divided into 5 groups (n = 35). Group I was the control, in which the enamel was etched with 37% phosphoric acid, and stainless steel brackets were bonded with Transbond XT (3M Unitek, Monrovia, Calif). In the remaining 4 groups, the enamel was conditioned with the following self-etching primers and adhesives: group II, Transbond Plus and Transbond XT (3M Unitek); group III, Clearfil Mega Bond FA and Kurasper F (Kuraray Medical, Tokyo, Japan); group IV, Primers A and B, and BeautyOrtho Bond (Shofu, Kyoto, Japan); and group V, AdheSE and Heliosit Orthodontic (Ivoclar Vivadent AG, Liechtenstein). The teeth were stored in distilled water at 37 degrees C for 24 hours and debonded with a universal testing machine. The adhesive remnant index (ARI) including enamel fracture score was also evaluated. Additionally, the conditioned enamel surfaces were observed under a scanning electron microscope. The SBS values of groups I (19.0 +/- 6.7 MPa) and II (16.6 +/- 7.3 MPa) were significantly higher than those of groups III (11.0 +/- 3.9 MPa), IV (10.1 +/- 3.7 MPa), and V (11.8 +/- 3.5 MPa). Fluoride-releasing adhesives (Kurasper F and BeautyOrtho Bond) showed clinically acceptable SBS values. Significant differences were found in the ARI and enamel fracture scores between groups I and II. The 4 self-etching adhesives yielded SBS values higher than the bond strength (5.9 to 7.8 MPa) suggested for routine clinical treatment, indicating that orthodontic brackets can be successfully bonded with any of these self-etching adhesives.

  13. Chemical etching of nitinol stents.

    PubMed

    Katona, Bálint; Bognár, Eszter; Berta, Balázs; Nagy, Péter; Hirschberg, Kristóf

    2013-01-01

    At present the main cause of death originates from cardiovascular diseases. Primarily the most frequent cause is vessel closing thus resulting in tissue damage. The stent can help to avoid this. It expands the narrowed vessel section and allows free blood flow. The good surface quality of stents is important. It also must have adequate mechanical characteristics or else it can be damaged which can easily lead to the fracture of the implant. Thus, we have to consider the importance of the surface treatment of these implants. In our experiments the appropriate design was cut from a 1.041 mm inner diameter and 0.100 mm wall thickness nitinol tube by using Nd:YAG laser device. Then, the stent was subjected to chemical etching. By doing so, the burr created during the laser cutting process can be removed and the surface quality refined. In our research, we changed the time of chemical etching and monitored the effects of this parameter. The differently etched stents were subjected to microscopic analysis, mass measurement and in vivo environment tests. The etching times that gave suitable surface and mechanical features were identified.

  14. Radicals Are Required for Thiol Etching of Gold Particles.

    PubMed

    Dreier, Timothy A; Ackerson, Christopher J

    2015-08-03

    Etching of gold with an excess of thiol ligand is used in both synthesis and analysis of gold particles. Mechanistically, the process of etching gold with excess thiol is unclear. Previous studies have obliquely considered the role of oxygen in thiolate etching of gold. Herein, we show that oxygen or a radical initiator is a necessary component for efficient etching of gold by thiolates. Attenuation of the etching process by radical scavengers in the presence of oxygen, and the restoration of activity by radical initiators under inert atmosphere, strongly implicate the oxygen radical. These data led us to propose an atomistic mechanism in which the oxygen radical initiates the etching process. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Hydroxyapatite Effect on Photopolymerization of Self-etching Adhesives with Different Aggressiveness

    PubMed Central

    Zhang, Ying; Wang, Yong

    2012-01-01

    Objective To understand the correlation of the acidic monomer/hydroxyapatite (HAp) reaction with the photopolymerization behavior of self-etching adhesives with different aggressiveness. Methods Two commercial self-etching adhesives the strong Adper Prompt L-Pop (APLP, pH~0.8) and the mild Adper Easy Bond (AEB, pH~2.5) were used. HAp powders were incorporated into both adhesives to acquire solutions with concentrations of 0, 1, 3, 5, 7 wt%. The attenuated total reflectance Fourier transform infrared (ATR/FT-IR) technique was employed to collect the in-situ spectra during light-curing, from which the degree of conversion (DC) and polymerization rate (PR) were calculated. The pH of each tested solution was also measured. Results Without HAp incorporation, the DC and PR of the strong APLP (7.8% and 0.12%/s, respectively) were much lower than those of the mild AEB (85.5% and 5.7%/s, respectively). The DC and PR of APLP displayed an apparent increasing trend with the HAp content. For example, the DC increased from 7.8% to 58.4% and the PR increased from 0.12 to 3.8%/s when the HAp content increased from 0 to 7 wt%. In contrast, the DC and PR of AEB were much less affected by the HAp content. The observations were correlated well with the spectral and pH changes, which indicated that APLP underwent a higher extent of chemical reaction with HAp than AEB. Conclusions The results disclosed the important role of the acidic monomer/HAp chemical reaction in improving the photopolymerization of the strong (low-pH) self-etching adhesives such as APLP. The phenomenon of polymerization improvement strongly depended on the adhesive aggressiveness. PMID:22445789

  16. EFFECT OF AN ADDITIONAL HYDROPHILIC VERSUS HYDROPHOBIC COAT ON THE QUALITY OF DENTINAL SEALING PROVIDED BY TWO-STEP ETCH-AND-RINSE ADHESIVES

    PubMed Central

    Silva, Safira Marques de Andrade; Carrilho, Marcela Rocha de Oliveira; Marquezini, Luiz; Garcia, Fernanda Cristina Pimentel; Manso, Adriana Pigozzo; Alves, Marcelo Corrêa; de Carvalho, Ricardo Marins

    2009-01-01

    Objective: To test the hypothesis that the quality of the dentinal sealing provided by two-step etch-and-rinse adhesives cannot be altered by the addition of an extra layer of the respective adhesive or the application of a more hydrophobic, non-solvated resin. Material and Methods: full-crown preparations were acid-etched with phosphoric acid for 15 s and bonded with Adper Single Bond (3M ESPE), Excite DSC (Ivoclar/Vivadent) or Prime & Bond NT (Dentsply). The adhesives were used according to the manufacturers' instructions (control groups) or after application to dentin they were a) covered with an extra coat of each respective system or b) coated with a non-solvated bonding agent (Adper Scotchbond Multi-Purpose Adhesive, 3M ESPE). Fluid flow rate was measured before and after dentin surfaces were acid-etched and bonded with adhesives. Results: None of the adhesives or experimental treatments was capable to block completely the fluid transudation across the treated dentin. Application of an extra coat of the adhesive did not reduce the fluid flow rate of adhesive-bonded dentin (p>0.05). Conversely, the application of a more hydrophobic non-solvated resin resulted in significant reductions in the fluid flow rate (p<0.05) for all tested adhesives. Conclusions: The quality of the dentinal sealing provided by etch-and-rinse adhesives can be significantly improved by the application of a more hydrophobic, non-solvated bonding agent. PMID:19466248

  17. High-speed scanning ablation of dental hard tissues with a λ = 9.3 μm CO2 laser: adhesion, mechanical strength, heat accumulation, and peripheral thermal damage

    PubMed Central

    Nguyen, Daniel; Chang, Kwang; Hedayatollahnajafi, Saba; Staninec, Michal; Chan, Kenneth; Lee, Robert; Fried, Daniel

    2011-01-01

    CO2 lasers can be operated at high laser pulse repetition rates for the rapid and precise removal of dental decay. Excessive heat accumulation and peripheral thermal damage is a concern when using high pulse repetition rates. Peripheral thermal damage can adversely impact the mechanical strength of the irradiated tissue, particularly for dentin, and reduce the adhesion characteristics of the modified surfaces. The interpulpal temperature rise was recorded using microthermocouples situated at the roof of the pulp chamber on teeth that were occlusally ablated using a rapidly-scanned CO2 laser operating at 9.3 μm with a pulse duration of 10 to 15 μs and repetition rate of 300 Hz over a 2 min time course. The adhesion strength of laser treated enamel and dentin surfaces was measured for various laser scanning parameters with and without post-ablation acid etching using the single-plane shear test. The mechanical strength of laser-ablated dentin surfaces were determined via the four-point bend test and compared to control samples prepared with 320 grit wet sand paper to simulate conventional preparations. Thermocouple measurements indicated that the temperature remained below ambient temperature if water-cooling was used. There was no discoloration of either dentin or enamel laser treated surfaces, the surfaces were uniformly ablated, and there were no cracks visible. Four-point bend tests yielded mean mechanical strengths of 18.2 N (s.d. = 4.6) for ablated dentin and 18.1 N (s.d. = 2.7) for control (p > 0.05). Shear tests yielded mean bond strengths approaching 30 MPa for both enamel and dentin under certain irradiation conditions. These values were slightly lower than nonirradiated acid-etched control samples. Additional studies are needed to determine if the slightly lower bond strength than the acid-etched control samples is clinically significant. These measurements demonstrate that enamel and dentin surfaces can be rapidly ablated by CO2 lasers with minimal

  18. High-speed scanning ablation of dental hard tissues with a λ = 9.3 μm CO2 laser: adhesion, mechanical strength, heat accumulation, and peripheral thermal damage

    NASA Astrophysics Data System (ADS)

    Nguyen, Daniel; Chang, Kwang; Hedayatollahnajafi, Saba; Staninec, Michal; Chan, Kenneth; Lee, Robert; Fried, Daniel

    2011-07-01

    CO2 lasers can be operated at high laser pulse repetition rates for the rapid and precise removal of dental decay. Excessive heat accumulation and peripheral thermal damage is a concern when using high pulse repetition rates. Peripheral thermal damage can adversely impact the mechanical strength of the irradiated tissue, particularly for dentin, and reduce the adhesion characteristics of the modified surfaces. The interpulpal temperature rise was recorded using microthermocouples situated at the roof of the pulp chamber on teeth that were occlusally ablated using a rapidly-scanned CO2 laser operating at 9.3 μm with a pulse duration of 10 to 15 μs and repetition rate of 300 Hz over a 2 min time course. The adhesion strength of laser treated enamel and dentin surfaces was measured for various laser scanning parameters with and without post-ablation acid etching using the single-plane shear test. The mechanical strength of laser-ablated dentin surfaces were determined via the four-point bend test and compared to control samples prepared with 320 grit wet sand paper to simulate conventional preparations. Thermocouple measurements indicated that the temperature remained below ambient temperature if water-cooling was used. There was no discoloration of either dentin or enamel laser treated surfaces, the surfaces were uniformly ablated, and there were no cracks visible. Four-point bend tests yielded mean mechanical strengths of 18.2 N (s.d. = 4.6) for ablated dentin and 18.1 N (s.d. = 2.7) for control (p > 0.05). Shear tests yielded mean bond strengths approaching 30 MPa for both enamel and dentin under certain irradiation conditions. These values were slightly lower than nonirradiated acid-etched control samples. Additional studies are needed to determine if the slightly lower bond strength than the acid-etched control samples is clinically significant. These measurements demonstrate that enamel and dentin surfaces can be rapidly ablated by CO2 lasers with minimal

  19. High-speed scanning ablation of dental hard tissues with a λ = 9.3 μm CO2 laser: adhesion, mechanical strength, heat accumulation, and peripheral thermal damage.

    PubMed

    Nguyen, Daniel; Chang, Kwang; Hedayatollahnajafi, Saba; Staninec, Michal; Chan, Kenneth; Lee, Robert; Fried, Daniel

    2011-07-01

    CO(2) lasers can be operated at high laser pulse repetition rates for the rapid and precise removal of dental decay. Excessive heat accumulation and peripheral thermal damage is a concern when using high pulse repetition rates. Peripheral thermal damage can adversely impact the mechanical strength of the irradiated tissue, particularly for dentin, and reduce the adhesion characteristics of the modified surfaces. The interpulpal temperature rise was recorded using microthermocouples situated at the roof of the pulp chamber on teeth that were occlusally ablated using a rapidly-scanned CO(2) laser operating at 9.3 μm with a pulse duration of 10 to 15 μs and repetition rate of 300 Hz over a 2 min time course. The adhesion strength of laser treated enamel and dentin surfaces was measured for various laser scanning parameters with and without post-ablation acid etching using the single-plane shear test. The mechanical strength of laser-ablated dentin surfaces were determined via the four-point bend test and compared to control samples prepared with 320 grit wet sand paper to simulate conventional preparations. Thermocouple measurements indicated that the temperature remained below ambient temperature if water-cooling was used. There was no discoloration of either dentin or enamel laser treated surfaces, the surfaces were uniformly ablated, and there were no cracks visible. Four-point bend tests yielded mean mechanical strengths of 18.2 N (s.d. = 4.6) for ablated dentin and 18.1 N (s.d. = 2.7) for control (p > 0.05). Shear tests yielded mean bond strengths approaching 30 MPa for both enamel and dentin under certain irradiation conditions. These values were slightly lower than nonirradiated acid-etched control samples. Additional studies are needed to determine if the slightly lower bond strength than the acid-etched control samples is clinically significant. These measurements demonstrate that enamel and dentin surfaces can be rapidly ablated by CO(2) lasers with

  20. 3D memory: etch is the new litho

    NASA Astrophysics Data System (ADS)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  1. Characteristics of n-GaN after ICP etching

    NASA Astrophysics Data System (ADS)

    Han, Yanjun; Xue, Song; Guo, Wenping; Hao, Zhi-Biao; Sun, Changzheng; Luo, Yi

    2002-09-01

    In this work, a systematic study on the plasma-induced damage on n-type GaN by inductively coupled plasma (ICP) etching is presented. After n-contact metal formation and annealing, electrical property is evaluated by the I-V characteristics. Room temperature photoluminescence (PL) measurement of etched GaN surfaces is performed to investigate the etching damage on the optical properties of n-type GaN. Investigation of the effect of additive gas RF chuck power on these characteristics has also been carried out. The better etching conditions have been obtained based on these results.

  2. Application of 252Cf plasma desorption mass spectrometry in dental research

    NASA Astrophysics Data System (ADS)

    Fritsch, Hans-Walter; Schmidt, Lothar; Köhl, Peter; Jungclas, Hartmut; Duschner, Heins

    1993-07-01

    Topically applied fluorides introduced in dental hygiene products elevate the concentration levels of fluoride in oral fluids and thus also affect chemical reactions of enamel de- and remineralisation. The chemical reactions on the surface of tooth enamel still are a subject of controversy. Here 252Cf-plasma desorption mass spectrometry and argon ion etching are used to analyse the molecular structure of the upper layes of enamel. The mass spectrum of untreated enamel is characterised by a series of cluster ions containing phosphate. It is evident that under certain conditions the molecular structure of the surface enamel is completely transformed by treatment with fluorides. The result of the degradation and precipitation processes is reflected by a total replacement of the phosphate by fluoride in the measured cluster ion distribution. Stepwise etching of the upper layers by Ar+ ions reveals the transition from a nearly pure CaF2 structure to the unchanged composition of the enamel mineral.

  3. The influence of H{sub 2}O{sub 2} concentration to the structure of silicon nanowire growth by metal-assisted chemical etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Omar, Hafsa, E-mail: mrshafsaomar@gmail.com; Jani, Abdul Mutalib Md., E-mail: abdmutalib@perlis.uitm.edu.my; Abdullah, Saifollah, E-mail: saifollah@salam.utm.edu.my

    2016-07-06

    A simple and low cost method to produce well aligned silicon nanowires at large areas using Ag-assisted chemical etching at room temperature were presented. The structure of silicon nanowires growth by metal-assisted chemical etching was observed. Prior to the etching, the silicon nanowires were prepared by electroless metal deposited (EMD) in solution containing hydrofluoric acid and hydrogen peroxide in Teflon vessel. The silver particle was deposited on substrate by immersion in hydrofluoric acid and silver nitrate solution for sixty second. The silicon nanowires were growth in different hydrogen peroxide concentration which are 0.3M, 0.4M, 0.5M and 0.6M and 0.7M.The influencemore » of hydrogen peroxide concentration to the formation of silicon nanowires was studied. The morphological properties of silicon nanowires were investigated using field emission scanning electron microscopy (FESEM) and Energy Dispersive X-Ray Spectroscopy (EDS).« less

  4. Semiconductor structure and recess formation etch technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching processmore » stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.« less

  5. Epoxy bond and stop etch fabrication method

    DOEpatents

    Simmons, Jerry A.; Weckwerth, Mark V.; Baca, Wes E.

    2000-01-01

    A class of epoxy bond and stop etch (EBASE) microelectronic fabrication techniques is disclosed. The essence of such techniques is to grow circuit components on top of a stop etch layer grown on a first substrate. The first substrate and a host substrate are then bonded together so that the circuit components are attached to the host substrate by the bonding agent. The first substrate is then removed, e.g., by a chemical or physical etching process to which the stop etch layer is resistant. EBASE fabrication methods allow access to regions of a device structure which are usually blocked by the presence of a substrate, and are of particular utility in the fabrication of ultrafast electronic and optoelectronic devices and circuits.

  6. Dopant Selective Reactive Ion Etching of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Okojie, Robert (Inventor)

    2016-01-01

    A method for selectively etching a substrate is provided. In one embodiment, an epilayer is grown on top of the substrate. A resistive element may be defined and etched into the epilayer. On the other side of the substrate, the substrate is selectively etched up to the resistive element, leaving a suspended resistive element.

  7. Anisotropic selective etching between SiGe and Si

    NASA Astrophysics Data System (ADS)

    Ishii, Yohei; Scott-McCabe, Ritchie; Yu, Alex; Okuma, Kazumasa; Maeda, Kenji; Sebastian, Joseph; Manos, Jim

    2018-06-01

    In Si/SiGe dual-channel FinFETs, it is necessary to simultaneously control the etched amounts of SiGe and Si. However, the SiGe etch rate is higher than the Si etch rate in not only halogen plasmas but also physical sputtering. In this study, we found that hydrogen plasma selectively etches Si over SiGe. The result shows that the selectivity of Si over SiGe can be up to 38 with increasing Ge concentration in SiGe. Attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) results indicate that hydrogen selectively bonds with Si rather than with Ge in SiGe. During the etching, hydrogen-induced Si surface segregation is also observed. It is also observed that the difference in etched amount between SiGe and Si can be controlled from positive to negative values even in Si/SiGe dual-channel fin patterning while maintaining the vertical profiles. Furthermore, no plasma-induced lattice damage was observed by transmission electron microscopy for both Si and SiGe fin sidewalls.

  8. Experimental etch-and-rinse adhesives doped with bioactive calcium silicate-based micro-fillers to generate therapeutic resin-dentin interfaces.

    PubMed

    Profeta, A C; Mannocci, F; Foxton, R; Watson, T F; Feitosa, V P; De Carlo, B; Mongiorgi, R; Valdré, G; Sauro, S

    2013-07-01

    This study aimed at evaluating the therapeutic bioactive effects on the bond strength of three experimental bonding agents containing modified Portland cement-based micro-fillers applied to acid-etched dentin and submitted to aging in simulated body fluid solution (SBS). Confocal laser (CLSM) and scanning electron microscopy (SEM) were also performed. A type-I ordinary Portland cement was tailored using different compounds such as sodium-calcium-aluminum-magnesium silicate hydroxide (HOPC), aluminum-magnesium-carbonate hydroxide hydrates (HCPMM) and titanium oxide (HPCTO) to create three bioactive micro-fillers. A resin blend mainly constituted by Bis-GMA, PMDM and HEMA was used as control (RES-Ctr) or mixed with each micro-filler to create three experimental bonding agents: (i) Res-HOPC, (ii) Res-HCPMM and (iii) Res-HPCTO. The bonding agents were applied onto 37% H3PO4-etched dentin and light-cured for 30s. After build-ups, they were prepared for micro-tensile bond strength (μTBS) and tested after 24h or 6 months of SBS storage. SEM analysis was performed after de-bonding, while CLSM was used to evaluate the ultra-morphology/nanoleakage and the mineral deposition at the resin-dentin interface. High μTBS values were achieved in all groups after 24h. Only Res-HOPC and Res-HCPMM showed stable μTBS after SBS storage (6 months). All the resin-dentin interfaces created using the bonding agents containing the bioactive micro-fillers tested in this study showed an evident reduction of nanoleakage and mineral deposition after SBS storage. Resin bonding systems containing specifically tailored Portland cement micro-fillers may promote a therapeutic mineral deposition within the hybrid layer and increase the durability of the resin-dentin bond. Copyright © 2013 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  9. Plasma/Neutral-Beam Etching Apparatus

    NASA Technical Reports Server (NTRS)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  10. Atomic force microscopy analysis of different surface treatments of Ti dental implant surfaces

    NASA Astrophysics Data System (ADS)

    Bathomarco, Ti R. V.; Solorzano, G.; Elias, C. N.; Prioli, R.

    2004-06-01

    The surface of commercial unalloyed titanium, used in dental implants, was analyzed by atomic force microscopy. The morphology, roughness, and surface area of the samples, submitted to mechanically-induced erosion, chemical etching and a combination of both, were compared. The results show that surface treatments strongly influence the dental implant physical and chemical properties. An analysis of the length dependence of the implant surface roughness shows that, for scan sizes larger than 50 μm, the average surface roughness is independent of the scanning length and that the surface treatments lead to average surface roughness in the range of 0.37 up to 0.48 μm. It is shown that the implant surface energy is sensitive to the titanium surface area. As the area increases there is a decrease in the surface contact angle.

  11. The Effect of Primer Application Modifications on the Bond Strength of 4th Generation Adhesive Bonding Agents

    DTIC Science & Technology

    2012-03-30

    dentin. Methods: The coronal enamel of 120 extracted human third molars was removed with a low-speed saw. The teeth were mounted in PVC pipe with dental...LITERATURE REVIEW A. Background 1. Acid Etching in Dentistry. Buonocore demonstrated in 1955 that the preparation of dental enamel with an...The influence of deviations from the manufacturer’s instructions for the use of six adhesive systems on the bond strengths to enamel and dentin

  12. Effect of Ceramic Surface Treatments After Machine Grinding on the Biaxial Flexural Strength of Different CAD/CAM Dental Ceramics.

    PubMed

    Bagheri, Hossein; Hooshmand, Tabassom; Aghajani, Farzaneh

    2015-09-01

    This study aimed to evaluate the effect of different ceramic surface treatments after machining grinding on the biaxial flexural strength (BFS) of machinable dental ceramics with different crystalline phases. Disk-shape specimens (10mm in diameter and 1.3mm in thickness) of machinable ceramic cores (two silica-based and one zirconia-based ceramics) were prepared. Each type of the ceramic surfaces was then randomly treated (n=15) with different treatments as follows: 1) machined finish as control, 2) machined finish and sandblasting with alumina, and 3) machined finish and hydrofluoric acid etching for the leucite and lithium disilicate-based ceramics, and for the zirconia; 1) machined finish and post-sintered as control, 2) machined finish, post-sintered, and sandblasting, and 3) machined finish, post-sintered, and Nd;YAG laser irradiation. The BFS were measured in a universal testing machine. Data based were analyzed by ANOVA and Tukey's multiple comparisons post-hoc test (α=0.05). The mean BFS of machined finish only surfaces for leucite ceramic was significantly higher than that of sandblasted (P=0.001) and acid etched surfaces (P=0.005). A significantly lower BFS was found after sandblasting for lithium disilicate compared with that of other groups (P<0.05). Sandblasting significantly increased the BFS for the zirconia (P<0.05), but the BFS was significantly decreased after laser irradiation (P<0.05). The BFS of the machinable ceramics was affected by the type of ceramic material and surface treatment method. Sandblasting with alumina was detrimental to the strength of only silica-based ceramics. Nd:YAG laser irradiation may lead to substantial strength degradation of zirconia.

  13. Effect of Ceramic Surface Treatments After Machine Grinding on the Biaxial Flexural Strength of Different CAD/CAM Dental Ceramics

    PubMed Central

    Bagheri, Hossein; Aghajani, Farzaneh

    2015-01-01

    Objectives: This study aimed to evaluate the effect of different ceramic surface treatments after machining grinding on the biaxial flexural strength (BFS) of machinable dental ceramics with different crystalline phases. Materials and Methods: Disk-shape specimens (10mm in diameter and 1.3mm in thickness) of machinable ceramic cores (two silica-based and one zirconia-based ceramics) were prepared. Each type of the ceramic surfaces was then randomly treated (n=15) with different treatments as follows: 1) machined finish as control, 2) machined finish and sandblasting with alumina, and 3) machined finish and hydrofluoric acid etching for the leucite and lithium disilicate-based ceramics, and for the zirconia; 1) machined finish and post-sintered as control, 2) machined finish, post-sintered, and sandblasting, and 3) machined finish, post-sintered, and Nd;YAG laser irradiation. The BFS were measured in a universal testing machine. Data based were analyzed by ANOVA and Tukey’s multiple comparisons post-hoc test (α=0.05). Results: The mean BFS of machined finish only surfaces for leucite ceramic was significantly higher than that of sandblasted (P=0.001) and acid etched surfaces (P=0.005). A significantly lower BFS was found after sandblasting for lithium disilicate compared with that of other groups (P<0.05). Sandblasting significantly increased the BFS for the zirconia (P<0.05), but the BFS was significantly decreased after laser irradiation (P<0.05). Conclusions: The BFS of the machinable ceramics was affected by the type of ceramic material and surface treatment method. Sandblasting with alumina was detrimental to the strength of only silica-based ceramics. Nd:YAG laser irradiation may lead to substantial strength degradation of zirconia. PMID:27148372

  14. Comparative study of resist stabilization techniques for metal etch processing

    NASA Astrophysics Data System (ADS)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  15. Recovery of GaN surface after reactive ion etching

    NASA Astrophysics Data System (ADS)

    Fan, Qian; Chevtchenko, S.; Ni, Xianfeng; Cho, Sang-Jun; Morko, Hadis

    2006-02-01

    Surface properties of GaN subjected to reactive ion etching and the impact on device performance have been investigated by surface potential, optical and electrical measurements. Different etching conditions were studied and essentially high power levels and low chamber pressures resulted in higher etch rates accompanying with the roughening of the surface morphology. Surface potential for the as-grown c-plane GaN was found to be in the range of 0.5~0.7 V using Scanning Kevin Probe Microscopy. However, after reactive ion etching at a power level of 300 W, it decreased to 0.1~0.2 V. A nearly linear reduction was observed on c-plane GaN with increasing power. The nonpolar a-plane GaN samples also showed large surface band bending before and after etching. Additionally, the intensity of the near band-edge photoluminescence decreased and the free carrier density increased after etching. These results suggest that the changes in the surface potential may originate from the formation of possible nitrogen vacancies and other surface oriented defects and adsorbates. To recover the etched surface, N II plasma, rapid thermal annealing, and etching in wet KOH were performed. For each of these methods, the surface potential was found to increase by 0.1~0.3 V, also the reverse leakage current in Schottky diodes fabricated on treated samples was reduced considerably compared with as-etched samples, which implies a partial-to-complete recovery from the plasma-induced damage.

  16. High-speed scanning ablation of dental hard tissues with a λ=9.3-μm CO2 laser: heat accumulation and peripheral thermal damage

    NASA Astrophysics Data System (ADS)

    Nguyen, Daniel; Staninec, Michal; Lee, Chulsung; Fried, Daniel

    2010-02-01

    A mechanically scanned CO2 laser operated at high laser pulse repetition rates can be used to rapidly and precisely remove dental decay. This study aims to determine whether these laser systems can safely ablate enamel and dentin without excessive heat accumulation and peripheral thermal damage. Peripheral thermal damage can adversely impact the mechanical strength of the irradiated tissue, particularly for dentin, and reduce the adhesion characteristics of the modified surfaces. Samples were derived from noncarious extracted molars. Pulpal temperatures were recorded using microthermocouples situated at the pulp chamber roof of samples (n=12), which were occlusally ablated using a rapid-scanning, water-cooled 300 Hz CO2 laser over a two minute time course. The mechanical strength of facially ablated dentin (n=10) was determined via four-point bend test and compared to control samples (n=10) prepared with 320 grit wet sand paper to simulate conventional preparations. Composite-to-enamel bond strength was measured via single-plane shear test for ablated/non-etched (n=10) and ablated/acid-etched (n=8) samples and compared to control samples (n=9) prepared by 320 grit wet sanding. Thermocouple measurements indicated that the temperature remained below ambient temperature at 19.0°C (s.d.=0.9) if water-cooling was used. There was no discoloration of either dentin and enamel, the treated surfaces were uniformly ablated and there were no cracks observable on the laser treated surfaces. Fourpoint bend tests yielded mean mechanical strengths of 18.2 N (s.d.=4.6) for ablated dentin and 18.1 N (s.d.=2.7) for control (p>0.05). Shear tests yielded mean bond strengths of 31.2 MPa (s.d.=2.5, p<0.01) for ablated/acid-etched samples, 5.2 MPa (s.d.=2.4, p<0.001) for ablated/non-etched samples, and 37.0 MPa (s.d.=3.6) for control. The results indicate that a rapid-scanning 300 Hz CO2 laser can effectively ablate dentin and enamel without excessive heat accumulation and with minimal

  17. Surface modification with alumina blasting and H2SO4-HCl etching for bonding two resin-composite veneers to titanium.

    PubMed

    Taira, Yohsuke; Egoshi, Takafumi; Kamada, Kohji; Sawase, Takashi

    2014-02-01

    The purpose of this study was to investigate the effect of an experimental surface treatment with alumina blasting and acid etching on the bond strengths between each of two resin composites and commercially pure titanium. The titanium surface was blasted with alumina and then etched with 45wt% H2SO4 and 15wt% HCl (H2SO4-HCl). A light- and heat-curing resin composite (Estenia) and a light-curing resin composite (Ceramage) were used with adjunctive metal primers. Veneered specimens were subjected to thermal cycling between 4 and 60°C for 50,000 cycles, and the shear bond strengths were determined. The highest bond strengths were obtained for Blasting/H2SO4-HCl/Estenia (30.2 ± 4.5 MPa) and Blasting/Etching/Ceramage (26.0 ± 4.5 MPa), the values of which were not statistically different, followed by Blasting/No etching/Estenia (20.4 ± 2.4 MPa) and Blasting/No etching/Ceramage (0.8 ± 0.3 MPa). Scanning electron microscopy observations revealed that alumina blasting and H2SO4-HCl etching creates a number of micro- and nanoscale cavities on the titanium surface, which contribute to adhesive bonding. © 2013 Eur J Oral Sci.

  18. Repair bond strength of resin composite to bilayer dental ceramics

    PubMed Central

    2018-01-01

    PURPOSE The purpose of this study was to investigate the effect of various surface treatments (ST) on the shear bond strength of resin composite to three bilayer dental ceramics made by CAD/CAM and two veneering ceramics. MATERIALS AND METHODS Three different bilayer dental ceramics and two different veneering ceramics were used (Group A: IPS e.max CAD+IPS e.max Ceram; Group B: IPS e.max ZirCAD+IPS e.max Ceram, Group C: Vita Suprinity+Vita VM11; Group D: IPS e.max Ceram; Group E: Vita VM11). All groups were divided into eight subgroups according to the ST. Then, all test specimens were repaired with a nano hybrid resin composite. Half of the test specimens were subjected to thermocycling procedure and the other half was stored in distilled water at 37℃. Shear bond strength tests for all test specimens were carried out with a universal testing machine. RESULTS There were statistically significant differences among the tested surface treatments within the all tested fracture types (P<.005). HF etching showed higher bond strength values in Groups A, C, D, and E than the other tested ST. However, bonding durability of all the surface-treated groups were similar after thermocycling (P>.00125). CONCLUSION This study revealed that HF etching for glass ceramics and sandblasting for zirconia ceramics were adequate for repair of all ceramic restorations. The effect of ceramic type exposed on the fracture area was not significant on the repair bond strength of resin composites to different ceramic types. PMID:29713430

  19. Physics and chemistry of complex oxide etching and redeposition control

    NASA Astrophysics Data System (ADS)

    Margot, Joëlle

    2012-10-01

    Since its introduction in the 1970s, plasma etching has become the universal method for fine-line pattern transfer onto thin films and is anticipated to remain so in foreseeable future. Despite many success stories, plasma etching processes fail to meet the needs for several of the newest materials involved in advanced devices for photonic, electronic and RF applications like ferroelectrics, electro-optic materials, high-k dielectrics, giant magnetoresistance materials and unconventional conductors. In this context, the work achieved over the last decade on the etching of multicomponent oxides thin films such as barium strontium titanate (BST), strontium titanate (STO) and niobate of calcium and barium (CBN) will be reviewed. These materials present a low reactivity with usual etching gases such as fluorinated and chlorinated gases, their etching is mainly governed by ion sputtering and reactive gases sometimes interact with surface materials to form compounds that inhibit etching. The etching of platinum will also be presented as an example of unconventional conductor materials for which severe redeposition limits the achievable etching quality. Finally, it will be shown how simulation can help to understand the etching mechanisms and to define avenues for higher quality patterning.

  20. Wafer hotspot prevention using etch aware OPC correction

    NASA Astrophysics Data System (ADS)

    Hamouda, Ayman; Power, Dave; Salama, Mohamed; Chen, Ao

    2016-03-01

    As technology development advances into deep-sub-wavelength nodes, multiple patterning is becoming more essential to achieve the technology shrink requirements. Recently, Optical Proximity Correction (OPC) technology has proposed simultaneous correction of multiple mask-patterns to enable multiple patterning awareness during OPC correction. This is essential to prevent inter-layer hot-spots during the final pattern transfer. In state-of-art literature, multi-layer awareness is achieved using simultaneous resist-contour simulations to predict and correct for hot-spots during mask generation. However, this approach assumes a uniform etch shrink response for all patterns independent of their proximity, which isn't sufficient for the full prevention of inter-exposure hot-spot, for example different color space violations post etch or via coverage/enclosure post etch. In this paper, we explain the need to include the etch component during multiple patterning OPC. We also introduce a novel approach for Etch-aware simultaneous Multiple-patterning OPC, where we calibrate and verify a lumped model that includes the combined resist and etch responses. Adding this extra simulation condition during OPC is suitable for full chip processing from a computation intensity point of view. Also, using this model during OPC to predict and correct inter-exposures hot-spots is similar to previously proposed multiple-patterning OPC, yet our proposed approach more accurately corrects post-etch defects too.

  1. Method for anisotropic etching in the manufacture of semiconductor devices

    NASA Technical Reports Server (NTRS)

    Koontz, Steven L. (Inventor); Cross, Jon B. (Inventor)

    1993-01-01

    Hydrocarbon polymer coatings used in microelectronic manufacturing processes are anisotropically etched by hyperthermal atomic oxygen beams (translational energies of 0.2 to 20 eV, preferably 1 to 10 eV). Etching with hyperthermal oxygen atom species obtains highly anisotropic etching with sharp boundaries between etched and mask protected areas.

  2. Chemical Etching of Zinc Oxide for Thin-Film Silicon Solar Cells

    PubMed Central

    Hüpkes, Jürgen; Owen, Jorj I; Pust, Sascha E; Bunte, Eerke

    2012-01-01

    Abstract Chemical etching is widely applied to texture the surface of sputter-deposited zinc oxide for light scattering in thin-film silicon solar cells. Based on experimental findings from the literature and our own results we propose a model that explains the etching behavior of ZnO depending on the structural material properties and etching agent. All grain boundaries are prone to be etched to a certain threshold, that is defined by the deposition conditions and etching solution. Additionally, several approaches to modify the etching behavior through special preparation and etching steps are provided. PMID:22162035

  3. Effect of moisture on dental enamel in the interaction of two orthodontic bonding systems.

    PubMed

    Bertoz, André Pinheiro de Magalhães; de Oliveira, Derly Tescaro Narcizo; Gimenez, Carla Maria Melleiro; Briso, André Luiz Fraga; Bertoz, Francisco Antonio; Santos, Eduardo César Almada

    2013-01-01

    The purpose of this study was to assess by means of scanning electron microscopy (SEM) the remaining adhesive interface after debonding orthodontic attachments bonded to bovine teeth with the use of hydrophilic and hydrophobic primers under different dental substrate moisture conditions. Twenty mandibular incisors were divided into four groups (n = 5). In Group I, bracket bonding was performed with Transbond MIP hydrophilic primer and Transbond XT adhesive paste applied to moist substrate, and in Group II a bonding system comprising Transbond XT hydrophobic primer and adhesive paste was applied to moist substrate. Brackets were bonded to the specimens in Groups III and IV using the same adhesive systems, but on dry dental enamel. The images were qualitatively assessed by SEM. The absence of moisture in etched enamel enabled better interaction between bonding materials and the adamantine structure. The hydrophobic primer achieved the worst micromechanical interlocking results when applied to a moist dental structure, whereas the hydrophilic system proved versatile, yielding acceptable results in moist conditions and excellent interaction in the absence of contamination. The authors assert that the best condition for the application of primers to dental enamel occurs in the absence of moisture.

  4. Method for anisotropic etching in the manufacture of semiconductor devices

    DOEpatents

    Koontz, Steven L.; Cross, Jon B.

    1993-01-01

    Hydrocarbon polymer coatings used in microelectronic manufacturing processes are anisotropically etched by atomic oxygen beams (translational energies of 0.2-20 eV, preferably 1-10 eV). Etching with hyperthermal (kinetic energy>1 eV) oxygen atom species obtains highly anisotropic etching with sharp boundaries between etched and mask-protected areas.

  5. CDU improvement technology of etching pattern using photo lithography

    NASA Astrophysics Data System (ADS)

    Tadokoro, Masahide; Shinozuka, Shinichi; Jyousaka, Megumi; Ogata, Kunie; Morimoto, Tamotsu; Konishi, Yoshitaka

    2008-03-01

    Semiconductor manufacturing technology has shifted towards finer design rules, and demands for critical dimension uniformity (CDU) of resist patterns have become greater than ever. One of the methods for improving Resist Pattern CDU is to control post-exposure bake (PEB) temperature. When ArF resist is used, there is a certain relationship between critical dimension (CD) and PEB temperature. By utilizing this relationship, Resist Pattern CDU can be improved through control of within-wafer temperature distribution in the PEB process. Resist Pattern CDU improvement contributes to Etching Pattern CDU improvement to a certain degree. To further improve Etching Pattern CDU, etcher-specific CD variation needs to be controlled. In this evaluation, 1. We verified whether etcher-specific CD variation can be controlled and consequently Etching Pattern CDU can be further improved by controlling resist patterns through PEB control. 2. Verifying whether Etching Pattern CDU improvement through has any effect on the reduction in wiring resistance variation. The evaluation procedure is as follows.1. Wafers with base film of Doped Poly-Si (D-Poly) were prepared. 2. Resist patterns were created on them. 3. To determine etcher-specific characteristics, the first etching was performed, and after cleaning off the resist and BARC, CD of etched D-Poly was measured. 4. Using the obtained within-wafer CD distribution of the etching patterns, within-wafer temperature distribution in the PEB process was modified. 5. Resist patterns were created again, followed by the second etching and cleaning, which was followed by CD measurement. We used Optical CD Measurement (OCD) for measurement of resist patterns and etching patterns as OCD is minimally affected by Line Edge Roughness (LER). As a result, 1. We confirmed the effect of Resist Pattern CD control through PEB control on the reduction in etcher-specific CD variation and the improvement in Etching Pattern CDU. 2. The improvement in Etching

  6. Effect of laser and air abrasion pretreatment on the microleakage of a fissure sealant applied with conventional and self etch adhesives.

    PubMed

    Tirali, R E; Celik, C; Arhun, N; Berk, G; Cehreli, S B

    2013-01-01

    The purpose of this study was to investigate the effects of different pretreatment protocols along with different bonding agents on the microleakage of a fissure sealant material. A total of 144 freshly extracted noncarious human third molars were used The teeth were randomly assigned into three groups with respect to the pretreatment protocol employed: A. Air Abrasion B. Er,Cr:YSGG laser C. No pretreatment (Control). In each group specimens were further subjected to one of the following procedures before application of the sealant: 1. %36 Phosphoric acid-etch (AE) (DeTrey Conditioner 36/Denstply, UK) 2.AE+Prime&Bond NT (Dentsply, UK) 3. Clearfil S3 Bond (Kuraray, Japan) 4. Clearfil SE Bond (Kuraray, Japan). All teeth were sealed with the same fissure sealant material (Conseal F/SDI, Australia). Sealed teeth were further subjected to thermocycling, dye penetration test, sectioning and quantitative image analysis. Statistical evaluation of the microleakage data was performed with two way independent ANOVA and multiple comparisons test at p = 0.05. For qualitative evaluation 2 samples from each group were examined under Scanning Electron Microscopy. Microleakage was affected by both the type of pretreatment and the subsequent bonding protocols employed (p < 0.05). Overall, the highest (Mean = 0.36 mm) and lowest (Mean = 0.06 mm) microleakage values were observed in samples with unpretreated enamel sealed by S3+Conseal F and samples with laser pretreated enamel sealed by Acid Etch+Prime&-Bond+Conseal F protocols, respectively (p < 0.05). In the acid-etch group samples pretreated with laser yielded in slightly lower microleakage scores when compared with unpretreated samples and samples pretreated with air abrasion but the statistical significance was not important (p = 0,179). Similarly, when bonding agent is applied following acid-etching procedure, microleakage scores were not affected from pretreatment protocol (p = 0,615) (intact enamel/laser or air-abrasion). For

  7. Atomic precision etch using a low-electron temperature plasma

    NASA Astrophysics Data System (ADS)

    Dorf, L.; Wang, J.-C.; Rauf, S.; Zhang, Y.; Agarwal, A.; Kenney, J.; Ramaswamy, K.; Collins, K.

    2016-03-01

    Sub-nm precision is increasingly being required of many critical plasma etching processes in the semiconductor industry. Accurate control over ion energy and ion/radical composition is needed during plasma processing to meet these stringent requirements. Described in this work is a new plasma etch system which has been designed with the requirements of atomic precision plasma processing in mind. In this system, an electron sheet beam parallel to the substrate surface produces a plasma with an order of magnitude lower electron temperature Te (~ 0.3 eV) and ion energy Ei (< 3 eV without applied bias) compared to conventional radio-frequency (RF) plasma technologies. Electron beam plasmas are characterized by higher ion-to-radical fraction compared to RF plasmas, so a separate radical source is used to provide accurate control over relative ion and radical concentrations. Another important element in this plasma system is low frequency RF bias capability which allows control of ion energy in the 2-50 eV range. Presented in this work are the results of etching of a variety of materials and structures performed in this system. In addition to high selectivity and low controllable etch rate, an important requirement of atomic precision etch processes is no (or minimal) damage to the remaining material surface. It has traditionally not been possible to avoid damage in RF plasma processing systems, even during atomic layer etch. The experiments for Si etch in Cl2 based plasmas in the aforementioned etch system show that damage can be minimized if the ion energy is kept below 10 eV. Layer-by-layer etch of Si is also demonstrated in this etch system using electrical and gas pulsing.

  8. Solvent composition of one-step self-etch adhesives and dentine wettability.

    PubMed

    Grégoire, Geneviève; Dabsie, Firas; Dieng-Sarr, Farimata; Akon, Bernadette; Sharrock, Patrick

    2011-01-01

    Our aim was to determine the wettability of dentine by four commercial self-etch adhesives and evaluate their spreading rate on the dentine surface. Any correlation with chemical composition was sought, particularly with the amount of solvent or HEMA present in the adhesive. The adhesives used were AdheSE One, Optibond All.In.One, Adper Easy Bond and XenoV. Chemical compositions were determined by proton nuclear magnetic resonance (NMR) spectroscopy of the adhesives dissolved in dimethylsulfoxide. Apparent contact angles for sessile drops of adhesives were measured on dentine slices as a function of time for up to 180s. The water contact angles were determined for fully polymerised adhesives. All adhesives were water-based with total solvent contents ranging from 27% to 73% for HEMA-free adhesives, and averaging 45% for HEMA containing adhesives. The contents in hydrophobic groups decreased as water contents increased. No differences were found in the adhesive contact angles after 180s even though the spreading rates were different for the products tested. Water contact angles differed significantly but were not correlated with HEMA or solvent presence. Manufacturers use different approaches to stabilise acid co-monomer ingredients in self-etch adhesives. Co-solvents, HEMA, or acrylamides without co-solvents are used to simultaneously etch and infiltrate dentine. A large proportion of water is necessary for decalcification action. Copyright © 2010 Elsevier Ltd. All rights reserved.

  9. An Optimization of Electrochemical Etching Conditions for Gold Nanotips Fabrication

    NASA Astrophysics Data System (ADS)

    Oh, Min Woo; Chong, Haeeun; Park, Doo Jae; Jang, Moonkyu; Bahn, Sebin; Choi, Soo Bong

    2018-05-01

    We demonstrate a series of experiments to find optimized electrochemical etching condition for fabricating gold nanotip, using square-wave voltage as a bias and using hydrochloric acid diluted by acetone as an etchant. We confirmed that the dilution ratio of 3: 1 between hydrochloric acid and acetone give the smallest tip apex diameter which reproduces our previous result. More importantly, by varying applied bias condition and immersion depth of the platinum ring used as a cathode inside the etchant, we found that the smaller tip apex diameter is achieved when both the amplitude and duty cycle get higher. The success rate, which we define the number of tips having meaningfully less diameter out of total number of tried tips, is also discussed.

  10. Vapor etching of nuclear tracks in dielectric materials

    DOEpatents

    Musket, Ronald G.; Porter, John D.; Yoshiyama, James M.; Contolini, Robert J.

    2000-01-01

    A process involving vapor etching of nuclear tracks in dielectric materials for creating high aspect ratio (i.e., length much greater than diameter), isolated cylindrical holes in dielectric materials that have been exposed to high-energy atomic particles. The process includes cleaning the surface of the tracked material and exposing the cleaned surface to a vapor of a suitable etchant. Independent control of the temperatures of the vapor and the tracked materials provide the means to vary separately the etch rates for the latent track region and the non-tracked material. As a rule, the tracked regions etch at a greater rate than the non-tracked regions. In addition, the vapor-etched holes can be enlarged and smoothed by subsequent dipping in a liquid etchant. The 20-1000 nm diameter holes resulting from the vapor etching process can be useful as molds for electroplating nanometer-sized filaments, etching gate cavities for deposition of nano-cones, developing high-aspect ratio holes in trackable resists, and as filters for a variety of molecular-sized particles in virtually any liquid or gas by selecting the dielectric material that is compatible with the liquid or gas of interest.

  11. Formation of Micro- and Nanostructures on the Nanotitanium Surface by Chemical Etching and Deposition of Titania Films by Atomic Layer Deposition (ALD)

    PubMed Central

    Nazarov, Denis V.; Zemtsova, Elena G.; Valiev, Ruslan Z.; Smirnov, Vladimir M.

    2015-01-01

    In this study, an integrated approach was used for the preparation of a nanotitanium-based bioactive material. The integrated approach included three methods: severe plastic deformation (SPD), chemical etching and atomic layer deposition (ALD). For the first time, it was experimentally shown that the nature of the etching medium (acidic or basic Piranha solutions) and the etching time have a significant qualitative impact on the nanotitanium surface structure both at the nano- and microscale. The etched samples were coated with crystalline biocompatible TiO2 films with a thickness of 20 nm by Atomic Layer Deposition (ALD). Comparative study of the adhesive and spreading properties of human osteoblasts MG-63 has demonstrated that presence of nano- and microscale structures and crystalline titanium oxide on the surface of nanotitanium improve bioactive properties of the material. PMID:28793716

  12. Hafnium Oxide Film Etching Using Hydrogen Chloride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Yamaji, Masahiko; Kobori, Yoshitsugu; Horii, Sadayoshi; Kunii, Yasuo

    2009-12-01

    Hydrogen chloride gas removes the hafnium oxide film formed by atomic layer deposition at the etch rate of about 1 nm/min. A 100 nm-thick hafnium oxide film was perfectly etched off at 1173 K for 60 min by 100% hydrogen chloride gas at 100 sccm. A weight decrease in the hafnium oxide film was observed at temperatures higher than ca. 600 K, which corresponds to the sublimation point of hafnium tetrachloride. The etching by-product is considered to be hafnium tetrachloride. The etching technique developed in this study is expected to be applicable to various processes, such as the cleaning of a hafnium oxide film deposition reactor.

  13. Photonic jet μ-etching: from static to dynamic process

    NASA Astrophysics Data System (ADS)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  14. Effect of application mode on interfacial morphology and chemistry between dentin and self-etch adhesives

    PubMed Central

    Zhang, Ying; Wang, Yong

    2012-01-01

    Objective To investigate the influence of application mode on the interfacial morphology and chemistry between dentin and self-etch adhesives with different aggressiveness. Methods The occlusal one-third of the crown was removed from un-erupted human third molars, followed by abrading with 600 grit SiC under water. Rectangular dentin slabs were prepared by sectioning the tooth specimens perpendicular to the abraded surfaces. The obtained dentin slabs were treated with one of the two one-step self-etch adhesives: Adper Easy Bond (AEB, PH~2.5) and Adper Prompt L-Pop (APLP, PH~0.8) with (15s, active application) or without (15s, inactive application) agitation. The dentin slabs were fractured and the exposed adhesive/dentin (A/D) interfaces were examined with micro-Raman spectroscopy and scanning electron microscopy (SEM). Results The interfacial morphology, degree of dentin demineralization (DD) and degree of conversion (DC) of the strong self-etch adhesive APLP showed more significant dependence on the application mode than the mild AEB. APLP exhibited inferior bonding at the A/D interface if applied without agitation, evidenced by debonding from the dentin substrate. The DDs and DCs of the APLP with agitation were higher than those of without agitation in the interface, in contrast to the comparable DD and DC values of two AEB specimen groups with different application modes. Raman spectral analysis revealed the important role of chemical interaction between acid monomers of self-etch adhesives and dentin in the above observations. Conclusion The chemical interaction with dentin is especially important for improving the DC of the strong self-etching adhesive at the A/D interface. Agitation could benefit polymerization efficacy of the strong self-etch adhesive through enhancing the chemical interaction with tooth substrate. PMID:23153573

  15. Qualitative modeling of silica plasma etching using neural network

    NASA Astrophysics Data System (ADS)

    Kim, Byungwhan; Kwon, Kwang Ho

    2003-01-01

    An etching of silica thin film is qualitatively modeled by using a neural network. The process was characterized by a 23 full factorial experiment plus one center point, in which the experimental factors and ranges include 100-800 W radio-frequency source power, 100-400 W bias power and gas flow rate ratio CHF3/CF4. The gas flow rate ratio varied from 0.2 to 5.0. The backpropagation neural network (BPNN) was trained on nine experiments and tested on six experiments, not pertaining to the original training data. The prediction ability of the BPNN was optimized as a function of the training parameters. Prediction errors are 180 Å/min and 1.33, for the etch rate and anisotropy models, respectively. Physical etch mechanisms were estimated from the three-dimensional plots generated from the optimized models. Predicted response surfaces were consistent with experimentally measured etch data. The dc bias was correlated to the etch responses to evaluate its contribution. Both the source power (plasma density) and bias power (ion directionality) strongly affected the etch rate. The source power was the most influential factor for the etch rate. A conflicting effect between the source and bias powers was noticed with respect to the anisotropy. The dc bias played an important role in understanding or separating physical etch mechanisms.

  16. Exploration of suitable dry etch technologies for directed self-assembly

    NASA Astrophysics Data System (ADS)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  17. Electronegativity-dependent tin etching from thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that,more » due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.« less

  18. Lateral electrochemical etching of III-nitride materials for microfabrication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Han, Jung

    Conductivity-selective lateral etching of III-nitride materials is described. Methods and structures for making vertical cavity surface emitting lasers with distributed Bragg reflectors via electrochemical etching are described. Layer-selective, lateral electrochemical etching of multi-layer stacks is employed to form semiconductor/air DBR structures adjacent active multiple quantum well regions of the lasers. The electrochemical etching techniques are suitable for high-volume production of lasers and other III-nitride devices, such as lasers, HEMT transistors, power transistors, MEMs structures, and LEDs.

  19. Effect of dentin etching and chlorhexidine application on metalloproteinase-mediated collagen degradation

    PubMed Central

    Raquel, Osorio; Mónica, Yamauti; Estrella, Osorio; Estrella, Ruiz-Requena María; David, Pashley; Franklin, Tay; Manuel, Toledano

    2013-01-01

    Dentin matrix metalloproteinases (MMPs) are involved in collagen degradation of resin-dentin interfaces. This study evaluated if collagen degradation can be prevented by chlorhexidine after different dentin demineralization procedures. Human dentin demineralization was performed with phosphoric acid (PA), EDTA, or acidic monomers (ClearfilSEBond and XENOV). Specimens were stored (24 h, 1 wk or 3 wk) in the presence or absence of chlorhexidine. In half of the groups, active MMP-2 was incorporated into the storing solution. C-terminal telopeptide determination (ICTP) was performed in the supernatants. Collagen degradation was higher in PA and EDTA-demineralized dentin. Chlorhexidine reduced collagen degradation in these groups only for 24 h. When dentin was demineralized with SEBond or Xeno, collagen degradation was reduced up to 30%, but addition of exogenous MMP-2 significantly increased collagen degradation. In self-etchant treated dentin the inhibitory effect of chlorhexidine on MMPs lasted up to 3 wk. Treating dentin with EDTA, PA or self-etching agents produces enough demineralization to permit cleavage of the exposed collagen. Monomers infiltration may exert protection on demineralized collagen, probably through immobilization of MMPs. The partial inhibitory action of CHX on MMP activity produced by self-etching adhesives was prolonged compared to the short-acting in PA or EDTA-treated dentin. PMID:21244516

  20. [Observation of topography and analysis of surface contamination of titanium implant after roughness treatment].

    PubMed

    Cao, Hongdan; Yang, Xiaodong; Wu, Dayi; Zhang, Xingdong

    2007-04-01

    The roughness treatment of dental implant surface could improve the bone bonding and increase the success rate of implant, but the difference of diverse treatments is still unknown. In this study using scanning electron microscopy (SEM), energy disperse spectrometer (EDS) and the test of contact angle, we studied the microstructure, surface contamination and surface energy, and hence conducted a comparative analysis of the following surface roughness treatments: Polished Treatment (PT), Sandblasting with Alumina(SA), Sandblasting with Aluminia and Acid-etched (SAA), Sandblasting with Titanium Acid-etched (STA), Electro-erosion Treatment(ET). The result of SEM showed that the surface displayed irregularities after roughness treatments and that the surface properties of different roughness treatments had some distinctions. SAA and SA had some sharp edges and protrutions; the STA showed a regular pattern like honeycomb, but the ET sample treated by electric erosion exhibited the deeper pores of different sizes and the pores with a perforated secondary structure. The EDS indicated that the surface was contaminated after the treatment with foreign materials; the SA surface had some embedded contaminations even after acid etching. The measurement of water contact angle indicated that the morphology correlated with the surface treatments. These findings suggest that the distinction of surface structure and composition caused by different treatments may result in the disparity in biological behavior of dental implant.

  1. Dry etch challenges for CD shrinkage in memory process

    NASA Astrophysics Data System (ADS)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  2. Low damage dry etch for III-nitride light emitters

    NASA Astrophysics Data System (ADS)

    Nedy, Joseph G.; Young, Nathan G.; Kelchner, Kathryn M.; Hu, Yanling; Farrell, Robert M.; Nakamura, Shuji; DenBaars, Steven P.; Weisbuch, Claude; Speck, James S.

    2015-08-01

    We have developed a dry etch process for the fabrication of lithographically defined features close to light emitting layers in the III-nitride material system. The dry etch was tested for its effect on the internal quantum efficiency of c-plane InGaN quantum wells using the photoluminescence of a test structure with two active regions. No change was observed in the internal quantum efficiency of the test active region when the etched surface was greater than 71 nm away. To demonstrate the application of the developed dry etch process, surface-etched air gaps were fabricated 275 nm away from the active region of an m-plane InGaN/GaN laser diode and served as the waveguide upper cladding. Electrically injected lasing was observed without the need for regrowth or recovery anneals. This dry etch opens up a new design tool that can be utilized in the next generation of GaN light emitters.

  3. Morphology and structure of polymer layers protecting dental enamel against erosion.

    PubMed

    Beyer, Markus; Reichert, Jörg; Sigusch, Bernd W; Watts, David C; Jandt, Klaus D

    2012-10-01

    Human dental erosion caused by acids is a major factor for tooth decay. Adding polymers to acidic soft drinks is one important approach to reduce human dental erosion caused by acids. The aim of this study was to investigate the thickness and the structure of polymer layers adsorbed in vitro on human dental enamel from polymer modified citric acid solutions. The polymers propylene glycol alginate (PGA), highly esterified pectin (HP) and gum arabic (GA) were used to prepare polymer modified citric acids solutions (PMCAS, pH 3.3). With these PMCAS, enamel samples were treated for 30, 60 and 120s respectively to deposit polymer layers on the enamel surface. Profilometer scratches on the enamel surface were used to estimate the thickness of the polymer layers via atomic force microscopy (AFM). The composition of the deposited polymer layers was investigated with X-ray photoelectron spectroscopy (XPS). In addition the polymer-enamel interaction was investigated with zeta-potential measurements and scanning electron microscopy (SEM). It has been shown that the profilometer scratch depth on the enamel with deposited polymers was in the range of 10nm (30s treatment time) up to 25nm (120s treatment time). Compared to this, the unmodified CAS-treated surface showed a greater scratch depth: from nearly 30nm (30s treatment time) up to 60nm (120s treatment time). Based on XPS measurements, scanning electron microscopy (SEM) and zeta-potential measurements, a model was hypothesized which describes the layer deposited on the enamel surface as consisting of two opposing gradients of polymer molecules and hydroxyapatite (HA) particles. In this study, the structure and composition of polymer layers deposited on in vitro dental enamel during treatment with polymer modified citric acid solutions were investigated. Observations are consistent with a layer consisting of two opposing gradients of hydroxyapatite particles and polymer molecules. This leads to reduced erosive effects of

  4. 10-year survival rate and the incidence of peri-implant disease of 374 titanium dental implants with a SLA surface: a prospective cohort study in 177 fully and partially edentulous patients.

    PubMed

    van Velzen, Frank J J; Ofec, Ronen; Schulten, Engelbert A J M; Ten Bruggenkate, Christiaan M

    2015-10-01

    This prospective cohort study evaluates the 10-year survival and incidence of peri-implant disease at implant and patient level of sandblasted, large grid, and acid-etched titanium dental implants (Straumann, soft tissue level, SLA surface) in fully and partially edentulous patients. Patients who had dental implant surgery in the period between November 1997 and June 2001, with a follow-up of at least 10 years, were investigated for clinical and radiological examination. Among the 506 inserted dental implants in 250 patients, 10-year data regarding the outcome of implants were available for 374 dental implants in 177 patients. In the current study, peri-implantitis was defined as advanced bone loss (≧1.5 mm. postloading) in combination with bleeding on probing. At 10-year follow-up, only one implant was lost (0.3%) 2 months after implant surgery due to insufficient osseointegration. The average bone loss at 10 year postloading was 0.52 mm. Advanced bone loss at 10-year follow-up was present in 35 dental implants (9.8%). Seven percent of the observed dental implants showed bleeding on probing in combination with advanced bone loss and 4.2% when setting the threshold for advanced bone loss at 2.0 mm. Advanced bone loss without bleeding on probing was present in 2.8% of all implants. In this prospective study, the 10-year survival rate at implant and patient level was 99.7% and 99.4%, respectively. Peri-implantitis was present in 7% of the observed dental implants according to the above-mentioned definition of peri-implantitis. This study shows that SLA implants offer predictable long-term results as support in the treatment of fully and partially edentulous patients. © 2014 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  5. Consideration of VT5 etch-based OPC modeling

    NASA Astrophysics Data System (ADS)

    Lim, ChinTeong; Temchenko, Vlad; Kaiser, Dieter; Meusel, Ingo; Schmidt, Sebastian; Schneider, Jens; Niehoff, Martin

    2008-03-01

    Including etch-based empirical data during OPC model calibration is a desired yet controversial decision for OPC modeling, especially for process with a large litho to etch biasing. While many OPC software tools are capable of providing this functionality nowadays; yet few were implemented in manufacturing due to various risks considerations such as compromises in resist and optical effects prediction, etch model accuracy or even runtime concern. Conventional method of applying rule-based alongside resist model is popular but requires a lot of lengthy code generation to provide a leaner OPC input. This work discusses risk factors and their considerations, together with introduction of techniques used within Mentor Calibre VT5 etch-based modeling at sub 90nm technology node. Various strategies are discussed with the aim of better handling of large etch bias offset without adding complexity into final OPC package. Finally, results were presented to assess the advantages and limitations of the final method chosen.

  6. Optical-fiber strain sensors with asymmetric etched structures.

    PubMed

    Vaziri, M; Chen, C L

    1993-11-01

    Optical-fiber strain gauges with asymmetric etched structures have been analyzed, fabricated, and tested. These sensors are very sensitive with a gauge factor as high as 170 and a flat frequency response to at least 2.7 kHz. The gauge factor depends on the asymmetry of the etched structures and the number of etched sections. To understand the physical principles involved, researchers have used structural analysis programs based on a finite-element method to analyze fibers with asymmetric etched structures under tensile stress. The results show that lateral bends are induced on the etched fibers when they are stretched axially. To relate the lateral bending to the optical attenuation, we have also employed a ray-tracing technique to investigate the dependence of the attenuation on the structural deformation. Based on the structural analysis and the ray-tracing study parameters affecting the sensitivity have been studied. These results agree with the results of experimental investigations.

  7. Permeability of Dental Adhesives – A SEM Assessment

    PubMed Central

    Malacarne-Zanon, Juliana; de Andrade e Silva, Safira M.; Wang, Linda; de Goes, Mario F.; Martins, Adriano Luis; Narvaes-Romani, Eliene O.; Anido-Anido, Andrea; Carrilho, Marcela R. O.

    2010-01-01

    Objectives: To morphologically evaluate the permeability of different commercial dental adhesives using scanning electron microscopy. Methods: Seven adhesive systems were evaluated: one three-step system (Scotchbond Multi-Purpose - MP); one two-step self-etching primer system (Clearfil SE Bond – SE); three two-step etch-and-rinse systems (Single Bond 2 – SB; Excite – EX; One-Step – OS); and two single-step self-etching adhesives (Adper Prompt – AP; One-Up Bond F – OU). The mixture of primer and bond agents of the Clearfil SE Bond system (SE-PB) was also tested. The adhesives were poured into a brass mold (5.8 mm x 0.8 mm) and light-cured for 80 s at 650 mW/cm2. After a 24 h desiccation process, the specimens were immersed in a 50% ammoniac silver nitrate solution for tracer permeation. Afterwards, they were sectioned in ultra-fine slices, carbon-coated, and analyzed under backscattered electrons in a scanning electron microscopy. Results: MP and SE showed slight and superficial tracer permeation. In EX, SB, and OS, permeation extended beyond the inner superficies of the specimens. SE-PB did not mix well, and most of the tracer was precipitated into the primer agent. In AP and OU, “water-trees” were observed all over the specimens. Conclusions: Different materials showed distinct permeability in aqueous solution. The extent of tracer permeation varied according to the composition of each material and it was more evident in the more hydrophilic and solvated ones. PMID:20922163

  8. Etched-multilayer phase shifting masks for EUV lithography

    DOEpatents

    Chapman, Henry N.; Taylor, John S.

    2005-04-05

    A method is disclosed for the implementation of phase shifting masks for EUV lithography. The method involves directly etching material away from the multilayer coating of the mask, to cause a refractive phase shift in the mask. By etching into the multilayer (for example, by reactive ion etching), rather than depositing extra material on the top of the multilayer, there will be minimal absorption loss associated with the phase shift.

  9. A survey of some metallographic etching reagents for restoration of obliterated engraved marks on aluminium-silicon alloy surfaces.

    PubMed

    Uli, Norjaidi; Kuppuswamy, R; Amran, Mohd Firdaus Che

    2011-05-20

    A brief survey to assess the sensitivity and efficacy of some common etching reagents for revealing obliterated engraved marks on Al-Si alloy surfaces is presented. Experimental observations have recommended use of alternate swabbing of 10% NaOH and 10% HNO(3) on the obliterated surfaces for obtaining the desired results. The NaOH etchant responsible for bringing back the original marks resulted in the deposition of some dark coating that has masked the recovered marks. The coating had been well removed by dissolving it in HNO(3) containing 10-20% acid. However, the above etching procedure was not effective on aluminium (99% purity) and Al-Zn-Mg-Cu alloy surfaces. Also the two reagents (i) immersion in 10% aq. phosphoric acid and (ii) alternate swabbing of 60% HCl and 40% NaOH suggested earlier for high strength Al-Zn-Mg-Cu alloys [23] were quite ineffective on Al-Si alloys. Thus different aluminium alloys needed different etching treatments for successfully restoring the obliterated marks. Al-Si alloys used in casting find wide applications especially in the manufacture of engine blocks of motor vehicles. Hence, the results presented in this paper are of much relevance in serial number restoration problems involving this alloy. Copyright © 2010 Elsevier Ireland Ltd. All rights reserved.

  10. Composition/bandgap selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, Carol I. H.; Dishman, James L.

    1987-01-01

    A method of selectively photochemically dry etching a first semiconductor material of a given composition and direct bandgap Eg.sub.1 in the presence of a second semiconductor material of a different composition and direct bandgap Eg.sub.2, wherein Eg.sub.2 >Eg.sub.1, said second semiconductor material substantially not being etched during said method, comprises subjecting both materials to the same photon flux and to the same gaseous etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said photons being of an energy greater than Eg.sub.1 but less than Eg.sub.2, whereby said first semiconductor material is photochemically etched and said second material is substantially not etched.

  11. Partially etched Ti3AlC2 as a promising high capacity Lithium-ion battery anode.

    PubMed

    Chen, Xifan; Zhu, Yuanzhi; Zhu, Xiaoquan; Peng, Wenchao; Li, Yang; Zhang, Guoliang; Zhang, Fengbao; Fan, Xiaobin

    2018-06-25

    MXenes, a family of two-dimensional transition-metal carbide and nitride materials, are supposed to be the promising materials in energy storage because of the high electronic conductivity, hydrophilic surfaces and low diffusion barriers. MXenes are generally prepared by removing the "A" elements (A = Al, Si, Sn, etc.) from their corresponding MAX phases by using hydrofluoric acid (HF) and the other etching agents, despite the fact that these "A" elements usually have great volumetric and gravimetric capacities. Herein, we studied the etching progress of Ti3AlC2 and evaluated their anode performance in Lithium-ion batteries. We found that a partially etched sample (0.5h-peTi3C2Tx) showed much higher capacity (160 mA h g-1, 331.6 mA h cm-3 at 1C) when compared with the fully etched Ti3C2Tx (110 mA h g-1, 190.3 mA h cm-3 at 1C). Besides, a 99% capacity retention was observed even after 1000 cycles in the 0.5h-peTi3C2Tx anode. This interesting result can be explained, at least in part, by the alloying of the residue Al element during lithiation. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. An evaluation of shear bond strength of self-etch adhesive on pre-etched enamel: an in vitro study.

    PubMed

    Rao, Bhadra; Reddy, Satti Narayana; Mujeeb, Abdul; Mehta, Kanchan; Saritha, G

    2013-11-01

    To determine the shear bond strength of self-etch adhesive G-bond on pre-etched enamel. Thirty caries free human mandibular premolars extracted for orthodontic purpose were used for the study. Occlusal surfaces of all the teeth were flattened with diamond bur and a silicon carbide paper was used for surface smoothening. The thirty samples were randomly grouped into three groups. Three different etch systems were used for the composite build up: group 1 (G-bond self-etch adhesive system), group 2 (G-bond) and group 3 (Adper single bond). Light cured was applied for 10 seconds with a LED unit for composite buildup on the occlusal surface of each tooth with 8 millimeters (mm) in diameter and 3 mm in thickness. The specimens in each group were tested in shear mode using a knife-edge testing apparatus in a universal testing machine across head speed of 1 mm/ minute. Shear bond strength values in Mpa were calculated from the peak load at failure divided by the specimen surface area. The mean shear bond strength of all the groups were calculated and statistical analysis was carried out using one-way Analysis of Variance (ANOVA). The mean bond strength of group 1 is 15.5 Mpa, group 2 is 19.5 Mpa and group 3 is 20.1 Mpa. Statistical analysis was carried out between the groups using one-way ANOVA. Group 1 showed statistically significant lower bond strength when compared to groups 2 and 3. No statistical significant difference between groups 2 and 3 (p < 0.05). Self-etch adhesive G-bond showed increase in shear bond strength on pre-etched enamel.

  13. Etching of germanium-tin using ammonia peroxide mixture

    NASA Astrophysics Data System (ADS)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Zhang, Zheng; Pan, Jisheng; Gong, Xiao; Tok, Eng-Soon; Liang, Gengchiau; Yeo, Yee-Chia

    2015-12-01

    The wet etching of germanium-tin (Ge1-xSnx) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge1-xSnx is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge1-xSnx surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge0.918Sn0.082 samples. Both root-mean-square roughness and undulation periods of the Ge1-xSnx surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge1-xSnx using APM and may be used for the fabrication of Ge1-xSnx-based electronic and photonic devices.

  14. Laser etching of austenitic stainless steels for micro-structural evaluation

    NASA Astrophysics Data System (ADS)

    Baghra, Chetan; Kumar, Aniruddha; Sathe, D. B.; Bhatt, R. B.; Behere, P. G.; Afzal, Mohd

    2015-06-01

    Etching is a key step in metallography to reveal microstructure of polished specimen under an optical microscope. A conventional technique for producing micro-structural contrast is chemical etching. As an alternate, laser etching is investigated since it does not involve use of corrosive reagents and it can be carried out without any physical contact with sample. Laser induced etching technique will be beneficial especially in nuclear industry where materials, being radioactive in nature, are handled inside a glove box. In this paper, experimental results of pulsed Nd-YAG laser based etching of few austenitic stainless steels such as SS 304, SS 316 LN and SS alloy D9 which are chosen as structural material for fabrication of various components of upcoming Prototype Fast Breeder Reactor (PFBR) at Kalpakkam India were reported. Laser etching was done by irradiating samples using nanosecond pulsed Nd-YAG laser beam which was transported into glass paneled glove box using optics. Experiments were carried out to understand effect of laser beam parameters such as wavelength, fluence, pulse repetition rate and number of exposures required for etching of austenitic stainless steel samples. Laser etching of PFBR fuel tube and plug welded joint was also carried to evaluate base metal grain size, depth of fusion at welded joint and heat affected zone in the base metal. Experimental results demonstrated that pulsed Nd-YAG laser etching is a fast and effortless technique which can be effectively employed for non-contact remote etching of austenitic stainless steels for micro-structural evaluation.

  15. Chemical Composition of Nanoporous Layer Formed by Electrochemical Etching of p-Type GaAs.

    PubMed

    Bioud, Youcef A; Boucherif, Abderraouf; Belarouci, Ali; Paradis, Etienne; Drouin, Dominique; Arès, Richard

    2016-12-01

    We have performed a detailed characterization study of electrochemically etched p-type GaAs in a hydrofluoric acid-based electrolyte. The samples were investigated and characterized through cathodoluminescence (CL), X-ray diffraction (XRD), energy-dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). It was found that after electrochemical etching, the porous layer showed a major decrease in the CL intensity and a change in chemical composition and in the crystalline phase. Contrary to previous reports on p-GaAs porosification, which stated that the formed layer is composed of porous GaAs, we report evidence that the porous layer is in fact mainly constituted of porous As 2 O 3 . Finally, a qualitative model is proposed to explain the porous As 2 O 3 layer formation on p-GaAs substrate.

  16. Photo-assisted etching of silicon in chlorine- and bromine-containing plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu, Weiye; Sridhar, Shyam; Liu, Lei

    2014-05-28

    Cl{sub 2}, Br{sub 2}, HBr, Br{sub 2}/Cl{sub 2}, and HBr/Cl{sub 2} feed gases diluted in Ar (50%–50% by volume) were used to study etching of p-type Si(100) in a rf inductively coupled, Faraday-shielded plasma, with a focus on the photo-assisted etching component. Etching rates were measured as a function of ion energy. Etching at ion energies below the threshold for ion-assisted etching was observed in all cases, with Br{sub 2}/Ar and HBr/Cl{sub 2}/Ar plasmas having the lowest and highest sub-threshold etching rates, respectively. Sub-threshold etching rates scaled with the product of surface halogen coverage (measured by X-ray photoelectron spectroscopy) andmore » Ar emission intensity (7504 Å). Etching rates measured under MgF{sub 2}, quartz, and opaque windows showed that sub-threshold etching is due to photon-stimulated processes on the surface, with vacuum ultraviolet photons being much more effective than longer wavelengths. Scanning electron and atomic force microscopy revealed that photo-etched surfaces were very rough, quite likely due to the inability of the photo-assisted process to remove contaminants from the surface. Photo-assisted etching in Cl{sub 2}/Ar plasmas resulted in the formation of 4-sided pyramidal features with bases that formed an angle of 45° with respect to 〈110〉 cleavage planes, suggesting that photo-assisted etching can be sensitive to crystal orientation.« less

  17. Isotropic plasma etching of Ge Si and SiN x films

    DOE PAGES

    Henry, Michael David; Douglas, Erica Ann

    2016-08-31

    This study reports on selective isotropic dry etching of chemically vapor deposited (CVD) Ge thin film, release layers using a Shibaura chemical downstream etcher (CDE) with NF 3 and Ar based plasma chemistry. Relative etch rates between Ge, Si and SiN x are described with etch rate reductions achieved by adjusting plasma chemistry with O 2. Formation of oxides reducing etch rates were measured for both Ge and Si, but nitrides or oxy-nitrides created using direct injection of NO into the process chamber were measured to increase Si and SiN x etch rates while retarding Ge etching.

  18. A Study on Ohmic Contact to Dry-Etched p-GaN

    NASA Astrophysics Data System (ADS)

    Hu, Cheng-Yu; Ao, Jin-Ping; Okada, Masaya; Ohno, Yasuo

    Low-power dry-etching process has been adopted to study the influence of dry-etching on Ohmic contact to p-GaN. When the surface layer of as-grown p-GaN was removed by low-power SiCl4/Cl2-etching, no Ohmic contact can be formed on the low-power dry-etched p-GaN. The same dry-etching process was also applied on n-GaN to understand the influence of the low-power dry-etching process. By capacitance-voltage (C-V) measurement, the Schottky barrier heights (SBHs) of p-GaN and n-GaN were measured. By comparing the change of measured SBHs on p-GaN and n-GaN, it was suggested that etching damage is not the only reason responsible for the degraded Ohmic contacts to dry-etched p-GaN and for Ohmic contact formatin, the original surface layer of as-grown p-GaN have some special properties, which were removed by dry-etching process. To partially recover the original surface of as-grown p-GaN, high temperature annealing (1000°C 30s) was tried on the SiCl4/Cl2-etched p-GaN and Ohmic contact was obtained.

  19. Selectively-etched nanochannel electrophoretic and electrochemical devices

    DOEpatents

    Surh, Michael P.; Wilson, William D.; Barbee, Jr., Troy W.; Lane, Stephen M.

    2004-11-16

    Nanochannel electrophoretic and electrochemical devices having selectively-etched nanolaminates located in the fluid transport channel. The normally flat surfaces of the nanolaminate having exposed conductive (metal) stripes are selectively-etched to form trenches and baffles. The modifications of the prior utilized flat exposed surfaces increase the amount of exposed metal to facilitate electrochemical redox reaction or control the exposure of the metal surfaces to analytes of large size. These etched areas variously increase the sensitivity of electrochemical detection devices to low concentrations of analyte, improve the plug flow characteristic of the channel, and allow additional discrimination of the colloidal particles during cyclic voltammetry.

  20. Selectively-etched nanochannel electrophoretic and electrochemical devices

    DOEpatents

    Surh, Michael P [Livermore, CA; Wilson, William D [Pleasanton, CA; Barbee, Jr., Troy W.; Lane, Stephen M [Oakland, CA

    2006-06-27

    Nanochannel electrophoretic and electrochemical devices having selectively-etched nanolaminates located in the fluid transport channel. The normally flat surfaces of the nanolaminate having exposed conductive (metal) stripes are selectively-etched to form trenches and baffles. The modifications of the prior utilized flat exposed surfaces increase the amount of exposed metal to facilitate electrochemical redox reaction or control the exposure of the metal surfaces to analytes of large size. These etched areas variously increase the sensitivity of electrochemical detection devices to low concentrations of analyte, improve the plug flow characteristic of the channel, and allow additional discrimination of the colloidal particles during cyclic voltammetry.

  1. Plasma etching a ceramic composite. [evaluating microstructure

    NASA Technical Reports Server (NTRS)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  2. Composition/bandgap selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, C.I.H.; Dishman, J.L.

    1985-10-11

    Disclosed is a method of selectively photochemically dry etching a first semiconductor material of a given composition and direct bandgap Eg/sub 1/ in the presence of a second semiconductor material of a different composition and direct bandgap Eg/sub 2/, wherein Eg/sub 2/ > Eg/sub 1/, said second semiconductor material substantially not being etched during said method. The method comprises subjecting both materials to the same photon flux and to the same gaseous etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said photons being of an energy greater than Eg/sub 1/ but less than Eg/sub 2/, whereby said first semiconductor material is photochemically etched and said second material is substantially not etched.

  3. The endpoint detection technique for deep submicrometer plasma etching

    NASA Astrophysics Data System (ADS)

    Wang, Wei; Du, Zhi-yun; Zeng, Yong; Lan, Zhong-went

    2009-07-01

    The availability of reliable optical sensor technology provides opportunities to better characterize and control plasma etching processes in real time, they could play a important role in endpoint detection, fault diagnostics and processes feedback control and so on. The optical emission spectroscopy (OES) method becomes deficient in the case of deep submicrometer gate etching. In the newly developed high density inductively coupled plasma (HD-ICP) etching system, Interferometry endpoint (IEP) is introduced to get the EPD. The IEP fringe count algorithm is investigated to predict the end point, and then its signal is used to control etching rate and to call end point with OES signal in over etching (OE) processes step. The experiment results show that IEP together with OES provide extra process control margin for advanced device with thinner gate oxide.

  4. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires.

    PubMed

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Unalan, Husnu Emrah

    2011-04-15

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  5. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Emrah Unalan, Husnu

    2011-04-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  6. Three-year clinical effectiveness of four total-etch dentinal adhesive systems in cervical lesions.

    PubMed

    Van Meerbeek, B; Peumans, M; Gladys, S; Braem, M; Lambrechts, P; Vanherle, G

    1996-11-01

    A 3-year follow-up clinical trial of two experimental Bayer total-etch adhesive systems and two commercial total-etch systems. Clearfil Liner Bond System and Scotchbond Multi-Purpose, was conducted to evaluate their clinical effectiveness in Class V cervical lesions. Four hundred twenty abrasion-erosion lesions were restored randomly using the four adhesive systems. There were two experimental cavity designs, in which the adjacent enamel margins either were or were not beveled and acid etched. Clearfil Liner Bond System and Scotchbond Multi-Purpose demonstrated high retention rates in both types of cavity design at 3 years. The two experimental Bayer systems scored much lower retention rates in both cavity designs at 3 years. None of the systems guaranteed margins free of microleakage for a long time. At 3 years, superficial, localized marginal discolorations were observed, the least for Clearfil Liner Bond System, followed by Scotchbond Multi-Purpose and the two experimental systems. Small marginal defects were recorded at the cervical dentin and the incisal enamel margin. Retention of Clearfil Liner Bond and Scotchbond Multi-Purpose appears to be clearly improved over earlier systems, but marginal sealing remains problematic. The two Bayer systems were found to be clinically unreliable.

  7. No-waiting dentine self-etch concept-Merit or hype.

    PubMed

    Huang, Xue-Qing; Pucci, César R; Luo, Tao; Breschi, Lorenzo; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-01

    A recently-launched universal adhesive, G-Premio Bond, provides clinicians with the alternative to use the self-etch technique for bonding to dentine without waiting for the adhesive to interact with the bonding substrate (no-waiting self-etch; Japanese brochure), or after leaving the adhesive undisturbed for 10s (10-s self-etch; international brochure). The present study was performed to examine in vitro performance of this new universal adhesive bonded to human coronal dentine using the two alternative self-etch modes. One hundred and ten specimens were bonded using two self-etch application modes and examined with or without thermomechanical cycling (10,000 thermal cycles and 240,000 mechanical cycles) to simulate one year of intraoral functioning. The bonded specimens were sectioned for microtensile bond testing, ultrastructural and nanoleakage examination using transmission electron microscopy. Changes in the composition of mineralised dentine after adhesive application were examined using Fourier transform infrared spectroscopy. Both reduced application time and thermomechanical cycling resulted in significantly lower bond strengths, thinner hybrid layers, and significantly more extensive nanoleakage after thermomechanical cycling. Using the conventional 10-s application time improved bonding performance when compared with the no-waiting self-etch technique. Nevertheless, nanoleakage was generally extensive under all testing parameters employed for examining the adhesive. Although sufficient bond strength to dentine may be achieved using the present universal adhesive in the no-waiting self-etch mode that does not require clinicians to wait prior to polymerisation of the adhesive, this self-etch concept requires further technological refinement before it can be recommended as a clinical technique. Although the surge for cutting application time to increase user friendliness remains the most frequently sought conduit for advancement of dentine bonding

  8. Attachment and spreadout study of 3T3 cells onto PP track etched films

    NASA Astrophysics Data System (ADS)

    Smolko, Eduardo; Mazzei, Ruben; Tadey, Daniel; Lombardo, Daniel

    2001-12-01

    Polymer surface modifications are obtained by the application of radiation treatments and other physico-chemical methods: fission fragment (ff) irradiation and etching. The biocompatibility of the surface is then observed by cell seeding and cell adhesion experiments. Approaches to improvement of the cell adhesion are obtained by different methods: for example, in PS, cell adhesion is improved after ion implantation; in PMMA, after bombarding the polymer, the surface is reconditioned with surfactants and proteins and in PVDF, cell adhesion is assayed on nuclear tracks membranes. In this work, we obtained important cell adhesion improvements in PP films by irradiation with swift heavy ions and subsequent etching of the nuclear tracks. We use BOPP (isotactic -25 μm thickness). Irrradiations were performed with a Cf-252 californium ff source. The source has a heavy ff and a light one, with 160-200 MeV energy divided among them corresponding to ff energies between 1 and 2 MeV/amu. A chemical etching procedure consisting of a solution of sulphuric acid and chromium three oxide at 85 °C was used. The 3T3 NIH fibroblast cell line was used for the cell adhesion experiment. Here we report for the first time, the results of a series of experiments by varying the ff fluence and the etching time showing that attachment and spreadout of cells are very much improved in this cell line according to the number of pores and the pore size.

  9. Etching Rate of Silicon Dioxide Using Chlorine Trifluoride Gas

    NASA Astrophysics Data System (ADS)

    Miura, Yutaka; Kasahara, Yu; Habuka, Hitoshi; Takechi, Naoto; Fukae, Katsuya

    2009-02-01

    The etching rate behavior of silicon dioxide (SiO2, fused silica) using chlorine trifluoride (ClF3) gas is studied at substrate temperatures between 573 and 1273 K at atmospheric pressure in a horizontal cold-wall reactor. The etching rate increases with the ClF3 gas concentration, and the overall reaction is recognized to be of the first order. The change of the etching rate with increasing substrate temperature is nonlinear, and the etching rate tends to approach a constant value at temperatures exceeding 1173 K. The overall rate constant is estimated by numerical calculation, taking into account the transport phenomena in the reactor, including the chemical reaction at the substrate surface. The activation energy obtained in this study is 45.8 kJ mol-1, and the rate constant is consistent with the measured etching rate behavior. A reactor system in which there is minimum etching of the fused silica chamber by ClF3 gas can be achieved using an IR lamp heating unit and a chamber cooling unit to maintain a sufficiently low temperature of the chamber wall.

  10. What's new in dentine bonding? Self-etch adhesives.

    PubMed

    Burke, F J Trevor

    2004-12-01

    Bonding to dentine is an integral part of contemporary restorative dentistry, but early systems were not user-friendly. The introduction of new systems which have a reduced number of steps--the self-etch adhesives--could therefore be an advantage to clinicians, provided that they are as effective as previous adhesives. These new self-etch materials appear to form hybrid layers as did the previous generation of materials. However, there is a need for further clinical research on these new materials. Advantages of self-etch systems include, no need to etch and rinse, reduced post-operative sensitivity and low technique sensitivity. Disadvantages include, the inhibition of set of self- or dual-cure resin materials and the need to roughen untreated enamel surfaces prior to bonding.

  11. Etching radical controlled gas chopped deep reactive ion etching

    DOEpatents

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  12. Substantivity of hypochlorous acid-based disinfectant against biofilm formation in the dental unit waterlines

    PubMed Central

    Shajahan, Irfana Fathima; Kandaswamy, Deivanayagam; Lakshminarayanan, L.; Selvarajan, R.

    2017-01-01

    Objective: The purpose of the study was to examine the substantivity of a new disinfectant against biofilm formation in the dental unit waterlines. Materials and Methods: Twenty dental units were selected for the study and divided into two groups: Group A (dental unit waterlines treated with the disinfectant) and Group B (untreated dental unit waterlines). Biofilm formation was monitored in both groups by removing the one dental unit waterline from each group for the period of 10 days. One inch of the dental unit waterline tube was cut at random site, and the inner lumen of the cut sections was analyzed using the scanning electron microscope (SEM) (TESCAN VEGA3 SBU). Results: On examination, SEM images showed that there was no slime layer or bacterial cells seen in cut section for the period of 7 days in the treated dental waterlines, which means that there is no evident of biofilm formation. In the untreated dental unit waterline cut section, slime layer was observed from day 1. Conclusion: Disinfectant solution was proved to be effective for 7 days against biofilm formation. This technique could be used as a valid method for disinfection of dental unit waterlines. PMID:28761244

  13. A study of GaN-based LED structure etching using inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Wang, Pei; Cao, Bin; Gan, Zhiyin; Liu, Sheng

    2011-02-01

    GaN as a wide band gap semiconductor has been employed to fabricate optoelectronic devices such as light-emitting diodes (LEDs) and laser diodes (LDs). Recently several different dry etching techniques for GaN-based materials have been developed. ICP etching is attractive because of its superior plasma uniformity and strong controllability. Most previous reports emphasized on the ICP etching characteristics of single GaN film. In this study dry etching of GaN-based LED structure was performed by inductively coupled plasmas (ICP) etching with Cl2 as the base gas and BCl3 as the additive gas. The effects of the key process parameters such as etching gases flow rate, ICP power, RF power and chamber pressure on the etching properties of GaN-based LED structure including etching rate, selectivity, etched surface morphology and sidewall was investigated. Etch depths were measured using a depth profilometer and used to calculate the etch rates. The etch profiles were observed with a scanning electron microscope (SEM).

  14. Determination of Prevalence of Dental Erosion in 12 - 14 Years School Children and Its Relationship with Dietary Habits.

    PubMed

    Shahbaz, Uzma; Quadir, Fauzia; Hosein, Tasleem

    2016-07-01

    To determine the frequency of dental erosion in 12-14 years school children and its association with dietary habits. Observational cross-sectional analytical study. Fatima Jinnah Dental College, Karachi, from January to June 2010. School children aged between 12 - 14 years were included in this study. Dental erosion was detected by visual examination. Aself-developed questionnaire was used to assess the dietary habits of children. Acidic diet was considered a diet that has an acidic pH. The amount of consumption of acidic drinks and food per week was categorized into low consumption (1 - 7 times / week) and medium consumption (8 - 21 times / week). Chi-square test was applied to see any statistical difference between diet and tooth erosion at 95% CI. The results showed a high frequency of (46%) dental erosion in children, which was significantly higher (p < 0.001) in children with more acidic diet. This study highlights the impact of dietary habits on the prevalence of dental erosion in children. Acidic diets need to be controlled in frequency to prevent dental erosion.

  15. Technique for etching monolayer and multilayer materials

    DOEpatents

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  16. Ion beam sputter etching and deposition of fluoropolymers

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Sovey, J. S.; Miller, T. B.; Crandall, K. S.

    1978-01-01

    Fluoropolymer etching and deposition techniques including thermal evaporation, RF sputtering, plasma polymerization, and ion beam sputtering are reviewed. Etching and deposition mechanism and material characteristics are discussed. Ion beam sputter etch rates for polytetrafluoroethylene (PTFE) were determined as a function of ion energy, current density and ion beam power density. Peel strengths were measured for epoxy bonds to various ion beam sputtered fluoropolymers. Coefficients of static and dynamic friction were measured for fluoropolymers deposited from ion bombarded PTFE.

  17. Functional expression of dental plaque microbiota.

    PubMed

    Peterson, Scott N; Meissner, Tobias; Su, Andrew I; Snesrud, Erik; Ong, Ana C; Schork, Nicholas J; Bretz, Walter A

    2014-01-01

    Dental caries remains a significant public health problem and is considered pandemic worldwide. The prediction of dental caries based on profiling of microbial species involved in disease and equally important, the identification of species conferring dental health has proven more difficult than anticipated due to high interpersonal and geographical variability of dental plaque microbiota. We have used RNA-Seq to perform global gene expression analysis of dental plaque microbiota derived from 19 twin pairs that were either concordant (caries-active or caries-free) or discordant for dental caries. The transcription profiling allowed us to define a functional core microbiota consisting of nearly 60 species. Similarities in gene expression patterns allowed a preliminary assessment of the relative contribution of human genetics, environmental factors and caries phenotype on the microbiota's transcriptome. Correlation analysis of transcription allowed the identification of numerous functional networks, suggesting that inter-personal environmental variables may co-select for groups of genera and species. Analysis of functional role categories allowed the identification of dominant functions expressed by dental plaque biofilm communities, that highlight the biochemical priorities of dental plaque microbes to metabolize diverse sugars and cope with the acid and oxidative stress resulting from sugar fermentation. The wealth of data generated by deep sequencing of expressed transcripts enables a greatly expanded perspective concerning the functional expression of dental plaque microbiota.

  18. Functional expression of dental plaque microbiota

    PubMed Central

    Peterson, Scott N.; Meissner, Tobias; Su, Andrew I.; Snesrud, Erik; Ong, Ana C.; Schork, Nicholas J.; Bretz, Walter A.

    2014-01-01

    Dental caries remains a significant public health problem and is considered pandemic worldwide. The prediction of dental caries based on profiling of microbial species involved in disease and equally important, the identification of species conferring dental health has proven more difficult than anticipated due to high interpersonal and geographical variability of dental plaque microbiota. We have used RNA-Seq to perform global gene expression analysis of dental plaque microbiota derived from 19 twin pairs that were either concordant (caries-active or caries-free) or discordant for dental caries. The transcription profiling allowed us to define a functional core microbiota consisting of nearly 60 species. Similarities in gene expression patterns allowed a preliminary assessment of the relative contribution of human genetics, environmental factors and caries phenotype on the microbiota's transcriptome. Correlation analysis of transcription allowed the identification of numerous functional networks, suggesting that inter-personal environmental variables may co-select for groups of genera and species. Analysis of functional role categories allowed the identification of dominant functions expressed by dental plaque biofilm communities, that highlight the biochemical priorities of dental plaque microbes to metabolize diverse sugars and cope with the acid and oxidative stress resulting from sugar fermentation. The wealth of data generated by deep sequencing of expressed transcripts enables a greatly expanded perspective concerning the functional expression of dental plaque microbiota. PMID:25177549

  19. Managing dental erosion.

    PubMed

    Curtis, Donald A; Jayanetti, Jay; Chu, Raymond; Staninec, Michal

    2012-01-01

    The clinical signs of dental erosion are initially subtle, yet often progress because the patient remains asymptomatic, unaware and uninformed. Erosion typically works synergistically with abrasion and attrition to cause loss of tooth structure, making diagnosis and management complex. The purpose of this article is to outline clinical examples of patients with dental erosion that highlight the strategy of early identification, patient education and conservative restorative management. Dental erosion is defined as the pathologic chronic loss of dental hard tissues as a result of the chemical influence of exogenous or endogenous acids without bacterial involvement. Like caries or periodontal disease, erosion has a multifactorial etiology and requires a thorough history and examination for diagnosis. It also requires patient understanding and compliance for improved outcomes. Erosion can affect the loss of tooth structure in isolation of other cofactors, but most often works in synergy with abrasion and attrition in the loss of tooth structure (Table 1). Although erosion is thought to be an underlying etiology of dentin sensitivity, erosion and loss of tooth structure often occurs with few symptoms. The purpose of this article is threefold: first, to outline existing barriers that may limit early management of dental erosion. Second, to review the clinical assessment required to establish a diagnosis of erosion. And third, to outline clinical examples that review options to restore lost tooth structure. The authors have included illustrations they hope will be used to improve patient understanding and motivation in the early management of dental erosion.

  20. Closed System Step Etching of CI chondrite Ivuna reveals primordial noble gases in the HF-solubles

    NASA Astrophysics Data System (ADS)

    Riebe, My E. I.; Busemann, Henner; Wieler, Rainer; Maden, Colin

    2017-05-01

    We analyzed all the noble gases in HF-soluble phases in the CI chondrite Ivuna by in-vacuum gas release using the "Closed System Step Etching" (CSSE) technique, which allows for direct noble gas measurements of acid-soluble phases. The main motivation was to investigate if there are primordial noble gases in HF-soluble phases in Ivuna, something that has not been done before in CI chondrites, as most primordial noble gases are known to reside in HF-resistant phases. The first steps under mild etching released He, Ne, and Ar with solar-like elemental and isotopic compositions, confirming that Ivuna contains implanted solar wind (SW) noble gases acquired in the parent body regolith. The SW component released in some etch steps was elementally unfractionated. This is unusual as trapped SW noble gases are elementally fractionated in most meteoritic material. In the intermediate etch steps under slightly harsher etching, cosmogenic noble gases were more prominent than SW noble gases. The HF-soluble portion of Ivuna contained primordial Ne and Xe, that was most visible in the last etch steps after all cosmogenic and most SW gases had been released. The primordial Ne and Xe in the HF-solubles have isotopic and elemental ratios readily explained as a mixture of the two most abundant primordial noble gas components in Ivuna bulk samples: HL and Q. Only small fractions of the total HL and Q in Ivuna were released during CSSE analysis; ∼3% of 20NeHL and ∼4% of 132XeQ. HL is known to reside in nanodiamond-rich separates and Q-gases are most likely carried by a carbonaceous phase known as phase Q. Q-gases were likely released from an HF-soluble portion of phase Q. However, nanodiamonds might not be the source of the HL-gases released upon etching, since nanodiamond-rich separates are very HF-resistant and the less tightly bound nanodiamond component P3 was not detected.

  1. Use of Shark Dental Protein to Estimate Trophic Position via Amino Acid Compound-Specific Isotope Analysis

    NASA Astrophysics Data System (ADS)

    Hayes, M.; Herbert, G.; Ellis, G.

    2017-12-01

    The diets of apex predators such as sharks are expected to change in response to overfishing of their mesopredator prey, but pre-anthropogenic baselines necessary to test for such changes are lacking. Stable isotope analysis (SIA) of soft tissues is commonly used to study diets in animals based on the bioaccumulation of heavier isotopes of carbon and nitrogen with increasing trophic level. In specimens representing pre-anthropogenic baselines, however, a modified SIA approach is needed to deal with taphonomic challenges, such as loss of soft tissues or selective loss of less stable amino acids (AAs) in other sources of organic compounds (e.g., teeth or bone) which can alter bulk isotope values. These challenges can be overcome with a compound-specific isotope analysis of individual AAs (AA-CSIA), but this first requires a thorough understanding of trophic enrichment factors for individual AAs within biomineralized tissues. In this study, we compare dental and muscle proteins of individual sharks via AA-CSIA to determine how trophic position is recorded within teeth and whether that information differs from that obtained from soft tissues. If skeletal organics reliably record information about shark ecology, then archaeological and perhaps paleontological specimens can be used to investigate pre-anthropogenic ecosystems. Preliminary experiments show that the commonly used glutamic acid/phenylalanine AA pairing may not be useful for establishing trophic position from dental proteins, but that estimated trophic position determined from alternate AA pairs are comparable to those from muscle tissue within the same species.

  2. Education About Dental Hygienists' Roles in Public Dental Prevention Programs: Dental and Dental Hygiene Students' and Faculty Members' and Dental Hygienists' Perspectives.

    PubMed

    Pervez, Anushey; Kinney, Janet S; Gwozdek, Anne; Farrell, Christine M; Inglehart, Marita R

    2016-09-01

    In 2005, Public Act No. 161 (PA 161) was passed in Michigan, allowing dental hygienists to practice in approved public dental prevention programs to provide services for underserved populations while utilizing a collaborative agreement with a supervising dentist. The aims of this study were to assess how well dental and dental hygiene students and faculty members and practicing dental hygienists have been educated about PA 161, what attitudes and knowledge about the act they have, and how interested they are in additional education about it. University of Michigan dental and dental hygiene students and faculty members, students in other Michigan dental hygiene programs, and dental hygienists in the state were surveyed. Respondents (response rate) were 160 dental students (50%), 63 dental hygiene students (82%), 30 dental faculty members (26%), and 12 dental hygiene faculty members (52%) at the University of Michigan; 143 dental hygiene students in other programs (20%); and 95 members of the Michigan Dental Hygienists' Association (10%). The results showed that the dental students were less educated about PA 161 than the dental hygiene students, and the dental faculty members were less informed than the dental hygiene faculty members and dental hygienists. Responding dental hygiene faculty members and dental hygienists had more positive attitudes about PA 161 than did the students and dental faculty members. Most of the dental hygiene faculty members and dental hygienists knew a person providing services in a PA 161 program. Most dental hygiene students, faculty members, and dental hygienists wanted more education about PA 161. Overall, the better educated about the program the respondents were, the more positive their attitudes, and the more interested they were in learning more.

  3. Etching of germanium-tin using ammonia peroxide mixture

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount ofmore » Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.« less

  4. Dental caries: strategies to control this preventable disease.

    PubMed

    Rugg-Gunn, Andrew

    2013-11-01

    To provide a brief commentary review of strategies to control dental caries. Dental decay is one of man's most prevalent diseases. In many counties, severity increased in parallel with importation of sugar, reaching its zenith about 1950s and 1960s. Since then, severity has declined in many countries, due to the wide use of fluoride especially in toothpaste, but dental caries remains a disease of medical, social and economic importance. Within the EU in 2011, the cost of dental treatment was estimated to be €79 billion. The pathogenesis is well understood: bacteria in dental plaque (biofilm) metabolise dietary sugars to acids which then dissolve dental enamel and dentine. Possible approaches to control caries development, therefore, involve: removal of plaque, reducing the acidogenic potential of plaque, reduction in sugar consumption, increasing the tooth's resistance to acid attack, and coating the tooth surface to form a barrier between plaque and enamel. At the present time, only three approaches are of practical importance: sugar control, fluoride, and fissure sealing. The evidence that dietary sugars are the main cause of dental caries is extensive, and comes from six types of study. Without sugar, caries would be negligible. Fluoride acts in several ways to aid caries prevention. Ways of delivering fluoride can be classed as: 'automatic', 'home care' and 'professional care': the most important of these are discussed in detail in four articles in this issue of the Acta Medica Academica. Dental caries is preventable - individuals, communities and countries need strategies to achieve this. Copyright © 2013 by Academy of Sciences and Arts of Bosnia and Herzegovina.

  5. Defect sensitive etching of hexagonal boron nitride single crystals

    NASA Astrophysics Data System (ADS)

    Edgar, J. H.; Liu, S.; Hoffman, T.; Zhang, Yichao; Twigg, M. E.; Bassim, Nabil D.; Liang, Shenglong; Khan, Neelam

    2017-12-01

    Defect sensitive etching (DSE) was developed to estimate the density of non-basal plane dislocations in hexagonal boron nitride (hBN) single crystals. The crystals employed in this study were precipitated by slowly cooling (2-4 °C/h) a nickel-chromium flux saturated with hBN from 1500 °C under 1 bar of flowing nitrogen. On the (0001) planes, hexagonal-shaped etch pits were formed by etching the crystals in a eutectic mixture of NaOH and KOH between 450 °C and 525 °C for 1-2 min. There were three types of pits: pointed bottom, flat bottom, and mixed shape pits. Cross-sectional transmission electron microscopy revealed that the pointed bottom etch pits examined were associated with threading dislocations. All of these dislocations had an a-type burgers vector (i.e., they were edge dislocations, since the line direction is perpendicular to the [ 2 11 ¯ 0 ]-type direction). The pit widths were much wider than the pit depths as measured by atomic force microscopy, indicating the lateral etch rate was much faster than the vertical etch rate. From an Arrhenius plot of the log of the etch rate versus the inverse temperature, the activation energy was approximately 60 kJ/mol. This work demonstrates that DSE is an effective method for locating threading dislocations in hBN and estimating their densities.

  6. Diet and dental erosion in young people in south-east Brazil.

    PubMed

    Waterhouse, Paula J; Auad, Sheyla M; Nunn, June H; Steen, Ian N; Moynihan, Paula J

    2008-09-01

    The regular consumption of acidic foods and drinks may be associated with dental erosion, and soft drink consumption appears to be increasing both in developed and developing countries. Dentists are aware that an acidic diet can contribute to the development of erosion; however, there may be confusion within the profession concerning the general health message of eating five portions of fruits and vegetables each day. The aim of this study was to investigate associations between dental erosion and the consumption of acidic foods and beverages in schoolchildren in south-east Brazil. The objective was to gather information, by means of a dietary questionnaire, on frequency of intake and patterns of consumption of acidic foods and drinks in a group of schoolchildren. The hypothesis was that the experience of dental erosion among the study sample was associated with the frequency and pattern of consumption of soft drinks, fruit juices, fruits, and yogurt. A cross-sectional study was conducted in Três Corações, south-east Brazil. A sample of 458 schoolchildren, mean age 13.8 (SD 0.39) years, completed the study. Information about potential dietary risk factors for dental erosion was collected through a questionnaire survey completed by the schoolchildren. For the dental examinations, the subjects were examined for dental erosion in a school room. Associations between dental erosion and the variables under study were investigated through processes of bivariate and multivariate analyses. The statistical significance level was set at 5%. Analysis of the questionnaire surveys showed that the frequency of consumption of sugared carbonated drinks was the only variable independently associated with the erosive process, with subjects who had a daily consumption of such drinks having a greater likelihood of having erosion (P = 0.015, odds ratio 1.752, 95% confidence interval 1.116-2.750). Of all tested factors in this sample of schoolchildren the consumption of sugared

  7. A review on plasma-etch-process induced damage of HgCdTe

    NASA Astrophysics Data System (ADS)

    Liu, Lingfeng; Chen, Yiyu; Ye, Zhenhua; Ding, Ruijun

    2018-05-01

    Dry etching techniques with minimal etch induced damage are required to develop highly anisotropic etch for pixel delineation of HgCdTe infrared focal plane arrays (IRFPAs). High density plasma process has become the main etching technique for HgCdTe in the past twenty years, In this paper, high density plasma electron cyclotron resonance (ECR) and inductively coupled plasma (ICP) etching of HgCdTe are summarized. Common plasma-etch-process induced type conversion and related mechanisms are reviewed particularly.

  8. Effect of contamination and etching on enamel bond strength of new light-cured glass ionomer cements.

    PubMed

    Itoh, T; Matsuo, N; Fukushima, T; Inoue, Y; Oniki, Y; Matsumoto, M; Caputo, A A

    1999-10-01

    The effect of water and saliva contamination on the bond strength of metal orthodontic brackets cemented to etched (10% polyacrylic acid) and unetched human premolar enamel was investigated. Two bonding agents were used: one commercially available product (LC) and one experimental (EX) light-cured glass ionomer. Shear bond strength was measured after aging for 5 minutes, 15 minutes, and 24 hours. The results were compared by ANOVA and Scheffe's tests at p = 0.05. For LC, the bond strength of brackets bonded to etched enamel, with and without contamination, was statistically higher than that of brackets bonded to unetched enamel for all aging times. An exception was the bond strength to unetched enamel with saliva contamination after 24 hours; for EX, this value was statistically higher than that measured on unetched enamel with water contamination. Contamination by saliva did not reduce bond strength to unetched enamel. For both etched and unetched enamel, there was no significant difference between LC and EX after 24 hours for all contamination conditions.

  9. Etching and oxidation of InAs in planar inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  10. Thermal Atomic Layer Etching of SiO2 by a "Conversion-Etch" Mechanism Using Sequential Reactions of Trimethylaluminum and Hydrogen Fluoride.

    PubMed

    DuMont, Jaime W; Marquardt, Amy E; Cano, Austin M; George, Steven M

    2017-03-22

    The thermal atomic layer etching (ALE) of SiO 2 was performed using sequential reactions of trimethylaluminum (TMA) and hydrogen fluoride (HF) at 300 °C. Ex situ X-ray reflectivity (XRR) measurements revealed that the etch rate during SiO 2 ALE was dependent on reactant pressure. SiO 2 etch rates of 0.027, 0.15, 0.20, and 0.31 Å/cycle were observed at static reactant pressures of 0.1, 0.5, 1.0, and 4.0 Torr, respectively. Ex situ spectroscopic ellipsometry (SE) measurements were in agreement with these etch rates versus reactant pressure. In situ Fourier transform infrared (FTIR) spectroscopy investigations also observed SiO 2 etching that was dependent on the static reactant pressures. The FTIR studies showed that the TMA and HF reactions displayed self-limiting behavior at the various reactant pressures. In addition, the FTIR spectra revealed that an Al 2 O 3 /aluminosilicate intermediate was present after the TMA exposures. The Al 2 O 3 /aluminosilicate intermediate is consistent with a "conversion-etch" mechanism where SiO 2 is converted by TMA to Al 2 O 3 , aluminosilicates, and reduced silicon species following a family of reactions represented by 3SiO 2 + 4Al(CH 3 ) 3 → 2Al 2 O 3 + 3Si(CH 3 ) 4 . Ex situ X-ray photoelectron spectroscopy (XPS) studies confirmed the reduction of silicon species after TMA exposures. Following the conversion reactions, HF can fluorinate the Al 2 O 3 and aluminosilicates to species such as AlF 3 and SiO x F y . Subsequently, TMA can remove the AlF 3 and SiO x F y species by ligand-exchange transmetalation reactions and then convert additional SiO 2 to Al 2 O 3 . The pressure-dependent conversion reaction of SiO 2 to Al 2 O 3 and aluminosilicates by TMA is critical for thermal SiO 2 ALE. The "conversion-etch" mechanism may also provide pathways for additional materials to be etched using thermal ALE.

  11. Process margin enhancement for 0.25-μm metal etch process

    NASA Astrophysics Data System (ADS)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  12. Iodine-Mediated Etching of Gold Nanorods for Plasmonic ELISA Based on Colorimetric Detection of Alkaline Phosphatase.

    PubMed

    Zhang, Zhiyang; Chen, Zhaopeng; Wang, Shasha; Cheng, Fangbin; Chen, Lingxin

    2015-12-23

    Here, we propose a plasmonic enzyme-linked immunosorbent assay (ELISA) based on highly sensitive colorimetric detection of alkaline phosphatase (ALP), which is achieved by iodine-mediated etching of gold nanorods (AuNRs). Once the sandwich-type immunocomplex is formed, the ALP bound on the polystyrene microwells will hydrolyze ascorbic acid 2-phosphate into ascorbic acid. Subsequently, iodate is reduced to iodine, a moderate oxidant, which etches AuNRs from rod to sphere in shape. The shape change of AuNRs leads to a blue-shift of longitudinal localized surface plasmon resonance. As a result, the solution of AuNRs changes from blue to red. Benefiting from the highly sensitive detection of ALP, the proposed plasmonic ELISA has achieved an ultralow detection limit (100 pg/mL) for human immunoglobulin G (IgG). Importantly, the visual detection limit (3.0 ng/mL) allows the rapid differential diagnosis with the naked eye. The further detection of human IgG in fetal bovine serum indicates its applicability to the determination of low abundance protein in complex biological samples.

  13. Dental Items of Significance, Number 42

    DTIC Science & Technology

    1994-05-01

    pretreatment with polyacrylic acid Is recommended prior to placing Photac-Fil, acid is not suppled with the product. - Blister pack method of packaging Is...the packaging form (hand- mixed versus encapsulated), method of dentin pretreatment (primer versus polyacrylic acid), need for post-placement surface...AD-A279 432 * Dental Items of Significance MAY 1994 NO. 42 STIG 1994 FEATURED IN THIS ISSUE- *ProBond bonding agent (Caulk) *Lifecycle Handpiece Air

  14. Plasma etching of polymers like SU8 and BCB

    NASA Astrophysics Data System (ADS)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  15. Synthesis of a proline-modified acrylic acid copolymer in supercritical CO2 for glass-ionomer dental cement applications.

    PubMed

    Moshaverinia, Alireza; Roohpour, Nima; Darr, Jawwad A; Rehman, Ihtesham U

    2009-06-01

    Supercritical (sc-) fluids (such as sc-CO(2)) represent interesting media for the synthesis of polymers in dental and biomedical applications. Sc-CO(2) has several advantages for polymerization reactions in comparison to conventional organic solvents. It has several advantages in comparison to conventional polymerization solvents, such as enhanced kinetics, being less harmful to the environment and simplified solvent removal process. In our previous work, we synthesized poly(acrylic acid-co-itaconic acid-co-N-vinylpyrrolidone) (PAA-IA-NVP) terpolymers in a supercritical CO(2)/methanol mixture for applications in glass-ionomer dental cements. In this study, proline-containing acrylic acid copolymers were synthesized, in a supercritical CO(2) mixture or in water. Subsequently, the synthesized polymers were used in commercially available glass-ionomer cement formulations (Fuji IX commercial GIC). Mechanical strength (compressive strength (CS), diametral tensile strength (DTS) and biaxial flexural strength (BFS)) and handling properties (working and setting time) of the resulting modified cements were evaluated. It was found that the polymerization reaction in an sc-CO(2)/methanol mixture was significantly faster than the corresponding polymerization reaction in water and the purification procedures were simpler for the former. Furthermore, glass-ionomer cement samples made from the terpolymer prepared in sc-CO(2)/methanol exhibited higher CS and DTS and comparable BFS compared to the same polymer synthesized in water. The working properties of glass-ionomer formulations made in sc-CO(2)/methanol were comparable and better than the values of those for polymers synthesized in water.

  16. Microfluidic etching and oxime-based tailoring of biodegradable polyketoesters.

    PubMed

    Barrett, Devin G; Lamb, Brian M; Yousaf, Muhammad N

    2008-09-02

    A straightforward, flexible, and inexpensive method to etch biodegradable poly(1,2,6-hexanetriol alpha-ketoglutarate) films is reported. Microfluidic delivery of the etchant, a solution of NaOH, can create micron-scale channels through local hydrolysis of the polyester film. In addition, the presence of a ketone in the repeat unit allows for prior or post chemoselective modifications, enabling the design of functionalized microchannels. Delivery of oxyamine tethered ligands react with ketone groups on the polyketoester to generate covalent oxime linkages. By thermally sealing an etched film to a second flat surface, poly(1,2,6-hexanetriol alpha-ketoglutarate) can be used to create biodegradable microfluidic devices. In order to determine the versatility of the microfluidic etch technique, poly(epsilon-caprolactone) was etched with acetone. This strategy provides a facile method for the direct patterning of biodegradable materials, both through etching and chemoselective ligand immobilization.

  17. Silicon nanowire photodetectors made by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Xu, Ying; Ni, Chuan; Sarangan, Andrew

    2016-09-01

    Silicon nanowires have unique optical effects, and have potential applications in photodetectors. They can exhibit simple optical effects such as anti-reflection, but can also produce quantum confined effects. In this work, we have fabricated silicon photodetectors, and then post-processed them by etching nanowires on the incident surface. These nanowires were produced by a wet-chemical etching process known as the metal-assisted-chemical etching, abbreviated as MACE. N-type silicon substrates were doped by thermal diffusion from a solid ceramic source, followed by etching, patterning and contact metallization. The detectors were first tested for functionality and optical performance. The nanowires were then made by depositing an ultra-thin film of gold below its percolation thickness to produce an interconnected porous film. This was then used as a template to etch high aspect ratio nanowires into the face of the detectors with a HF:H2O2 mixture.

  18. Etching process for improving the strength of a laser-machined silicon-based ceramic article

    DOEpatents

    Copley, Stephen M.; Tao, Hongyi; Todd-Copley, Judith A.

    1991-01-01

    A process for improving the strength of laser-machined articles formed of a silicon-based ceramic material such as silicon nitride, in which the laser-machined surface is immersed in an etching solution of hydrofluoric acid and nitric acid for a duration sufficient to remove substantially all of a silicon film residue on the surface but insufficient to allow the solution to unduly attack the grain boundaries of the underlying silicon nitride substrate. This effectively removes the silicon film as a source of cracks that otherwise could propagate downwardly into the silicon nitride substrate and significantly reduce its strength.

  19. Etching process for improving the strength of a laser-machined silicon-based ceramic article

    DOEpatents

    Copley, S.M.; Tao, H.; Todd-Copley, J.A.

    1991-06-11

    A process is disclosed for improving the strength of laser-machined articles formed of a silicon-based ceramic material such as silicon nitride, in which the laser-machined surface is immersed in an etching solution of hydrofluoric acid and nitric acid for a duration sufficient to remove substantially all of a silicon film residue on the surface but insufficient to allow the solution to unduly attack the grain boundaries of the underlying silicon nitride substrate. This effectively removes the silicon film as a source of cracks that otherwise could propagate downwardly into the silicon nitride substrate and significantly reduce its strength. 1 figure.

  20. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    NASA Astrophysics Data System (ADS)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  1. Is a drill-less dental filling possible?

    PubMed

    Quock, Ryan L; Patel, Shalizeh A; Falcao, Felipe A; Barros, Juliana A

    2011-09-01

    Dental caries, a bacterial process that results in the acidic destruction of tooth structure, has historically been managed by the mechanical excavation of diseased tooth structure and then restoration with a synthetic material. The mechanical excavation of the infected site is most commonly achieved by a dental handpiece, or "drill"; this handpiece may induce stress and anxiety in many patients. Alternatively, a drill-less filling will involve the utilization of silver diamine fluoride (38%) to arrest and prevent dental caries, followed by restoration with a bonded filling material to achieve adequate seal at the lesion margins. This is a minimally invasive procedure that addresses both microbial and mechanical issues posed by dental caries. Copyright © 2011 Elsevier Ltd. All rights reserved.

  2. Scalloping minimization in deep Si etching on Unaxis DSE tools

    NASA Astrophysics Data System (ADS)

    Lai, Shouliang; Johnson, Dave J.; Westerman, Russ J.; Nolan, John J.; Purser, David; Devre, Mike

    2003-01-01

    Sidewall smoothness is often a critical requirement for many MEMS devices, such as microfludic devices, chemical, biological and optical transducers, while fast silicon etch rate is another. For such applications, the time division multiplex (TDM) etch processes, so-called "Bosch" processes are widely employed. However, in the conventional TDM processes, rough sidewalls result due to scallop formation. To date, the amplitude of the scalloping has been directly linked to the silicon etch rate. At Unaxis USA Inc., we have developed a proprietary fast gas switching technique that is effective for scalloping minimization in deep silicon etching processes. In this technique, process cycle times can be reduced from several seconds to as little as a fraction of second. Scallop amplitudes can be reduced with shorter process cycles. More importantly, as the scallop amplitude is progressively reduced, the silicon etch rate can be maintained relatively constant at high values. An optimized experiment has shown that at etch rate in excess of 7 μm/min, scallops with length of 116 nm and depth of 35 nm were obtained. The fast gas switching approach offers an ideal manufacturing solution for MEMS applications where extremely smooth sidewall and fast etch rate are crucial.

  3. Deep Etching Process Developed for the Fabrication of Silicon Carbide Microsystems

    NASA Technical Reports Server (NTRS)

    Beheim, Glenn M.

    2000-01-01

    Silicon carbide (SiC), because of its superior electrical and mechanical properties at elevated temperatures, is a nearly ideal material for the microminiature sensors and actuators that are used in harsh environments where temperatures may reach 600 C or greater. Deep etching using plasma methods is one of the key processes used to fabricate silicon microsystems for more benign environments, but SiC has proven to be a more difficult material to etch, and etch depths in SiC have been limited to several micrometers. Recently, the Sensors and Electronics Technology Branch at the NASA Glenn Research Center at Lewis Field developed a plasma etching process that was shown to be capable of etching SiC to a depth of 60 mm. Deep etching of SiC is achieved by inductive coupling of radiofrequency electrical energy to a sulfur hexafluoride (SF6) plasma to direct a high flux of energetic ions and reactive fluorine atoms to the SiC surface. The plasma etch is performed at a low pressure, 5 mtorr, which together with a high gas throughput, provides for rapid removal of the gaseous etch products. The lateral topology of the SiC microstructure is defined by a thin film of etch-resistant material, such as indium-tin-oxide, which is patterned using conventional photolithographic processes. Ions from the plasma bombard the exposed SiC surfaces and supply the energy needed to initiate a reaction between SiC and atomic fluorine. In the absence of ion bombardment, no reaction occurs, so surfaces perpendicular to the wafer surface (the etch sidewalls) are etched slowly, yielding the desired vertical sidewalls.

  4. In vitro dentin barrier cytotoxicity testing of some dental restorative materials.

    PubMed

    Jiang, R D; Lin, H; Zheng, G; Zhang, X M; Du, Q; Yang, M

    2017-03-01

    To investigate the cytotoxicity of four dental restorative materials in three-dimensional (3D) L929 cell cultures using a dentin barrier test. The cytotoxicities of light-cured glass ionomer cement (Vitrebond), total-etching adhesive (GLUMA Bond5), and two self-etching adhesives (GLUMA Self Etch and Single Bond Universal) were evaluated. The permeabilities of human dentin disks with thicknesses of 300, 500, and 1000μm were standardized using a hydraulic device. Test materials and controls were applied to the occlusal side of human dentin disks. The 3D-cell scaffolds were placed beneath the dentin disks. After a 24-h contact with the dentin barrier test device, cell viabilities were measured by performing MTT assays. Statistical analysis was performed using the Mann-Whitney U test. The mean (SD) permeabilities of the 300-μm, 500-μm, and 1000-μm dentin disks were 0.626 (0.214), 0.219 (0.0387) and 0.089 (0.028) μlmin -1 cm -2 cm H 2 O -1 . Vitrebond was severely cytotoxic, reducing the cell viability to 10% (300-μm disk), 17% (500μm), and 18% (1000μm). GLUMA Bond5 reduced the cell viability to 40% (300μm), 83% (500μm), and 86% (1000μm), showing moderate cytotoxicity (300-μm) and non-cytotoxicity (500-μm and 1000-μm). Single Bond Universal and GLUMA Self Etch did not significantly reduce cell viability, regardless of the dentin thicknesses, which characterized them as non-cytotoxic. Cytotoxicity varied with the materials tested and the thicknesses of the dentin disks. The tested cytotoxicity of materials applied on 300-, 500-, and 1000-μm dentin disks indicates that the clinical use of the test materials (excepting self-etching adhesives) in deep cavities poses a potential risk of damage to the pulp tissues to an extent, depending on the thickness of the remaining dentin. Copyright © 2017 Elsevier Ltd. All rights reserved.

  5. Analysis of eroded bovine teeth through laser speckle imaging

    NASA Astrophysics Data System (ADS)

    Koshoji, Nelson H.; Bussadori, Sandra K.; Bortoletto, Carolina C.; Oliveira, Marcelo T.; Prates, Renato A.; Deana, Alessandro M.

    2015-02-01

    Dental erosion is a non-carious lesion that causes progressive tooth wear of structure through chemical processes that do not involve bacterial action. Its origin is related to eating habits or systemic diseases involving tooth contact with substances that pose a very low pH. This work demonstrates a new methodology to quantify the erosion by coherent light scattering of tooth surface. This technique shows a correlation between acid etch duration and laser speckle contrast map (LASCA). The experimental groups presented a relative contrast between eroded and sound tissue of 17.8(45)%, 23.4 (68)% 39.2 (40)% and 44.3 (30)%, for 10 min, 20 min, 30 min and 40 min of acid etching, respectively.

  6. Interfacial adhesion of dental ceramic-resin systems

    NASA Astrophysics Data System (ADS)

    Della Bona, Alvaro

    The clinical success of resin bonding procedures for indirect ceramic restorations and ceramic repairs depends on the quality and durability of the bond between the ceramic and the resin. The quality of this bond will depend upon the bonding mechanisms that are controlled in part by the surface treatment that promotes micromechanical and/or chemical bonding to the substrate. The objective of this study is to correlate interfacial toughness (K A) with fracture surface morphological parameters of the dental ceramic-resin systems as a function of ceramic surface treatment. The analytical procedures focused on characterizing the microstructure and fracture properties of EmpressRTM ceramics (a leucite-based core ceramic, two lithia disilicate-based core ceramics, and a glass veneer) and determining the ceramic-resin adhesion zone bond strength characteristics. Microstructure and composition are controlling factors in the development of micromechanical retention produced by etching. Silane treated ceramics negated the effect of surface roughening produced by etching, inducing lower surface energy of the ceramic and, reduced bonding effectiveness. There was a positive correlation between WA, tensile bond strength (a), and KA, i.e., higher mean WA value, and higher mean sigma and KA values. This study suggests that (1) the sigma and KA values for ceramic bonded to resin are affected by the ceramic microstructure and the ceramic surface treatments; (2) the definition of the adhesion zone is essential to classify the modes of failure, which should be an integral component of all failure analyses; (3) the microtensile test may be preferable to conventional shear or flexural tests as an indicator of composite-ceramic bond quality; and (4) careful microscopic analysis of fracture surfaces and an x-ray dot map can produce a more consistent and complete description of the fracture process and interpretation of the modes of failure. The mode of failure and fractographic analyses

  7. Study on the formation of dodecagonal pyramid on nitrogen polar GaN surface etched by hot H3PO4

    NASA Astrophysics Data System (ADS)

    Qi, S. L.; Chen, Z. Z.; Fang, H.; Sun, Y. J.; Sang, L. W.; Yang, X. L.; Zhao, L. B.; Tian, P. F.; Deng, J. J.; Tao, Y. B.; Yu, T. J.; Qin, Z. X.; Zhang, G. Y.

    2009-08-01

    Hot phosphor acid (H3PO4) etching is presented to form a roughened surface with dodecagonal pyramids on laser lift-off N face GaN grown by metalorganic chemical vapor deposition. A detailed analysis of time evolution of surface morphology is described as a function of etching temperature. The activation energy of the H3PO4 etching process is 1.25 eV, indicating the process is reaction-limited scheme. And it is found that the oblique angle between the facets and the base plane increases as the temperature increases. Thermodynamics and kinetics related factors of the formation mechanism of the dodecagonal pyramid are also discussed. The light output power of a vertical injection light-emitting-diode (LED) with proper roughened surface shows about 2.5 fold increase compared with that of LED without roughened surface.

  8. Thermal etching of silver: Influence of rolling defects

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ollivier, M., E-mail: o.maelig@imperial.ac.uk

    2016-08-15

    Silver is well known to be thermally etched in an oxygen-rich atmosphere and has been extensively studied in the laboratory to understand thermal etching and to limit its effect when this material is used as a catalyst. Yet, in many industrial applications the surface of rolled silver sheets is used without particular surface preparation. Here, it is shown by combining FIB-tomography, FIB-SIMS and analytical SEM that the kinetics of thermal etch pitting are significantly faster on rolled Ag surfaces than on polished surfaces. This occurs due to range of interacting phenomena including (i) the reaction of subsurface carbon-contamination with dissolvedmore » oxygen to form pores that grow to intersect the surface, (ii) surface reconstruction around corrosion pits and surface scratches, and (iii) sublimation at low pressure and high temperature. A method to identify subsurface pores is developed to show that the pores have (111) and (100) internal facets and may be filled with a gas coming from the chemical reaction of oxygen and carbon contamination. - Highlights: Thermal etching of industrial silver sheets vs. polished silver sheets Effect of annealing atmosphere on the thermal etching of silver: surface and subsurface characterization Link between etch pitting and defects induced by rolling. FIB-tomography coupled with EBSD for determining crystal planes of the facets of subsurface pores. FIB-SIMS characterization to probe the gas confined inside subsurface pores.« less

  9. Levels of career satisfaction amongst dental healthcare professionals: comparison of dental therapists, dental hygienists and dental practitioners.

    PubMed

    Newton, J T; Gibbons, D E

    2001-09-01

    To compare the levels of career satisfaction expressed by three professional groups working in dental health: dental therapists, dental hygienists and dental practitioners. Level of career satisfaction was assessed using a ten point scale in three surveys. Postal surveys were conducted of all dental therapists and dental hygienists registered with the General Dental Council. Data for dental practitioners were collected as part of the British Dental Association Omnibus Survey 2000. Data are reported for 227 dental therapists, 2,251 dental hygienists and 970 dental practitioners. Significant differences were found between groups in the level of career satisfaction expressed. Dental practitioners were less likely to express high levels of satisfaction in comparison with the other two professional groups. Within each group characteristics of the respondents were associated with satisfaction levels. Younger dental therapists and dental hygienists expressed lower levels of career satisfaction. The level of career satisfaction expressed by dental practitioners was associated with gender, place of work (North vs South UK), year of qualification, size of practice and system of remuneration. Dental practitioners express lower levels of job satisfaction in comparison to other groups of dental health care professionals. Job dissatisfaction among dental practitioners is related to a number of socio-demographic factors.

  10. Etch Profile Simulation Using Level Set Methods

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    Etching and deposition of materials are critical steps in semiconductor processing for device manufacturing. Both etching and deposition may have isotropic and anisotropic components, due to directional sputtering and redeposition of materials, for example. Previous attempts at modeling profile evolution have used so-called "string theory" to simulate the moving solid-gas interface between the semiconductor and the plasma. One complication of this method is that extensive de-looping schemes are required at the profile corners. We will present a 2D profile evolution simulation using level set theory to model the surface. (1) By embedding the location of the interface in a field variable, the need for de-looping schemes is eliminated and profile corners are more accurately modeled. This level set profile evolution model will calculate both isotropic and anisotropic etch and deposition rates of a substrate in low pressure (10s mTorr) plasmas, considering the incident ion energy angular distribution functions and neutral fluxes. We will present etching profiles of Si substrates in Ar/Cl2 discharges for various incident ion energies and trench geometries.

  11. Pattern transfer with stabilized nanoparticle etch masks

    NASA Astrophysics Data System (ADS)

    Hogg, Charles R.; Picard, Yoosuf N.; Narasimhan, Amrit; Bain, James A.; Majetich, Sara A.

    2013-03-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiOx substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results.

  12. Creation of superhydrophobic stainless steel surfaces by acid treatments and hydrophobic film deposition.

    PubMed

    Li, Lester; Breedveld, Victor; Hess, Dennis W

    2012-09-26

    In this work, we present a method to render stainless steel surfaces superhydrophobic while maintaining their corrosion resistance. Creation of surface roughness on 304 and 316 grade stainless steels was performed using a hydrofluoric acid bath. New insight into the etch process is developed through a detailed analysis of the chemical and physical changes that occur on the stainless steel surfaces. As a result of intergranular corrosion, along with metallic oxide and fluoride redeposition, surface roughness was generated on the nano- and microscales. Differences in alloy composition between 304 and 316 grades of stainless steel led to variations in etch rate and different levels of surface roughness for similar etch times. After fluorocarbon film deposition to lower the surface energy, etched samples of 304 and 316 stainless steel displayed maximum static water contact angles of 159.9 and 146.6°, respectively. However, etching in HF also caused both grades of stainless steel to be susceptible to corrosion. By passivating the HF-etched samples in a nitric acid bath, the corrosion resistant properties of stainless steels were recovered. When a three step process was used, consisting of etching, passivation and fluorocarbon deposition, 304 and 316 stainless steel samples exhibited maximum contact angles of 157.3 and 134.9°, respectively, while maintaining corrosion resistance.

  13. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    NASA Astrophysics Data System (ADS)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  14. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    NASA Technical Reports Server (NTRS)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  15. Modeling of block copolymer dry etching for directed self-assembly lithography

    NASA Astrophysics Data System (ADS)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  16. Membrane Structure: Spin Labeling and Freeze Etching of Mycoplasma laidlawii*

    PubMed Central

    Tourtellotte, Mark E.; Branton, Daniel; Keith, Alec

    1970-01-01

    A spin-labeled fatty acid was incorporated in vivo into the polar lipids of Mycoplasma laidlawii membranes. The electron paramagnetic resonance signal from either intact cells or their extracted lipids reflected the fatty acid composition of the Mycoplasma membranes. Comparison of signals from intact cells, gramicidin-treated cells, heat-treated cells, and extracted lipids indicates that a major portion of the membrane lipids is in a semiviscous hydrocarbon environment. The results also show that the spin label in the intact membrane is slightly but significantly less mobile than it is in protein-free lipid extracts made from these membranes. Correlated electron microscope examinations using the freeze-etch technique reveal particulate components in the hydrophobic region of the membrane. The mobility of the lipids in the intact cell membrane may be influenced by their association with these particles. Images PMID:4316683

  17. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    NASA Astrophysics Data System (ADS)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  18. Dental Calculus Arrest of Dental Caries.

    PubMed

    Keyes, Paul H; Rams, Thomas E

    An inverse relationship between dental calculus mineralization and dental caries demineralization on teeth has been noted in some studies. Dental calculus may even form superficial layers over existing dental caries and arrest their progression, but this phenomenon has been only rarely documented and infrequently considered in the field of Cariology. To further assess the occurrence of dental calculus arrest of dental caries, this study evaluated a large number of extracted human teeth for the presence and location of dental caries, dental calculus, and dental plaque biofilms. A total of 1,200 teeth were preserved in 10% buffered formal saline, and viewed while moist by a single experienced examiner using a research stereomicroscope at 15-25× magnification. Representative teeth were sectioned and photographed, and their dental plaque biofilms subjected to gram-stain examination with light microscopy at 100× magnification. Dental calculus was observed on 1,140 (95%) of the extracted human teeth, and no dental carious lesions were found underlying dental calculus-covered surfaces on 1,139 of these teeth. However, dental calculus arrest of dental caries was found on one (0.54%) of 187 evaluated teeth that presented with unrestored proximal enamel caries. On the distal surface of a maxillary premolar tooth, dental calculus mineralization filled the outer surface cavitation of an incipient dental caries lesion. The dental calculus-covered carious lesion extended only slightly into enamel, and exhibited a brown pigmentation characteristic of inactive or arrested dental caries. In contrast, the tooth's mesial surface, without a superficial layer of dental calculus, had a large carious lesion going through enamel and deep into dentin. These observations further document the potential protective effects of dental calculus mineralization against dental caries.

  19. Dental Calculus Arrest of Dental Caries

    PubMed Central

    Keyes, Paul H.; Rams, Thomas E.

    2016-01-01

    Background An inverse relationship between dental calculus mineralization and dental caries demineralization on teeth has been noted in some studies. Dental calculus may even form superficial layers over existing dental caries and arrest their progression, but this phenomenon has been only rarely documented and infrequently considered in the field of Cariology. To further assess the occurrence of dental calculus arrest of dental caries, this study evaluated a large number of extracted human teeth for the presence and location of dental caries, dental calculus, and dental plaque biofilms. Materials and methods A total of 1,200 teeth were preserved in 10% buffered formal saline, and viewed while moist by a single experienced examiner using a research stereomicroscope at 15-25× magnification. Representative teeth were sectioned and photographed, and their dental plaque biofilms subjected to gram-stain examination with light microscopy at 100× magnification. Results Dental calculus was observed on 1,140 (95%) of the extracted human teeth, and no dental carious lesions were found underlying dental calculus-covered surfaces on 1,139 of these teeth. However, dental calculus arrest of dental caries was found on one (0.54%) of 187 evaluated teeth that presented with unrestored proximal enamel caries. On the distal surface of a maxillary premolar tooth, dental calculus mineralization filled the outer surface cavitation of an incipient dental caries lesion. The dental calculus-covered carious lesion extended only slightly into enamel, and exhibited a brown pigmentation characteristic of inactive or arrested dental caries. In contrast, the tooth's mesial surface, without a superficial layer of dental calculus, had a large carious lesion going through enamel and deep into dentin. Conclusions These observations further document the potential protective effects of dental calculus mineralization against dental caries. PMID:27446993

  20. Dental Erosion in Industry

    PubMed Central

    Cate, H. J. Ten Bruggen

    1968-01-01

    Five hundred and fifty-five acid workers were examined between March 1962 and October 1964. One hundred and seventy-six (31·7%) were affected by industrial dental erosion at the first examinations. In 33 cases (6·0%) the dentine was affected. During the period of the survey, 66 (20·4%) of 324 workers examined more than once showed evidence that erosion was progressing. The prevalence and incidence of erosion were highest among battery formation workers, lower among picklers, and least among other processes covered by the survey. The age of workers did not appear to influence their susceptibility to erosion. The habit of working with the lips slightly parted had little effect. Erosion superimposed upon attrition predisposed to more severe loss of tooth structure than either operating alone. Little inconvenience or functional disability was suffered by acid workers due to erosion. Twenty-seven (23·7%) of 114 erosions were considered to be disfiguring. Regular dental treatment was sought less by acid workers than by controls, and the oral hygiene of the latter was superior. There was no evidence to show any difference between caries experience among acid workers and controls. Calculus and periodontal disease were more prevalent among acid workers than among controls, but it was not possible to attribute this to the working environment. Black staining in iron picklers was considered to be due to the working environment. The use of closed acid containers or lip extraction on open acid vats prevented significant atmospheric contamination and diminished the prevalence of erosion. The use of wall fans and detergent foaming agents was helpful. Images PMID:5723349