Sample records for acid-etched control samples

  1. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    PubMed

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (p<0.001). The highest shear bond strength was found for FNR after phosphoric acid etching. Without phosphoric acid etching, only FNR showed no significant differences compared to the control (SC). SEM evaluations showed mostly adhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  2. Acid-etching technique of non-decalcified bone samples for visualizing osteocyte-lacuno-canalicular network using scanning electron microscope.

    PubMed

    Lampi, Tiina; Dekker, Hannah; Ten Bruggenkate, Chris M; Schulten, Engelbert A J M; Mikkonen, Jopi J W; Koistinen, Arto; Kullaa, Arja M

    2018-01-01

    The aim of this study was to define the acid-etching technique for bone samples embedded in polymethyl metacrylate (PMMA) in order to visualize the osteocyte lacuno-canalicular network (LCN) for scanning electron microscopy (SEM). Human jaw bone tissue samples (N = 18) were collected from the study population consisting of patients having received dental implant surgery. After collection, the bone samples were fixed in 70% ethanol and non-decalcified samples embedded routinely into polymethyl metacrylate (PMMA). The PMMA embedded specimens were acid-etched in either 9 or 37% phosphoric acid (PA) and prepared for SEM for further analysis. PMMA embedded bone specimens acid-etched by 9% PA concentration accomplishes the most informative and favorable visualization of the LCN to be observed by SEM. Etching of PMMA embedded specimens is recommendable to start with 30 s or 40 s etching duration in order to find the proper etching duration for the samples examined. Visualizing osteocytes and LCN provides a tool to study bone structure that reflects changes in bone metabolism and diseases related to bone tissue. By proper etching protocol of non-decalcified and using scanning electron microscope it is possible to visualize the morphology of osteocytes and the network supporting vitality of bone tissue.

  3. The research on conformal acid etching process of glass ceramic

    NASA Astrophysics Data System (ADS)

    Wang, Kepeng; Guo, Peiji

    2014-08-01

    A series of experiments have been done to explore the effect of different conditions on the hydrofluoric acid etching. The hydrofluoric acid was used to etch the glass ceramic called "ZERODUR", which is invented by SCHOTT in Germany. The glass ceramic was processed into cylindrical samples. The hydrofluoric acid etching was done in a plastic beaker. The concentration of hydrofluoric acid and the etching time were changed to measure the changes of geometric tolerance and I observed the surface using a microscope in order to find an appropriate condition of hydrofluoric acid etching.

  4. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  5. Comparative Evaluation of the Etching Pattern of Er,Cr:YSGG & Acid Etching on Extracted Human Teeth-An ESEM Analysis

    PubMed Central

    Mazumdar, Dibyendu; Ranjan, Shashi; Krishna, Naveen Kumar; Kole, Ravindra; Singh, Priyankar; Lakiang, Deirimika; Jayam, Chiranjeevi

    2016-01-01

    Introduction Etching of enamel and dentin surfaces increases the surface area of the substrate for better bonding of the tooth colored restorative materials. Acid etching is the most commonly used method. Recently, hard tissue lasers have been used for this purpose. Aim The aim of the present study was to evaluate and compare the etching pattern of Er,Cr:YSGG and conventional etching on extracted human enamel and dentin specimens. Materials and Methods Total 40 extracted non-diseased teeth were selected, 20 anterior and 20 posterior teeth each for enamel and dentin specimens respectively. The sectioned samples were polished by 400 grit Silicon Carbide (SiC) paper to a thickness of 1.0 ± 0.5 mm. The enamel and dentin specimens were grouped as: GrE1 & GrD1 as control specimens, GrE2 & GrD2 were acid etched and GrE3 & GrD3 were lased. Acid etching was done using Conditioner 36 (37 % phosphoric acid) according to manufacturer instructions. Laser etching was done using Er,Cr:YSGG (Erbium, Chromium : Ytrium Scandium Gallium Garnet) at power settings of 3W, air 70% and water 20%. After surface treatment with assigned agents the specimens were analyzed under ESEM (Environmental Scanning Electron Microscope) at X1000 and X5000 magnification. Results Chi Square and Student “t” statistical analysis was used to compare smear layer removal and etching patterns between GrE2-GrE3. GrD2 and GrD3 were compared for smear layer removal and diameter of dentinal tubule opening using the same statistical analysis. Chi-square test for removal of smear layer in any of the treated surfaces i.e., GrE2-E3 and GrD2-D3 did not differ significantly (p>0.05). While GrE2 showed predominantly type I etching pattern (Chi-square=2.78, 0.05

    0.10) and GrE3 showed type III etching (Chi-square=4.50, p<0.05). The tubule diameters were measured using GSA (Gesellschaft fur Softwareentwicklung und Analytik, Germany) image analyzer and the ‘t’ value of student ‘t’ test was 18.10 which was a

  6. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    PubMed

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (p<0.05) than those recorded for VM and VE, except when VE was treated with MEP, where the difference was statistically insignificant. Traces of fluoride ion were detected when MEP was used with VE and VM. Under limited conditions, using MEP resulted in comparable SBS results to HFMP; meanwhile HFMP remains the gold standard for pretreatment of glass ceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  7. Pattern sampling for etch model calibration

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2017-06-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels as well as the choice of calibration patterns is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels -"internal, external, curvature, Gaussian, z_profile" - designed to capture the finest details of the resist contours and represent precisely any etch bias. By evaluating the etch kernels on various structures it is possible to map their etch signatures in a multi-dimensional space and analyze them to find an optimal sampling of structures to train an etch model. The method was specifically applied to a contact layer containing many different geometries and was used to successfully select appropriate calibration structures. The proposed kernels evaluated on these structures were combined to train an etch model significantly better than the standard one. We also illustrate the usage of the specific kernel "z_profile" which adds a third dimension to the description of the resist profile.

  8. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    PubMed Central

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (p<0.001) of bond strength with surface roughness of enamel. Conclusion All groups might show clinically

  9. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    PubMed

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (p<0.05) SBS and SFL with pre-etching than it did without pre-etching. The SBS and SFL of dentin bonds decreased with phosphoric acid pre-etching. The SBS and SFL of bonds using phosphoric acid prior to application of self-etching adhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was

  10. Effects of acid etching and adhesive treatments on host-derived cysteine cathepsin activity in dentin.

    PubMed

    Zhang, Wenhao; Yang, Weixiang; Wu, Shuyi; Zheng, Kaibin; Liao, Weili; Chen, Boli; Yao, Ke; Liang, Guobin; Li, Yan

    2014-10-01

    To analyze the effects of different processes during bonding on endogenous cysteine cathepsin activity in dentin. Dentin powder, prepared from extracted human third molars, was divided into 10 groups. Two lots of dentin powder were used to detect the effects of the procedure of protein extraction on endogenous cathepsin activity. The others were used to study effects of different acid-etching or adhesive treatments on enzyme activity. Concentrations of 37% phosphoric acid or 10% phosphoric acid, two etch-and-rinse adhesive systems, and two self-etching adhesive systems were used as dentin powder treatments. The untreated mineralized dentin powder was set as the control. After treatment, the proteins of each group were extracted. The total cathepsin activity in the extracts of each group was monitored with a fluorescence reader. In the control group, there were no significant differences in cathepsin activity between the protein extract before EDTA treatment and the protein extract after EDTA treatment (p > 0.05). The cathepsin activities of the three different extracts in the 37% phosphoric acid-treated group were different from each other (p < 0.05). The two acid-etching groups and two etch-and-rinse groups showed significant enzyme activity reduction vs the control group (p < 0.05). There were no significant differences between those four groups (p > 0.05). Treating the dentin powder with any of the two self-etching adhesives resulted in an increase in cathepsin activity (p < 0.05). The activity of cysteine cathepsins can be detected in dentin powder. Treatment with EDTA during protein extraction exerted an influence on cathepsin activity. Acid etching or etch-and-rinse adhesive systems may reduce the activity of endogenous cathepsins in dentin. Self-etching adhesive systems may increase the enzyme activity.

  11. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    PubMed

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  12. Effect of Surface Treatment on Enamel Cracks After Orthodontic Bracket Debonding: Er,Cr:YSGG Laser-Etching Versus Acid-Etching

    PubMed Central

    Ghaffari, Hassanali; Mirhashemi, Amirhossein; Baherimoghadam, Tahereh; Azmi, Amir

    2017-01-01

    Objectives: This study sought to compare enamel cracks after orthodontic bracket debonding in the surfaces prepared with erbium, chromium: yttrium-scandium-galliumgarnet (Er,Cr:YSGG) laser and the conventional acid-etching technique. Materials and Methods: This in-vitro experimental study was conducted on 60 sound human premolars extracted for orthodontic purposes. The teeth were randomly divided into two groups (n=30). The teeth in group A were etched with 37% phosphoric acid gel, while the teeth in group B were subjected to Er,Cr:YSGG laser irradiation (gold handpiece, MZ8 tip, 50Hz, 4.5W, 60μs, 80% water and 60% air). Orthodontic brackets were bonded to the enamel surfaces and were then debonded in both groups. The samples were inspected under a stereomicroscope at ×38 magnification to assess the number and length of enamel cracks before bonding and after debonding. Independent-samples t-test was used to compare the frequency of enamel cracks in the two groups. Levene’s test was applied to assess the equality of variances. Results: No significant difference was noted in the frequency or length of enamel cracks between the two groups after debonding (P>0.05). Conclusions: Despite the same results of the frequency and length of enamel cracks in the two groups and by considering the side effects of acid-etching (demineralization and formation of white spot lesions), Er,Cr:YSGG laser may be used as an alternative to acid-etching for enamel surface preparation prior to bracket bonding. PMID:29296111

  13. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    PubMed

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  14. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  15. Improvement of enamel bond strengths for conventional and resin-modified glass ionomers: acid-etching vs. conditioning*

    PubMed Central

    Zhang, Ling; Tang, Tian; Zhang, Zhen-liang; Liang, Bing; Wang, Xiao-miao; Fu, Bai-ping

    2013-01-01

    Objective: This study deals with the effect of phosphoric acid etching and conditioning on enamel micro-tensile bond strengths (μTBSs) of conventional and resin-modified glass ionomer cements (GICs/RMGICs). Methods: Forty-eight bovine incisors were prepared into rectangular blocks. Highly-polished labial enamel surfaces were either acid-etched, conditioned with liquids of cements, or not further treated (control). Subsequently, two matching pre-treated enamel surfaces were cemented together with one of four cements [two GICs: Fuji I (GC), Ketac Cem Easymix (3M ESPE); two RMGICs: Fuji Plus (GC), RelyX Luting (3M ESPE)] in preparation for μTBS tests. Pre-treated enamel surfaces and cement-enamel interfaces were analyzed by scanning electron microscopy (SEM). Results: Phosphoric acid etching significantly increased the enamel μTBS of GICs/RMGICs. Conditioning with the liquids of the cements produced significantly weaker or equivalent enamel μTBS compared to the control. Regardless of etching, RMGICs yielded stronger enamel μTBS than GICs. A visible hybrid layer was found at certain enamel-cement interfaces of the etched enamels. Conclusions: Phosphoric acid etching significantly increased the enamel μTBSs of GICs/RMGICs. Phosphoric acid etching should be recommended to etch the enamel margins before the cementation of the prostheses such as inlays and onlays, using GICs/RMGICs to improve the bond strengths. RMGICs provided stronger enamel bond strength than GICs and conditioning did not increase enamel bond strength. PMID:24190447

  16. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    PubMed

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  17. Shear Bond Strength of Self-etching Adhesives to Cavities Prepared by Diamond Bur or Er,Cr:YSGG Laser and Effect of Prior Acid Etching.

    PubMed

    Jhingan, Pulkit; Sachdev, Vinod; Sandhu, Meera; Sharma, Karan

    2015-12-01

    To compare and evaluate shear bond strength of self-etching adhesives bonded to cavities prepared by diamond bur or Er,Cr:YSGG laser and the effect of prior acid etching on shear bond strength. Ninety-six caries-free human premolars were selected and divided into 2 groups depending on mode of cavity preparation (48 teeth each). Cavities were prepared with Er,Cr:YSGG laser in group 1 and diamond burs in an air-turbine handpiece in group 2. Groups 1 and 2 were further subdivided into three subgroups of 8 teeth each, which were bonded with sixth- or seventh-generation adhesives with or without prior acid etching, followed by restoration of all samples with APX Flow. These samples were subjected to shear bond strength testing. In addition, the surface morphology of 24 samples each from groups 1 and 2 was evaluated using SEM. Data were analyzed using the Shapiro-Wilk test, one- and two-way ANOVA, the t-test, and the least significant difference test, which showed that the data were normally distributed (p > 0.05). The shear bond strength of adhesives in cavities prepared by Er,Cr:YSGG laser was significantly higher than in diamond bur-prepared cavities (p < 0.05). SEM analysis showed a smear-layer-free anfractuous surface on laser-ablated teeth, in contrast to conventional bur-prepared teeth. The Er,Cr:YSGG laser-ablated surface proved to be more receptive for adhesion than those prepared by diamond bur irrespective of the bonding agent used. Seventh-generation adhesives yielded higher shear bond strength than did sixth-generation adhesives. Prior acid etching decreased the shear bond strength of self-etching adhesives.

  18. Effect of Reduced Phosphoric Acid Pre-etching Times 
on Enamel Surface Characteristics and Shear Fatigue Strength Using Universal Adhesives.

    PubMed

    Tsujimoto, Akimasa; Fischer, Nicholas; Barkmeier, Wayne; Baruth, Andrew; Takamizawa, Toshiki; Latta, Mark; Miyazaki, Masashi

    2017-01-01

    To examine the effect of reduced phosphoric acid pre-etching times on enamel fatigue bond strength of universal adhesives and surface characteristics by using atomic force microscopy (AFM). Three universal adhesives were used in this study (Clearfil Universal Bond [C], G-Premio Bond [GP], Scotchbond Universal Adhesive [SU]). Four pre-etching groups were employed: enamel pre-etched with phosphoric acid and immediately rinsed with an air-water spray, and enamel pre-etched with phosphoric acid for 5, 10, or 15 s. Ground enamel was used as the control group. For the initial bond strength test, 15 specimens per etching group for each adhesive were used. For the shear fatigue test, 20 specimens per etching group for each adhesive were loaded using a sine wave at a frequency of 20 Hz for 50,000 cycles or until failure occurred. Initial shear bond strengths and fatigue shear strengths of composite adhesively bonded to ground and pre-etched enamel were determined. AFM observations of ground and pre-etched enamel were also conducted, and surface roughness as well as surface area were evaluated. The initial shear bond strengths and fatigue shear strengths of the universal adhesives in the pre-etched groups were significantly higher than those of the control group, and were not influenced by the pre-etching time. Significantly higher surface roughness and surface area of enamel surfaces in pre-etched groups were observed compared with those in the control group. While the surface area was not significantly influenced by etching time, surface roughness of the enamel surfaces in the pre-etched groups significantly increased with pre-etching time. The results of this in vitro study suggest that reduced phosphoric acid pre-etching times do not impair the fatigue bond strength of universal adhesives. Although fatigue bond strength and surface area were not influenced by phosphoric-acid etching times, surface roughness increased with increasing etching time.

  19. Controlled in situ etch-back

    NASA Technical Reports Server (NTRS)

    Mattauch, R. J.; Seabaugh, A. C. (Inventor)

    1981-01-01

    A controlled in situ etch-back technique is disclosed in which an etch melt and a growth melt are first saturated by a source-seed crystal and thereafter etch-back of a substrate takes place by the slightly undersaturated etch melt, followed by LPE growth of a layer by the growth melt, which is slightly supersaturated.

  20. Controlled ion track etching

    NASA Astrophysics Data System (ADS)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  1. Effects of attrition, prior acid-etching, and cyclic loading on the bond strength of a self-etching adhesive system to dentin.

    PubMed

    Shinkai, Koichi; Ebihara, Takashi; Shirono, Manabu; Seki, Hideaki; Wakaki, Suguru; Suzuki, Masaya; Suzuki, Shiro; Katoh, Yoshiroh

    2009-03-01

    The purpose of this study was to evaluate the effects of dentin attrition, phosphoric acid etching, and cyclic loading on the microtensile bond strength (microTBS) of a self-etching adhesive system to dentin. Flat dentin surfaces of human molars were assigned to eight experimental groups based on those with or without attrition, prior acid-etching, and cyclic loading. Resin composite paste was placed and polymerized after the bonding procedure according to manufacturer's instructions. The specimens were subjected to microTBS testing at a crosshead speed of 0.5 mm/min. Results showed that the minimum mean value of microTBS was 14.9 MPa in the group without attrition and acid-etching but with loading, while the maximum mean value of microTBS was 40.0 MPa in the group without attrition and loading but with acid etching. Therefore, the value of microTBS to dentin without attrition was significantly decreased by cyclic loading but that to dentin with attrition was not affected.

  2. The Effect of Hydrofluoric Acid Etching Duration on the Surface Micromorphology, Roughness, and Wettability of Dental Ceramics

    PubMed Central

    Ramakrishnaiah, Ravikumar; Alkheraif, Abdulaziz A.; Divakar, Darshan Devang; Matinlinna, Jukka P.; Vallittu, Pekka K.

    2016-01-01

    The current laboratory study is evaluating the effect of hydrofluoric acid etching duration on the surface characteristics of five silica-based glass ceramics. Changes in the pore pattern, crystal structure, roughness, and wettability were compared and evaluated. Seventy-five rectangularly shaped specimens were cut from each material (IPS e-max™, Dentsply Celtra™, Vita Suprinity™, Vita mark II™, and Vita Suprinity FC™); the sectioned samples were finished, polished, and ultrasonically cleaned. Specimens were randomly assigned into study groups: control (no etching) and four experimental groups (20, 40, 80 and 160 s of etching). The etched surfaces’ microstructure including crystal structure, pore pattern, pore depth, and pore width was studied under a scanning electron microscope, and the surface roughness and wettability were analyzed using a non-contact surface profilometer and a contact angle measuring device, respectively. The results were statistically analyzed using one-way analysis of variance (ANOVA) and the post hoc Tukey’s test. The results showed a significant change in the pore number, pore pattern, crystal structure, surface roughness, and wettability with increased etching duration. Etching for a short time resulted in small pores, and etching for longer times resulted in wider, irregular grooves. A significant increase in the surface roughness and wettability was observed with an increase in the etching duration. The findings also suggested a strong association between the surface roughness and wettability. PMID:27240353

  3. Effect of Hydrofluoric Acid Etching Time on Titanium Topography, Chemistry, Wettability, and Cell Adhesion

    PubMed Central

    Zahran, R.; Rosales Leal, J. I.; Rodríguez Valverde, M. A.; Cabrerizo Vílchez, M. A.

    2016-01-01

    Titanium implant surface etching has proven an effective method to enhance cell attachment. Despite the frequent use of hydrofluoric (HF) acid, many questions remain unresolved, including the optimal etching time and its effect on surface and biological properties. The objective of this study was to investigate the effect of HF acid etching time on Ti topography, surface chemistry, wettability, and cell adhesion. These data are useful to design improved acid treatment and obtain an improved cell response. The surface topography, chemistry, dynamic wetting, and cell adhesiveness of polished Ti surfaces were evaluated after treatment with HF acid solution for 0, 2; 3, 5, 7, or 10 min, revealing a time-dependent effect of HF acid on their topography, chemistry, and wetting. Roughness and wetting increased with longer etching time except at 10 min, when roughness increased but wetness decreased. Skewness became negative after etching and kurtosis tended to 3 with longer etching time. Highest cell adhesion was achieved after 5–7 min of etching time. Wetting and cell adhesion were reduced on the highly rough surfaces obtained after 10-min etching time. PMID:27824875

  4. Effect of EDTA and phosphoric Acid pretreatment on the bonding effectiveness of self-etch adhesives to ground enamel.

    PubMed

    Ibrahim, Ihab M; Elkassas, Dina W; Yousry, Mai M

    2010-10-01

    This in vitro study determined the effect of enamel pretreatment with phosphoric acid and ethylenediaminetetraacetic acid (EDTA) on the bond strength of strong, intermediary strong, and mild self-etching adhesive systems. Ninety sound human premolars were used. Resin composite cylinders were bonded to flat ground enamel surfaces using three self-etching adhesive systems: strong Adper Prompt L-Pop (pH=0.9-1.0), intermediary strong AdheSE (pH=1.6-1.7), and mild Frog (pH=2). Adhesive systems were applied either according to manufacturer instructions (control) or after pretreatment with either phosphoric acid or EDTA (n=10). After 24 hours, shear bond strength was tested using a universal testing machine at a cross-head speed of 0.5 mm/minute. Ultra-morphological characterization of the surface topography and resin/enamel interfaces as well as representative fractured enamel specimens were examined using scanning electron microscopy (SEM). Neither surface pretreatment statistically increased the mean shear bond strength values of either the strong or the intermediary strong self-etching adhesive systems. However, phosphoric acid pretreatment significantly increased the mean shear bond strength values of the mild self-etching adhesive system. SEM examination of enamel surface topography showed that phosphoric acid pretreatment deepened the same etching pattern of the strong and intermediary strong adhesive systems but converted the irregular etching pattern of the mild self-etching adhesive system to a regular etching pattern. SEM examination of the resin/enamel interface revealed that deepening of the etching pattern was consistent with increase in the length of resin tags. EDTA pretreatment had a negligible effect on ultra-morphological features. Use of phosphoric acid pretreatment can be beneficial with mild self-etching adhesive systems for bonding to enamel.

  5. Effect of EDTA and Phosphoric Acid Pretreatment on the Bonding Effectiveness of Self-Etch Adhesives to Ground Enamel

    PubMed Central

    Ibrahim, Ihab M.; Elkassas, Dina W.; Yousry, Mai M.

    2010-01-01

    Objectives: This in vitro study determined the effect of enamel pretreatment with phosphoric acid and ethylenediaminetetraacetic acid (EDTA) on the bond strength of strong, intermediary strong, and mild self-etching adhesive systems. Methods: Ninety sound human premolars were used. Resin composite cylinders were bonded to flat ground enamel surfaces using three self-etching adhesive systems: strong Adper Prompt L-Pop (pH=0.9–1.0), intermediary strong AdheSE (pH=1.6–1.7), and mild Frog (pH=2). Adhesive systems were applied either according to manufacturer instructions (control) or after pretreatment with either phosphoric acid or EDTA (n=10). After 24 hours, shear bond strength was tested using a universal testing machine at a cross-head speed of 0.5 mm/minute. Ultra-morphological characterization of the surface topography and resin/enamel interfaces as well as representative fractured enamel specimens were examined using scanning electron microscopy (SEM). Results: Neither surface pretreatment statistically increased the mean shear bond strength values of either the strong or the intermediary strong self-etching adhesive systems. However, phosphoric acid pretreatment significantly increased the mean shear bond strength values of the mild self-etching adhesive system. SEM examination of enamel surface topography showed that phosphoric acid pretreatment deepened the same etching pattern of the strong and intermediary strong adhesive systems but converted the irregular etching pattern of the mild self-etching adhesive system to a regular etching pattern. SEM examination of the resin/enamel interface revealed that deepening of the etching pattern was consistent with increase in the length of resin tags. EDTA pretreatment had a negligible effect on ultra-morphological features. Conclusions: Use of phosphoric acid pretreatment can be beneficial with mild self-etching adhesive systems for bonding to enamel. PMID:20922162

  6. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  7. Ultrastructure of the surface of dental enamel with molar incisor hypomineralization (MIH) with and without acid etching.

    PubMed

    Bozal, Carola B; Kaplan, Andrea; Ortolani, Andrea; Cortese, Silvina G; Biondi, Ana M

    2015-01-01

    The aim of the present work was to analyze the ultrastructure and mineral composition of the surface of the enamel on a molar with MIH, with and without acid etching. A permanent tooth without clinical MIH lesions (control) and a tooth with clinical diagnosis of mild and moderate MIH, with indication for extraction, were processed with and without acid etching (H3PO4 37%, 20") for observation with scanning electron microscope (SEM) ZEISS (Supra 40) and mineral composition analysis with an EDS detector (Oxford Instruments). The control enamel showed normal prismatic surface and etching pattern. The clinically healthy enamel on the tooth with MIH revealed partial loss of prismatic pattern. The mild lesion was porous with occasional cracks. The moderate lesion was more porous, with larger cracks and many scales. The mineral composition of the affected surfaces had lower Ca and P content and higher O and C. On the tooth with MIH, even on normal looking enamel, the demineralization does not correspond to an etching pattern, and exhibits exposure of crystals with rods with rounded ends and less demineralization in the inter-prismatic spaces. Acid etching increased the presence of cracks and deep pores in the adamantine structure of the enamel with lesion. In moderate lesions, the mineral composition had higher content of Ca, P and Cl. Enamel with MIH, even on clinically intact adamantine surfaces, shows severe alterations in the ultrastructure and changes in ionic composition, which affect the acid etching pattern and may interfere with adhesion.

  8. In situ chemical functionalization of gallium nitride with phosphonic acid derivatives during etching.

    PubMed

    Wilkins, Stewart J; Greenough, Michelle; Arellano, Consuelo; Paskova, Tania; Ivanisevic, Albena

    2014-03-04

    In situ functionalization of polar (c plane) and nonpolar (a plane) gallium nitride (GaN) was performed by adding (3-bromopropyl) phosphonic acid or propyl phosphonic acid to a phosphoric acid etch. The target was to modulate the emission properties and oxide formation of GaN, which was explored through surface characterization with atomic force microscopy, X-ray photoelectron spectroscopy, photoluminescence (PL), inductively coupled plasma-mass spectrometry, and water contact angle. The use of (3-bromopropyl) phosphonic acid and propyl phosphonic acid in phosphoric acid demonstrated lower amounts of gallium oxide formation and greater hydrophobicity for both sample sets, while also improving PL emission of polar GaN samples. In addition to crystal orientation, growth-related factors such as defect density in bulk GaN versus thin GaN films residing on sapphire substrates were investigated as well as their responses to in situ functionalization. Thin nonpolar GaN layers were the most sensitive to etching treatments due in part to higher defect densities (stacking faults and threading dislocations), which accounts for large surface depressions. High-quality GaN (both free-standing bulk polar and bulk nonpolar) demonstrated increased sensitivity to oxide formation. Room-temperature PL stands out as an excellent technique to identify nonradiative recombination as observed in the spectra of heteroepitaxially grown GaN samples. The chemical methods applied to tune optical and physical properties of GaN provide a quantitative framework for future novel chemical and biochemical sensor development.

  9. Acid etching of titanium for bonding with veneering composite resins.

    PubMed

    Ban, Seiji; Taniki, Toshio; Sato, Hideo; Kono, Hiroshi; Iwaya, Yukari; Miyamoto, Motoharu

    2006-06-01

    Commercially pure titanium (cpTi) was etched using three concentrated acids: 18% HCl, 43% H3PO4, and 48% H2SO4. The bond strengths between five types of veneering composite resin and eight cpTi treatments (involving combinations of sandblasting, acid etching in 48% H2SO4, and vacuum firing) were determined before and after 10,000 and 20,000 thermal cycles. There were no significant differences in the bond strength of resin to cpTi after etching in 48% H2SO4 at 90 degrees C for 15 minutes, at 60 degrees C for 15, 30, or 60 minutes, and after sandblasting with and without vacuum firing (p > 0.05); moreover, these treatments yielded the highest values. As for vacuum firing, it had no significant effect on resin bond strength to cpTi before or after 10,000 and 20,000 thermal cycles. We therefore concluded that acid etching in concentrated H2SO4 is a simple and effective surface modification method of titanium for bonding to veneering composite resins.

  10. Effect of phosphate treatment of Acid-etched implants on mineral apposition rates near implants in a dog model.

    PubMed

    Foley, Christine Hyon; Kerns, David G; Hallmon, William W; Rivera-Hidalgo, Francisco; Nelson, Carl J; Spears, Robert; Dechow, Paul C; Opperman, Lynne A

    2010-01-01

    This study evaluated the effects of phosphate coating of acid-etched titanium on the mineral apposition rate (MAR) and new bone-to-implant contact (BIC) in a canine model. Titanium implants (2.2 3 4 mm) with acid-etched surfaces that were electrolytically phosphated or not were placed in 48 mandibular sites in six foxhounds. Tetracycline and calcein dyes were administered 1 week after implant placement and 1 week before sacrifice. At 12 weeks after implant placement, the animals were sacrificed. MAR and BIC were evaluated using fluorescence microscopy. Light microscopic and histologic evaluations were performed on undecalcified sections. Microscopic evaluation showed the presence of healthy osteoblasts lining bone surfaces near implants. Similar BIC was observed in phosphated and nonphosphated titanium implant sites. MAR was significantly higher around the nonphosphated titanium implant surfaces than around the phosphated titanium samples. No significant differences were found between dogs or implant sites. Acid-etched implants showed significantly higher MARs compared to acid-etched, phosphate-coated implants. Int J Maxillofac Implants 2010;25:278-286.

  11. [Effect of hydrofluoric acid etching time on the resin bond durability of glass ceramic].

    PubMed

    Meng, Xiang-feng; Zhou, Xiao-lu; Luo, Xiao-ping

    2010-05-01

    To analyze the effect of hydrofluoric acid (HFA) etching time on the resin bond durability of glass ceramic. Three groups of samples of machinable glass ceramic (ProCAD) were etched by 4.8% HFA for 0, 30 and 60 s respectively. The roughness parameters (Ra, Sm, S) and surface area of the samples, were measured with a 3D-laser scanning microscope. Then the ceramic surfaces were bonded with four resin cements (silane coupler/resin cement), which were Monobond S/Variolink II, Clearfil Ceramic Primer/Clearfil Esthetic Cement, GC Ceramic Primer/Linkmax HV, and Porcelain Liner M/SuperBond. The micro-bond strengths between the ceramic and the resin were tested at baseline and after the samples had been treated in 30000 thermal cycles. The Ra [(3.89+/-1.94), (12.53+/-0.80), (13.58+/-1.10) microm] and surface area [(7.81+/-2.96), (30.18+/-2.05), (34.16+/-1.97) mm2] of ceramic increased with the increase of HFA etching time. The thermal cycling test reduced the bond strength of all test groups. The bond strength of Monobond S/Variolink II group [(3.59+/-3.51), (16.18+/-2.62), (20.33+/-2.45) MPa] and Clearfil Ceramic Primer/Clearfil Esthetic Cement group [(4.74+/-2.08), (7.77+/-1.55), (13.45+/-3.75) MPa] increased with the increase of HFA etching time; 30 s HFA etching group of Porcelain Liner M/SuperBond had higher bond strength [(22.00+/-1.64) MPa] than its 0 s HFA etching group [(12.96+/-4.17) MPa], and no significant difference was found between the 30 s and 60 s HFA etching groups of Porcelain Liner M/SuperBond [(20.42+/-3.01) MPa]. HFA etching time had no effect on the bond strength of GC Ceramic Primer/Linkmax HV. HFA etching can improve the resin bond durability of glass ceramic, and the etching time is not only related to the change of ceramic surface roughness and area, but also to the characteristics of resins.

  12. Effect of different monomer-based composites and acid etching pre-treatment of enamel on the microleakage using self-etch adhesives systems.

    PubMed

    Catelan, Anderson; Giorgi, Maria Cecília Caldas; Soares, Giulliana Panfiglio; Lima, Debora Alves Nunes Leite; Marchi, Giselle Maria; Aguiar, Flávio Henrique Baggio

    2014-11-01

    To evaluate quantitatively the marginal microleakage of restorations carried out with self-etching adhesives with or without prior phosphoric enamel acid etching of silorane or methacrylate resin-based composite restorations subjected to thermal cycling. Forty cavities were prepared at the proximal surface of bovine incisors and randomly divided according to the etching of the enamel and restorative system used. The groups were restored with methacrylate [Adper SE Plus adhesive (3M ESPE) + Filtek Z250 (3M ESPE)] or silorane [Filtek LS adhesive (3M ESPE) + Filtek LS composite (3M ESPE)] restorative systems, light-cured using a LED unit (Bluephase 16i, Vivadent). After restorative procedure and thermocycling (1000 cycles), the specimens were immersed in methylene blue for 2 h. The specimens were triturated and the powder was used for analysis in an absorbance spectrophotometer. Data were statistically analyzed by 2-way ANOVA (alpha = 0.05). No statistical difference between the restorative materials tested with or without previous acid etching of enamel in Class II marginal microleakage was observed (p > 0.05). The use of acid etching prior to self-etching adhesives did not interfere on the microleakage of methacrylate- or silorane-based restorations.

  13. Effect of sulfuric acid etching of polyetheretherketone on the shear bond strength to resin cements.

    PubMed

    Sproesser, Oliver; Schmidlin, Patrick R; Uhrenbacher, Julia; Roos, Malgorzata; Gernet, Wolfgang; Stawarczyk, Bogna

    2014-10-01

    To examine the influence of etching duration on the bond strength of PEEK substrate in combination with different resin composite cements. In total, 448 PEEK specimens were fabricated, etched with sulfuric acid for 5, 15, 30, 60, 90, 120, and 300 s and then luted with two conventional resin cements (RelyX ARC and Variolink II) and one self-adhesive resin cement (Clearfil SA Cement) (n = 18/subgroup). Non-etched specimens served as the control group. Specimens were stored in distilled water for 28 days at 37°C and shear bond strengths were measured. Data were analyzed nonparametrically using Kruskal-Wallis-H (p < 0.05). Non-etched PEEK demonstrated no bond strength to resin composite cements. The optimal etching duration varied with the type of resin composite: 60 s for RelyX ARC (15.3 ± 7.2 MPa), 90 s for Variolink II (15.2 ± 7.2 MPa), and 120 s for Clearfil SA Cement (6.4 ± 5.9 MPa). Regardless of etching duration, however, the self-etching resin composite cement showed significantly lower shear bond strength values when compared to groups luted with the conventional resin composites. Although sulfuric acid seems to be suitable and effective for PEEK surface pre-treatment, further investigations are required to examine the effect of other adhesive systems and cements.

  14. Aggressiveness of contemporary self-etching adhesives. Part II: etching effects on unground enamel.

    PubMed

    Pashley, D H; Tay, F R

    2001-09-01

    The aggressiveness of three self-etching adhesives on unground enamel was investigated. Ultrastructural features and microtensile bond strength were examined, first using these adhesives as both the etching and resin-infiltration components, and then examining their etching efficacy alone through substitution of the proprietary resins with the same control resins. For SEM examination, buccal, mid-coronal, unground enamel from human extracted bicuspids were etched with either Clearfil Mega Bond (Kuraray), Non-Rinse Conditioner (NRC; Dentsply DeTrey) or Prompt L-Pop (ESPE). Those in the control group were etched with 32% phosphoric acid (Bisco) for 15s. They were all rinsed off prior to examination of the etching efficacy. For TEM examination, the self-etching adhesives were used as recommended. Unground enamel treated with NRC were further bonded using Prime&Bond NT (Dentsply), while those in the etched, control group were bonded using All-Bond 2 (Bisco). Completely demineralized, resin replicas were embedded in epoxy resin for examination of the extent of resin infiltration. For microtensile bond strength evaluation, specimens were first etched and bonded using the self-etching adhesives. A second group of specimens were etched with the self-etching adhesives, rinsed but bonded using a control adhesive. Following restoration with Z100 (3M Dental Products), they were sectioned into beams of uniform cross-sectional areas and stressed to failure. Etching patterns of aprismatic enamel, as revealed by SEM, and the subsurface hybrid layer morphology, as revealed by TEM, varied according to the aggressiveness of the self-etching adhesives. Clearfil Mega Bond exhibited the mildest etching patterns, while Prompt L-Pop produced an etching effect that approached that of the total-etch control group. Microtensile bond strength of the three experimental groups were all significantly lower than the control group, but not different from one another. When the self-etching

  15. Etch pit investigation of free electron concentration controlled 4H-SiC

    NASA Astrophysics Data System (ADS)

    Kim, Hong-Yeol; Shin, Yun Ji; Kim, Jung Gon; Harima, Hiroshi; Kim, Jihyun; Bahng, Wook

    2013-04-01

    Etch pits were investigated using the molten KOH selective etching method to examine dependence of etch pit shape and size on free electron concentration. The free electron concentrations of highly doped 4H-silicon carbide (SiC) were controlled by proton irradiation and thermal annealing, which was confirmed by a frequency shift in the LO-phonon-plasmon-coupled (LOPC) mode on micro-Raman spectroscopy. The proton irradiated sample with 5×1015 cm-2 fluence and an intrinsic semi-insulating sample showed clearly classified etch pits but different ratios of threading screw dislocation (TSD) and threading edge dislocation (TED) sizes. Easily classified TEDs and TSDs on proton irradiated 4H-SiC were restored as highly doped 4H-SiC after thermal annealing due to the recovered carrier concentrations. The etched surface of proton irradiated 4H-SiC and boron implanted SiC showed different surface conditions after activation.

  16. Shear bond strength of one-step self-etch adhesives to enamel: effect of acid pretreatment.

    PubMed

    Poggio, Claudio; Scribante, Andrea; Della Zoppa, Federica; Colombo, Marco; Beltrami, Riccardo; Chiesa, Marco

    2014-02-01

    The purposes of this study were to evaluate the effect of surface pretreatment with phosphoric acid on the enamel bond strength of four-one-step self-etch adhesives with different pH values. One hundred bovine permanent mandibular incisors were used. The materials used in this study included four-one-step self-etch adhesives with different pH values: Adper(™) Easy Bond Self-Etch Adhesive (ph = 0,8-1), Futurabond NR (ph = 1,4), G-aenial Bond (ph = 1,5), Clearfil(3) S Bond (ph = 2,7). One two-step self-etch adhesive (Clearfil SE Bond/ph = 0,8-1) was used as control. The teeth were assigned into two subgroups according to bonding procedure. In the first subgroup (n = 50), no pretreatment agent was applied. In the second subgroup (n = 50), etching was performed using 37% phosphoric acid for 30 s. After adhesive systems application, a nanohybrid composite resin was inserted into the enamel surface. The specimens were placed in a universal testing machine (Model 3343, Instron Corp., Canton, Mass., USA). After the testing procedure, the fractured surfaces were examined with an optical microscope at a magnification of 10× to determine failure modes. The adhesive remnant index (ARI) was used to assess the amount of adhesive left on the enamel surface. Descriptive statistics of the shear bond strength and frequency distribution of ARI scores were calculated. Enamel pretreatment with phosphoric acid significantly increased bond strength values of all the adhesives tested. No significant differences in bond strength were detected among the four different one-step self-etch adhesives with different pH. Two-step self-etch adhesive showed the highest bond strength. © 2013 John Wiley & Sons A/S.

  17. Metal etching composition

    NASA Technical Reports Server (NTRS)

    Otousa, Joseph E. (Inventor); Thomas, Clark S. (Inventor); Foster, Robert E. (Inventor)

    1991-01-01

    The present invention is directed to a chemical etching composition for etching metals or metallic alloys. The composition includes a solution of hydrochloric acid, phosphoric acid, ethylene glycol, and an oxidizing agent. The etching composition is particularly useful for etching metal surfaces in preparation for subsequent fluorescent penetrant inspection.

  18. Evaluating EDTA as a substitute for phosphoric acid-etching of enamel and dentin.

    PubMed

    Imbery, Terence A; Kennedy, Matthew; Janus, Charles; Moon, Peter C

    2012-01-01

    Matrix metalloproteinases (MMPs) are proteolytic enzymes released when dentin is acid-etched. The enzymes are capable of destroying unprotected collagen fibrils that are not encapsulated by the dentin adhesive. Chlorhexidine applied after etching inhibits the activation of released MMPs, whereas neutral ethylenediamine tetra-acetic acid (EDTA) prevents the release of MMPs. The purpose of this study was to determine if conditioning enamel and dentin with EDTA can be a substitute for treating acid-etching enamel and dentin with chlorhexidine. A column of composite resin was bonded to enamel and dentin after conditioning. Shear bond strengths were evaluated after 48 hours and after accelerated aging for three hours in 12% sodium hypochlorite. Shear bond strengths ranged from 15.6 MP a for accelerated aged EDTA enamel specimens to 26.8 MPa for dentin conditioned with EDTA and tested after 48 hours. A three-way ANOVA and a Tukey HSD test found statistically significant differences among the eight groups and the three independent variables (P < 0.05). EDTA was successfully substituted for phosphoric acid-etched enamel and dentin treated with chlorhexidine. Interactions of conditioning agent and aging were significant for dentin but not for enamel. In an effort to reduce the detrimental effects of MMPs, conditioning enamel and dentin with EDTA is an alternative to treating acid-etched dentin and enamel with chlorhexidine.

  19. Etching radical controlled gas chopped deep reactive ion etching

    DOEpatents

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  20. Surface Modification of Ti-35Nb-10Ta-1.5Fe by the Double Acid-Etching Process

    PubMed Central

    Amigó, Angélica

    2018-01-01

    Surface topography and composition influence the osteoblastic proliferation and osseointegration rates, which favor the biomechanical stability of bone anchoring and implants. In recent years, beta titanium alloys have been developed, and are composed of biocompatible elements, have low elastic modulus, high corrosion resistance, and mechanical properties to improve the long performance behavior of biomaterials. In the present research, the influence of the acid-etching process was studied in Ti6Al4V ELI and Ti35Nb10Ta1.5Fe. Samples were etched in a two-step acid treatment. Surface roughness parameters were quantified under a confocal microscope, topography was studied by scanning electron microscopy, and surface composition was analyzed with energy dispersive X-ray spectroscopy. The results revealed that the two-step acid treatment changes the topography of the β alloy, increases the surface area, and changes the chemical composition of the surface. Two differentiated regions were identified in the Ti35Nb10Ta1.5Fe alloy after the acid-etching process: The α + β region with higher values of mean roughness due to the lower chemical resistance of this region; and the β region with lower values of roughness parameters. PMID:29587427

  1. Femtosecond laser etching of dental enamel for bracket bonding.

    PubMed

    Kabas, Ayse Sena; Ersoy, Tansu; Gülsoy, Murat; Akturk, Selcuk

    2013-09-01

    The aim is to investigate femtosecond laser ablation as an alternative method for enamel etching used before bonding orthodontic brackets. A focused laser beam is scanned over enamel within the area of bonding in a saw tooth pattern with a varying number of lines. After patterning, ceramic brackets are bonded and bonding quality of the proposed technique is measured by a universal testing machine. The results are compared to the conventional acid etching method. Results show that bonding strength is a function of laser average power and the density of the ablated lines. Intrapulpal temperature changes are also recorded and observed minimal effects are observed. Enamel surface of the samples is investigated microscopically and no signs of damage or cracking are observed. In conclusion, femtosecond laser exposure on enamel surface yields controllable patterns that provide efficient bonding strength with less removal of dental tissue than conventional acid-etching technique.

  2. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    PubMed

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  3. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    PubMed

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  4. Effect of etching with distinct hydrofluoric acid concentrations on the flexural strength of a lithium disilicate-based glass ceramic.

    PubMed

    Prochnow, Catina; Venturini, Andressa B; Grasel, Rafaella; Bottino, Marco C; Valandro, Luiz Felipe

    2017-05-01

    This study examined the effects of distinct hydrofluoric acid concentrations on the mechanical behavior of a lithium disilicate-based glass ceramic. Bar-shaped specimens were produced from ceramic blocks (e.max CAD, Ivoclar Vivadent). The specimens were polished, chamfered, and sonically cleaned in distilled water. The specimens were randomly divided into five groups (n = 23). The HF1, HF3, HF5, and HF10 specimens were etched for 20 s with acid concentrations of 1%, 3%, 5%, and 10%, respectively, while the SC (control) sample was untreated. The etched surfaces were evaluated using a scanning electron microscope and an atomic force microscope. Finally, the roughness was measured, and 3-point bending flexural tests were performed. The data were analyzed using one-way analysis of variance (ANOVA) and Tukey's test (α = 0.05). The Weibull modulus and characteristic strength were also determined. No statistical difference in the roughness and flexural strength was determined among the groups. The structural reliabilities (Weilbull moduli) were similar for the tested groups; however, the characteristic strength of the HF1 specimen was greater than that of the HF10 specimen. Compared with the untreated ceramic, the surface roughness and flexural strength of the ceramic were unaffected upon etching, regardless of the acid concentration. © 2016 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 105B: 885-891, 2017. © 2016 Wiley Periodicals, Inc.

  5. Acid Etching and Plasma Sterilization Fail to Improve Osseointegration of Grit Blasted Titanium Implants

    PubMed Central

    Saksø, Mikkel; Jakobsen, Stig S; Saksø, Henrik; Baas, Jørgen; Jakobsen, Thomas; Søballe, Kjeld

    2012-01-01

    Interaction between implant surface and surrounding bone influences implant fixation. We attempted to improve the bone-implant interaction by 1) adding surface micro scale topography by acid etching, and 2) removing surface-adherent pro-inflammatory agents by plasma cleaning. Implant fixation was evaluated by implant osseointegration and biomechanical fixation. The study consisted of two paired animal sub-studies where 10 skeletally mature Labrador dogs were used. Grit blasted titanium alloy implants were inserted press fit in each proximal tibia. In the first study grit blasted implants were compared with acid etched grit blasted implants. In the second study grit blasted implants were compared with acid etched grit blasted implants that were further treated with plasma sterilization. Implant performance was evaluated by histomorphometrical investigation (tissue-to-implant contact, peri-implant tissue density) and mechanical push-out testing after four weeks observation time. Neither acid etching nor plasma sterilization of the grit blasted implants enhanced osseointegration or mechanical fixation in this press-fit canine implant model in a statistically significant manner. PMID:22962567

  6. Effect of additional etching and ethanol-wet bonding on the dentin bond strength of one-step self-etch adhesives

    PubMed Central

    Ahn, Joonghee; Jung, Kyoung-Hwa; Son, Sung-Ae; Hur, Bock; Kwon, Yong-Hoon

    2015-01-01

    Objectives This study examined the effects of additional acid etching on the dentin bond strength of one-step self-etch adhesives with different compositions and pH. The effect of ethanol wetting on etched dentin bond strength of self-etch adhesives was also evaluated. Materials and Methods Forty-two human permanent molars were classified into 21 groups according to the adhesive types (Clearfil SE Bond [SE, control]; G-aenial Bond [GB]; Xeno V [XV]; Beauti Bond [BB]; Adper Easy Bond [AE]; Single Bond Universal [SU]; All Bond Universal [AU]), and the dentin conditioning methods. Composite resins were placed on the dentin surfaces, and the teeth were sectioned. The microtensile bond strength was measured, and the failure mode of the fractured specimens was examined. The data were analyzed statistically using two-way ANOVA and Duncan's post hoc test. Results In GB, XV and SE (pH ≤ 2), the bond strength was decreased significantly when the dentin was etched (p < 0.05). In BB, AE and SU (pH 2.4 - 2.7), additional etching did not affect the bond strength (p > 0.05). In AU (pH = 3.2), additional etching increased the bond strength significantly (p < 0.05). When adhesives were applied to the acid etched dentin with ethanol-wet bonding, the bond strength was significantly higher than that of the no ethanol-wet bonding groups, and the incidence of cohesive failure was increased. Conclusions The effect of additional acid etching on the dentin bond strength was influenced by the pH of one-step self-etch adhesives. Ethanol wetting on etched dentin could create a stronger bonding performance of one-step self-etch adhesives for acid etched dentin. PMID:25671215

  7. Self-etching adhesive on intact enamel, with and without pre-etching.

    PubMed

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  8. Effect of surface acid etching on the biaxial flexural strength of two hot-pressed glass ceramics.

    PubMed

    Hooshmand, Tabassom; Parvizi, Shaghayegh; Keshvad, Alireza

    2008-07-01

    The purpose of this study was to assess the effect of surface acid etching on the biaxial flexural strength of two hot-pressed glass ceramics reinforced by leucite or lithium disilicate crystals. Forty glass ceramic disks (14-mm diameter, 2-mm thick) consisting of 20 leucite-based ceramic disks (IPS Empress) and 20 lithia disilicate-based ceramic (IPS Empress 2) were produced by hot-pressing technique. All specimens were polished and then cleaned ultrasonically in distilled water. Ten specimens of each ceramic group were then etched with 9% hydrofluoric (HF) acid gel for 2 minutes and cleaned ultrasonically again. The biaxial flexural strength was measured by the piston-on-three-ball test in a universal testing machine. Data based on ten specimens in each group were analyzed by two-way ANOVA (alpha= 0.05). Microstructure of ceramic surfaces before and after acid etching was also examined by a scanning electron microscope. The mean biaxial flexural strength values for each group tested were (in MPa): nonetched IPS Empress = 118.6 +/- 25.5; etched IPS Empress = 102.9 +/- 15.4; nonetched IPS Empress 2 = 283.0 +/- 48.5; and etched IPS Empress 2 = 250.6 +/- 34.6. The results showed that the etching process reduced the biaxial flexural strengths significantly for both ceramic types (p= 0.025). No significant interaction between the ceramic type and etching process was found (p= 0.407). From the results, it was concluded that surface HF acid etching could have a weakening effect on hot-pressed leucite or lithia disilicate-based glass ceramic systems.

  9. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    PubMed

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  10. The Effects of Acid Etching on the Nanomorphological Surface Characteristics and Activation Energy of Titanium Medical Materials.

    PubMed

    Hung, Kuo-Yung; Lin, Yi-Chih; Feng, Hui-Ping

    2017-10-11

    The purpose of this study was to characterize the etching mechanism, namely, the etching rate and the activation energy, of a titanium dental implant in concentrated acid and to construct the relation between the activation energy and the nanoscale surface topographies. A commercially-pure titanium (CP Ti) and Ti-6Al-4V ELI surface were tested by shot blasting (pressure, grain size, blasting distance, blasting angle, and time) and acid etching to study its topographical, weight loss, surface roughness, and activation energy. An Arrhenius equation was applied to derive the activation energy for the dissolution of CP Ti/Ti-6Al-4V ELI in sulfuric acid (H₂SO₄) and hydrochloric acid (HCl) at different temperatures. In addition, white-light interferometry was applied to measure the surface nanomorphology of the implant to obtain 2D or 3D roughness parameters (Sa, Sq, and St). The nanopore size that formed after etching was approximately 100-500 nm. The surface roughness of CP Ti and Ti-6Al-4V ELI decreased as the activation energy decreased but weight loss increased. Ti-6Al-4V ELI has a higher level of activation energy than Ti in HCl, which results in lower surface roughness after acid etching. This study also indicates that etching using a concentrated hydrochloric acid provided superior surface modification effects in titanium compared with H₂SO₄.

  11. Micro/nanofabrication of poly({sub L}-lactic acid) using focused ion beam direct etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oyama, Tomoko Gowa; Nagasawa, Naotsugu; Taguchi, Mitsumasa

    2013-10-14

    Micro/nanofabrication of biocompatible and biodegradable poly({sub L}-lactic acid) (PLLA) using focused Ga ion beam direct etching was evaluated for future bio-device applications. The fabrication performance was determined with different ion fluences and fluxes (beam currents), and it was found that the etching speed and fabrication accuracy were affected by irradiation-induced heat. Focused ion beam (FIB)-irradiated surfaces were analyzed using micro-area X-ray photoelectron spectroscopy. Owing to reactions such as the physical sputtering of atoms and radiation-induced decomposition, PLLA was gradually carbonized with increasing C=C bonds. Controlled micro/nanostructures of PLLA were fabricated with C=C bond-rich surfaces expected to have good cell attachmentmore » properties.« less

  12. Influence of pH, bleaching agents, and acid etching on surface wear of bovine enamel.

    PubMed

    Soares, Ana Flávia; Bombonatti, Juliana Fraga Soares; Alencar, Marina Studart; Consolmagno, Elaine Cristina; Honório, Heitor Marques; Mondelli, Rafael Francisco Lia

    2016-01-01

    Development of new materials for tooth bleaching justifies the need for studies to evaluate the changes in the enamel surface caused by different bleaching protocols. The aim of this study was to evaluate the bovine dental enamel wear in function of different bleaching gel protocols, acid etching and pH variation. Sixty fragments of bovine teeth were cut, obtaining a control and test areas. In the test area, one half received etching followed by a bleaching gel application, and the other half, only the bleaching gel. The fragments were randomly divided into six groups (n=10), each one received one bleaching session with five hydrogen peroxide gel applications of 8 min, activated with hybrid light, diode laser/blue LED (HL) or diode laser/violet LED (VHL) (experimental): Control (C); 35% Total Blanc Office (TBO35HL); 35% Lase Peroxide Sensy (LPS35HL); 25% Lase Peroxide Sensy II (LPS25HL); 15% Lase Peroxide Lite (LPL15HL); and 10% hydrogen peroxide (experimental) (EXP10VHL). pH values were determined by a pHmeter at the initial and final time periods. Specimens were stored, subjected to simulated brushing cycles, and the superficial wear was determined (μm). ANOVA and Tukey´s tests were applied (α=0.05). The pH showed a slight decrease, except for Group LPL15HL. Group LPS25HL showed the highest degree of wear, with and without etching. There was a decrease from the initial to the final pH. Different bleaching gels were able to increase the surface wear values after simulated brushing. Acid etching before bleaching increased surface wear values in all groups.

  13. Resin–dentin bonds to EDTA-treated vs. acid-etched dentin using ethanol wet-bonding

    PubMed Central

    Sauro, Salvatore; Toledano, Manuel; Aguilera, Fatima Sánchez; Mannocci, Francesco; Pashley, David H.; Tay, Franklin R.; Watson, Timothy F.; Osorio, Raquel

    2013-01-01

    Objective To compare resin–dentin bond strengths and the micropermeability of hydrophobic vs. hydrophilic resins bonded to acid-etched or EDTA-treated dentin, using the ethanol wet-bonding technique. Methods Flat dentin surfaces from extracted human third molars were conditioned before bonding with: 37% H3PO4 (15 s) or 0.1 M EDTA (60 s). Five experimental resin blends of different hydrophilicities and one commercial adhesive (SBMP: Scotchbond Multi-Purpose) were applied to ethanol wet-dentin (1 min) and light-cured (20 s). The solvated resins were used as primers (50% ethanol/50% comonomers) and their respective neat resins were used as the adhesive. The resin-bonded teeth were stored in distilled water (24 h) and sectioned in beams for microtensile bond strength testing. Modes of failure were examined by stereoscopic light microscopy and SEM. Confocal tandem scanning microscopy (TSM) interfacial characterization and micropermeability were also performed after filling the pulp chamber with 1 wt% aqueous rhodamine-B. Results The most hydrophobic resin 1 gave the lowest bond strength values to acid-etched dentin and all beams failed prematurely when the resin was applied to EDTA-treated dentin. Resins 2 and 3 gave intermediate bond strengths to both conditioned substrates. Resin 4, an acidic hydrophilic resin, gave the highest bond strengths to both EDTA-treated and acid-etched dentin. Resin 5 was the only hydrophilic resin showing poor resin infiltration when applied on acid-etched dentin. Significance The ethanol wet-bonding technique may improve the infiltration of most of the adhesives used in this study into dentin, especially when applied to EDTA-treated dentin. The chemical composition of the resin blends was a determining factor influencing the ability of adhesives to bond to EDTA-treated or 37% H3PO4 acid-etched dentin, when using the ethanol wet-bonding technique in a clinically relevant time period. PMID:20074787

  14. The Effects of Acid Etching on the Nanomorphological Surface Characteristics and Activation Energy of Titanium Medical Materials

    PubMed Central

    Hung, Kuo-Yung; Lin, Yi-Chih; Feng, Hui-Ping

    2017-01-01

    The purpose of this study was to characterize the etching mechanism, namely, the etching rate and the activation energy, of a titanium dental implant in concentrated acid and to construct the relation between the activation energy and the nanoscale surface topographies. A commercially-pure titanium (CP Ti) and Ti-6Al-4V ELI surface were tested by shot blasting (pressure, grain size, blasting distance, blasting angle, and time) and acid etching to study its topographical, weight loss, surface roughness, and activation energy. An Arrhenius equation was applied to derive the activation energy for the dissolution of CP Ti/Ti-6Al-4V ELI in sulfuric acid (H2SO4) and hydrochloric acid (HCl) at different temperatures. In addition, white-light interferometry was applied to measure the surface nanomorphology of the implant to obtain 2D or 3D roughness parameters (Sa, Sq, and St). The nanopore size that formed after etching was approximately 100–500 nm. The surface roughness of CP Ti and Ti-6Al-4V ELI decreased as the activation energy decreased but weight loss increased. Ti-6Al-4V ELI has a higher level of activation energy than Ti in HCl, which results in lower surface roughness after acid etching. This study also indicates that etching using a concentrated hydrochloric acid provided superior surface modification effects in titanium compared with H2SO4. PMID:29019926

  15. Active application of primer acid on acid-treated enamel: Influence on the bond effectiveness of self-etch adhesives systems.

    PubMed

    Araújo, Cíntia Tereza Pimenta; Prieto, Lúcia Trazzi; Costa, Daiane Cristianismo; Bosso, Mariana Avalone; Coppini, Erick Kamiya; Dias, Carlos Tadeu Santos; Paulillo, Luis Alexandre Maffei Sartini

    2017-08-01

    Evaluate the composite-to-enamel bond after passive or active application of self-etching primer systems on polished or pre-etched enamel with phosphoric acid. Two self-etch adhesives systems (SEAS) were used: Clearfil SE Bond and Easy Bond. Third human molars were divided into 8 groups (N = 10). The crown of each tooth was sectioned into halves and the mesial/distal surfaces were used. The adhesives were actively or passively applied on enamel with or without prior phosphoric-acid etching. Resin composite cylinders were built after adhesive application. After stored in relative humidity for 24 hr/37°C the specimens were subjected to microshear test in universal testing a machine at a crosshead speed of 0.5 mm/minute. The results were analyzed with three-way ANOVA and the Tukey test. The enamel-etching pattern was evaluated under SEM. The 2-step SEAS system presented significantly higher adhesive bond strength means (47.37 MPa) than the 1-step (36.87 MPa). A poor enamel- etching pattern was observed in active mode showing irregular and short resin tags, however there was not compromised the bond strength. Active or passive application produced similar values of bond strength to enamel regardless of enamel pretreatment and type of SEAS. © 2017 Wiley Periodicals, Inc.

  16. Comparison of microleakage on one composite etched with phosphoric acid or a combination of phosphoric and hydrofluoric acids and bonded with several different systems.

    PubMed

    Szep, Susanne; Langner, Nicole; Bayer, Silja; Börnichen, Diana; Schulz, Christoph; Gerhardt, Thomas; Schriever, Anette; Becker, Joachim; Heidemann, Detlef

    2003-02-01

    There are no data available on whether or to what extent hydrofluoric acid affects the marginal integrity of dentin-bonded composite restorations when it is used instead of phosphoric acid in the total-etch technique. This in vitro study examined the etching effects of phosphoric acid versus a combination of phosphoric and hydrofluoric acid by evaluation of microleakage in a composite restoration bonded with different dentin adhesive systems. Extracted teeth (n = 90) containing 2 class II preparations, mesial occlusal (MO) and distal occlusal (DO) standarized (cervical margins in dentin) were perfused with Ringer solution and etched in 1 of 2 ways: with phosphoric acid only or with phosphoric combined with hydrofluoric acid. Different dentin bonding agents were then applied (Etch & Prime 3.0, Optibond Solo, Prime & Bond NT, Scotchbond 1, Syntac Single Component, or Syntac Sprint; (n = 15 for each etching material)). The preparations were restored with a hybrid composite (Herculite XRV) and submitted to 5000 thermocycles (5 degrees C to 55 degrees C) to simulate the in vivo situation. Microleakage was assessed with 2% methylene blue diffusion for 24 hours. Dye penetration was calculated as a percentage of the total length of the gingival margins of the preparation with light microscopy at original magnification x 32. The results were analyzed with the Kruskal-Wallis multiple comparison z-value assay (alpha = .05). Differences in dye penetration were significant, both as a function of the dentin adhesive and the conditioning mode applied. In the specimen groups conditioned with phosphoric acid, Optibond Solo (54% +/- 44%) and Syntac Sprint (74% +/- 39%) demonstrated the lowest penetration values. Higher values were obtained for Prime & Bond NT (81% +/- 34%), Scotchbond 1 (83% +/- 31%), Etch & Prime 3.0 (85% +/- 33%), and Syntac Single Component (95% +/- 16%), with no significant differences (alpha=.05) between specimen groups. The best results were obtained for

  17. Influence of pH, bleaching agents, and acid etching on surface wear of bovine enamel

    PubMed Central

    Soares, Ana Flávia; Bombonatti, Juliana Fraga Soares; Alencar, Marina Studart; Consolmagno, Elaine Cristina; Honório, Heitor Marques; Mondelli, Rafael Francisco Lia

    2016-01-01

    ABSTRACT Development of new materials for tooth bleaching justifies the need for studies to evaluate the changes in the enamel surface caused by different bleaching protocols. Objective The aim of this study was to evaluate the bovine dental enamel wear in function of different bleaching gel protocols, acid etching and pH variation. Material and Methods Sixty fragments of bovine teeth were cut, obtaining a control and test areas. In the test area, one half received etching followed by a bleaching gel application, and the other half, only the bleaching gel. The fragments were randomly divided into six groups (n=10), each one received one bleaching session with five hydrogen peroxide gel applications of 8 min, activated with hybrid light, diode laser/blue LED (HL) or diode laser/violet LED (VHL) (experimental): Control (C); 35% Total Blanc Office (TBO35HL); 35% Lase Peroxide Sensy (LPS35HL); 25% Lase Peroxide Sensy II (LPS25HL); 15% Lase Peroxide Lite (LPL15HL); and 10% hydrogen peroxide (experimental) (EXP10VHL). pH values were determined by a pHmeter at the initial and final time periods. Specimens were stored, subjected to simulated brushing cycles, and the superficial wear was determined (μm). ANOVA and Tukey´s tests were applied (α=0.05). Results The pH showed a slight decrease, except for Group LPL15HL. Group LPS25HL showed the highest degree of wear, with and without etching. Conclusion There was a decrease from the initial to the final pH. Different bleaching gels were able to increase the surface wear values after simulated brushing. Acid etching before bleaching increased surface wear values in all groups. PMID:27008254

  18. In vivo effect of a self-etching primer on dentin.

    PubMed

    Milia, E; Lallai, M R; García-Godoy, F

    1999-08-01

    To determine the ultrastructural aspects of the dentin collagen area in the cavity preparation floor produced in vivo after phosphoric acid acid-etching or after using Clearfil Liner Bond 2 self-etching primer (LB2 Primer). Twenty-four non-carious third molars scheduled for extraction from young adult patients (16-30 years old) were used. Conventional Class I cavities (+/- 2 mm deep) were prepared on the occlusal surfaces of all teeth using a cylindrical diamond bur on a high-speed handpiece with copious water spray. To avoid dehydration of the dentin, the smear layer-covered dentin was briefly air-dried for 2 seconds. Cavities were assigned at random to the following groups: Group A: Dentin etched for 15 seconds with 34% phosphoric acid, rinsed for 20 seconds and then briefly air-dried for 2 seconds with oil-free compressed air leaving the surfaces slightly moist. Group B: LB2 Primer was applied to the cavity surfaces for 30 seconds and then briefly air-dried to remove the solvent. Group C: The untreated dentin smear layer was used as a control. In all three groups, the cavities were filled incrementally with a resin-based composite (APX), light curing every increment for 40 seconds. After 30 minutes, the teeth were extracted atraumatically and the samples immediately prepared for evaluation with the transmission electron microscope. The use of a self-etching primer did not produce significant morphological changes in the moist dentin substrate. Adverse morphological conditions where observed when there was an excess water on the dentin surface. Phosphoric acid altered the collagen more severely than the self-etching primer.

  19. Influence of previous acid etching on bond strength of universal adhesives to enamel and dentin.

    PubMed

    Torres, Carlos Rocha Gomes; Zanatta, Rayssa Ferreira; Silva, Tatiane Josefa; Huhtala, Maria Filomena Rocha Lima; Borges, Alessandra Bühler

    2017-01-01

    The objective of this study was to evaluate the effect of acid pretreatment on the bond strength of composite resin bonded to enamel and dentin with 2 different universal self-etching adhesives. The null hypothesis was that the acid treatment performed prior to adhesive application would not significantly change the bond strength to enamel or dentin for either universal adhesive tested. A sample of 112 bovine incisors were selected and embedded in acrylic resin. Half were ground until a flat enamel surface was obtained, and the other half were polished until a 6 × 6-mm area of dentin was exposed, resulting into 2 groups (n = 56). The enamel and dentin groups were divided into 2 subgroups according to the adhesive system applied: Futurabond U or Scotchbond Universal. Each of these subgroups was divided into 2 additional subgroups (n = 14); 1 subgroup received phosphoric acid pretreatment, and 1 subgroup did not. The bond strength was assessed with a microtensile test. Data from enamel and dentin specimens were analyzed separately using 1-way analysis of variance. The acid pretreatment did not significantly change the bond strength of the adhesives tested, either to enamel (P = 0.4161) or to dentin (P = 0.4857). The acid etching pretreatment did not affect the bond strength to dentin and enamel when the tested universal multipurpose adhesive systems were used.

  20. Liquid droplet sensing using twisted optical fiber couplers fabricated by hydrofluoric acid flow etching

    NASA Astrophysics Data System (ADS)

    Son, Gyeongho; Jung, Youngho; Yu, Kyoungsik

    2017-04-01

    We report a directional-coupler-based refractive index sensor and its cost-effective fabrication method using hydrofluoric acid droplet wet-etching and surface-tension-driven liquid flows. The proposed fiber sensor consists of a pair of twisted tapered optical fibers with low excess losses. The fiber cores in the etched microfiber region are exposed to the surrounding medium for efficient interaction with the guided light. We observe that the etching-based low-loss fiber-optic sensors can measure the water droplet volume by detecting the refractive index changes of the surrounding medium around the etched fiber core region.

  1. Effect of reducing acid etching time on bond strength to noncarious and caries-affected primary and permanent dentin.

    PubMed

    Scheffel, Débora Lopes Salles; Ricci, Hérica Adad; de Souza Costa, Carlos Alberto; Pashley, David Henry; Hebling, Josimeri

    2013-01-01

    The purpose was to evaluate the effect of acid etching time on the bond strength of a simplified etch-and-rinse adhesive system to noncarious and caries-affected dentin of primary and permanent teeth. Twenty-four extracted primary and permanent teeth were divided into three groups, according to the acid etching time. Four teeth from each group were exposed to a microbiological caries-inducing protocol. After caries removal, noncarious and caries-affected dentin surfaces were etched with 37 percent phosphoric acid for five, 10, or 15 seconds prior to the application of Prime & Bond NT adhesive. Crowns were restored with resin composite and prepared for microtensile testing. Data were submitted to Kruskal-Wallis and Mann-Whitney tests (α=0.05). Higher bond strengths were obtained for noncarious dentin vs. caries-affected dentin for both primary and permanent teeth. Reducing the acid etching time from 15 to five seconds did not affect the bond strength to caries-affected or noncarious dentin in primary teeth. For permanent teeth, lower bond strength values were observed when the noncarious dentin was etched for five seconds, while no difference was seen between 10 and 15 seconds. For Prime & Bond NT, the etching of dentin for five seconds could be recommended for primary teeth, while 10 seconds would be the minimum time for permanent teeth.

  2. Understanding and controlling the step bunching instability in aqueous silicon etching

    NASA Astrophysics Data System (ADS)

    Bao, Hailing

    Chemical etching of silicon has been widely used for more than half a century in the semiconductor industry. It not only forms the basis for current wafer cleaning processes, it also serves as a powerful tool to create a variety of surface morphologies for different applications. Its potential for controlling surface morphology at the atomic scale over micron-size regions is especially appealing. In spite of its wide usage, the chemistry of silicon etching is poorly understood. Many seemingly simple but fundamental questions have not been answered. As a result, the development of new etchants and new etching protocols are based on expensive and tedious trial-and-error experiments. A better understanding of the etching mechanism would direct the rational formulation of new etchants that produce controlled etch morphologies. Particularly, micron-scale step bunches spontaneously develop on the vicinal Si(111) surface etched in KOH or other anisotropic aqueous etchants. The ability to control the size, orientation, density and regularity of these surface features would greatly improve the performance of microelectromechanical devices. This study is directed towards understanding the chemistry and step bunching instability in aqueous anisotropic etching of silicon through a combination of experimental techniques and theoretical simulations. To reveal the cause of step-bunching instability, kinetic Monte Carlo simulations were constructed based on an atomistic model of the silicon lattice and a modified kinematic wave theory. The simulations showed that inhomogeneity was the origin of step-bunching, which was confirmed through STM studies of etch morphologies created under controlled flow conditions. To quantify the size of the inhomogeneities in different etchants and to clarify their effects, a five-parallel-trench pattern was fabricated. This pattern used a nitride mask to protect most regions of the wafer; five evenly spaced etch windows were opened to the Si(110

  3. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    PubMed

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  4. Surface engineering on CeO2 nanorods by chemical redox etching and their enhanced catalytic activity for CO oxidation

    NASA Astrophysics Data System (ADS)

    Gao, Wei; Zhang, Zhiyun; Li, Jing; Ma, Yuanyuan; Qu, Yongquan

    2015-07-01

    Controllable surface properties of nanocerias are desired for various catalytic processes. There is a lack of efficient approaches to adjust the surface properties of ceria to date. Herein, a redox chemical etching method was developed to controllably engineer the surface properties of ceria nanorods. Ascorbic acid and hydrogen peroxide were used to perform the redox chemical etching process, resulting in a rough surface and/or pores on the surface of ceria nanorods. Increasing the etching cycles induced a steady increase of the specific surface area, oxygen vacancies and surface Ce3+ fractions. As a result, the etched nanorods delivered enhanced catalytic activity for CO oxidation, compared to the non-etched ceria nanorods. Our method provides a novel and facile approach to continuously adjust the surface properties of ceria for practical applications.Controllable surface properties of nanocerias are desired for various catalytic processes. There is a lack of efficient approaches to adjust the surface properties of ceria to date. Herein, a redox chemical etching method was developed to controllably engineer the surface properties of ceria nanorods. Ascorbic acid and hydrogen peroxide were used to perform the redox chemical etching process, resulting in a rough surface and/or pores on the surface of ceria nanorods. Increasing the etching cycles induced a steady increase of the specific surface area, oxygen vacancies and surface Ce3+ fractions. As a result, the etched nanorods delivered enhanced catalytic activity for CO oxidation, compared to the non-etched ceria nanorods. Our method provides a novel and facile approach to continuously adjust the surface properties of ceria for practical applications. Electronic supplementary information (ESI) available: Diameter distributions of as-prepared and etched samples, optical images, specific catalytic data of CO oxidation and comparison of CO oxidation. See DOI: 10.1039/c5nr01846c

  5. Difference in anisotropic etching characteristics of alkaline and copper based acid solutions for single-crystalline Si.

    PubMed

    Chen, Wei; Liu, Yaoping; Yang, Lixia; Wu, Juntao; Chen, Quansheng; Zhao, Yan; Wang, Yan; Du, Xiaolong

    2018-02-21

    The so called inverted pyramid arrays, outperforming conventional upright pyramid textures, have been successfully achieved by one-step Cu assisted chemical etching (CACE) for light reflection minimization in silicon solar cells. Due to the lower reduction potential of Cu 2+ /Cu and different electronic properties of different Si planes, the etching of Si substrate shows orientation-dependent. Different from the upright pyramid obtained by alkaline solutions, the formation of inverted pyramid results from the coexistence of anisotropic etching and localized etching process. The obtained structure is bounded by Si {111} planes which have the lowest etching rate, no matter what orientation of Si substrate is. The Si etching rate and (100)/(111) etching ratio are quantitatively analyzed. The different behaviors of anisotropic etching of Si by alkaline and Cu based acid etchant have been systematically investigated.

  6. Comparative evaluation of self-etching primers and phosphoric acid effectiveness on composite to enamel bond: an in vitro study.

    PubMed

    Patil, Basanagouda S; Rao, Bk Raghavendra; Sharathchandra, Sm; Hegde, Reshma; Kumar, G Vinay

    2013-09-01

    The aim of the present study was to investigate the effectiveness of the one total-etch self-priming adhesive, one two-step self-etching primer adhesive, and one 'all-in-one' self-etching adhesive system on the adhesion of a resin composite to enamel. Thirty-six freshly extracted human mandibular molars were selected for this study. A fat area about 5 mm in diameter was created on the exposed mesial surface of enamel of each tooth by moist grinding with 320, 420 and 600 grit silicon carbide paper. Twelve teeth were randomly assigned into three groups. In group 1, Adper Easy One (3M ESPE), a one step self-etching primer adhesive was applied and light curing unit for 10 seconds. In group 2, Adper SE Plus, a two-step self-etching primer with bottle A containing the aqueous primer and bottle B containing the acidic adhesive was applied and light cured for 10 seconds. Group 3 (control)-etchant 37% phosphoric acid is applied to the surface for 15 seconds and rinsed with water and air dried and adhesive (single bond 2) is applied to the surface and tube is placed and light cured for 20 seconds. Composite material (Z350) was placed in the tube and light cured for 40 seconds in all the groups. Bond strength testing was done using universal testing machine at the enamel-composite interface. The debonded enamel surface was evaluated in stereomicroscope to assess the cohesive, adhesive or mixed fracture. Data was statistically analyzed by one way analysis of variance (ANOVA). Group 1 performed least among all groups with a mean score of 19.46 MPa. Group 2 had a mean score of 25.67 MPa. Group 3 had a mean score of 27.16 MPa. Under the conditions of this in vitro study, the bond strength values of the two-step self-etching primer systems tested were similar to the total-etch. And, one step self-etching primers have lower bond strength compared to the total-etch.

  7. Quantum-size-controlled photoelectrochemical etching of semiconductor nanostructures

    DOEpatents

    Fischer, Arthur J.; Tsao, Jeffrey Y.; Wierer, Jr., Jonathan J.; Xiao, Xiaoyin; Wang, George T.

    2016-03-01

    Quantum-size-controlled photoelectrochemical (QSC-PEC) etching provides a new route to the precision fabrication of epitaxial semiconductor nanostructures in the sub-10-nm size regime. For example, quantum dots (QDs) can be QSC-PEC-etched from epitaxial InGaN thin films using narrowband laser photoexcitation, and the QD sizes (and hence bandgaps and photoluminescence wavelengths) are determined by the photoexcitation wavelength.

  8. No positive effect of Acid etching or plasma cleaning on osseointegration of titanium implants in a canine femoral condyle press-fit model.

    PubMed

    Saksø, H; Jakobsen, T; Saksø, M; Baas, J; Jakobsen, Ss; Soballe, K

    2013-01-01

    Implant surface treatments that improve early osseointegration may prove useful in long-term survival of uncemented implants. We investigated Acid Etching and Plasma Cleaning on titanium implants. In a randomized, paired animal study, four porous coated Ti implants were inserted into the femurs of each of ten dogs. PC (Porous Coating; control)PC+PSHA (Plasma Sprayed Hydroxyapatite; positive control)PC+ET (Acid Etch)PC+ET+PLCN (Plasma Cleaning) After four weeks mechanical fixation was evaluated by push-out test and osseointegration by histomorphometry. The PSHA-coated implants were better osseointegrated than the three other groups on outer surface implant porosity (p<0.05) while there was no statistical difference in deep surface implant porosity when compared with nontreated implant. Within the deep surface implant porosity, there was more newly formed bone in the control group compared to the ET and ET+PCLN groups (p<0.05). In all compared groups, there was no statistical difference in any biomechanical parameter. In terms of osseointegration on outer surface implant porosity PC+PSHA was superior to the other three groups. Neither the acid etching nor the plasma cleaning offered any advantage in terms of implant osseointegration. There was no statistical difference in any of the biomechanical parameters among all groups in the press-fit model at 4 weeks of evaluation time.

  9. Cell Adhesion and in Vivo Osseointegration of Sandblasted/Acid Etched/Anodized Dental Implants

    PubMed Central

    Kim, Mu-Hyon; Park, Kyeongsoon; Choi, Kyung-Hee; Kim, Soo-Hong; Kim, Se Eun; Jeong, Chang-Mo; Huh, Jung-Bo

    2015-01-01

    The authors describe a new type of titanium (Ti) implant as a Modi-anodized (ANO) Ti implant, the surface of which was treated by sandblasting, acid etching (SLA), and anodized techniques. The aim of the present study was to evaluate the adhesion of MG-63 cells to Modi-ANO surface treated Ti in vitro and to investigate its osseointegration characteristics in vivo. Four different types of Ti implants were examined, that is, machined Ti (control), SLA, anodized, and Modi-ANO Ti. In the cell adhesion study, Modi-ANO Ti showed higher initial MG-63 cell adhesion and induced greater filopodia growth than other groups. In vivo study in a beagle model revealed the bone-to-implant contact (BIC) of Modi-ANO Ti (74.20% ± 10.89%) was much greater than those of machined (33.58% ± 8.63%), SLA (58.47% ± 12.89), or ANO Ti (59.62% ± 18.30%). In conclusion, this study demonstrates that Modi-ANO Ti implants produced by sandblasting, acid etching, and anodizing improve cell adhesion and bone ongrowth as compared with machined, SLA, or ANO Ti implants. These findings suggest that the application of Modi-ANO surface treatment could improve the osseointegration of dental implant. PMID:25955650

  10. Influence of duration of phosphoric acid pre-etching on bond durability of universal adhesives and surface free-energy characteristics of enamel.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Takamizawa, Toshiki; Watanabe, Hidehiko; Johnson, William W; Latta, Mark A; Miyazaki, Masashi

    2016-08-01

    The purpose of this study was to evaluate the influence of duration of phosphoric acid pre-etching on the bond durability of universal adhesives and the surface free-energy characteristics of enamel. Three universal adhesives and extracted human molars were used. Two no-pre-etching groups were prepared: ground enamel; and enamel after ultrasonic cleaning with distilled water for 30 s to remove the smear layer. Four pre-etching groups were prepared: enamel pre-etched with phosphoric acid for 3, 5, 10, and 15 s. Shear bond strength (SBS) values of universal adhesive after no thermal cycling and after 30,000 or 60,000 thermal cycles, and surface free-energy values of enamel surfaces, calculated from contact angle measurements, were determined. The specimens that had been pre-etched showed significantly higher SBS and surface free-energy values than the specimens that had not been pre-etched, regardless of the aging condition and adhesive type. The SBS and surface free-energy values did not increase for pre-etching times of longer than 3 s. There were no significant differences in SBS values and surface free-energy characteristics between the specimens with and without a smear layer. The results of this study suggest that phosphoric acid pre-etching of enamel improves the bond durability of universal adhesives and the surface free-energy characteristics of enamel, but these bonding properties do not increase for phosphoric acid pre-etching times of longer than 3 s. © 2016 Eur J Oral Sci.

  11. Dry etching of metallization

    NASA Technical Reports Server (NTRS)

    Bollinger, D.

    1983-01-01

    The production dry etch processes are reviewed from the perspective of microelectronic fabrication applications. The major dry etch processes used in the fabrication of microelectronic devices can be divided into two categories - plasma processes in which samples are directly exposed to an electrical discharge, and ion beam processes in which samples are etched by a beam of ions extracted from a discharge. The plasma etch processes can be distinguished by the degree to which ion bombardment contributes to the etch process. This, in turn is related to capability for anisotropic etching. Reactive Ion Etching (RIE) and Ion Beam Etching are of most interest for etching of thin film metals. RIE is generally considered the best process for large volume, anisotropic aluminum etching.

  12. Characterization of selectively etched halloysite nanotubes by acid treatment

    NASA Astrophysics Data System (ADS)

    Garcia-Garcia, Daniel; Ferri, Jose M.; Ripoll, Laura; Hidalgo, Montserrat; Lopez-Martinez, Juan; Balart, Rafael

    2017-11-01

    Halloysite nanotubes (HNTs) are a type of naturally occurring inorganic nanotubes that are characterized by a different composition between their external and internal walls. The internal walls are mainly composed of alumina whilst external walls are composed of silica. This particular structure offers a dual surface chemistry that allows different selective surface treatments which can be focused on increasing the lumen, increasing porosity, etc. In this work, HNTs were chemically treated with different acids (sulphuric, acetic and acrylic acid), for 72 h at a constant temperature of 50 °C. As per the obtained results, the treatment with sulphuric acid is highly aggressive and the particular shape of HNTs is almost lost, with a remarkable increase in porosity. The BET surface area increases from 52.9 (untreated HNTs) up to 132.4 m2 g-1 with sulphuric acid treatment, thus showing an interesting potential in the field of catalysis. On the other hand, the treatment with acetic acid led to milder effects with a noticeable increase in the lumen diameter that changed from 13.8 nm (untreated HNTs) up to 18.4 nm which the subsequent increase in the loading capacity by 77.8%. The aluminium content was measured by X-ray fluorescence (XRF) and laser induced breakdown spectroscopy (LIBS). The final results using two systems, suggest a good correlation between the acid strength and the aluminium reduction. Consequently, is possible to conclude that new applications for HNTs can be derived from selective etching with acids. Sulphuric acid widens the potential of HNTs in the field of catalysis while weak acids such as acetic and acrylic acids give a controlled and homogeneous lumen increase with the corresponding increase in the loading capacity.

  13. Selective Acid Etching Improves the Bond Strength of Universal Adhesive to Sound and Demineralized Enamel of Primary Teeth.

    PubMed

    Antoniazzi, Bruna Feltrin; Nicoloso, Gabriel Ferreira; Lenzi, Tathiane Larissa; Soares, Fabio Zovico Maxnuck; Rocha, Rachel de Oliveira

    To evaluate the influence of enamel condition and etching strategy on bond strength of a universal adhesive in primary teeth. Thirty-six primary molars were randomly assigned to six groups (n = 6) according to the enamel condition (sound [S] and demineralized [DEM]/cariogenic challenge by pH cycling prior to restorative procedures) and adhesive system (Scotchbond Universal Adhesive [SBU]) used in either etch-and-rinse (ER) or selfetching (SE) mode, with Clearfil SE Bond as the self-etching control. The adhesives were applied to flat enamel surfaces and composite cylinders (0.72 mm2) were built up. After 24-h storage in water, specimens were subjected to the microshear test. Bond strength (MPa) data were analyzed using two-way ANOVA and Tukey's post-hoc tests (α = 0.05). Significant differences were found considering the factors adhesive system (p = 0.003) and enamel condition (p = 0.001). Demineralized enamel negatively affected the bond strength, with μSBS values approximately 50% lower than those obtained for sound enamel. SBU performed better in etch-and-rinse mode, and the bond strength found for SBU applied in self-etching mode was similar to that of CSE. Enamel etching with phosphoric acid improves the bond strength of a universal adhesive system to primary enamel. Demineralized primary enamel results in lower bond strength.

  14. Microdroplet-etched highly birefringent low-loss fiber tapers.

    PubMed

    Mikkelsen, Jared C; Poon, Joyce K S

    2012-07-01

    We use hydrofluoric acid microdroplets to directly etch highly birefringent biconical fiber tapers from standard single-mode fibers. The fiber tapers have micrometer-sized cross sections, which are controlled by the etching condition. The characteristic teardrop cross section leads to a high group birefringence of B(G)≈0.017 and insertion losses <0.7 dB over waist lengths of about 2.1 mm.

  15. Effects of etching time on enamel bond strengths.

    PubMed

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  16. AFM and SEM study of the effects of etching on IPS-Empress 2 TM dental ceramic

    NASA Astrophysics Data System (ADS)

    Luo, X.-P.; Silikas, N.; Allaf, M.; Wilson, N. H. F.; Watts, D. C.

    2001-10-01

    The aim of this study was to investigate the effects of increasing etching time on the surface of the new dental material, IPS-Empress 2 TM glass ceramic. Twenty one IPS-Empress 2 TM glass ceramic samples were made from IPS-Empress 2 TM ingots through lost-wax, hot-pressed ceramic fabrication technology. All samples were highly polished and cleaned ultrasonically for 5 min in acetone before and after etching with 9.6% hydrofluoric acid gel. The etching times were 0, 10, 20, 30, 60, 90 and 120 s respectively. Microstructure was analysed by scanning electron microscopy (SEM) and atomic force microscopy (AFM) was used to evaluate the surface roughness and topography. Observations with SEM showed that etching with hydrofluoric acid resulted in preferential dissolution of glass matrix, and that partially supported crystals within the glass matrix were lost with increasing etching time. AFM measurements indicated that etching increased the surface roughness of the glass-ceramic. A simple least-squares linear regression was used to establish a relationship between surface roughness parameters ( Ra, RMS), and etching time, for which r2>0.94. This study demonstrates the benefits of combining two microscopic methods for a better understanding of the surface. SEM showed the mode of action of hydrofluoric acid on the ceramic and AFM provided valuable data regarding the extent of surface degradation relative to etching time.

  17. Assessment of microleakage of class V restored by resin composite and resin-modified glass ionomer and pit and fissure resin-based sealants following Er:YAG laser conditioning and acid etching: in vitro study

    PubMed Central

    Luong, Emilie; Shayegan, Amir

    2018-01-01

    Aim The aim of this study was to make a comparison between microleakage of conventionally restored class V cavities using acid etchant and the ones conditioned by erbium-doped yttrium aluminum garnet (Er:YAG) laser, and also to assess and compare the effectiveness of enamel surface treatments of occlusal pits and fissures by acid etching and conditioned by Er:YAG laser-etch. Materials and methods Seventy-two extracted third molars were used in this study. The samples were divided into two major groups: class V cavities and pit and fissure sealants. Each subgroup was divided into conventional acid etching, Er:YAG laser conditioning and conventional acid etching, and combination with Er:YAG laser conditioning (n=12). The teeth were placed in 2% methylene blue dye solution, were sectioned, and were evaluated according to the dye penetration criteria. Two samples per subgroup were chosen for scanning electron microscopic (SEM) analysis. Results There was a significant difference between occlusal and cervical margin groups. Laser conventional composite cementum group showed more microleakage values compared to other groups. There was no significant difference between occlusal margin groups. However, there was a significant difference between cervical margin groups in terms of microleakage. In sealant groups, there was a significant difference between laser and conventional with/without laser treatment groups in terms of microleakage. Conclusion Based on the results reported in this study, it can be concluded that the application of the Er:YAG laser beneath the resin composite, the resin-modified glass ionomers (GIs), and the fissure sealant placement may be an alternative enamel and dentin etching method to acid etching. PMID:29881311

  18. Enamel resistance to demineralization following Er:YAG laser etching for bonding orthodontic brackets

    PubMed Central

    Ahrari, Farzaneh; Poosti, Maryam; Motahari, Pourya

    2012-01-01

    Background: Several studies have shown that laser-etching of enamel for bonding orthodontic brackets could be an appropriate alternative for acid conditioning, since a potential advantage of laser could or might be caries prevention. This study compared enamel resistance to demineralization following etching with acid phosphoric or Er:YAG laser for bonding orthodontic brackets. Materials and Methods: Fifty sound human premolars were divided into two equal groups. In the first group, enamel was etched with 37% phosphoric acid for 15 seconds. In the second group, Er:YAG laser (wavelength, 2 940 nm; 300 mJ/pulse, 10 pulses per second, 10 seconds) was used for tooth conditioning. The teeth were subjected to 4-day PH-cycling process to induce caries-like lesions. The teeth were then sectioned and the surface area of the lesion was calculated in each microphotographs and expressed in pixel. The total surface of each specimen was 196 608 pixels. Results: Mean lesion areas were 7 171 and 7532 pixels for Laser-etched and Acid-etched groups, respectively. The two sample t-test showed that there was no significant difference in lesion area between the two groups (P = 0.914). Conclusion: Although Er:YAG laser seems promising for etching enamel before bonding orthodontic brackets, it does not reduce enamel demineralization when exposed to acid challenge. PMID:23162591

  19. Effect of acid etching on bond strength of nanoionomer as an orthodontic bonding adhesive

    PubMed Central

    Khan, Saba; Verma, Sanjeev K.; Maheshwari, Sandhya

    2015-01-01

    Aims: A new Resin Modified Glass Ionomer Cement known as nanoionomer containing nanofillers of fluoroaluminosilicate glass and nanofiller 'clusters' has been introduced. An in-vitro study aimed at evaluating shear bond strength (SBS) and adhesive remnant index (ARI) of nanoionomer under etching/unetched condition for use as an orthodontic bonding agent. Material and Methods: A total of 75 extracted premolars were used, which were divided into three equal groups of 25 each: 1-Conventional adhesive (Enlight Light Cure, SDS, Ormco, CA, USA) was used after and etching with 37% phosphoric acid for 30 s, followed by Ortho Solo application 2-nanoionomer (Ketac™ N100, 3M, ESPE, St. Paul, MN, USA) was used after etching with 37% phosphoric acid for 30 s 3-nanoionomer was used without etching. The SBS testing was performed using a digital universal testing machine (UTM-G-410B, Shanta Engineering). Evaluation of ARI was done using scanning electron microscopy. The SBS were compared using ANOVA with post-hoc Tukey test for intergroup comparisons and ARI scores were compared with Chi-square test. Results: ANOVA (SBS, F = 104.75) and Chi-square (ARI, Chi-square = 30.71) tests revealed significant differences between groups (P < 0.01). The mean (SD) SBS achieved with conventional light cure adhesive was significantly higher (P < 0.05) (10.59 ± 2.03 Mpa, 95% CI, 9.74-11.41) than the nanoionomer groups (unetched 4.13 ± 0.88 Mpa, 95% CI, 3.79-4.47 and etched 9.32 ± 1.87 Mpa, 95% CI, 8.58-10.06). However, nanoionomer with etching, registered SBS in the clinically acceptable range of 5.9–7.8 MPa, as suggested by Reynolds (1975). The nanoionomer groups gave significantly lower ARI values than the conventional adhesive group. Conclusion: Based on this in-vitro study, nanoionomer with etching can be successfully used as an orthodontic bonding agent leaving less adhesive remnant on enamel surface, making cleaning easier. However, in-vivo studies are needed to confirm the validity

  20. Effects of potassium oxalate on knoop hardness of etch-and-rinse adhesives.

    PubMed

    Silva, S M A; Malacarne-Zanon, J; Carvalho, R M; Alves, M C; De Goes, M F; Anido-Anido, A; Carrilho, M R

    2012-01-01

    The objective of this study was to determine whether the hardness of etch-and-rinse adhesives may be affected by the pretreatment of acid-etched dentin with potassium oxalate desensitizer. Unerupted human third molars were cut into crown segments by removing the occlusal enamel and roots. The pulp chamber of these crown segments was connected to a syringe barrel filled with phosphate-buffered saline so that the moisture of dentin was maintained during the bonding procedures. Three etch-and-rinse adhesives-two two-step systems (Adper Single Bond 2 [SB], One-Step [OS]) and one three-step system (Adper Scotchbond Multi-Purpose [MP])-were applied to acid-etched dentin that had been treated (experimental groups) or not (control groups) with potassium oxalate (BisBlock). The Knoop hardness (KHN) of adhesives was taken at different sites of the outer surface of the adhesive-bonded dentin. The KHN of the three tested adhesives applied to acid-etched dentin treated with potassium oxalate was significantly lower than that exhibited by the respective controls (not treated with oxalate; p<0.05). Regardless of the adhesive, the treatment with potassium oxalate reduced the adhesives' KHN (p<0.05), with the OS system exhibiting the lowest KHN compared with the MP and SB systems.

  1. The impact of hydrofluoric acid etching followed by unfilled resin on the biaxial strength of a glass-ceramic.

    PubMed

    Posritong, Sumana; Borges, Alexandre Luiz Souto; Chu, Tien-Min Gabriel; Eckert, George J; Bottino, Marco A; Bottino, Marco C

    2013-11-01

    To evaluate the null hypotheses that hydrofluoric (HF) acid etching time would neither decrease the biaxial flexural strength of a glass-based veneering ceramic nor enhance it after silane and unfilled resin (UR) applications. Disc-shaped IPS e.max ZirPress specimens were allocated into 12 groups: G1-control (no-etching), G2-30 s, G3-60 s, G4-90 s, G5-120 s, G6-60 s+60 s. Groups (G7-G12) were treated in the same fashion as G1-G6, but followed by silane and UR applications. Surface morphology and roughness (Ra and Rq) of the ceramics were assessed by means of scanning electron microscopy (SEM) and profilometry, respectively. Flexural strength was determined by biaxial testing. Data were analyzed by two-way ANOVA and the Sidak test (α=0.05). Weibull statistics were estimated and finite element analysis (FEA) was carried out to verify the stress concentration end areas of fracture. The interaction (etching time vs. surface treatment) was significant for Ra (p=0.008) and Rq (0.0075). Resin-treated groups presented significantly lower Ra and Rq than non-treated groups, except for the 60s group (p<0.005). SEM revealed that etching affected the ceramic microstructure and that the UR was able to penetrate into the irregularities. A significant effect of etching time (p=0.029) on flexural strength was seen. G7-G12 presented higher strength than G1-G6 (p<0.0001). None of experimental groups failed to show 95% confidence intervals of σ0 and m overlapped. FEA showed lower stress concentration after resin treatment. HF acid etching time did not show a damaging effect on the ceramic flexural strength. Moreover, the flexural strength could be enhanced after UR treatment. Copyright © 2013 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  2. BOND STRENGTH AND MORPHOLOGY OF ENAMEL USING SELF-ETCHING ADHESIVE SYSTEMS WITH DIFFERENT ACIDITIES

    PubMed Central

    Moura, Sandra Kiss; Reis, Alessandra; Pelizzaro, Arlete; Dal-Bianco, Karen; Loguercio, Alessandro Dourado; Arana-Chavez, Victor Elias; Grande, Rosa Helena Miranda

    2009-01-01

    Objectives: To assess the bond strength and the morphology of enamel after application of self-etching adhesive systems with different acidities. The tested hypothesis was that the performance of the self-etching adhesive systems does not vary for the studied parameters. Material and methods: Composite resin (Filtek Z250) buildups were bonded to untreated (prophylaxis) and treated (burcut or SiC-paper) enamel surfaces of third molars after application of four self-etching and two etch-and-rinse adhesive systems (n=6/condition): Clearfil SE Bond (CSE); OptiBond Solo Plus Self-Etch (OP); AdheSe (AD); Tyrian Self Priming Etching (TY), Adper Scotchbond Multi-Purpose Plus (SBMP) and Adper Single Bond (SB). After storage in water (24 h/37°C), the bonded specimens were sectioned into sticks with 0.8 mm2 cross-sectional area and the microtensile bond strength was tested at a crosshead speed of 0.5 mm/min. The mean bond strength values (MPa) were subjected to two-way ANOVA and Tukey's test (α=0.05). The etching patterns of the adhesive systems were also observed with a scanning electron microscope. Results: The main factor adhesive system was statistically significant (p<0.05). The mean bond strength values (MPa) and standard deviations were: CSE (20.5±3.5), OP (11.3±2.3), AD (11.2±2.8), TY (11.1±3.0), SBMP (21.9±4.0) and SB (24.9±3.0). Different etching patterns were observed for the self-etching primers depending on the enamel treatment and the pH of the adhesive system. Conclusion: Although there is a tendency towards using adhesive systems with simplified application procedures, this may compromise the bonding performance of some systems to enamel, even when the prismless enamel is removed. PMID:19668991

  3. Process for etching mixed metal oxides

    DOEpatents

    Ashby, Carol I. H.; Ginley, David S.

    1994-01-01

    An etching process using dicarboxylic and tricarboxylic acids as chelating etchants for mixed metal oxide films such as high temperature superconductors and ferroelectric materials. Undesirable differential etching rates between different metal oxides are avoided by selection of the proper acid or combination of acids. Feature sizes below one micron, excellent quality vertical edges, and film thicknesses in the 100 Angstom range may be achieved by this method.

  4. Influence of different repair procedures on bond strength of adhesive filling materials to etched enamel in vitro.

    PubMed

    Hannig, Christian; Hahn, Petra; Thiele, Patrick-Philipp; Attin, Thomas

    2003-01-01

    Contamination of etched enamel with repair bond agents during repair of dental restorations may interfere with the bonding of composite to enamel. This study examined the bond strength of adhesive filling materials to etched bovine enamel after pre-treatment with the repair systems Monobond S, Silibond and Co-Jet. The materials Tetric Ceram, Dyract and Definite and their corresponding bonding agents (Syntac Single Comp, Prime & Bond NT, Etch and Prime) were tested in combination with the repair systems. One hundred and thirty-five enamel specimens were etched (37% phosphoric acid, 60 seconds) and equally distributed among three groups (A-C). In Group A, the repair materials were applied on etched enamel followed by applying the composite materials without using their respective bonding material. In Group B, the composite materials were placed on etched enamel after applying the repair materials and bonding agents. In control Group C, the composite materials and bonding agents were applied on etched enamel without using the repair systems. In each sub-group, every composite material was applied on 15 specimens. Samples were stored in artificial saliva for 14 days and thermocycled 1,000 times (5 degrees C/55 degrees C). The shear bond strength of the samples were then determined in a universal testing machine (ISO 10477). Applying Monobond or Silibond followed by the use of its respective bonding agents resulted in a bond strength that was not statistically different from the controls for all filling materials (Group C). The three composites that used Monobond and Silibond without applying the corresponding bonding agent resulted in bond strengths that were significantly lower than the controls. Utilizing the Co-Jet-System drastically reduced the bond strength of composites on etched enamel. Contamination of etched enamel with the repairing bonding agents Monobond and Silibond does not interfere with bond strength if the application of Monobond and Silibond is

  5. Effect of laser and air abrasion pretreatment on the microleakage of a fissure sealant applied with conventional and self etch adhesives.

    PubMed

    Tirali, R E; Celik, C; Arhun, N; Berk, G; Cehreli, S B

    2013-01-01

    The purpose of this study was to investigate the effects of different pretreatment protocols along with different bonding agents on the microleakage of a fissure sealant material. A total of 144 freshly extracted noncarious human third molars were used The teeth were randomly assigned into three groups with respect to the pretreatment protocol employed: A. Air Abrasion B. Er,Cr:YSGG laser C. No pretreatment (Control). In each group specimens were further subjected to one of the following procedures before application of the sealant: 1. %36 Phosphoric acid-etch (AE) (DeTrey Conditioner 36/Denstply, UK) 2.AE+Prime&Bond NT (Dentsply, UK) 3. Clearfil S3 Bond (Kuraray, Japan) 4. Clearfil SE Bond (Kuraray, Japan). All teeth were sealed with the same fissure sealant material (Conseal F/SDI, Australia). Sealed teeth were further subjected to thermocycling, dye penetration test, sectioning and quantitative image analysis. Statistical evaluation of the microleakage data was performed with two way independent ANOVA and multiple comparisons test at p = 0.05. For qualitative evaluation 2 samples from each group were examined under Scanning Electron Microscopy. Microleakage was affected by both the type of pretreatment and the subsequent bonding protocols employed (p < 0.05). Overall, the highest (Mean = 0.36 mm) and lowest (Mean = 0.06 mm) microleakage values were observed in samples with unpretreated enamel sealed by S3+Conseal F and samples with laser pretreated enamel sealed by Acid Etch+Prime&-Bond+Conseal F protocols, respectively (p < 0.05). In the acid-etch group samples pretreated with laser yielded in slightly lower microleakage scores when compared with unpretreated samples and samples pretreated with air abrasion but the statistical significance was not important (p = 0,179). Similarly, when bonding agent is applied following acid-etching procedure, microleakage scores were not affected from pretreatment protocol (p = 0,615) (intact enamel/laser or air-abrasion). For

  6. Effect of clearfil protect bond and transbond plus self-etch primer on shear bond strength of orthodontic brackets

    PubMed Central

    Raji, S. Hamid; Ghorbanipour, Reza; Majdzade, Fateme

    2011-01-01

    Background: The aim of this study was to evaluate the shear bond strength of an antimicrobial and fluoride-releasing self-etch primer (clearfil protect bond) and compare it with transbond plus self-etch primer and conventional acid etching and priming system. Materials and Methods: Forty-eight extracted human premolars were divided randomly to three groups. In group 1, the teeth were bonded with conventional acid etching and priming method. In group 2, the teeth were bonded with clearfil protect bond self-etch primer, and transbond plus self-etch primer was used to bond the teeth in group 3. The samples were stored in 37°C distilled water and thermocycled. Then, the SBS of the sample was evaluated with Zwick testing machine. Descriptive statistics and the analysis of variances (ANOVA) and Tukey's test and Kruskal-Wallis were used to analyze the data. Results: The results of the ANOVA showed that the mean of group 3 was significantly lower than that of other groups. Most of the sample showed a pattern of failure within the adhesive resin. Conclusion: The shear bond strength of clearfil protect bond and transbond plus self-etch primer was enough for bonding the orthodontic brackets. The mode of failure of bonded brackets with these two self-etch primers is safe for enamel. PMID:23372605

  7. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    PubMed

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  8. Process for etching mixed metal oxides

    DOEpatents

    Ashby, C.I.H.; Ginley, D.S.

    1994-10-18

    An etching process is described using dicarboxylic and tricarboxylic acids as chelating etchants for mixed metal oxide films such as high temperature superconductors and ferroelectric materials. Undesirable differential etching rates between different metal oxides are avoided by selection of the proper acid or combination of acids. Feature sizes below one micron, excellent quality vertical edges, and film thicknesses in the 100 Angstrom range may be achieved by this method. 1 fig.

  9. Etch bias inversion during EUV mask ARC etch

    NASA Astrophysics Data System (ADS)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  10. Shear bond strength and debonding characteristics of metal and ceramic brackets bonded with conventional acid-etch and self-etch primer systems: An in-vivo study.

    PubMed

    Mirzakouchaki, Behnam; Shirazi, Sajjad; Sharghi, Reza; Shirazi, Samaneh; Moghimi, Mahsan; Shahrbaf, Shirin

    2016-02-01

    Different in-vitro studies have reported various results regarding shear bond strength (SBS) of orthodontic brackets when SEP technique is compared to conventional system. This in-vivo study was designed to compare the effect of conventional acid-etching and self-etching primer adhesive (SEP) systems on SBS and debonding characteristics of metal and ceramic orthodontic brackets. 120 intact first maxillary and mandibular premolars of 30 orthodontic patients were selected and bonded with metal and ceramic brackets using conventional acid-etch or self-etch primer system. The bonded brackets were incorporated into the wire during the study period to simulate the real orthodontic treatment condition. The teeth were extracted and debonded after 30 days. The SBS, debonding characteristics and adhesive remnant indices (ARI) were determined in all groups. The mean SBS of metal brackets was 10.63±1.42 MPa in conventional and 9.38±1.53 MPa in SEP system, (P=0.004). No statistically significant difference was noted between conventional and SEP systems in ceramic brackets. The frequency of 1, 2 and 3 ARI scores and debonding within the adhesive were the most common among all groups. No statistically significant difference was observed regarding ARI or failure mode of debonded specimens in different brackets or bonding systems. The SBS of metal brackets bonded using conventional system was significantly higher than SEP system, although the SBS of SEP system was clinically acceptable. No significant difference was found between conventional and SEP systems used with ceramic brackets. Total SBS of metal brackets was significantly higher than ceramic brackets. Due to adequate SBS of SEP system in bonding the metal brackets, it can be used as an alternative for conventional system. Shear bond strength, Orthodontic brackets, Adhesive remnant index, self-etch.

  11. Shear bond strength and debonding characteristics of metal and ceramic brackets bonded with conventional acid-etch and self-etch primer systems: An in-vivo study

    PubMed Central

    Mirzakouchaki, Behnam; Sharghi, Reza; Shirazi, Samaneh; Moghimi, Mahsan; Shahrbaf, Shirin

    2016-01-01

    Background Different in-vitro studies have reported various results regarding shear bond strength (SBS) of orthodontic brackets when SEP technique is compared to conventional system. This in-vivo study was designed to compare the effect of conventional acid-etching and self-etching primer adhesive (SEP) systems on SBS and debonding characteristics of metal and ceramic orthodontic brackets. Material and Methods 120 intact first maxillary and mandibular premolars of 30 orthodontic patients were selected and bonded with metal and ceramic brackets using conventional acid-etch or self-etch primer system. The bonded brackets were incorporated into the wire during the study period to simulate the real orthodontic treatment condition. The teeth were extracted and debonded after 30 days. The SBS, debonding characteristics and adhesive remnant indices (ARI) were determined in all groups. Results The mean SBS of metal brackets was 10.63±1.42 MPa in conventional and 9.38±1.53 MPa in SEP system, (P=0.004). No statistically significant difference was noted between conventional and SEP systems in ceramic brackets. The frequency of 1, 2 and 3 ARI scores and debonding within the adhesive were the most common among all groups. No statistically significant difference was observed regarding ARI or failure mode of debonded specimens in different brackets or bonding systems. Conclusions The SBS of metal brackets bonded using conventional system was significantly higher than SEP system, although the SBS of SEP system was clinically acceptable. No significant difference was found between conventional and SEP systems used with ceramic brackets. Total SBS of metal brackets was significantly higher than ceramic brackets. Due to adequate SBS of SEP system in bonding the metal brackets, it can be used as an alternative for conventional system. Key words:Shear bond strength, Orthodontic brackets, Adhesive remnant index, self-etch. PMID:26855704

  12. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching.

    PubMed

    Nazarov, Denis V; Zemtsova, Elena G; Solokhin, Alexandr Yu; Valiev, Ruslan Z; Smirnov, Vladimir M

    2017-01-13

    In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions) and the etching time on the morphology and surface relief of ultrafine grained (UFG) and coarse grained (CG) titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM), atomic force microscopy (AFM), and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF) and X-ray Photoelectron Spectroscopy (XPS). Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  13. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching

    PubMed Central

    Nazarov, Denis V.; Zemtsova, Elena G.; Solokhin, Alexandr Yu.; Valiev, Ruslan Z.; Smirnov, Vladimir M.

    2017-01-01

    In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions) and the etching time on the morphology and surface relief of ultrafine grained (UFG) and coarse grained (CG) titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM), atomic force microscopy (AFM), and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF) and X-ray Photoelectron Spectroscopy (XPS). Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed. PMID:28336849

  14. Self-etching aspects of a three-step etch-and-rinse adhesive.

    PubMed

    Bahillo, Jose; Roig, Miguel; Bortolotto, Tissiana; Krejci, Ivo

    2013-11-01

    The purpose of this study is to assess the marginal adaptation of cavities restored with a three-step etch-and-rinse adhesive, OptiBond FL (OFL) under different application protocols. Twenty-four class V cavities were prepared with half of the margins located in enamel and half in dentin. Cavities were restored with OFL and a microhybrid resin composite (Clearfil AP-X). Three groups (n = 8) that differed in the etching technique were tested with thermomechanical loading, and specimens were subjected to quantitative marginal analysis before and after loading. Micromorphology of etching patters on enamel and dentin were observed with SEM. Data was evaluated with Kruskal-Wallis and Bonferroni post hoc test. Significantly lower percent CM (46.9 ± 19.5) were found after loading on enamel in group 3 compared to group 1 (96.5 ± 5.1) and group 2 (93.1 ± 8.1). However, no significant differences (p = 0.30) were observed on dentin margins. Etching enamel with phosphoric acid but avoiding etching dentin before the application of OFL, optimal marginal adaptation could be obtained, evidencing a self-etching primer effect. A reliable adhesive interface was attained with the application of the three-step etch-and-rinse OFL adhesive with a selective enamel etching, representing an advantage on restoring deep cavities.

  15. Etching of enamel for direct bonding with a thulium fiber laser

    NASA Astrophysics Data System (ADS)

    Kabaş Sarp, Ayşe S.; Gülsoy, Murat

    2011-03-01

    Background: Laser etching of enamel for direct bonding can decrease the risk of surface enamel loss and demineralization which are the adverse effects of acid etching technique. However, in excess of +5.5°C can cause irreversible pulpal responses. In this study, a 1940- nm Thulium Fiber Laser in CW mode was used for laser etching. Aim: Determination of the suitable Laser parameters of enamel surface etching for direct bonding of ceramic brackets and keeping that intrapulpal temperature changes below the threshold value. Material and Method: Polycrystalline ceramic orthodontic brackets were bonded on bovine teeth by using 2 different kinds of etching techniques: Acid and Laser Etching. In addition to these 3 etched groups, there was also a group which was bonded without etching. Brackets were debonded with a material testing machine. Breaking time and the load at the breaking point were measured. Intrapulpal temperature changes were recorded by a K-type Thermocouple. For all laser groups, intrapulpal temperature rise was below the threshold value of 5.5°C. Results and Conclusion: Acid-etched group ( 11.73 MPa) significantly required more debonding force than 3- second- irradiated ( 5.03 MPa) and non-etched groups ( 3.4 MPa) but the results of acid etched group and 4- second- irradiated group (7.5 MPa) showed no significant difference. Moreover, 4- second irradiated group was over the minimum acceptable value for clinical use. Also, 3- second lasing caused a significant reduction in time according to acid-etch group. As a result, 1940- nm laser irradiation is a promising method for laser etching.

  16. Electronic-carrier-controlled photochemical etching process in semiconductor device fabrication

    DOEpatents

    Ashby, C.I.H.; Myers, D.R.; Vook, F.L.

    1988-06-16

    An electronic-carrier-controlled photochemical etching process for carrying out patterning and selective removing of material in semiconductor device fabrication includes the steps of selective ion implanting, photochemical dry etching, and thermal annealing, in that order. In the selective ion implanting step, regions of the semiconductor material in a desired pattern are damaged and the remainder of the regions of the material not implanted are left undamaged. The rate of recombination of electrons and holes is increased in the damaged regions of the pattern compared to undamaged regions. In the photochemical dry etching step which follows ion implanting step, the material in the undamaged regions of the semiconductor are removed substantially faster than in the damaged regions representing the pattern, leaving the ion-implanted, damaged regions as raised surface structures on the semiconductor material. After completion of photochemical dry etching step, the thermal annealing step is used to restore the electrical conductivity of the damaged regions of the semiconductor material.

  17. Electronic-carrier-controlled photochemical etching process in semiconductor device fabrication

    DOEpatents

    Ashby, Carol I. H.; Myers, David R.; Vook, Frederick L.

    1989-01-01

    An electronic-carrier-controlled photochemical etching process for carrying out patterning and selective removing of material in semiconductor device fabrication includes the steps of selective ion implanting, photochemical dry etching, and thermal annealing, in that order. In the selective ion implanting step, regions of the semiconductor material in a desired pattern are damaged and the remainder of the regions of the material not implanted are left undamaged. The rate of recombination of electrons and holes is increased in the damaged regions of the pattern compared to undamaged regions. In the photochemical dry etching step which follows ion implanting step, the material in the undamaged regions of the semiconductor are removed substantially faster than in the damaged regions representing the pattern, leaving the ion-implanted, damaged regions as raised surface structures on the semiconductor material. After completion of photochemical dry etching step, the thermal annealing step is used to restore the electrical conductivity of the damaged regions of the semiconductor material.

  18. Enamel Deproteinization using Papacarie and 10% Papain Gel on Shear Bond Strength of Orthodontic Brackets Before and After Acid Etching.

    PubMed

    Agarwal, R M; Yeluri, R; Singh, C; Munshi, A K

    2015-01-01

    To suggest Papacarie(®) as a new deproteinizing agent in comparison with indigenously prepared 10% papain gel before and after acid etching that may enhance the quality of the bond between enamel surface and composite resin complex. One hundred and twenty five extracted human premolars were utilized and divided into five groups: In the group 1, enamel surface was etched and primer was applied. In group 2, treatment with papacarie(®) for 60 seconds followed by etching and primer application. In group 3, etching followed by treatment with papacarie(®) for 60 seconds and primer application. In group 4, treatment with 10% papain gel for 60 seconds followed by etching and primer application. In group 5, etching followed by treatment with 10% papain gel for 60 seconds and primer application . After bonding the brackets, the mechanical testing was performed using a Universal testing machine. The failure mode was analyzed using an adhesive remnant index. The etching patterns before and after application of papacarie(®) and 10% papain gel was also evaluated using SEM. The values obtained for shear bond strength were submitted to analysis of variance and Tukey test (p < 0.05). It was observed that group 2 and group 4 had the highest shear bond strength and was statistically significant from other groups (p=0.001). Regarding Adhesive remnant index no statistical difference was seen between the groups (p=0.538). Papacarie(®) or 10% papain gel can be used to deproteinize the enamel surface before acid etching to enhance the bond strength of orthodontic brackets.

  19. Highly simplified lateral flow-based nucleic acid sample preparation and passive fluid flow control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cary, Robert E.

    2015-12-08

    Highly simplified lateral flow chromatographic nucleic acid sample preparation methods, devices, and integrated systems are provided for the efficient concentration of trace samples and the removal of nucleic acid amplification inhibitors. Methods for capturing and reducing inhibitors of nucleic acid amplification reactions, such as humic acid, using polyvinylpyrrolidone treated elements of the lateral flow device are also provided. Further provided are passive fluid control methods and systems for use in lateral flow assays.

  20. Highly simplified lateral flow-based nucleic acid sample preparation and passive fluid flow control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cary, Robert B.

    Highly simplified lateral flow chromatographic nucleic acid sample preparation methods, devices, and integrated systems are provided for the efficient concentration of trace samples and the removal of nucleic acid amplification inhibitors. Methods for capturing and reducing inhibitors of nucleic acid amplification reactions, such as humic acid, using polyvinylpyrrolidone treated elements of the lateral flow device are also provided. Further provided are passive fluid control methods and systems for use in lateral flow assays.

  1. Determination of total fluoride in HF/HNO3/H2SiF6 etch solutions by new potentiometric titration methods.

    PubMed

    Weinreich, Wenke; Acker, Jörg; Gräber, Iris

    2007-03-30

    In the photovoltaic industry the etching of silicon in HF/HNO(3) solutions is a decisive process for cleaning wafer surfaces or to produce certain surface morphologies like polishing or texturization. With regard to cost efficiency, a maximal utilisation of etch baths in combination with highest quality and accuracy is strived. To provide an etch bath control realised by a replenishment with concentrated acids the main constituents of these HF/HNO(3) etch solutions including the reaction product H(2)SiF(6) have to be analysed. Two new methods for the determination of the total fluoride content in an acidic etch solution based on the precipitation titration with La(NO(3))(3) are presented within this paper. The first method bases on the proper choice of the reaction conditions, since free fluoride ions have to be liberated from HF and H(2)SiF(6) at the same time to be detected by a fluoride ion-selective electrode (F-ISE). Therefore, the sample is adjusted to a pH of 8 for total cleavage of the SiF(6)(2-) anion and titrated in absence of buffers. In a second method, the titration with La(NO(3))(3) is followed by a change of the pH-value using a HF resistant glass-electrode. Both methods provide consistent values, whereas the analysis is fast and accurate, and thus, applicable for industrial process control.

  2. In vitro remineralization of acid-etched human enamel with Ca 3SiO 5

    NASA Astrophysics Data System (ADS)

    Dong, Zhihong; Chang, Jiang; Deng, Yan; Joiner, Andrew

    2010-02-01

    Bioactive and inductive silicate-based bioceramics play an important role in hard tissue prosthetics such as bone and teeth. In the present study, a model was established to study the acid-etched enamel remineralization with tricalcium silicate (Ca 3SiO 5, C 3S) paste in vitro. After soaking in simulated oral fluid (SOF), Ca-P precipitation layer was formed on the enamel surface, with the prolonged soaking time, apatite layer turned into density and uniformity and thickness increasingly from 250 to 350 nm for 1 day to 1.7-1.9 μm for 7 days. Structure of apatite crystals was similar to that of hydroxyapatite (HAp). At the same time, surface smoothness of the remineralized layer is favorable for the oral hygiene. These results suggested that C 3S treated the acid-etched enamel can induce apatite formation, indicating the biomimic mineralization ability, and C 3S could be used as an agent of inductive biomineralization for the enamel prosthesis and protection.

  3. Influence of water storage on fatigue strength of self-etch adhesives.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  4. New type of dummy layout pattern to control ILD etch rate

    NASA Astrophysics Data System (ADS)

    Pohland, Oliver; Spieker, Julie; Huang, Chih-Ta; Govindaswamy, Srikanth; Balasinski, Artur

    2007-12-01

    Adding dummy features (waffles) to drawn geometries of the circuit layout is a common practice to improve its manufacturability. As an example, local dummy pattern improves MOSFET line and space CD control by adjusting short range optical proximity and reducing the aggressiveness of its correction features (OPC) to widen the lithography process window. Another application of dummy pattern (waffles) is to globally equalize layout pattern density, to reduce long-range inter-layer dielectric (ILD) thickness variations after the CMP process and improve contact resistance uniformity over the die area. In this work, we discuss a novel type of dummy pattern with a mid-range interaction distance, to control the ILD composition driven by its deposition and etch process. This composition is reflected on sidewall spacers and depends on the topography of the underlying poly pattern. During contact etch, it impacts the etch rate of the ILD. As a result, the deposited W filling the damascene etched self-aligned trench contacts in the ILD may electrically short to the underlying gates in the areas of isolated poly. To mitigate the dependence of the ILD composition on poly pattern distribution, we proposed a special dummy feature generation with the interaction range defined by the ILD deposition and etch process. This helped equalize mid-range poly pattern density without disabling the routing capability with damascene trench contacts in the periphery which would have increased the layout footprint.

  5. A comparative study of shear bond strength of orthodontic bracket after acid-etched and Er:YAG treatment on enamel surface

    NASA Astrophysics Data System (ADS)

    Leão, Juliana C.; Mota, Cláudia C. B. O.; Cassimiro-silva, Patricia F.; Gomes, Anderson S. L.

    2016-02-01

    This study aimed to evaluate the shear bond strength (SBS) of teeth prepared for orthodontic bracket bonding with 37% phosphoric acid and Er:YAG laser. Forty bovine incisors were divided into two groups. In Group I, the teeth were conditioned with 37% phosphoric acid and brackets were bonded with Transbond XT; in Group II, the teeth were irradiated with Er:YAG and bonding with Transbond XT. After SBS test, the adhesive remnant index was determined. Adhesion to dental hard tissues after Er:YAG laser etching was inferior to that obtained after acid etching but exceeded what is believed to be clinically sufficient strength, and therefore can be used in patients.

  6. In-situ photoluminescence imaging for passivation-layer etching process control for photovoltaics

    NASA Astrophysics Data System (ADS)

    Lee, J. Z.; Michaelson, L.; Munoz, K.; Tyson, T.; Gallegos, A.; Sullivan, J. T.; Buonassisi, T.

    2014-07-01

    Light-induced plating (LIP) of solar-cell metal contacts is a scalable alternative to silver paste. However, LIP requires an additional patterning step to create openings in the silicon nitride (SiNx) antireflection coating (ARC) layer prior to metallization. One approach to pattern the SiNx is masking and wet chemical etching. In-situ real-time photoluminescence imaging (PLI) is demonstrated as a process-monitoring method to determine when SiNx has been fully removed during etching. We demonstrate that the change in PLI signal intensity during etching is caused by a combination of (1) decreasing light absorption from the reduction in SiNx ARC layer thickness and (2) decreasing surface lifetime as the SiNx/Si interface transitions to an etch-solution/Si. Using in-situ PLI to guide the etching process, we demonstrate a full-area plated single-crystalline silicon device. In-situ PLI has the potential to be integrated into a commercial processing line to improve process control and reliability.

  7. Adiabatic tapered optical fiber fabrication in two step etching

    NASA Astrophysics Data System (ADS)

    Chenari, Z.; Latifi, H.; Ghamari, S.; Hashemi, R. S.; Doroodmand, F.

    2016-01-01

    A two-step etching method using HF acid and Buffered HF is proposed to fabricate adiabatic biconical optical fiber tapers. Due to the fact that the etching rate in second step is almost 3 times slower than the previous droplet etching method, terminating the fabrication process is controllable enough to achieve a desirable fiber diameter. By monitoring transmitted spectrum, final diameter and adiabaticity of tapers are deduced. Tapers with losses about 0.3 dB in air and 4.2 dB in water are produced. The biconical fiber taper fabricated using this method is used to excite whispering gallery modes (WGMs) on a microsphere surface in an aquatic environment. So that they are suitable to be used in applications like WGM biosensors.

  8. Controlling Shape and Plasmon Resonance of Pt-Etched Au@Ag Nanorods.

    PubMed

    Ye, Rongkai; Zhang, Yanping; Chen, Yuyu; Tang, Liangfeng; Wang, Qiong; Wang, Qianyu; Li, Bishan; Zhou, Xuan; Liu, Jianyu; Hu, Jianqiang

    2018-05-22

    Pt-based catalysts with novel structure have attracted great attention due to their outstanding performance. In this work, H 2 PtCl 6 was used as both precursor and etching agent to realize the shape-controlled synthesis of Pt-modified Au@Ag nanorods (NRs). During the synthesis, the as-prepared Ag shell played a crucial role in both protecting the Au NRs from being etched away by PtCl 6 2- and leading to an unusual growth mode of Pt component. The site-specified etching and/or growth depended on the concentration of H 2 PtCl 6 , where high-yield core-shell structure or dumbbell-like structure could be obtained. The shape-controlled synthesis also led to a tunable longitudinal surface plasmon resonance from ca. 649 to 900 nm. Meanwhile, the core-shell Pt-modified Au@Ag NRs showed approximately 4-fold enhancement in catalytic reduction reaction of p-nitrophenol than that of the Au NRs, suggesting the great potential for photocatalytic reaction.

  9. Scanning electron microscopy evaluation of the effect of etching agents on human enamel surface.

    PubMed

    Zanet, Caio G; Arana-Chavez, Victor E; Fava, Marcelo

    2006-01-01

    Acid etching promotes microporosities on enamel surface, which provide a better bonding surface to adhesive materials. The purpose of this study was to comparatively analyze the microstructure of enamel surface after etching with 37% phosphoric acid or with two self-etching primers, Non-rinse conditioner (NRC) and Clearfil SE Bond (CSEB) using scanning electron microscopy. Thirty sound premolars were divided into 3 groups with ten teeth each: Group 1: the buccal surface was etched with 37% phosphoric acid for 15 seconds; Group 2: the buccal surface was etched with NRC for 20 seconds; Group 3: the buccal surface was etched with CSEB for 20 seconds. Teeth from Group 1 were rinsed with water; teeth from all groups were air-dried for 15 seconds. After that, all specimens were processed for scanning electron microscopy and analyzed in a Jeol 6100 SEM. The results showed deeper etching when the enamel surface was etched with 37% phosphoric acid, followed by NRC and CSEB. It is concluded that 37% phosphoric acid is still the best agent for a most effective enamel etching.

  10. Sputtered gold mask for deep chemical etching of silicon

    NASA Technical Reports Server (NTRS)

    Pisciotta, B. P.; Gross, C.; Olive, R. S.

    1975-01-01

    Sputtered mask resists chemical attack from acid and has adherence to withstand prolonged submergence in etch solution without lifting from silicon surface. Even under prolonged etch conditions with significant undercutting, gold mask maintained excellent adhesion to silicon surface and imperviousness to acid.

  11. Effect of enamel etching time on roughness and bond strength.

    PubMed

    Barkmeier, Wayne W; Erickson, Robert L; Kimmes, Nicole S; Latta, Mark A; Wilwerding, Terry M

    2009-01-01

    The current study examined the effect of different enamel conditioning times on surface roughness and bond strength using an etch-and-rinse system and four self-etch adhesives. Surface roughness (Ra) and composite to enamel shear bond strengths (SBS) were determined following the treatment of flat ground human enamel (4000 grit) with five adhesive systems: (1) Adper Single Bond Plus (SBP), (2) Adper Prompt L-Pop (PLP), (3) Clearfil SE Bond (CSE), (4) Clearfil S3 Bond (CS3) and (5) Xeno IV (X4), using recommended treatment times and an extended treatment time of 60 seconds (n = 10/group). Control groups were also included for Ra (4000 grit surface) and SBS (no enamel treatment and Adper Scotchbond Multi-Purpose Adhesive). For surface roughness measurements, the phosphoric acid conditioner of the SBP etch-and-rinse system was rinsed from the surface with an air-water spray, and the other four self-etch adhesive agents were removed with alternating rinses of water and acetone. A Proscan 2000 non-contact profilometer was used to determine Ra values. Composite (Z100) to enamel bond strengths (24 hours) were determined using Ultradent fixtures and they were debonded with a crosshead speed of 1 mm/minute. The data were analyzed with ANOVA and Fisher's LSD post-hoc test. The etch-and- rinse system (SBP) produced the highest Ra (microm) and SBS (MPa) using both the recommended treatment time (0.352 +/- 0.028 microm and 40.5 +/- 6.1 MPa) and the extended treatment time (0.733 +/- 0.122 microm and 44.2 +/- 8.2 MPa). The Ra and SBS of the etch-and-rinse system were significantly greater (p < 0.05) than all the self-etch systems and controls. Increasing the treatment time with phosphoric acid (SBP) and PLP produced greater surface roughness (p < 0.05) but did not result in significantly higher bond strengths (p > 0.05).

  12. Ultimate intra-wafer critical dimension uniformity control by using lithography and etch tool corrections

    NASA Astrophysics Data System (ADS)

    Kubis, Michael; Wise, Rich; Reijnen, Liesbeth; Viatkina, Katja; Jaenen, Patrick; Luca, Melisa; Mernier, Guillaume; Chahine, Charlotte; Hellin, David; Kam, Benjamin; Sobieski, Daniel; Vertommen, Johan; Mulkens, Jan; Dusa, Mircea; Dixit, Girish; Shamma, Nader; Leray, Philippe

    2016-03-01

    With shrinking design rules, the overall patterning requirements are getting aggressively tighter. For the 7-nm node and below, allowable CD uniformity variations are entering the Angstrom region (ref [1]). Optimizing inter- and intra-field CD uniformity of the final pattern requires a holistic tuning of all process steps. In previous work, CD control with either litho cluster or etch tool corrections has been discussed. Today, we present a holistic CD control approach, combining the correction capability of the etch tool with the correction capability of the exposure tool. The study is done on 10-nm logic node wafers, processed with a test vehicle stack patterning sequence. We include wafer-to-wafer and lot-to-lot variation and apply optical scatterometry to characterize the fingerprints. Making use of all available correction capabilities (lithography and etch), we investigated single application of exposure tool corrections and of etch tool corrections as well as combinations of both to reach the lowest CD uniformity. Results of the final pattern uniformity based on single and combined corrections are shown. We conclude on the application of this holistic lithography and etch optimization to 7nm High-Volume manufacturing, paving the way to ultimate within-wafer CD uniformity control.

  13. Improvement in etching rate for epilayer lift-off with surfactant

    NASA Astrophysics Data System (ADS)

    Wu, Fan-Lei; Horng, Ray-Hua; Lu, Jian-Heng; Chen, Chun-Li; Kao, Yu-Cheng

    2013-03-01

    In this study, the GaAs epilayer is quickly separated from GaAs substrate by epitaxial lift-off (ELO) process with mixture etchant solution. The HF solution mixes with surfactant as mixture etchant solution to etch AlAs sacrificial layer for the selective wet etching of AlAs sacrificial layer. Addiction surfactants etchant significantly enhance the etching rate in the hydrofluoric acid etching solution. It is because surfactant provides hydrophilicity to change the contact angle with enhances the fluid properties of the mixture etchant between GaAs epilayer and GaAs substrate. Arsine gas was released from the etchant solution because the critical reaction product in semiconductor etching is dissolved arsine gas. Arsine gas forms a bubble, which easily displaces the etchant solution, before the AlAs layer was undercut. The results showed that acetone and hydrofluoric acid ratio of about 1:1 for the fastest etching rate of 13.2 μm / min. The etching rate increases about 4 times compared with pure hydrofluoric acid, moreover can shorten the separation time about 70% of GaAs epilayer with GaAs substrate. The results indicate that etching ratio and stability are improved by mixture etchant solution. It is not only saving the epilayer and the etching solution exposure time, but also reducing the damage to the epilayer structure.

  14. Controllable Fabrication of Non-Close-Packed Colloidal Nanoparticle Arrays by Ion Beam Etching

    NASA Astrophysics Data System (ADS)

    Yang, Jie; Zhang, Mingling; Lan, Xu; Weng, Xiaokang; Shu, Qijiang; Wang, Rongfei; Qiu, Feng; Wang, Chong; Yang, Yu

    2018-06-01

    Polystyrene (PS) nanoparticle films with non-close-packed arrays were prepared by using ion beam etching technology. The effects of etching time, beam current, and voltage on the size reduction of PS particles were well investigated. A slow etching rate, about 9.2 nm/min, is obtained for the nanospheres with the diameter of 100 nm. The rate does not maintain constant with increasing the etching time. This may result from the thermal energy accumulated gradually in a long-time bombardment of ion beam. The etching rate increases nonlinearly with the increase of beam current, while it increases firstly then reach its saturation with the increase of beam voltage. The diameter of PS nanoparticles can be controlled in the range from 34 to 88 nm. Based on the non-close-packed arrays of PS nanoparticles, the ordered silicon (Si) nanopillars with their average diameter of 54 nm are fabricated by employing metal-assisted chemical etching technique. Our results pave an effective way to fabricate the ordered nanostructures with the size less than 100 nm.

  15. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    PubMed Central

    Zhan, Zhan; Li, Wei; Yu, Lingke; Wang, Lingyun; Sun, Daoheng

    2017-01-01

    In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching) is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement. PMID:28772521

  16. Influence of laser etching on enamel and dentin bond strength of Silorane System Adhesive.

    PubMed

    Ustunkol, Ildem; Yazici, A Ruya; Gorucu, Jale; Dayangac, Berrin

    2015-02-01

    The aim of this in vitro study was to evaluate the shear bond strength (SBS) of Silorane System Adhesive to enamel and dentin surfaces that had been etched with different procedures. Ninety freshly extracted human third molars were used for the study. After the teeth were embedded with buccal surfaces facing up, they were randomly divided into two groups. In group I, specimens were polished with a 600-grit silicon carbide (SiC) paper to obtain flat exposed enamel. In group II, the overlying enamel layer was removed and exposed dentin surfaces were polished with a 600-grit SiC paper. Then, the teeth in each group were randomly divided into three subgroups according to etching procedures: etched with erbium, chromium:yttrium-scandium-gallium-garnet laser (a), etched with 35% phosphoric acid (b), and non-etched (c, control). Silorane System Adhesive was used to bond silorane restorative to both enamel and dentin. After 24-h storage in distilled water at room temperature, a SBS test was performed using a universal testing machine at a crosshead speed of 1 mm/min. The data were analyzed using two-way ANOVA and Bonferroni tests (p < 0.05). The highest SBS was found after additional phosphoric acid treatment in dentin groups (p < 0.05). There were no statistically significant differences between the laser-etched and non-etched groups in enamel and dentin (p > 0.05). The SBS of self-etch adhesive to dentin was not statistically different from enamel (p > 0.05). Phosphoric acid treatment seems the most promising surface treatment for increasing the enamel and dentin bond strength of Silorane System Adhesive.

  17. On the influence of etch pits in the overall dissolution rate of apatite basal sections

    NASA Astrophysics Data System (ADS)

    Alencar, Igor; Guedes, Sandro; Palissari, Rosane; Hadler, Julio C.

    2015-09-01

    Determination of efficiencies for particle detection plays a central role for proper estimation of reaction rates. If chemical etching is employed in the revelation of latent particle tracks in solid-state detectors, dissolution rates and etchable lengths are important factors governing the revelation and observation. In this work, the mask method, where a reference part of the sample is protected during dissolution, was employed to measure step heights in basal sections of apatite etched with a nitric acid, HNO, solution at a concentration of 1.1 M and a temperature of 20 °C. We show a drastic increase in the etching velocity as the number of etch pits in the surface augments, in accordance with the dissolution stepwave model, where the outcrop of each etch pit generates a continuous sequence of stepwaves. The number of etch pits was varied by irradiation with neutrons and perpendicularly incident heavy ions. The size dependence of the etch-pit opening with etching duration for ion (200-300 MeV 152Sm and 238U) tracks was also investigated. There is no distinction for the etch pits between the different ions, and the dissolution seems to be governed by the opening velocity when a high number of etch pits are present in the surface. Measurements of the etchable lengths of these ion tracks show an increase in these lengths when samples are not pre-annealed before irradiation. We discuss the implications of these findings for fission-track modelling.

  18. Fabricating and Controlling Silicon Zigzag Nanowires by Diffusion-Controlled Metal-Assisted Chemical Etching Method.

    PubMed

    Chen, Yun; Zhang, Cheng; Li, Liyi; Tuan, Chia-Chi; Wu, Fan; Chen, Xin; Gao, Jian; Ding, Yong; Wong, Ching-Ping

    2017-07-12

    Silicon (Si) zigzag nanowires (NWs) have a great potential in many applications because of its high surface/volume ratio. However, fabricating Si zigzag NWs has been challenging. In this work, a diffusion-controlled metal-assisted chemical etching method is developed to fabricate Si zigzag NWs. By tailoring the composition of etchant to change its diffusivity, etching direction, and etching time, various zigzag NWs can be easily fabricated. In addition, it is also found that a critical length of NW (>1 μm) is needed to form zigzag nanowires. Also, the amplitude of zigzag increases as the location approaches the center of the substrate and the length of zigzag nanowire increases. It is also demonstrated that such zigzag NWs can help the silicon substrate for self-cleaning and antireflection. This method may provide a feasible and economical way to fabricate zigzag NWs and novel structures for broad applications.

  19. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ionmore » energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.« less

  20. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    NASA Astrophysics Data System (ADS)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, <100> and <111> preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  1. Comparison of Shear Bond Strength of Orthodontic Brackets Bonded to Enamel Prepared By Er:YAG Laser and Conventional Acid-Etching

    PubMed Central

    Hosseini, M.H.; Namvar, F.; Chalipa, J.; Saber, K.; Chiniforush, N.; Sarmadi, S.; Mirhashemi, A.H.

    2012-01-01

    Introduction: The purpose of this study was to compare shear bond strength (SBS) of orthodontic brackets bonded to enamel prepared by Er:YAG laser with two different powers and conventional acid-etching. Materials and Methods: Forty-five human premolars extracted for orthodontic purposes were randomly assigned to three groups based on conditioning method: Group 1- conventional etching with 37% phosphoric acid; Group 2- irradiation with Er:YAG laser at 1 W; and Group 3- irradiation with Er:YAG laser at 1.5 W. Metal brackets were bonded on prepared enamel using a light-cured composite. All groups were subjected to thermocycling process. Then, the specimens mounted in auto-cure acryle and shear bond strength were measured using a universal testing machine with a crosshead speed of 0.5 mm per second. After debonding, the amount of resin remaining on the teeth was determined using the adhesive remnant index (ARI) scored 1 to 5. One-way analysis of variance was used to compare shear bond strengths and the Kruskal-Wallis test was performed to evaluate differences in the ARI for different etching types. Results: The mean and standard deviation of conventional acid-etch group, 1W laser group and 1.5W laser group was 3.82 ± 1.16, 6.97 ± 3.64 and 6.93 ± 4.87, respectively. Conclusion: The mean SBS obtained with an Er:YAG laser operated at 1W or 1.5W is approximately similar to that of conventional etching. However, the high variability of values in bond strength of irradiated enamel should be considered to find the appropriate parameters for applying Er:YAG laser as a favorable alternative for surface conditioning. PMID:22924098

  2. Nanoparticle-based etching of silicon surfaces

    DOEpatents

    Branz, Howard [Boulder, CO; Duda, Anna [Denver, CO; Ginley, David S [Evergreen, CO; Yost, Vernon [Littleton, CO; Meier, Daniel [Atlanta, GA; Ward, James S [Golden, CO

    2011-12-13

    A method (300) of texturing silicon surfaces (116) such to reduce reflectivity of a silicon wafer (110) for use in solar cells. The method (300) includes filling (330, 340) a vessel (122) with a volume of an etching solution (124) so as to cover the silicon surface 116) of a wafer or substrate (112). The etching solution (124) is made up of a catalytic nanomaterial (140) and an oxidant-etchant solution (146). The catalytic nanomaterial (140) may include gold or silver nanoparticles or noble metal nanoparticles, each of which may be a colloidal solution. The oxidant-etchant solution (146) includes an etching agent (142), such as hydrofluoric acid, and an oxidizing agent (144), such as hydrogen peroxide. Etching (350) is performed for a period of time including agitating or stirring the etching solution (124). The etch time may be selected such that the etched silicon surface (116) has a reflectivity of less than about 15 percent such as 1 to 10 percent in a 350 to 1000 nanometer wavelength range.

  3. Depth of Etch Comparison Between Self-limiting and Traditional Etchant Systems

    DTIC Science & Technology

    2016-06-18

    two different etchants (Ultradent’s Opal Etch 35%, a self-limiting phosphoric acid, or 34% Tooth Conditioning Gel by Dentsply) at varied time... Opal versus Dentsply and there was also a significant difference between etch time. There is no significant difference between the interaction of...etch material and etch time. Conclusion: The depth of etch of Opal etchant was consistently less than Dentsply etchant but continued to etch and

  4. New frontiers of atomic layer etching

    NASA Astrophysics Data System (ADS)

    Sherpa, Sonam D.; Ranjan, Alok

    2018-03-01

    Interest in atomic layer etching (ALE) has surged recently because it offers several advantages over continuous or quasicontinuous plasma etching. These benefits include (1) independent control of ion energy, ion flux, and radical flux, (2) flux-independent etch rate that mitigates the iso-dense loading effects, and (3) ability to control the etch rate with atomic or nanoscale precision. In addition to these benefits, we demonstrate an area-selective etching for maskless lithography as a new frontier of ALE. In this paper, area-selective etching refers to the confinement of etching into the specific areas of the substrate. The concept of area-selective etching originated during our studies on quasi-ALE of silicon nitride which consists of sequential exposure of silicon nitride to hydrogen and fluorinated plasma. The findings of our studies reported in this paper suggest that it may be possible to confine the etching into specific areas of silicon nitride without using any mask by replacing conventional hydrogen plasma with a localized source of hydrogen ions.

  5. Physics and chemistry of complex oxide etching and redeposition control

    NASA Astrophysics Data System (ADS)

    Margot, Joëlle

    2012-10-01

    Since its introduction in the 1970s, plasma etching has become the universal method for fine-line pattern transfer onto thin films and is anticipated to remain so in foreseeable future. Despite many success stories, plasma etching processes fail to meet the needs for several of the newest materials involved in advanced devices for photonic, electronic and RF applications like ferroelectrics, electro-optic materials, high-k dielectrics, giant magnetoresistance materials and unconventional conductors. In this context, the work achieved over the last decade on the etching of multicomponent oxides thin films such as barium strontium titanate (BST), strontium titanate (STO) and niobate of calcium and barium (CBN) will be reviewed. These materials present a low reactivity with usual etching gases such as fluorinated and chlorinated gases, their etching is mainly governed by ion sputtering and reactive gases sometimes interact with surface materials to form compounds that inhibit etching. The etching of platinum will also be presented as an example of unconventional conductor materials for which severe redeposition limits the achievable etching quality. Finally, it will be shown how simulation can help to understand the etching mechanisms and to define avenues for higher quality patterning.

  6. Edge-Controlled Growth and Etching of Two-Dimensional GaSe Monolayers

    DOE PAGES

    Li, Xufan; Dong, Jichen; Idrobo, Juan C.; ...

    2016-12-07

    Understanding the atomistic mechanisms governing the growth of two-dimensional (2D) materials is of great importance in guiding the synthesis of wafer-sized, single-crystalline, high-quality 2D crystals and heterostructures. Etching, in many cases regarded as the reverse process of material growth, has been used to study the growth kinetics of graphene. In this paper, we explore a growth–etching–regrowth process of monolayer GaSe crystals, including single-crystalline triangles and irregularly shaped domains formed by merged triangles. We show that the etching begins at a slow rate, creating triangular, truncated triangular, or hexagonally shaped holes that eventually evolve to exclusively triangles that are rotated 60°more » with respect to the crystalline orientation of the monolayer triangular crystals. The regrowth occurs much faster than etching, reversibly filling the etched holes and then enlarging the size of the monolayer crystals. A theoretical model developed based on kinetic Wulff construction (KWC) theory and density functional theory (DFT) calculations accurately describe the observed morphology evolution of the monolayer GaSe crystals and etched holes during the growth and etching processes, showing that they are governed by the probability of atom attachment/detachment to/from different types of edges with different formation energies of nucleus/dents mediated by chemical potential difference Δμ between Ga and Se. Finally, our growth–etching–regrowth study provides not only guidance to understand the growth mechanisms of 2D binary crystals but also a potential method for the synthesis of large, shape-controllable, high-quality single-crystalline 2D crystals and their lateral heterostructures.« less

  7. Copper-assisted, anti-reflection etching of silicon surfaces

    DOEpatents

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  8. Validation of internal controls for extraction and amplification of nucleic acids from enteric viruses in water samples.

    PubMed

    Hata, Akihiko; Katayama, Hiroyuki; Kitajima, Masaaki; Visvanathan, Chettiyappan; Nol, Chea; Furumai, Hiroaki

    2011-07-01

    Inhibitors that reduce viral nucleic acid extraction efficiency and interfere with cDNA synthesis and/or polymerase activity affect the molecular detection of viruses in aquatic environments. To overcome these significant problems, we developed a methodology for assessing nucleic acid yields and DNA amplification efficiencies for environmental water samples. This involved adding particles of adenovirus type 5 and murine norovirus and newly developed primer-sharing controls, which are amplified with the same primer pairs and result in the same amplicon sizes as the targets, to these samples. We found that nucleic acid loss during the extraction process, rather than reverse transcription-PCR (RT-PCR) inhibition, more significantly attributed to underestimation of the presence of viral genomes in the environmental water samples tested in this study. Our success rate for satisfactorily amplifying viral RNAs and DNAs by RT-PCR was higher than that for obtaining adequate nucleic acid preparations. We found that inhibitory properties were greatest when we used larger sample volumes. A magnetic silica bead-based RNA extraction method effectively removed inhibitors that interfere with viral nucleic acid extraction and RT-PCR. To our knowledge, this is the first study to assess the inhibitory properties of environmental water samples by using both control virus particles and primer-sharing controls.

  9. Etching and Growth of GaAs

    NASA Technical Reports Server (NTRS)

    Seabaugh, A. C.; Mattauch, R., J.

    1983-01-01

    In-place process for etching and growth of gallium arsenide calls for presaturation of etch and growth melts by arsenic source crystal. Procedure allows precise control of thickness of etch and newly grown layer on substrate. Etching and deposition setup is expected to simplify processing and improve characteristics of gallium arsenide lasers, high-frequency amplifiers, and advanced integrated circuits.

  10. Comparison of bond strength and surface morphology of dental enamel for acid and Nd-YAG laser etching

    NASA Astrophysics Data System (ADS)

    Parmeswearan, Diagaradjane; Ganesan, Singaravelu; Ratna, P.; Koteeswaran, D.

    1999-05-01

    Recently, laser pretreatment of dental enamel has emerged as a new technique in the field of orthodontics. However, the changes in the morphology of the enamel surface is very much dependent on the wavelength of laser, emission mode of the laser, energy density, exposure time and the nature of the substance absorbing the energy. Based on these, we made a comparative in vitro study on laser etching with acid etching with reference to their bond strength. Studies were conducted on 90 freshly extracted, non carious, human maxillary or mandibular anteriors and premolars. Out of 90, 60 were randomly selected for laser irradiation. The other 30 were used for conventional acid pretreatment. The group of 60 were subjected to Nd-YAG laser exposure (1060 nm, 10 Hz) at differetn fluences. The remaining 30 were acid pretreated with 30% orthophosphoric acid. Suitable Begg's brackets were selected and bound to the pretreated surface and the bond strength were tested using Instron testing machine. The bond strength achieved through acid pretreatment is found to be appreciably greater than the laser pretreated tooth. Though the bond strength achieved through the acid pretreated tooth is found to be significantly greater than the laser pretreated specimens, the laser pretreatement is found to be successful enough to produce a clinically acceptable bond strength of > 0.60 Kb/mm. Examination of the laser pre-treated tooth under SEM showed globule formation which may produce the mechanical interface required for the retention of the resin material.

  11. Microchip Module for Blood Sample Preparation and Nucleic Acid Amplification Reactions

    PubMed Central

    Yuen, Po Ki; Kricka, Larry J.; Fortina, Paolo; Panaro, Nicholas J.; Sakazume, Taku; Wilding, Peter

    2001-01-01

    A computer numerical control-machined plexiglas-based microchip module was designed and constructed for the integration of blood sample preparation and nucleic acid amplification reactions. The microchip module is comprised of a custom-made heater-cooler for thermal cycling, a series of 254 μm × 254 μm microchannels for transporting human whole blood and reagents in and out of an 8–9 μL dual-purpose (cell isolation and PCR) glass-silicon microchip. White blood cells were first isolated from a small volume of human whole blood (<3 μL) in an integrated cell isolation–PCR microchip containing a series of 3.5-μm feature-sized “weir-type” filters, formed by an etched silicon dam spanning the flow chamber. A genomic target, a region in the human coagulation Factor V gene (226-bp), was subsequently directly amplified by microchip-based PCR on DNA released from white blood cells isolated on the filter section of the microchip mounted onto the microchip module. The microchip module provides a convenient means to simplify nucleic acid analyses by integrating two key steps in genetic testing procedures, cell isolation and PCR and promises to be adaptable for additional types of integrated assays. PMID:11230164

  12. Characterization and adsorption properties of diatomaceous earth modified by hydrofluoric acid etching.

    PubMed

    Tsai, Wen-Tien; Lai, Chi-Wei; Hsien, Kuo-Jong

    2006-05-15

    This work was a study of the chemical modification of diatomaceous earth (DE) using hydrofluoric acid (HF) solution. Under the experimental conditions investigated, it was found that HF under controlled conditions significantly etched inward into the interior of the existing pore structure in the clay mineral due to its high content of silica, leaving a framework possessing a larger BET surface area (ca. 10 m2 g(-1)) in comparison with that (ca. 4 m2 g(-1)) of its precursor (i.e., DE). Further, the results indicated that the HF concentration is a more determining factor in creating more open pores than other process parameters (temperature, holding time, and solid/liquid ratio). This observation was also in close agreement with the examinations by the silicon analysis, scanning electron microscopy, X-ray diffraction, and Fourier transform infrared spectroscopy. The adsorption kinetics and the adsorption isotherm of methylene blue onto the resulting clay adsorbent can be well described by a pseudo-second-order reaction model and the Freundlich model, respectively.

  13. Restoration of obliterated engraved marks on steel surfaces by chemical etching reagent.

    PubMed

    Song, Qingfang

    2015-05-01

    Chemical etching technique is widely used for restoration of obliterated engraved marks on steel surface in the field of public security. The consumed thickness of steel surface during restoration process is considered as a major criterion for evaluating the efficiency of the chemical etching reagent. The thinner the consumed thickness, the higher the restoration efficiency. According to chemical principles, maintaining the continuous oxidative capabilities of etching reagents and increasing the kinetic rate difference of the reaction between the engraved and non-engraved area with the chemical etching reagent can effectively reduce the consumed steel thickness. The study employed steel surface from the engine case of motorcycle and the car frame of automobile. The chemical etching reagents are composed of nitric acid as the oxidizer, hydrofluoric acid as the coordination agent and mixed with glacial acetic acid or acetone as the solvents. Based on the performance evaluation of three different etching reagents, the one composed of HNO3, HF and acetone gave the best result. Copyright © 2015 Elsevier Ireland Ltd. All rights reserved.

  14. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2013-10-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45 nm through 14/10 nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques, such as litho-etch-litho-etch, sidewall image transfer, line/cut mask, and self-aligned structures, have been implemented to solution required device scaling. Advances in dry plasma etch process control across wafer uniformity and etch selectivity to both masking materials have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes, such as trilayer etches, aggressive critical dimension shrink techniques, and the extension of resist trim processes, have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across-design variation, defectivity, profile stability within wafer, within lot, and across tools has been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated total patterning solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. We will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  15. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2012-03-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45nm through 14/10nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques such as litho-etch-litho-etch, sidewall image transfer, line/cut mask and self-aligned structures have been implemented to solution required device scaling. Advances in dry plasma etch process control, across wafer uniformity and etch selectivity to both masking materials and have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes such as trilayer etches, aggressive CD shrink techniques, and the extension of resist trim processes have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across design variation, defectivity, profile stability within wafer, within lot, and across tools have been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated Total Patterning Solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. This paper will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  16. Bond strength of a pit-and-fissure sealant associated to etch-and-rinse and self-etching adhesive systems to saliva-contaminated enamel: individual vs. simultaneous light curing.

    PubMed

    Gomes-Silva, Jaciara Miranda; Torres, Carolina Paes; Contente, Marta Maria Martins Giamatei; Oliveira, Maria Angélica Hueb de Menezes; Palma-Dibb, Regina Guenka; Borsatto, Maria Cristina

    2008-01-01

    This study evaluated in vitro the shear bond strength (SBS) of a resin-based pit-and-fissure sealant [Fluroshield (F), Dentsply/Caulk] associated with either an etch-and-rinse [Adper Single Bond 2 (SB), 3M/ESPE] or a self-etching adhesive system [Clearfil S3 Bond (S3), Kuraray Co., Ltd.] to saliva-contaminated enamel, comparing two curing protocols: individual light curing of the adhesive system and the sealant or simultaneous curing of both materials. Mesial and distal enamel surfaces from 45 sound third molars were randomly assigned to 6 groups (n=15), according to the bonding technique: I - F was applied to 37% phosphoric acid etched enamel. The other groups were contaminated with fresh human saliva (0.01 mL; 10 s) after acid etching: II - SB and F were light cured separately; III - SB and F were light cured together; IV - S3 and F were light cured separately; V - S3 and F were light cured simultaneously; VI - F was applied to saliva-contaminated, acid-etched enamel without an intermediate bonding agent layer. SBS was tested to failure in a universal testing machine at 0.5 mm/min. Data were analyzed by one-way ANOVA and Fisher's test (alpha=0.05).The debonded specimens were examined with a stereomicroscope to assess the failure modes. Three representative specimens from each group were observed under scanning electron microscopy for a qualitative analysis. Mean SBS in MPa were: I-12.28 (+/-4.29); II-8.57 (+/-3.19); III-7.97 (+/-2.16); IV-12.56 (+/-3.11); V-11.45 (+/-3.77); and VI-7.47 (+/-1.99). In conclusion, individual or simultaneous curing of the intermediate bonding agent layer and the resin sealant did not seem to affect bond strength to saliva-contaminated enamel. S3/F presented significantly higher SBS than the that of the groups treated with SB etch-and-rinse adhesive system and similar SBS to that of the control group, in which the sealant was applied under ideal dry, noncontaminated conditions.

  17. Microleakage of self-etching sealant on noncontaminated and saliva-contaminated enamel.

    PubMed

    2011-01-01

    The purpose of this study was to compare the microleakage of a self-etching sealant with a traditional phosphoric acid-etched sealant under noncontaminated and saliva-contaminated conditions. Fifty-two sound extracted human molars were randomly divided into 4 groups (N=13). Teeth in Groups 1 and 2 were cleaned with pumice, etched with phosphoric acid, rinsed, coated with a drying agent, placed with sealants (UltraSeal XT Plus), and light cured. Teeth in Groups 3 and 4 were cleaned with a proprietary flour pumice and rinsed prior to being sealed with a self-etching sealant (Enamel Loc). Teeth in Groups 2 and 4 were contaminated with saliva and thoroughly air-dried prior to the sealant placement. All teeth were subjected to a thermocycling process, stained with silver nitrate, and sectioned, and images of the sealant on the occlusal surface were recorded. Microleakage distance was measured in millimeters and subjected to a 2-way analysis of variance. Significantly larger microleakage distances were found for the self-etching sealant vs the traditional sealant (P<.001). Saliva contamination did not significantly affect the microleakage distance (P<.17). Under the conditions used in this in vitro study, the self-etching sealant, regardless of contamination condition, had extensive microleakage distances vs. little microleakage in the traditional phosphoric acid-etched sealant.

  18. Effect of etching and airborne particle abrasion on the microstructure of different dental ceramics.

    PubMed

    Borges, Gilberto Antonio; Sophr, Ana Maria; de Goes, Mario Fernando; Sobrinho, Lourenço Correr; Chan, Daniel C N

    2003-05-01

    The ceramic composition and microstructure surface of all-ceramic restorations are important components of an effective bonding substrate. Both hydrofluoric acid etching and airborne aluminum oxide particle abrasion produce irregular surfaces necessary for micromechanical bonding. Although surface treatments of feldspathic and leucite porcelains have been studied previously, the high alumina-containing and lithium disilicate ceramics have not been fully investigated. The purpose of this study was to assess the surface topography of 6 different ceramics after treatment with either hydrofluoric acid etching or airborne aluminum oxide particle abrasion. Five copings each of IPS Empress, IPS Empress 2 (0.8 mm thick), Cergogold (0.7 mm thick), In-Ceram Alumina, In-Ceram Zirconia, and Procera (0.8 mm thick) were fabricated following the manufacturer's instructions. Each coping was longitudinally sectioned into 4 equal parts by a diamond disk. The resulting sections were then randomly divided into 3 groups depending on subsequent surface treatments: Group 1, specimens without additional surface treatments, as received from the laboratory (control); Group 2, specimens treated by use of airborne particle abrasion with 50-microm aluminum oxide; and Group 3, specimens treated with 10% hydrofluoric acid etching (20 seconds for IPS Empress 2; 60 seconds for IPS Empress and Cergogold; and 2 minutes for In-Ceram Alumina, In-Ceram Zirconia, and Procera). Airborne particle abrasion changed the morphologic surface of IPS Empress, IPS Empress 2, and Cergogold ceramics. The surface topography of these ceramics exhibited shallow irregularities not evident in the control group. For Procera, the 50-microm aluminum oxide airborne particle abrasion produced a flattened surface. Airborne particle abrasion of In-Ceram Alumina and In-Ceram Zirconia did not change the morphologic characteristics and the same shallows pits found in the control group remained. For IPS Empress 2, 10% hydrofluoric

  19. Automated process control for plasma etching

    NASA Astrophysics Data System (ADS)

    McGeown, Margaret; Arshak, Khalil I.; Murphy, Eamonn

    1992-06-01

    This paper discusses the development and implementation of a rule-based system which assists in providing automated process control for plasma etching. The heart of the system is to establish a correspondence between a particular data pattern -- sensor or data signals -- and one or more modes of failure, i.e., a data-driven monitoring approach. The objective of this rule based system, PLETCHSY, is to create a program combining statistical process control (SPC) and fault diagnosis to help control a manufacturing process which varies over time. This can be achieved by building a process control system (PCS) with the following characteristics. A facility to monitor the performance of the process by obtaining and analyzing the data relating to the appropriate process variables. Process sensor/status signals are input into an SPC module. If trends are present, the SPC module outputs the last seven control points, a pattern which is represented by either regression or scoring. The pattern is passed to the rule-based module. When the rule-based system recognizes a pattern, it starts the diagnostic process using the pattern. If the process is considered to be going out of control, advice is provided about actions which should be taken to bring the process back into control.

  20. Controlling Kink Geometry in Nanowires Fabricated by Alternating Metal-Assisted Chemical Etching.

    PubMed

    Chen, Yun; Li, Liyi; Zhang, Cheng; Tuan, Chia-Chi; Chen, Xin; Gao, Jian; Wong, Ching-Ping

    2017-02-08

    Kinked silicon (Si) nanowires (NWs) have many special properties that make them attractive for a number of applications, such as microfluidics devices, microelectronic devices, and biosensors. However, fabricating NWs with controlled three-dimensional (3D) geometry has been challenging. In this work, a novel method called alternating metal-assisted chemical etching is reported for the fabrication of kinked Si NWs with controlled 3D geometry. By the use of multiple etchants with carefully selected composition, one can control the number of kinks, their locations, and their angles by controlling the number of etchant alternations and the time in each etchant. The resulting number of kinks equals the number times the etchant is alternated, the length of each segment separated by kinks has a linear relationship with the etching time, and the kinking angle is related to the surface tension and viscosity of the etchants. This facile method may provide a feasible and economical way to fabricate novel silicon nanowires, nanostructures, and devices for broad applications.

  1. Distinguishing shocked from tectonically deformed quartz by the use of the SEM and chemical etching

    USGS Publications Warehouse

    Gratz, A.J.; Fisler, D.K.; Bohor, B.F.

    1996-01-01

    Multiple sets of crystallographically-oriented planar deformation features (PDFs) are generated by high-strain-rate shock waves at pressures of > 12 GPa in naturally shocked quartz samples. On surfaces, PDFs appear as narrow (50-500 nm) lamellae filled with amorphosed quartz (diaplectic glass) which can be etched with hydrofluoric acid or with hydrothermal alkaline solutions. In contrast, slow-strain-rate tectonic deformation pressure produces wider, semi-linear and widely spaced arrays of dislocation loops that are not glass filled. Etching samples with HF before examination in a scanning electron microscope (SEM) allows for unambiguous visual distinction between glass-filled PDFs and glass-free tectonic deformation arrays in quartz. This etching also reveals the internal 'pillaring' often characteristic of shock-induced PDFs. This technique is useful for easily distinguishing between shock and tectonic deformation in quartz, but does not replace optical techniques for characterizing the shock features.

  2. Ion-beam-assisted etching of diamond

    NASA Technical Reports Server (NTRS)

    Efremow, N. N.; Geis, M. W.; Flanders, D. C.; Lincoln, G. A.; Economou, N. P.

    1985-01-01

    The high thermal conductivity, low RF loss, and inertness of diamond make it useful in traveling wave tubes operating in excess of 500 GHz. Such use requires the controlled etching of type IIA diamond to produce grating like structures tens of micrometers deep. Previous work on reactive ion etching with O2 gave etching rates on the order of 20 nm/min and poor etch selectivity between the masking material (Ni or Cr) and the diamond. An alternative approach which uses a Xe(+) beam and a reactive gas flux of NO2 in an ion-beam-assisted etching system is reported. An etching rate of 200 nm/min was obtained with an etching rate ratio of 20 between the diamond and an aluminum mask.

  3. Highly controllable ICP etching of GaAs based materials for grating fabrication

    NASA Astrophysics Data System (ADS)

    Weibin, Qiu; Jiaxian, Wang

    2012-02-01

    Highly controllable ICP etching of GaAs based materials with SiCl4/Ar plasma is investigated. A slow etching rate of 13 nm/min was achieved with RF1 D 10 W, RF2 D 20 W and a high ratio of Ar to SiCl4 flow. First order gratings with 25 nm depth and 140 nm period were fabricated with the optimal parameters. AFM analysis indicated that the RMS roughness over a 10 × 10 μm2 area was 0.3 nm, which is smooth enough to regrow high quality materials for devices.

  4. Etching method for photoresists or polymers

    NASA Technical Reports Server (NTRS)

    Lerner, Narcinda R. (Inventor); Wydeven, Theodore J., Jr. (Inventor)

    1991-01-01

    A method for etching or removing polymers, photoresists, and organic contaminants from a substrate is disclosed. The method includes creating a more reactive gas species by producing a plasma discharge in a reactive gas such as oxygen and contacting the resulting gas species with a sacrificial solid organic material such as polyethylene or polyvinyl fluoride, reproducing a highly reactive gas species, which in turn etches the starting polymer, organic contaminant, or photoresist. The sample to be etched is located away from the plasma glow discharge region so as to avoid damaging the substrate by exposure to high energy particles and electric fields encountered in that region. Greatly increased etching rates are obtained. This method is highly effective for etching polymers such as polyimides and photoresists that are otherwise difficult or slow to etch downstream from an electric discharge in a reactive gas.

  5. Characterization of the porosity of human dental enamel and shear bond strength in vitro after variable etch times: initial findings using the BET method.

    PubMed

    Nguyen, Trang T; Miller, Arthur; Orellana, Maria F

    2011-07-01

    (1) To quantitatively characterize human enamel porosity and surface area in vitro before and after etching for variable etching times; and (2) to evaluate shear bond strength after variable etching times. Specifically, our goal was to identify the presence of any correlation between enamel porosity and shear bond strength. Pore surface area, pore volume, and pore size of enamel from extracted human teeth were analyzed by Brunauer-Emmett-Teller (BET) gas adsorption before and after etching for 15, 30, and 60 seconds with 37% phosphoric acid. Orthodontic brackets were bonded with Transbond to the samples with variable etch times and were subsequently applied to a single-plane lap shear testing system. Pore volume and surface area increased after etching for 15 and 30 seconds. At 60 seconds, this increase was less pronounced. On the contrary, pore size appears to decrease after etching. No correlation was found between variable etching times and shear strength. Samples etched for 15, 30, and 60 seconds all demonstrated clinically viable shear strength values. The BET adsorption method could be a valuable tool in enhancing our understanding of enamel characteristics. Our findings indicate that distinct quantitative changes in enamel pore architecture are evident after etching. Further testing with a larger sample size would have to be carried out for more definitive conclusions to be made.

  6. Controllable Si (100) micro/nanostructures by chemical-etching-assisted femtosecond laser single-pulse irradiation

    NASA Astrophysics Data System (ADS)

    Li, Xiaowei; Xie, Qian; Jiang, Lan; Han, Weina; Wang, Qingsong; Wang, Andong; Hu, Jie; Lu, Yongfeng

    2017-05-01

    In this study, silicon micro/nanostructures of controlled size and shape are fabricated by chemical-etching-assisted femtosecond laser single-pulse irradiation, which is a flexible, high-throughput method. The pulse fluence is altered to create various laser printing patterns for the etching mask, resulting in the sequential evolution of three distinct surface micro/nanostructures, namely, ring-like microstructures, flat-top pillar microstructures, and spike nanostructures. The characterized diameter of micro/nanostructures reveals that they can be flexibly tuned from the micrometer (˜2 μm) to nanometer (˜313 nm) scales by varying the laser pulse fluence in a wide range. Micro-Raman spectroscopy and transmission electron microscopy are utilized to demonstrate that the phase state changes from single-crystalline silicon (c-Si) to amorphous silicon (a-Si) after single-pulse femtosecond laser irradiation. This amorphous layer with a lower etching rate then acts as a mask in the wet etching process. Meanwhile, the on-the-fly punching technique enables the efficient fabrication of large-area patterned surfaces on the centimeter scale. This study presents a highly efficient method of controllably manufacturing silicon micro/nanostructures with different single-pulse patterns, which has promising applications in the photonic, solar cell, and sensors fields.

  7. Inductively Coupled Plasma-Induced Electrical Damage on HgCdTe Etched Surface at Cryogenic Temperatures

    NASA Astrophysics Data System (ADS)

    Liu, L. F.; Chen, Y. Y.; Ye, Z. H.; Hu, X. N.; Ding, R. J.; He, L.

    2018-03-01

    Plasma etching is a powerful technique for transferring high-resolution lithographic patterns into HgCdTe material with low etch-induced damage, and it is important for fabricating small-pixel-size HgCdTe infrared focal plane array (IRFPA) detectors. P- to n-type conversion is known to occur during plasma etching of vacancy-doped HgCdTe; however, it is usually unwanted and its removal requires extra steps. Etching at cryogenic temperatures can reduce the etch-induced type conversion depth in HgCdTe via the electrical damage mechanism. Laser beam-induced current (LBIC) is a nondestructive photoelectric characterization technique which can provide information regarding the vertical and lateral electrical field distribution, such as defects and p-n junctions. In this work, inductively coupled plasma (ICP) etching of HgCdTe was implemented at cryogenic temperatures. For an Ar/CH4 (30:1 in SCCM) plasma with ICP input power of 1000 W and RF-coupled DC bias of ˜ 25 V, a HgCdTe sample was dry-etched at 123 K for 5 min using ICP. The sample was then processed to remove a thin layer of the plasma-etched region while maintaining a ladder-like damaged layer by continuously controlling the wet chemical etching time. Combining the ladder etching method and LBIC measurement, the ICP etching-induced electrical damage depth was measured and estimated to be about 20 nm. The results indicate that ICP etching at cryogenic temperatures can significantly suppress plasma etching-induced electrical damage, which is beneficial for defining HgCdTe mesa arrays.

  8. Smear layer-deproteinizing improves bonding of one-step self-etch adhesives to dentin.

    PubMed

    Thanatvarakorn, Ornnicha; Prasansuttiporn, Taweesak; Thittaweerat, Suppason; Foxton, Richard M; Ichinose, Shizuko; Tagami, Junji; Hosaka, Keiichi; Nakajima, Masatoshi

    2018-03-01

    Smear layer deproteinizing was proved to reduce the organic phase of smear layer covered on dentin surface. It was shown to eliminate hybridized smear layer and nanoleakage expression in resin-dentin bonding interface of two-step self-etch adhesive. This study aimed to investigate those effects on various one-step self-etch adhesives. Four different one-step self-etch adhesives were used in this study; SE One (SE), Scotchbond™ Universal (SU), BeautiBond Multi (BB), and Bond Force (BF). Flat human dentin surfaces with standardized smear layer were prepared. Smear layer deproteinizing was carried out by the application of 50ppm hypochlorous acid (HOCl) on dentin surface for 15s followed by Accel ® (p-toluenesulfinic acid salt) for 5s prior to adhesive application. No surface pretreatment was used as control. Microtensile bond strength (μTBS) and nanoleakage under TEM observation were investigated. The data were analyzed by two-way ANOVA and Tukey's post-hoc test and t-test at the significant level of 0.05. Smear layer deproteinizing significantly improved μTBS of SE, SU, and BB (p<0.001). Hybridized smear layer observed in control groups of SE, BB, and BF, and reticular nanoleakage presented throughout the hybridized complex in control groups of BB and BF were eliminated upon the smear layer deproteinizing. Smear layer deproteinizing by HOCl and Accel ® application could enhance the quality of dentin for bonding to one-step self-etch adhesives, resulting in the improving μTBS, eliminating hybridized smear layer and preventing reticular nanoleakage formation in resin-dentin bonding interface. Copyright © 2018 The Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  9. CDU improvement technology of etching pattern using photo lithography

    NASA Astrophysics Data System (ADS)

    Tadokoro, Masahide; Shinozuka, Shinichi; Jyousaka, Megumi; Ogata, Kunie; Morimoto, Tamotsu; Konishi, Yoshitaka

    2008-03-01

    Semiconductor manufacturing technology has shifted towards finer design rules, and demands for critical dimension uniformity (CDU) of resist patterns have become greater than ever. One of the methods for improving Resist Pattern CDU is to control post-exposure bake (PEB) temperature. When ArF resist is used, there is a certain relationship between critical dimension (CD) and PEB temperature. By utilizing this relationship, Resist Pattern CDU can be improved through control of within-wafer temperature distribution in the PEB process. Resist Pattern CDU improvement contributes to Etching Pattern CDU improvement to a certain degree. To further improve Etching Pattern CDU, etcher-specific CD variation needs to be controlled. In this evaluation, 1. We verified whether etcher-specific CD variation can be controlled and consequently Etching Pattern CDU can be further improved by controlling resist patterns through PEB control. 2. Verifying whether Etching Pattern CDU improvement through has any effect on the reduction in wiring resistance variation. The evaluation procedure is as follows.1. Wafers with base film of Doped Poly-Si (D-Poly) were prepared. 2. Resist patterns were created on them. 3. To determine etcher-specific characteristics, the first etching was performed, and after cleaning off the resist and BARC, CD of etched D-Poly was measured. 4. Using the obtained within-wafer CD distribution of the etching patterns, within-wafer temperature distribution in the PEB process was modified. 5. Resist patterns were created again, followed by the second etching and cleaning, which was followed by CD measurement. We used Optical CD Measurement (OCD) for measurement of resist patterns and etching patterns as OCD is minimally affected by Line Edge Roughness (LER). As a result, 1. We confirmed the effect of Resist Pattern CD control through PEB control on the reduction in etcher-specific CD variation and the improvement in Etching Pattern CDU. 2. The improvement in Etching

  10. Wet etching technique for fabrication of a high-quality plastic optical fiber sensor.

    PubMed

    Zhao, Mingfu; Dai, Lang; Zhong, Nianbing; Wang, Zhengkun; Chen, Ming; Li, Bingxin; Luo, Binbin; Tang, Bin; Shi, Shenghui; Song, Tao; Zou, Xue

    2017-11-01

    In this study, a simple wet etching technique is developed by employing aqueous solutions of acetic acid and ultrasonic irradiation for the fabrication of a high-quality plastic optical fiber (POF) sensor. The effects of acetic acid concentration and temperature and ultrasonic power on the etching rate and surface morphology of the etched POFs are investigated. The transmission spectrum and sensitivity of the etched POF sensors are evaluated using glucose solutions. We discovered that the POF sensors, which are fabricated using an aqueous solution of acetic acid with a concentration of 80 vol. % under an ultrasonic power of 130 W and temperature of 25°C, exhibit good light transmission and a high sensitivity of 9.10  [(RIU)(g/L)] -1 in the glucose solutions.

  11. Effect of the association between citric acid and EDTA on root surface etching.

    PubMed

    Manzolli Leite, Fabio Renato; Nascimento, Gustavo Giacomelli; Manzolli Leite, Elza Regina; Leite, Amauri Antiquera; Cezar Sampaio, Josá Eduardo

    2013-09-01

    This study aims to compare the clot stabilization on root surfaces conditioned with citric acid and ethylenediamine-tetraacetic acid (EDTA). Scaled root samples (n = 100) were set in fve groups: group I-control group (saline solution); group II (24% EDTA); group III (25% citric acid); group IV (EDTA + citric acid); group V (citric acid + EDTA). Fifty samples were assessed using the root surface modifcation index (RSMI). The other 50 received a blood drop after conditioning. Clot formation was assessed using blood elements adhesion index (BEAI). A blind examiner evaluated photomicrographs. Statistical analysis considered p < 0.05. Groups-III and G-V attained the best results for RSMI and BEAI in comparison to control. The worst results for clot stabilization were seen in group-II. EDTA employment before citric acid (group-IV) reduced clot formation in comparison to citric acid use alone (group-III). Root conditioning with citric acid alone and before EDTA had the best results for smear layer removal and clot stabilization. EDTA inhibited clot stabilization on root surface and must have a residual activity once it has diminished clot adhesion to root even after citric acid conditioning. Thus, EDTA can be used to neutralize citric acid effects on periodontal cells without affecting clot stabilization. Clinical signifcance: To demonstrate that citric acid use on root surfaces previously affected by periodontal disease may favor clot stabilization and may have a benefcial effect on surgical outcomes. Also, EDTA can be used to neutralize citric acid effects on periodontal cells.

  12. Recovery of GaN surface after reactive ion etching

    NASA Astrophysics Data System (ADS)

    Fan, Qian; Chevtchenko, S.; Ni, Xianfeng; Cho, Sang-Jun; Morko, Hadis

    2006-02-01

    Surface properties of GaN subjected to reactive ion etching and the impact on device performance have been investigated by surface potential, optical and electrical measurements. Different etching conditions were studied and essentially high power levels and low chamber pressures resulted in higher etch rates accompanying with the roughening of the surface morphology. Surface potential for the as-grown c-plane GaN was found to be in the range of 0.5~0.7 V using Scanning Kevin Probe Microscopy. However, after reactive ion etching at a power level of 300 W, it decreased to 0.1~0.2 V. A nearly linear reduction was observed on c-plane GaN with increasing power. The nonpolar a-plane GaN samples also showed large surface band bending before and after etching. Additionally, the intensity of the near band-edge photoluminescence decreased and the free carrier density increased after etching. These results suggest that the changes in the surface potential may originate from the formation of possible nitrogen vacancies and other surface oriented defects and adsorbates. To recover the etched surface, N II plasma, rapid thermal annealing, and etching in wet KOH were performed. For each of these methods, the surface potential was found to increase by 0.1~0.3 V, also the reverse leakage current in Schottky diodes fabricated on treated samples was reduced considerably compared with as-etched samples, which implies a partial-to-complete recovery from the plasma-induced damage.

  13. Total etch technique and cavity isolation.

    PubMed

    Fusayama, T

    1992-01-01

    In the total etch technique for chemically adhesive composite restorations, the phosphoric acid penetrates only 10 microns or less into the vital dentin with the dentinal tubules being filled with the odontoblast processes. The acid is completely removed by subsequent air-water jet spray washing. The tubule apertures are perfectly sealed by the protective bonding agent layer with the resin tags adhering to the tubule walls and the resin-impregnated dentin surface. Isolation of the cavity from moisture contamination is required for only less than a few seconds after drying the etched cavity until the bonding agent coating and after this coating until the composite resin placement. Such a short time for isolation is quite easy even without a rubber dam when a trained assistant is cooperating.

  14. Metal-assisted etch combined with regularizing etch

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yim, Joanne; Miller, Jeff; Jura, Michael

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performingmore » a chemical etch which results in regularized openings in the silicon substrate.« less

  15. Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Posseme, N., E-mail: nicolas.posseme@cea.fr; Pollet, O.; Barnola, S.

    2014-08-04

    Silicon nitride spacer etching realization is considered today as one of the most challenging of the etch process for the new devices realization. For this step, the atomic etch precision to stop on silicon or silicon germanium with a perfect anisotropy (no foot formation) is required. The situation is that none of the current plasma technologies can meet all these requirements. To overcome these issues and meet the highly complex requirements imposed by device fabrication processes, we recently proposed an alternative etching process to the current plasma etch chemistries. This process is based on thin film modification by light ionsmore » implantation followed by a selective removal of the modified layer with respect to the non-modified material. In this Letter, we demonstrate the benefit of this alternative etch method in term of film damage control (silicon germanium recess obtained is less than 6 A), anisotropy (no foot formation), and its compatibility with other integration steps like epitaxial. The etch mechanisms of this approach are also addressed.« less

  16. High precision AlGaAsSb ridge-waveguide etching by in situ reflectance monitored ICP-RIE

    NASA Astrophysics Data System (ADS)

    Tran, N. T.; Breivik, Magnus; Patra, S. K.; Fimland, Bjørn-Ove

    2014-05-01

    GaSb-based semiconductor diode lasers are promising candidates for light sources working in the mid-infrared wavelength region of 2-5 μm. Using edge emitting lasers with ridge-waveguide structure, light emission with good beam quality can be achieved. Fabrication of the ridge waveguide requires precise etch stop control for optimal laser performance. Simulation results are presented that show the effect of increased confinement in the waveguide when the etch depth is well-defined. In situ reflectance monitoring with a 675 nm-wavelength laser was used to determine the etch stop with high accuracy. Based on the simulations of laser reflectance from a proposed sample, the etching process can be controlled to provide an endpoint depth precision within +/- 10 nm.

  17. Effect of the calcium silicate-based sealer removal protocols and time-point of acid etching on the dentin adhesive interface.

    PubMed

    Morais, Jéssika Mayhara Pereira; Victorino, Keli Regina; Escalante-Otárola, Wilfredo Gustavo; Jordão-Basso, Keren Cristina Fagundes; Palma-Dibb, Regina Guenka; Kuga, Milton Carlos

    2018-06-15

    The aim of the study was to evaluate the effects when acid etching on the dentin surface was immediately performed (I) or 7 days (D) after calcium silicate-based sealer (MTA Fillapex) removal, using 95% ethanol (E) or xylol (X). First study, 60 bovine incisor dentin specimens were impregnated with sealer and divided into six groups (n = 10): (EI), E + I; (XI), X + I; (ED), E + D; (XD), X + D, (UN), untreated and (MR), mechanical removal of sealer. Scanning electron microscopy (SEM) images (500×) were obtained from each specimen and scores assessed the sealer residues persistence. Second study, 60 specimens were similarly treated; however, the specimens were restored with composite resin after the removal protocols. Hybrid layer formation was evaluated using confocal laser microscopy (1,024×). Third study, 60 specimens were similarly obtained and subjected to micro-shear test to evaluate the effects of removal protocols on the bond strength of etch-and- rinse adhesive system to dentin. XI showed the highest persistence of sealer residues (p < .05), similar to MR (p > .05). EI showed the greatest hybrid layer extension, except in relation to UN (p < .05). XI and MR presented the lowest bond strength adhesive system to dentin (p < .05). Acid etching immediately after calcium silicate-based endodontic sealer removal using xylol presented the highest residues persistence and negatively affected the adhesive interface between dentin and etch-and-rinse adhesive system. © 2018 Wiley Periodicals, Inc.

  18. Acid Solutions for Etching Corrosion-Resistant Metals

    NASA Technical Reports Server (NTRS)

    Simmons, J. R.

    1982-01-01

    New study characterized solutions for etching austenitic stainless steels, nickel-base alloys, and titanium alloys (annealed). Solutions recommended for use remove at least 0.4 mil of metal from surface in less than an hour. Solutions do not cause intergranular attack on metals for which they are effective, when used under specified conditions.

  19. Redox buffered hydrofluoric acid etchant for the reduction of galvanic attack during release etching of MEMS devices having noble material films

    DOEpatents

    Hankins, Matthew G [Albuquerque, NM

    2009-10-06

    Etchant solutions comprising a redox buffer can be used during the release etch step to reduce damage to the structural layers of a MEMS device that has noble material films. A preferred redox buffer comprises a soluble thiophosphoric acid, ester, or salt that maintains the electrochemical potential of the etchant solution at a level that prevents oxidation of the structural material. Therefore, the redox buffer preferentially oxidizes in place of the structural material. The sacrificial redox buffer thereby protects the exposed structural layers while permitting the dissolution of sacrificial oxide layers during the release etch.

  20. The endpoint detection technique for deep submicrometer plasma etching

    NASA Astrophysics Data System (ADS)

    Wang, Wei; Du, Zhi-yun; Zeng, Yong; Lan, Zhong-went

    2009-07-01

    The availability of reliable optical sensor technology provides opportunities to better characterize and control plasma etching processes in real time, they could play a important role in endpoint detection, fault diagnostics and processes feedback control and so on. The optical emission spectroscopy (OES) method becomes deficient in the case of deep submicrometer gate etching. In the newly developed high density inductively coupled plasma (HD-ICP) etching system, Interferometry endpoint (IEP) is introduced to get the EPD. The IEP fringe count algorithm is investigated to predict the end point, and then its signal is used to control etching rate and to call end point with OES signal in over etching (OE) processes step. The experiment results show that IEP together with OES provide extra process control margin for advanced device with thinner gate oxide.

  1. Formation of nanostructured silicon surfaces by stain etching

    PubMed Central

    2014-01-01

    In this work, we report the fabrication of ordered silicon structures by chemical etching of silicon in vanadium oxide (V2O5)/hydrofluoric acid (HF) solution. The effects of the different etching parameters including the solution concentration, temperature, and the presence of metal catalyst film deposition (Pd) on the morphologies and reflective properties of the etched Si surfaces were studied. Scanning electron microscopy (SEM) was carried out to explore the morphologies of the etched surfaces with and without the presence of catalyst. In this case, the attack on the surfaces with a palladium deposit begins by creating uniform circular pores on silicon in which we distinguish the formation of pyramidal structures of silicon. Fourier transform infrared spectroscopy (FTIR) demonstrates that the surfaces are H-terminated. A UV-Vis-NIR spectrophotometer was used to study the reflectance of the structures obtained. A reflectance of 2.21% from the etched Si surfaces in the wavelength range of 400 to 1,000 nm was obtained after 120 min of etching while it is of 4.33% from the Pd/Si surfaces etched for 15 min. PMID:25435830

  2. Dry etching technologies for reflective multilayer

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  3. Influence of pH on the quantum-size-controlled photoelectrochemical etching of epitaxial InGaN quantum dots

    DOE PAGES

    Xiao, Xiaoyin; Lu, Ping; Fischer, Arthur J.; ...

    2015-11-18

    Illumination by a narrow-band laser has been shown to enable photoelectrochemical (PEC) etching of InGaN thin films into quantum dots with sizes controlled by the laser wavelength. Here, we investigate and elucidate the influence of solution pH on such quantum-size-controlled PEC etch process. We find that although a pH above 5 is often used for PEC etching of GaN-based materials, oxides (In 2O 3 and/or Ga 2O 3) form which interfere with quantum dot formation. Furthermore, at pH below 3, however, oxide-free QDs with self-terminated sizes can be successfully realized.

  4. Plasma etching of superconducting Niobium tips for scanning tunneling microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roychowdhury, A.; Center for Nanophysics and Advanced Materials, Department of Physics, University of Maryland, College Park, Maryland 20742; Dana, R.

    We have developed a reproducible technique for the fabrication of sharp superconducting Nb tips for scanning tunneling microscopy (STM) and scanning tunneling spectroscopy. Sections of Nb wire with 250 μm diameter are dry etched in an SF₆ plasma in a Reactive Ion Etcher. The gas pressure, etching time, and applied power are chosen to control the ratio of isotropic to anisotropic etch rates and produce the desired tip shape. The resulting tips are atomically sharp, with radii of less than 100 nm, mechanically stable, and superconducting. They generate good STM images and spectroscopy on single crystal samples of Au(111), Au(100),more » and Nb(100), as well as a doped topological insulator Bi₂Se₃ at temperatures ranging from 30 mK to 9 K.« less

  5. Micro-PIXE and micro-RBS characterization of micropores in porous silicon prepared using microwave-assisted hydrofluoric acid etching.

    PubMed

    Ahmad, Muthanna; Grime, Geoffrey W

    2013-04-01

    Porous silicon (PS) has been prepared using a microwave-assisted hydrofluoric acid (HF) etching method from a silicon wafer pre-implanted with 5 MeV Cu ions. The use of microbeam proton-induced X-ray emission (micro-PIXE) and microbeam Rutherford backscattering techniques reveals for the first time the capability of these techniques for studying the formation of micropores. The porous structures observed from micro-PIXE imaging results are compared to scanning electron microscope images. It was observed that the implanted copper accumulates in the same location as the pores and that at high implanted dose the pores form large-scale patterns of lines and concentric circles. This is the first work demonstrating the use of microwave-assisted HF etching in the formation of PS.

  6. Laser etching of austenitic stainless steels for micro-structural evaluation

    NASA Astrophysics Data System (ADS)

    Baghra, Chetan; Kumar, Aniruddha; Sathe, D. B.; Bhatt, R. B.; Behere, P. G.; Afzal, Mohd

    2015-06-01

    Etching is a key step in metallography to reveal microstructure of polished specimen under an optical microscope. A conventional technique for producing micro-structural contrast is chemical etching. As an alternate, laser etching is investigated since it does not involve use of corrosive reagents and it can be carried out without any physical contact with sample. Laser induced etching technique will be beneficial especially in nuclear industry where materials, being radioactive in nature, are handled inside a glove box. In this paper, experimental results of pulsed Nd-YAG laser based etching of few austenitic stainless steels such as SS 304, SS 316 LN and SS alloy D9 which are chosen as structural material for fabrication of various components of upcoming Prototype Fast Breeder Reactor (PFBR) at Kalpakkam India were reported. Laser etching was done by irradiating samples using nanosecond pulsed Nd-YAG laser beam which was transported into glass paneled glove box using optics. Experiments were carried out to understand effect of laser beam parameters such as wavelength, fluence, pulse repetition rate and number of exposures required for etching of austenitic stainless steel samples. Laser etching of PFBR fuel tube and plug welded joint was also carried to evaluate base metal grain size, depth of fusion at welded joint and heat affected zone in the base metal. Experimental results demonstrated that pulsed Nd-YAG laser etching is a fast and effortless technique which can be effectively employed for non-contact remote etching of austenitic stainless steels for micro-structural evaluation.

  7. Temperature-Dependent Nanofabrication on Silicon by Friction-Induced Selective Etching.

    PubMed

    Jin, Chenning; Yu, Bingjun; Xiao, Chen; Chen, Lei; Qian, Linmao

    2016-12-01

    Friction-induced selective etching provides a convenient and practical way for fabricating protrusive nanostructures. A further understanding of this method is very important for establishing a controllable nanofabrication process. In this study, the effect of etching temperature on the formation of protrusive hillocks and surface properties of the etched silicon surface was investigated. It is found that the height of the hillock produced by selective etching increases with the etching temperature before the collapse of the hillock. The temperature-dependent selective etching rate can be fitted well by the Arrhenius equation. The etching at higher temperature can cause rougher silicon surface with a little lower elastic modulus and hardness. The contact angle of the etched silicon surface decreases with the etching temperature. It is also noted that no obvious contamination can be detected on silicon surface after etching at different temperatures. As a result, the optimized condition for the selective etching was addressed. The present study provides a new insight into the control and application of friction-induced selective nanofabrication.

  8. Creation of superhydrophobic stainless steel surfaces by acid treatments and hydrophobic film deposition.

    PubMed

    Li, Lester; Breedveld, Victor; Hess, Dennis W

    2012-09-26

    In this work, we present a method to render stainless steel surfaces superhydrophobic while maintaining their corrosion resistance. Creation of surface roughness on 304 and 316 grade stainless steels was performed using a hydrofluoric acid bath. New insight into the etch process is developed through a detailed analysis of the chemical and physical changes that occur on the stainless steel surfaces. As a result of intergranular corrosion, along with metallic oxide and fluoride redeposition, surface roughness was generated on the nano- and microscales. Differences in alloy composition between 304 and 316 grades of stainless steel led to variations in etch rate and different levels of surface roughness for similar etch times. After fluorocarbon film deposition to lower the surface energy, etched samples of 304 and 316 stainless steel displayed maximum static water contact angles of 159.9 and 146.6°, respectively. However, etching in HF also caused both grades of stainless steel to be susceptible to corrosion. By passivating the HF-etched samples in a nitric acid bath, the corrosion resistant properties of stainless steels were recovered. When a three step process was used, consisting of etching, passivation and fluorocarbon deposition, 304 and 316 stainless steel samples exhibited maximum contact angles of 157.3 and 134.9°, respectively, while maintaining corrosion resistance.

  9. Directional Etching of Silicon by Silver Nanostructures

    NASA Astrophysics Data System (ADS)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  10. Comparison of removal torques between laser-etched and modified sandblasted acid-etched Ti implant surfaces in rabbit tibias

    PubMed Central

    Al Awamleh, Abdel Ghani Ibrahim

    2018-01-01

    PURPOSE The purpose of this study was to analyze the effects of two different implant surface treatments on initial bone connection by comparing the Removal Torque Values (RTQs) at 7 and 10 days after chemically modified, sandblasted, large-grit and acid-etched (modSLA), and Laser-etched (LE) Ti implant placements. MATERIALS AND METHODS Twenty modSLA and 20 LE implants were installed on the left and right tibias of 20 adult rabbits. RTQs were measured after 7 and 10 days in 10 rabbits each. Scanning electron microscope (SEM) photographs of the two implants were observed by using Quanta FEG 650 from the FEI company (Hillsboro, OR, USA). Analyses of surface elements and components were conducted using energy dispersive spectroscopy (EDS, Horiba, Kyoto, Japan). RESULTS The mean RTQs were 12.29 ± 0.830 and 12.19 ± 0.713 Ncm after 7 days (P=.928) and 16.47 ± 1.324 and 16.17 ± 1.165 Ncm after 10 days (P=.867) for LE and modSLA, respectively, indicating no significant inter-group differences. Pore sizes in the LE were 40 µm and consisted of numerous small pores, whereas pore sizes in the modSLA were 5 µm. In the EDS analysis, Ti, O, and C were the only three elements found in the LE surfaces. Na, Ca, Cl, and K were also observed in modSLA, in addition to Ti, O, and C. CONCLUSION The implants showed no significant difference in biomechanical bond strength to bone in early-stage osseointegration. LE implant can be considered an excellent surface treatment method in addition to the modSLA implant and can be applied to the early loading of the prosthesis clinically. PMID:29503717

  11. Morphological and chemical characterization of the dentin/resin cement interface produced with a self-etching primer.

    PubMed

    Walker, Mary P; Wang, Yong; Spencer, Paulette

    2002-01-01

    The purpose of this study was to analyze a resin cement/dentin interface by comparing the diffusion of a resin cement into dentin surfaces pretreated with a self-etching primer with or without pretreatment by conventional acid etching. Dentin surfaces of 8 unerupted human third molars were treated with a self-etch primer (Panavia 21) with or without conventional phosphoric acid pretreatment. Panavia 21 resin cement was applied according to manufacturer's instructions. Dentin/resin cement interface sections from each tooth were examined with scanning electron microscopy and micro-Raman spectroscopy. When the self-etch primer was used following conventional acid pretreatment, the resin cement did not penetrate to the depth of the zone of demineralized dentin, leaving a substantial area of exposed dentin matrix at the dentin/cement interface. In contrast, there was substantial resin cement diffusion throughout the demineralized dentin when the self-etch primer was used without acid etching pretreatment. The in vitro evaluation of resin cement penetration throughout the zone of demineralized dentin is an important step in identifying sites of exposed dentin matrix that may promote postoperative sensitivity and may leave the dentin/resin cement interface vulnerable to premature degradation under clinical conditions. In this study, the self-etch primer used alone produced substantial resin cement penetration and left no exposed dentin matrix at the dentin/resin cement interface.

  12. Atomic precision etch using a low-electron temperature plasma

    NASA Astrophysics Data System (ADS)

    Dorf, L.; Wang, J.-C.; Rauf, S.; Zhang, Y.; Agarwal, A.; Kenney, J.; Ramaswamy, K.; Collins, K.

    2016-03-01

    Sub-nm precision is increasingly being required of many critical plasma etching processes in the semiconductor industry. Accurate control over ion energy and ion/radical composition is needed during plasma processing to meet these stringent requirements. Described in this work is a new plasma etch system which has been designed with the requirements of atomic precision plasma processing in mind. In this system, an electron sheet beam parallel to the substrate surface produces a plasma with an order of magnitude lower electron temperature Te (~ 0.3 eV) and ion energy Ei (< 3 eV without applied bias) compared to conventional radio-frequency (RF) plasma technologies. Electron beam plasmas are characterized by higher ion-to-radical fraction compared to RF plasmas, so a separate radical source is used to provide accurate control over relative ion and radical concentrations. Another important element in this plasma system is low frequency RF bias capability which allows control of ion energy in the 2-50 eV range. Presented in this work are the results of etching of a variety of materials and structures performed in this system. In addition to high selectivity and low controllable etch rate, an important requirement of atomic precision etch processes is no (or minimal) damage to the remaining material surface. It has traditionally not been possible to avoid damage in RF plasma processing systems, even during atomic layer etch. The experiments for Si etch in Cl2 based plasmas in the aforementioned etch system show that damage can be minimized if the ion energy is kept below 10 eV. Layer-by-layer etch of Si is also demonstrated in this etch system using electrical and gas pulsing.

  13. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    NASA Astrophysics Data System (ADS)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  14. Effect of Minocycline on the Durability of Dentin Bonding Produced with Etch-and-Rinse Adhesives.

    PubMed

    Loguercio, A D; Stanislawczuk, R; Malaquias, P; Gutierrez, M F; Bauer, J; Reis, A

    2016-01-01

    To evaluate the effect of minocycline and chlorhexidine pretreatment of acid-etched dentin on the longevity of resin-dentin bond strength (μTBS) and nanoleakage of two-step etch-and-rinse adhesives. Before application of Prime & Bond NT and Adper Single Bond 2 in occlusal dentin, the dentin surfaces were treated with 37% phosphoric acid, rinsed, air-dried, and rewetted with water (control group), 2% minocycline, or 2% chlorexidine digluconate. Composite buildups were constructed incrementally, and specimens were longitudinally sectioned to obtain bonded sticks (0.8 mm 2 ) to be tested in tension (0.5 mm/min) immediately or after 24 months of water storage. For nanoleakage, two specimens of each tooth/period were immersed in the silver nitrate solution, photo-developed, and polished with SiC paper for analysis under energy-dispersive X-ray spectroscopy/scanning electron microscopy. Reductions of the μTBS and increases in the nanoleakage were observed for both adhesives when the rewetting procedure was performed with water. Stable bonds were observed for the 2% minocycline and 2% chlorexidine digluconate groups after 24 months. The use of 2% minocycline as pretreatment of acid-etched dentin is one alternative to retard the degradation of resin-dentin interfaces over a 24-month period as well as 2% chlorexidine digluconate.

  15. Triangle pore arrays fabricated on Si (111) substrate by sphere lithography combined with metal-assisted chemical etching and anisotropic chemical etching

    NASA Astrophysics Data System (ADS)

    Asoh, Hidetaka; Fujihara, Kosuke; Ono, Sachiko

    2012-07-01

    The morphological change of silicon macropore arrays formed by metal-assisted chemical etching using shape-controlled Au thin film arrays was investigated during anisotropic chemical etching in tetramethylammonium hydroxide (TMAH) aqueous solution. After the deposition of Au as the etching catalyst on (111) silicon through a honeycomb mask prepared by sphere lithography, the specimens were etched in a mixed solution of HF and H2O2 at room temperature, resulting in the formation of ordered macropores in silicon along the [111] direction, which is not achievable by conventional chemical etching without a catalyst. In the anisotropic etching in TMAH, the macropores changed from being circular to being hexagonal and finally to being triangular, owing to the difference in etching rate between the crystal planes.

  16. Nanoporous Gallium Nitride Through Anisotropic Metal-Assisted Electroless Photochemical Wet Etching Technique

    NASA Astrophysics Data System (ADS)

    Perumal, R.; Hassan, Z.

    2016-12-01

    Nanoporous gallium nitride (GaN) has many potential applications in light-emitting diodes (LEDs), photovoltaics, templates and chemical sensors. This article reports the porosification of GaN through UV enhanced metal-assisted electroless photochemical wet etching technique using three different acid-based etchants and platinum served as catalyst for porosification. The etching process was conducted at room temperature for a duration of 90min. The morphological, structural, spectral and optical features of the developed porous GaN were studied with appropriate characterization techniques and the obtained results were presented. Field emission scanning electron micrographs exhibited the porosity nature along with excellent porous network of the etched samples. Structural studies confirmed the mono crystalline quality of the porous nanostructures. Raman spectral analyzes inferred the presenting phonon modes such as E2 (TO) and A1 (LO) in fabricated nanoporous structures. The resulted porous nanostructures hold the substantially enhanced photoluminescence intensity compared with the pristine GaN epitaxial film that is interesting and desirable for several advances in the applications of Nano-optoelectronic devices.

  17. Effect of oxygen plasma etching on pore size-controlled 3D polycaprolactone scaffolds for enhancing the early new bone formation in rabbit calvaria.

    PubMed

    Kook, Min-Suk; Roh, Hee-Sang; Kim, Byung-Hoon

    2018-05-02

    This study was to investigate the effects of O 2 plasma-etching of the 3D polycaprolactone (PCL) scaffold surface on preosteoblast cell proliferation and differentiation, and early new bone formation. The PCL scaffolds were fabricated by 3D printing technique. After O 2 plasma treatment, surface characterizations were examined by scanning electron microscopy, atomic force microscopy, and contact angle. MTT assay was used to determine cell proliferation. To investigate the early new bone formation, rabbits were sacrificed at 2 weeks for histological analyses. As the O 2 plasma etching time is increased, roughness and hydrophilicity of the PCL scaffold surface increased. The cell proliferation and differentiation on plasma-etched samples was significantly increased than on untreated samples. At 2 weeks, early new bone formation in O 2 plasma-etched PCL scaffolds was the higher than that of untreated scaffolds. The O 2 plasma-etched PCL scaffolds showed increased preosteoblast differentiation as well as increased new bone formation.

  18. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    NASA Technical Reports Server (NTRS)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  19. Evaluation of the bond strength of resin cements used to lute ceramics on laser-etched dentin.

    PubMed

    Giray, Figen Eren; Duzdar, Lale; Oksuz, Mustafa; Tanboga, Ilknur

    2014-07-01

    The purpose of this study was to investigate the shear bond strength (SBS) of two different adhesive resin cements used to lute ceramics on laser-etched dentin. Erbium, chromium: yttrium, scandium, gallium, garnet (Er,Cr:YSGG) laser irradiation has been claimed to improve the adhesive properties of dentin, but results to date have been controversial, and its compatibility with existing adhesive resin cements has not been conclusively determined. Two adhesive cements, one "etch-and-rinse" [Variolink II (V)] and one "self-etch" [Clearfil Esthetic Cement (C)] luting cement, were used to lute ceramic blocks (Vita Celay Blanks, Vita) onto dentin surfaces. In total, 80 dentin specimens were distributed randomly into eight experimental groups according to the dentin surface-etching technique used Er,Cr:YSGG laser and Er:YAG laser: (1) 37% orthophosphoric acid+V (control group), (2) Er,Cr:YSGG laser+V, (3) Er,Cr:YSGG laser+acid+V, (4) Er:YAG laser+V, (5) Er:YAG laser+acid+V, (6) C, (7) Er,Cr:YSGG laser+C, and (8) Er:YAG laser+C. Following these applications, the ceramic discs were bonded to prepared surfaces and were shear loaded in a universal testing machine until fracture. SBS was recorded for each group in MPa. Shear test values were evaluated statistically using the Mann-Whitney U test. No statistically significant differences were evident between the control group and the other groups (p>0.05). The Er,Cr:YSGG laser+A+V group demonstrated significantly higher SBS than did the Er,Cr:YSGG laser+V group (p=0.034). The Er,Cr:YSGG laser+C and Er:YAG laser+C groups demonstrated significantly lower SBS than did the C group (p<0.05). Dentin surfaces prepared with lasers may provide comparable ceramic bond strengths, depending upon the adhesive cement used.

  20. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    NASA Astrophysics Data System (ADS)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M. P.; Hihn, J. Y.

    2015-11-01

    Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  1. Anisotropic selective etching between SiGe and Si

    NASA Astrophysics Data System (ADS)

    Ishii, Yohei; Scott-McCabe, Ritchie; Yu, Alex; Okuma, Kazumasa; Maeda, Kenji; Sebastian, Joseph; Manos, Jim

    2018-06-01

    In Si/SiGe dual-channel FinFETs, it is necessary to simultaneously control the etched amounts of SiGe and Si. However, the SiGe etch rate is higher than the Si etch rate in not only halogen plasmas but also physical sputtering. In this study, we found that hydrogen plasma selectively etches Si over SiGe. The result shows that the selectivity of Si over SiGe can be up to 38 with increasing Ge concentration in SiGe. Attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) results indicate that hydrogen selectively bonds with Si rather than with Ge in SiGe. During the etching, hydrogen-induced Si surface segregation is also observed. It is also observed that the difference in etched amount between SiGe and Si can be controlled from positive to negative values even in Si/SiGe dual-channel fin patterning while maintaining the vertical profiles. Furthermore, no plasma-induced lattice damage was observed by transmission electron microscopy for both Si and SiGe fin sidewalls.

  2. ScAlN etch mask for highly selective silicon etching

    DOE PAGES

    Henry, Michael David; Young, Travis R.; Griffin, Ben

    2017-09-08

    Here, this work reports the utilization of a recently developed film, ScAlN, as a silicon etch mask offering significant improvements in high etch selectivity to silicon. Utilization of ScAlN as a fluorine chemistry based deep reactive ion etch mask demonstrated etch selectivity at 23 550:1, four times better than AlN, 11 times better than Al 2O 3, and 148 times better than silicon dioxide with significantly less resputtering at high bias voltage than either Al 2O 3 or AlN. Ellipsometry film thickness measurements show less than 0.3 nm/min mask erosion rates for ScAlN. Micromasking of resputtered Al for Al 2Omore » 3, AlN, and ScAlN etch masks is also reported here, utilizing cross-sectional scanning electron microscope and confocal microscope roughness measurements. With lower etch bias, the reduced etch rate can be optimized to achieve a trench bottom surface roughness that is comparable to SiO 2 etch masks. Etch mask selectivity enabled by ScAlN is likely to make significant improvements in microelectromechanical systems, wafer level packaging, and plasma dicing of silicon.« less

  3. Ultrasonic recovery of copper and iron through the simultaneous utilization of Printed Circuit Boards (PCB) spent acid etching solution and PCB waste sludge.

    PubMed

    Huang, Zhiyuan; Xie, Fengchun; Ma, Yang

    2011-01-15

    A method was developed to recover the copper and iron from Printed Circuit Boards (PCB) manufacturing generated spent acid etching solution and waste sludge with ultrasonic energy at laboratory scale. It demonstrated that copper-containing PCB spent etching solution could be utilized as a leaching solution to leach copper from copper contained PCB waste sludge. It also indicated that lime could be used as an alkaline precipitating agent in this method to precipitate iron from the mixture of acidic PCB spent etching solution and waste sludge. This method provided an effective technique for the recovery of copper and iron through simultaneous use of PCB spent acid solution and waste sludge. The leaching rates of copper and iron enhanced with ultrasound energy were reached at 93.76% and 2.07% respectively and effectively separated copper from iron. Followed by applying lime to precipitate copper from the mixture of leachate and rinsing water produced by the copper and iron separation, about 99.99% and 1.29% of soluble copper and calcium were settled as the solids respectively. Furthermore the settled copper could be made as commercial rate copper. The process performance parameters studied were pH, ultrasonic power, and temperature. This method provided a simple and reliable technique to recover copper and iron from waste streams generated by PCB manufacturing, and would significantly reduce the cost of chemicals used in the recovery. Copyright © 2010 Elsevier B.V. All rights reserved.

  4. Wide angle near-field optical probes by reverse tube etching.

    PubMed

    Patanè, S; Cefalì, E; Arena, A; Gucciardi, P G; Allegrini, M

    2006-04-01

    We present a simple modification of the tube etching process for the fabrication of fiber probes for near-field optical microscopy. It increases the taper angle of the probe by a factor of two. The novelty is that the fiber is immersed in hydrofluoric acid and chemically etched in an upside-down geometry. The tip formation occurs inside the micrometer tube cavity formed by the polymeric jacket. By applying this approach, called reverse tube etching, to multimode fibers with 200/250 microm core/cladding diameter, we have fabricated tapered regions featuring high surface smoothness and average cone angles of approximately 30 degrees . A simple model based on the crucial role of the gravity in removing the etching products, explains the tip formation process.

  5. Plasma/Neutral-Beam Etching Apparatus

    NASA Technical Reports Server (NTRS)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  6. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    NASA Technical Reports Server (NTRS)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  7. Trends in Dielectric Etch for Microelectronics Processing

    NASA Astrophysics Data System (ADS)

    Hudson, Eric A.

    2003-10-01

    Dielectric etch technology faces many challenges to meet the requirements for leading-edge microelectronics processing. The move to sub 100-nm device design rules increases the aspect ratios of certain features, imposes tighter restrictions on etched features' critical dimensions, and increases the density of closely packed arrays of features. Changes in photolithography are driving transitions to new photoresist materials and novel multilayer resist methods. The increasing use of copper metallization and low-k interlayer dielectric materials has introduced dual-damascene integration methods, with specialized dielectric etch applications. A common need is the selective removal of multiple layers which have very different compositions, while maintaining close control of the etched features' profiles. To increase productivity, there is a growing trend toward in-situ processing, which allows several films to be successively etched during a single pass through the process module. Dielectric etch systems mainly utilize capacitively coupled etch reactors, operating with medium-density plasmas and low gas residence time. Commercial technology development increasingly relies upon plasma diagnostics and modeling to reduce development cycle time and maximize performance.

  8. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    PubMed

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  9. Method for etching thin films of niboium and niobium-containing compounds for preparing superconductive circuits

    DOEpatents

    Kampwirth, R.T.; Schuller, I.K.; Falco, C.M.

    1979-11-23

    An improved method of preparing thin film superconducting electrical circuits of niobium or niobium compounds is provided in which a thin film of the niobium or niobium compound is applied to a nonconductive substrate and covered with a layer of photosensitive material. The sensitive material is in turn covered with a circuit pattern exposed and developed to form a mask of the circuit in photoresistive material on the surface of the film. The unmasked excess niobium film is removed by contacting the substrate with an aqueous etching solution of nitric acid, sulfuric acid, and hydrogen fluoride, which will rapidly etch the niobium compound without undercutting the photoresist. A modification of the etching solution will permit thin films to be lifted from the substrate without further etching.

  10. Silicon vertical microstructure fabrication by catalytic etching

    NASA Astrophysics Data System (ADS)

    Huang, Mao-Jung; Yang, Chii-Rong; Chang, Chun-Ming; Chu, Nien-Nan; Shiao, Ming-Hua

    2012-08-01

    This study presents an effective, simple and inexpensive process for forming micro-scale vertical structures on a (1 0 0) silicon wafer. Several modified etchants and micro-patterns including rectangular, snake-like, circular and comb patterns were employed to determine the optimum etching process. We found that an etchant solution consisting of 4.6 M hydrofluoric acid, 0.44 M hydrogen peroxide and isopropyl alcohol produces microstructures at an etching rate of 0.47 µm min-1 and surface roughness of 17.4 nm. All the patterns were transferred faithfully to the silicon substrate.

  11. Comparative efficacy of Er,Cr:YSGG and Er:YAG lasers for etching of composite for orthodontic bracket bonding.

    PubMed

    Mirhashemi, Amir Hossein; Chiniforush, Nasim; Sharifi, Nastaran; Hosseini, Amir Mehdi

    2018-05-01

    Several techniques have been proposed to obtain a durable bond, and the efficacy of these techniques is assessed by measuring parameters such as bond strength. Laser has provided a bond strength as high as that of acid etching in vitro and has simpler use with shorter clinical time compared to acid etching. This study aimed to compare the efficacy of Er:YAG and Er,Cr:YSGG lasers for etching and bonding of composite to orthodontic brackets. No previous study has evaluated the effect of these particular types of laser. A total of 70 composite blocks were randomly divided into five groups (n = 14): group 1, etching with phosphoric acid for 20 s; group 2, Er:YAG laser irradiation with 2 W power for 10 s; group 3, Er:YAG laser with 3 W power for 10 s; group 4, Er,Cr:YSGG laser with 2 W power for 10 s; group 5, Er,Cr:YSGG laser with 3 W power for 10 s. Metal brackets were then bonded to composites, and after 5000 thermal cycles, they were subjected to shear bond strength test in a universal testing machine after 24 h of water storage. One sample of each group was evaluated under a scanning electron microscope (SEM) to assess changes in composite surface after etching. The adhesive remnant index (ARI) was calculated under a stereomicroscope. Data were statistically analyzed. The mean and standard deviation of shear bond strength were 18.65 ± 3.36, 19.68 ± 5.34, 21.31 ± 4.03, 17.38 ± 6.94, and 16.45 ± 4.26 MPa in groups 1-5, respectively. The ARI scores showed that the bond failure mode in all groups was mainly mixed. The groups were not significantly different in terms of shear bond strength. Er:YAG and Er,Cr:YSGG lasers with the mentioned parameters yield optimal shear bond strength and can be used as an alternative to acid etching for bracket bond to composite.

  12. Toward a durable superhydrophobic aluminum surface by etching and ZnO nanoparticle deposition.

    PubMed

    Rezayi, Toktam; Entezari, Mohammad H

    2016-02-01

    Fabrication of suitable roughness is a fundamental step for acquiring superhydrophobic surfaces. For this purpose, a deposition of ZnO nanoparticles on Al surface was carried out by simple immersion and ultrasound approaches. Then, surface energy reduction was performed using stearic acid (STA) ethanol solution for both methods. The results demonstrated that ultrasound would lead to more stable superhydrophobic Al surfaces (STA-ZnO-Al-U) in comparison with simple immersion method (STA-ZnO-Al-I). Besides, etching in HCl solution in another sample was carried out before ZnO deposition for acquiring more mechanically stable superhydrophobic surface. The potentiodynamic measurements demonstrate that etching in HCl solution under ultrasound leads to superhydrophobic surface (STA-ZnO-Al(E)-U). This sample shows remarkable decrease in corrosion current density (icorr) and long-term stability improvement versus immersion in NaCl solution (3.5%) in comparison with the sample prepared without etching (STA-ZnO-Al-U). Scanning electron micrograph (SEM) and energy-dispersive X-ray spectroscopy (EDX) confirmed a more condense and further particle deposition on Al substrate when ultrasound was applied in the system. The crystallite evaluation of deposited ZnO nanoparticles was carried out using X-ray diffractometer (XRD). Finally, for STA grafting verification on Al surface, Fourier transform infrared in conjunction with attenuated total reflection (FTIR-ATR) was used as a proper technique. Copyright © 2015 Elsevier Inc. All rights reserved.

  13. Formation of Micro- and Nanostructures on the Nanotitanium Surface by Chemical Etching and Deposition of Titania Films by Atomic Layer Deposition (ALD)

    PubMed Central

    Nazarov, Denis V.; Zemtsova, Elena G.; Valiev, Ruslan Z.; Smirnov, Vladimir M.

    2015-01-01

    In this study, an integrated approach was used for the preparation of a nanotitanium-based bioactive material. The integrated approach included three methods: severe plastic deformation (SPD), chemical etching and atomic layer deposition (ALD). For the first time, it was experimentally shown that the nature of the etching medium (acidic or basic Piranha solutions) and the etching time have a significant qualitative impact on the nanotitanium surface structure both at the nano- and microscale. The etched samples were coated with crystalline biocompatible TiO2 films with a thickness of 20 nm by Atomic Layer Deposition (ALD). Comparative study of the adhesive and spreading properties of human osteoblasts MG-63 has demonstrated that presence of nano- and microscale structures and crystalline titanium oxide on the surface of nanotitanium improve bioactive properties of the material. PMID:28793716

  14. Enamel and dentin bond strengths of a new self-etch adhesive system.

    PubMed

    Walter, Ricardo; Swift, Edward J; Boushell, Lee W; Braswell, Krista

    2011-12-01

    statement of problem:  Self-etch adhesives typically are mildly acidic and therefore less effective than etch-and-rinse adhesives for bonding to enamel.   The purpose of this study was to evaluate the enamel and dentin shear bond strengths of a new two-step self-etch adhesive system, OptiBond XTR (Kerr Corporation, Orange, CA, USA).   The labial surfaces of 80 bovine teeth were ground to create flat, 600-grit enamel or dentin surfaces. Composite was bonded to enamel or dentin using the new two-step self-etch system or a three-step etch-and-rinse (OptiBond FL, Kerr), two-step self-etch (Clearfil SE Bond, Kuraray America, Houston, TX, USA), or one-step self-etch adhesive (Xeno IV, Dentsply Caulk, Milford, DE, USA). Following storage in water for 24 hours, shear bond strengths were determined using a universal testing machine. The enamel and dentin data sets were subjected to separate analysis of variance and Tukey's tests. Scanning electron microscopy was used to evaluate the effects of each system on enamel.   Mean shear bond strengths to enamel ranged from 18.1 MPa for Xeno IV to 41.0 MPa for OptiBond FL. On dentin, the means ranged from 33.3 MPa for OptiBond FL to 47.1 MPa for Clearfil SE Bond. OptiBond XTR performed as well as Clearfil SE Bond on dentin and as well as OptiBond FL on enamel. Field emission scanning electron microscope revealed that OptiBond XTR produced an enamel etch pattern that was less defined than that of OptiBond FL (37.5% phosphoric acid) but more defined than that of Clearfil SE Bond or Xeno IV.   The new two-step self-etch adhesive system formed excellent bonds to enamel and dentin in vitro. OptiBond XTR, a new two-step self-etch adhesive system, is a promising material for bonding to enamel as well as to dentin. © 2011 Wiley Periodicals, Inc.

  15. Comparison of shear bond strength and surface structure between conventional acid etching and air-abrasion of human enamel.

    PubMed

    Olsen, M E; Bishara, S E; Damon, P; Jakobsen, J R

    1997-11-01

    Recently, air-abrasion technology has been examined for potential applications within dentistry, including the field of orthodontics. The purpose of this study was to compare the traditional acid-etch technique with an air-abrasion surface preparation technique, with two different sizes of abrading particles. The following parameters were evaluated: (a) shear bond strength, (b) bond failure location, and (c) enamel surface preparation, as viewed through a scanning electron microscope. Sixty extracted human third molars were pumiced and divided into three groups of 20. The first group was etched with a 37% phosphoric acid gel for 30 seconds, rinsed for 30 seconds, and dried for 20 seconds. The second and third groups were air-abraded with (a) a 50 microm particle and (b) a 90 microm particle of aluminum oxide, with the Micro-etcher microabrasion machine (Danville Engineering Inc.). All three groups had molar stainless steel orthodontic brackets bonded to the buccal surface of each tooth with Transbond XT bonding system (3M Unitek). A Zwick Universal Testing Machine (Calitek Corp.) was used to determine shear bond strengths. The analysis of variance was used to compare the three groups. The Adhesive Remnant Index (ARI) was used to evaluate the residual adhesive on the enamel after bracket removal. The chi square test was used to evaluate differences in the ARI scores among the groups. The significance for all tests was predetermined at p < or = 0.05. The results indicated that there was a significant difference in shear bond strength among the three groups (p = 0.0001). The Duncan Multiple Range test showed a significant decrease in shear bond strength in the air-abraded groups. The chi square test revealed significant differences among the ARI scores of the acid-etched group and the air-abraded groups (chi(2) = 0.0001), indicating no adhesive remained on the enamel surface after debonding when air-abrasion was used. In conclusion, the current findings indicate that

  16. Introduction of pre-etch deposition techniques in EUV patterning

    NASA Astrophysics Data System (ADS)

    Xiang, Xun; Beique, Genevieve; Sun, Lei; Labonte, Andre; Labelle, Catherine; Nagabhirava, Bhaskar; Friddle, Phil; Schmitz, Stefan; Goss, Michael; Metzler, Dominik; Arnold, John

    2018-04-01

    The thin nature of EUV (Extreme Ultraviolet) resist has posed significant challenges for etch processes. In particular, EUV patterning combined with conventional etch approaches suffers from loss of pattern fidelity in the form of line breaks. A typical conventional etch approach prevents the etch process from having sufficient resist margin to control the trench CD (Critical Dimension), minimize the LWR (Line Width Roughness), LER (Line Edge Roughness) and reduce the T2T (Tip-to-Tip). Pre-etch deposition increases the resist budget by adding additional material to the resist layer, thus enabling the etch process to explore a wider set of process parameters to achieve better pattern fidelity. Preliminary tests with pre-etch deposition resulted in blocked isolated trenches. In order to mitigate these effects, a cyclic deposition and etch technique is proposed. With optimization of deposition and etch cycle time as well as total number of cycles, it is possible to open the underlying layers with a beneficial over etch and simultaneously keep the isolated trenches open. This study compares the impact of no pre-etch deposition, one time deposition and cyclic deposition/etch techniques on 4 aspects: resist budget, isolated trench open, LWR/LER and T2T.

  17. Method of plasma etching Ga-based compound semiconductors

    DOEpatents

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  18. Use of hydrogen etching to remove existing dislocations in GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Yeh, Yen-Hsien; Chu, Chung-Ming; Wu, Yin-Hao; Hsu, Ying-Chia; Yu, Tzu-Yi; Lee, Wei-I.

    2015-08-01

    In this paper, based on the anisotropic nature of hydrogen (H2) etching on GaN, we describe a new approach to the removal of threading dislocations in GaN layers. The top surfaces of c-plane (Ga-face) and a-plane GaNs are considered stable in H2; therefore, H2 etches only crystal imperfections such as dislocation and basal plane stacking fault (BSF) sites. We used H2 to etch undoped c-plane GaN, n-type c-plane GaN, a-plane GaN, and an InGaN/GaN multiple quantum well structure. Several examinations were performed, indicating deep cavities on the c-plane GaN samples after H2 etching; furthermore, gorge-like grooves were observed on the a-plane GaN samples. The deep cavities on the c-plane GaN were considered the etched dislocation sites, and the gorge-like grooves on the a-plane GaN were considered the etched BSF sites. Photoluminescence measurements were performed and the results indicated that the H2-etched samples demonstrate superior optoelectronic properties, probably because of the elimination of dislocations.

  19. Electrowetting Lens Employing Hemispherical Cavity Formed by Hydrofluoric Acid, Nitric Acid, and Acetic Acid Etching of Silicon

    NASA Astrophysics Data System (ADS)

    Lee, June Kyoo; Choi, Ju Chan; Jang, Won Ick; Kim, Hak-Rin; Kong, Seong Ho

    2012-06-01

    We demonstrate the design of an electrowetting lens employing a high-aspect-ratio hemispherical lens cavity and its micro-electro-mechanical-system (MEMS) fabrication process in this study. Our preliminary simulation results showed that the physical and electrical durability of the lens can be improved by the mitigation of stresses on the insulator at the hemispherical cavity. High-aspect-ratio hemispherical cavities with various diameters and very smooth sidewall surfaces were uniformly fabricated on a silicon wafer by a sophisticated isotropic wet etching technique. Moreover, we experimentally investigated the optical properties of the MEMS-based electrowetting lens with the proposed cavity. Two immiscible liquids in the proposed lens cavity were electrostatically controlled with negligible optical distortion and low focal-length hysteresis due to the fully axis-symmetrical geometry and smooth sidewall of the cavity.

  20. Effect of hydrofluoric acid surface treatments on micro-shear bond strength of CAD/CAM ceramics

    PubMed Central

    Mokhtarpour, Faraneh; Alaghehmand, Homayoon; Khafri, Soraya

    2017-01-01

    Introduction Dental ceramics are appreciated as highly esthetic restorative materials that can simulate the appearance of natural dentition better than other materials. The aim of this study was to evaluate the effect of hydrofluoric acid concentration and etching time on micro-shear bond strength (μSBS) to IPS e.max CAD and Vita Mark II of a dual cured resin cement (Panavia F2.0). Methods This study was an experimental in vitro study, performed in the dental material research center of Babol University of Medical Sciences in 2016. Two hydrofluoric acid concentrations (5% and 10%) and three different etching times (20, 60 and 120 seconds) were used to etch the specimens respectively. A silane coupling agent (Clearfil porcelain activator) and priming and bonding agent (Clearfil SE bond) were used on the etched surfaces in accordance to the manufacturer’s instructions of use. Then resin cement was applied on the prepared ceramic surfaces and light cured. μSBS between resin cement and the porcelains were measured with a universal testing machine. Mode of failure was observed with 40× magnification by means of a Stereo microscope. Data were analyzed with ANOVA and independent-samples t-test and Chi-square tests. Results In both e.max and Vita Mark II groups, μSBS were not significantly different when different etching times (one-way ANOVA) and HF acid concentrations (Independent-samples t-test) were used (p>0.05), but the highest μSBS was shown in e.max specimens etched 60 s with 5% HF and Vita Mark II specimens etched 20 s with 10% HF. μSBS of e.max was significantly higher than Vita Mark II (p=0.00). Conclusion Best surface treatment for e.max and Vita Mark II ceramics is 20 s etch using 5 % hydrofluoric acid. PMID:29238488

  1. Chemical Composition of Nanoporous Layer Formed by Electrochemical Etching of p-Type GaAs.

    PubMed

    Bioud, Youcef A; Boucherif, Abderraouf; Belarouci, Ali; Paradis, Etienne; Drouin, Dominique; Arès, Richard

    2016-12-01

    We have performed a detailed characterization study of electrochemically etched p-type GaAs in a hydrofluoric acid-based electrolyte. The samples were investigated and characterized through cathodoluminescence (CL), X-ray diffraction (XRD), energy-dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). It was found that after electrochemical etching, the porous layer showed a major decrease in the CL intensity and a change in chemical composition and in the crystalline phase. Contrary to previous reports on p-GaAs porosification, which stated that the formed layer is composed of porous GaAs, we report evidence that the porous layer is in fact mainly constituted of porous As 2 O 3 . Finally, a qualitative model is proposed to explain the porous As 2 O 3 layer formation on p-GaAs substrate.

  2. Optical fiber nanoprobe preparation for near-field optical microscopy by chemical etching under surface tension and capillary action.

    PubMed

    Mondal, Samir K; Mitra, Anupam; Singh, Nahar; Sarkar, S N; Kapur, Pawan

    2009-10-26

    We propose a technique of chemical etching for fabrication of near perfect optical fiber nanoprobe (NNP). It uses photosensitive single mode optical fiber to etch in hydro fluoric (HF) acid solution. The difference in etching rate for cladding and photosensitive core in HF acid solution creates capillary ring along core-cladding boundary under a given condition. The capillary ring is filled with acid solution due to surface tension and capillary action. Finally it creates near perfect symmetric tip at the apex of the fiber as the height of the acid level in capillary ring decreases while width of the ring increases with continuous etching. Typical tip features are short taper length (approximately 4 microm), large cone angle (approximately 38 degrees ), and small probe tip dimension (<100 nm). A finite difference time domain (FDTD) analysis is also presented to compare near field optics of the NNP with conventional nanoprobe (CNP). The probe may be ideal for near field optical imaging and sensor applications.

  3. Effect of helium ion beam treatment on wet etching of silicon dioxide

    NASA Astrophysics Data System (ADS)

    Petrov, Yu. V.; Grigoryev, E. A.; Sharov, T. V.; Baraban, A. P.

    2018-03-01

    We investigated the effect of helium ion beam treatment on the etching rate of silicon dioxide in a water based solution of hydrofluoric acid. A 460-nm-thick silicon dioxide film on silicon was irradiated with helium ions having energies of 20 keV and 30 keV with ion fluences ranging from 1014 cm-2 to 1017 cm-2. The dependence of the etching rate on depth was obtained and compared with the depth distribution of ion-induced defects, which was obtained from numerical simulation. Irradiation with helium ions results in an increase of the etching rate of silicon dioxide. The dependence of the etching rate on the calculated concentration of ion-induced defects is described.

  4. Evaluation of different types of enamel conditioning before application of a fissure sealant.

    PubMed

    Ciucchi, Philip; Neuhaus, Klaus W; Emerich, Marta; Peutzfeldt, Anne; Lussi, Adrian

    2015-01-01

    The aim of the study was to compare fissure sealant quality after mechanical conditioning of erbium-doped yttrium aluminium garnet (Er:YAG) laser or air abrasion prior to chemical conditioning of phosphoric acid etching or of a self-etch adhesive. Twenty-five permanent molars were initially divided into three groups: control group (n = 5), phosphoric acid etching; test group 1 (n = 10), air abrasion; and test group 2, (n = 10) Er:YAG laser. After mechanical conditioning, the test group teeth were sectioned buccolingually and the occlusal surface of one half tooth (equal to one sample) was acid etched, while a self-etch adhesive was applied on the other half. The fissure system of each sample was sealed, thermo-cycled and immersed in 5% methylene dye for 24 h. Each sample was sectioned buccolingually, and one slice was analysed microscopically. Using specialized software microleakage, unfilled margin, sealant failure and unfilled area proportions were calculated. A nonparametric ANOVA model was applied to compare the Er:YAG treatment with that of air abrasion and the self-etch adhesive with phosphoric acid (α = 0.05). Test groups were compared to the control group using Wilcoxon rank sum tests (α = 0.05). The control group displayed significantly lower microleakage but higher unfilled area proportions than the Er:YAG laser + self-etch adhesive group and displayed significantly higher unfilled margin and unfilled area proportions than the air-abrasion + self-etch adhesive group. There was no statistically significant difference in the quality of sealants applied in fissures treated with either Er:YAG laser or air abrasion prior to phosphoric acid etching, nor in the quality of sealants applied in fissures treated with either self-etch adhesive or phosphoric acid following Er:YAG or air-abrasion treatment.

  5. Reactive ion etched substrates and methods of making and using

    DOEpatents

    Rucker, Victor C [San Francisco, CA; Shediac, Rene [Oakland, CA; Simmons, Blake A [San Francisco, CA; Havenstrite, Karen L [New York, NY

    2007-08-07

    Disclosed herein are substrates comprising reactive ion etched surfaces and specific binding agents immobilized thereon. The substrates may be used in methods and devices for assaying or isolating analytes in a sample. Also disclosed are methods of making the reactive ion etched surfaces.

  6. Is laser conditioning a valid alternative to conventional etching for aesthetic brackets?

    PubMed

    Sfondrini, M F; Calderoni, G; Vitale, M C; Gandini, P; Scribante, A

    2018-03-01

    ER:Yag lasers have been described as a more conservative alternative to conventional acid-etching enamel conditioning technique, when bonding conventional metallic orthodontic brackets. Since the use of aesthetic orthodontic brackets is constantly increasing, the purpose of the present report has been to test laser conditioning with different aesthetic brackets. Study Design: Five different aesthetic brackets (microfilled copolymer, glass fiber, sapphire, polyoxymethylene and sintered ceramic) were tested for shear bond strength and Adhesive Remnant Index scores using two different enamel conditioning techniques (acid etching and ER:Yag laser application). Two hundred bovine incisors were extracted, cleaned and embedded in resin. Specimens were then divided into 10 groups with random tables. Half of the specimens were conditioned with conventional orthophosphoric acid gel, the other half with ER:Yag laser. Different aesthetic brackets (microfilled copolymer, glass fiber, sapphire, polyoxymethylene and sintered ceramic) were then bonded to the teeth. Subsequently all groups were tested in shear mode with a Universal Testing Machine. Shear bond strength values and adhesive remnant index scores were recorded. Statistical analysis was performed. When considering conventional acid etching technique, sapphire, polyoxymethylene and sintered ceramic brackets exhibited the highest SBS values. Lowest values were reported for microfilled copolymer and glass fiber appliances. A significant decrease in SBS values after laser conditioning was reported for sapphire, polyoxymethylene and sintered ceramic brackets, whereas no significant difference was reported for microfilled copolymer and glass fiber brackets. Significant differences in ARI scores were also reported. Laser etching can significantly reduce bonding efficacy of sapphire, polyoxymethylene and sintered ceramic brackets.

  7. Chlorhexidine controlled-release profile after EDTA root surface etching: an in vivo study.

    PubMed

    Gamal, Ahmed Y; Kumper, Radi M; Sadek, Hesham S; El Destawy, Mahmoud T

    2011-05-01

    The main objective of the present study was to quantify chlorhexidine (CHX) release after the use of CHX-EDTA root surface treatment as a local-delivery antimicrobial vehicle. Twenty non-smoking patients clinically diagnosed as having moderate-to-severe chronic periodontitis were selected to participate in this study. After cause-related therapy, one site in every patient received defect overfill with CHX gel 2% (20 sites). In addition, twenty contralateral sites received defect fill of CHX gel after 3 minutes of 24% EDTA gel root surface etching (20 sites). Gingival crevicular fluid samples were collected at 1, 3, 7, and 14 days post-therapy. The CHX-EDTA group showed statistically significantly higher levels of CHX than those of the control group at 1, 3, and 7 days. At 14 days, the CHX-EDTA group showed 0.8 mg/mL values. The use of CHX-EDTA root surface treatment as a local-delivery antimicrobial improves CHX substantivity.

  8. X-ray photoelectron spectroscopy study of chemically-etched Nd-Ce-Cu-O surfaces

    NASA Technical Reports Server (NTRS)

    Vasquez, R. P.; Gupta, A.; Kussmaul, A.

    1991-01-01

    Acetic acid, Br2, and HCl solutions are investigated for removing insulating species from Nd(1.85)Ce(0.15)CuO(4-delta) (NCCO) thin film surfaces. X-ray photoelectron spectroscopy (XPS) shows that the HCl etch is most effective, yielding O 1s spectra comparable to those obtained from samples cleaned in vacuum and a clear Fermi edge in the valence band region. Reduction and oxidation reversibly induces and eliminates, respectively, Fermi level states for undoped samples, but has no clearly observable effect on the XPS spectra for doped samples. Reactivity to air is much less for NCCO compared to hole superconductors, which is attributed to the lack of reactive alkaline earth elements in NCCO.

  9. Comparison of shear bond strength of universal adhesives on etched and nonetched enamel.

    PubMed

    Beltrami, Riccardo; Chiesa, Marco; Scribante, Andrea; Allegretti, Jessica; Poggio, Claudio

    2016-04-06

    The purpose of this study was to evaluate the effect of surface pretreatment with 37% phosphoric acid on the enamel bond strength of different universal adhesives. One hundred and sixty bovine permanent mandibular incisors freshly extracted were used as a substitute for human teeth. The materials tested in this study included 6 universal adhesives, and 2 self-etch adhesives as control. The teeth were assigned into 2 groups: In the first group, etching was performed using 37% phosphoric acid for 30 seconds. In the second group, no pretreatment agent was applied. After adhesive application, a nanohybrid composite resin was inserted into the enamel surface by packing the material into cylindrical-shaped plastic matrices. After storing, the specimens were placed in a universal testing machine. The normality of the data was calculated using the Kolmogorov-Smirnov test. Analysis of variance (ANOVA) was applied to determine whether significant differences in debond strength values existed among the various groups. Groups with phosphoric acid pretreatment showed significantly higher shear bond strength values than groups with no enamel pretreatment (p<0.001). No significant variation in shear strength values was detected when comparing the different adhesive systems applied onto enamel after orthophosphoric acid application (p>0.05). All adhesives provide similar bond strength values when enamel pretreatment is applied even if compositions are different. Bond strength values are lower than promised by manufacturers.

  10. Selectively-etched nanochannel electrophoretic and electrochemical devices

    DOEpatents

    Surh, Michael P.; Wilson, William D.; Barbee, Jr., Troy W.; Lane, Stephen M.

    2004-11-16

    Nanochannel electrophoretic and electrochemical devices having selectively-etched nanolaminates located in the fluid transport channel. The normally flat surfaces of the nanolaminate having exposed conductive (metal) stripes are selectively-etched to form trenches and baffles. The modifications of the prior utilized flat exposed surfaces increase the amount of exposed metal to facilitate electrochemical redox reaction or control the exposure of the metal surfaces to analytes of large size. These etched areas variously increase the sensitivity of electrochemical detection devices to low concentrations of analyte, improve the plug flow characteristic of the channel, and allow additional discrimination of the colloidal particles during cyclic voltammetry.

  11. Selectively-etched nanochannel electrophoretic and electrochemical devices

    DOEpatents

    Surh, Michael P [Livermore, CA; Wilson, William D [Pleasanton, CA; Barbee, Jr., Troy W.; Lane, Stephen M [Oakland, CA

    2006-06-27

    Nanochannel electrophoretic and electrochemical devices having selectively-etched nanolaminates located in the fluid transport channel. The normally flat surfaces of the nanolaminate having exposed conductive (metal) stripes are selectively-etched to form trenches and baffles. The modifications of the prior utilized flat exposed surfaces increase the amount of exposed metal to facilitate electrochemical redox reaction or control the exposure of the metal surfaces to analytes of large size. These etched areas variously increase the sensitivity of electrochemical detection devices to low concentrations of analyte, improve the plug flow characteristic of the channel, and allow additional discrimination of the colloidal particles during cyclic voltammetry.

  12. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    NASA Astrophysics Data System (ADS)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (<30 sec), comparable with the annealing times necessary for dopant activation of p-GaN films and provides an opportunity for streamlining process flow. Plasma etching degrades contact quality on n-GaN films and this degradation has been found to increase with the rf bias levels (ion energies) used, most notably in films with higher doping levels. Immersion in 1:1 mixture of hydrochloric acid and de-ionized water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer

  13. Effect of various surface treatment methods on the bond strength of the heat-pressed ceramic samples.

    PubMed

    Saraçoğlu, A; Cura, C; Cötert, H S

    2004-08-01

    This in vitro study was conducted to evaluate the interaction between the shear bond strength and the surface treatment method for a commercial dental ceramic. Ninety bonded ceramic units were manufactured for this study. Each unit was made by luting two cylinder-shaped ceramic samples to each other with a resin-composite luting agent. The units were then divided into nine groups, containing 10 units in each group. Samples from each group were treated with one of the following: etching with 4.9% hydrofluoric acid for 10, 20 and 40 s, 9.5% hydrofluoric acid for 10, 20 and 40 s, 40% orthophosphoric acid for 40 s, air abrasion with alumina in 50-microm particles, and grinding with a high-speed diamond bur. The treated samples were then silanated and luted with a resin-composite luting agent. The luted units were then loaded to failure. Two samples from each group were neither silanated nor luted after the surface treatment procedure, and morphological changes obtained by various surface treatment regimens were investigated by scanning electron microscopy. A statistically significant difference was observed among the mean shear bond strengths of the groups prepared with different surface treatment techniques (P = 0.00). Hydrofluoric acid appeared to be the most suitable chemical medium to produce a reliable ceramic bond. Etching time and concentration of the acidic medium were also observed as important prognostic variates. Orthophosphoric acid treatment was observed to be the least effective surface treatment method on the heat-pressed ceramic samples. Physical applications such as bur grinding and air blasting maintained stronger bonds than the orthophosphoric acid, while producing weaker bonds than surfaces treated with hydrofluoric acid in all concentrations and etching periods. The effect of the silane priming agent was not considered in this study. Copyright 2004 Blackwell Publishing Ltd.

  14. Enzyme-enhanced fluorescence detection of DNA on etched optical fibers.

    PubMed

    Niu, Shu-yan; Li, Quan-yi; Ren, Rui; Zhang, Shu-sheng

    2009-05-15

    A novel DNA biosensor based on enzyme-enhanced fluorescence detection on etched optical fibers was developed. The hybridization complex of DNA probe and biotinylated target was formed on the etched optical fiber, and was then bound with streptavidin labeled horseradish peroxidase (streptavidin-HRP). The target DNA was quantified through the fluorescent detection of bi-p,p'-4-hydroxyphenylacetic acid (DBDA) generated from the substrate 4-hydroxyphenylacetic acid (p-HPA) under the catalysis of HRP, with a detection limit of 1 pM and a linear range from 1.69 pM to 169 pM. It is facile to regenerate this sensor through surface treatment with concentrated urea solution. It was discovered that the sensor can retain 70% of its original activity after three detection-regeneration cycles.

  15. Effects of self-etching primer on shear bond strength of orthodontic brackets at different debond times.

    PubMed

    Turk, Tamer; Elekdag-Turk, Selma; Isci, Devrim

    2007-01-01

    To evaluate the effect of a self-etching primer on shear bond strengths (SBS) at the different debond times of 5, 15, 30, and 60 minutes and 24 hours. Brackets were bonded to human premolars with different etching protocols. In the control group (conventional method [CM]) teeth were etched with 37% phosphoric acid. In the study group, a self-etching primer (SEP; Transbond Plus Self Etching Primer; 3M Unitek, Monrovia, Calif) was applied as recommended by the manufacturer. Brackets were bonded with light-cure adhesive paste (Transbond XT; 3M Unitek) and light-cured for 20 seconds in both groups. The shear bond test was performed at the different debond times of 5, 15, 30 and 60 minutes and 24 hours. Lowest SBS was attained with a debond time of 5 minutes for the CM group (9.51 MPa) and the SEP group (8.97 MPa). Highest SBS was obtained with a debond time of 24 hours for the CM group (16.82 MPa) and the SEP group (19.11 MPa). Statistically significant differences between the two groups were not observed for debond times of 5, 15, 30, or 60 minutes. However, the SBS values obtained at 24 hours were significantly different (P < .001). Adequate SBS was obtained with self-etching primer during the first 60 minutes (5, 15, 30 and 60 minutes) when compared with the conventional method. It is reliable to load the bracket 5 minutes after bonding using self-etching primer (Transbond Plus) with the light-cure adhesive (Transbond XT).

  16. Improved bonding of adhesive resin to sintered porcelain with the combination of acid etching and a two-liquid silane conditioner.

    PubMed

    Kato, H; Matsumura, H; Ide, T; Atsuta, M

    2001-01-01

    This study determined the bond strengths of adhesive resins joined to a feldspathic porcelain (VMK 68) for the purpose of developing the most durable surface preparation for the porcelain. Three porcelain surfaces-ground, air-abraded with alumina, and etched with hydrofluoric acid-were prepared. A two-liquid porcelain conditioner that contained both 4-methacryloyloxyethyl trimellitate anhydride (4-META) and a silane coupler (Porcelain Liner M) was used as the priming agent. Each of the two liquid components of the conditioner was also used individually in order to examine the effects of the respective chemical ingredients on adhesive bonding. Two methyl methacrylate (MMA)-based resins initiated with tri-n-butylborane (TBB) either with or without 4-META (MMA-TBB and 4-META/MMA-TBB resins) were used as the luting agents. Shear bond strengths were determined both before and after thermocycling. Shear testing results indicated that thermocycling was effective for disclosing poor bonding systems, and that both mechanical and chemical retention were indispensable for bonding the porcelain. Of the combinations assessed, etching with hydrofluoric acid followed by two-liquid priming with the Porcelain Liner M material generated the most durable bond strength (33.3 MPa) for the porcelain bonded with the 4-META/MMA-TBB resin (Super-Bond C&B).

  17. Effects of Dextrose and Lipopolysaccharide on the Corrosion Behavior of a Ti-6Al-4V Alloy with a Smooth Surface or Treated with Double-Acid-Etching

    PubMed Central

    Faverani, Leonardo P.; Assunção, Wirley G.; de Carvalho, Paulo Sérgio P.; Yuan, Judy Chia-Chun; Sukotjo, Cortino; Mathew, Mathew T.; Barao, Valentim A.

    2014-01-01

    Diabetes and infections are associated with a high risk of implant failure. However, the effects of such conditions on the electrochemical stability of titanium materials remain unclear. This study evaluated the corrosion behavior of a Ti-6Al-4V alloy, with a smooth surface or conditioned by double-acid-etching, in simulated body fluid with different concentrations of dextrose and lipopolysaccharide. For the electrochemical assay, the open-circuit-potential, electrochemical impedance spectroscopy, and potentiodynamic test were used. The disc surfaces were characterized by scanning electron microscopy and atomic force microscopy. Their surface roughness and Vickers microhardness were also tested. The quantitative data were analyzed by Pearson's correlation and independent t-tests (α = 0.05). In the corrosion parameters, there was a strong lipopolysaccharide correlation with the Ipass (passivation current density), Cdl (double-layer capacitance), and Rp (polarization resistance) values (p<0.05) for the Ti-6Al-4V alloy with surface treatment by double-acid-etching. The combination of dextrose and lipopolysaccharide was correlated with the Icorr (corrosion current density) and Ipass (p<0.05). The acid-treated groups showed a significant increase in Cdl values and reduced Rp values (p<0.05, t-test). According to the topography, there was an increase in surface roughness (R2 = 0.726, p<0.0001 for the smooth surface; R2 = 0.405, p = 0.036 for the double-acid-etching-treated surface). The microhardness of the smooth Ti-6Al-4V alloy decreased (p<0.05) and that of the treated Ti-6Al-4V alloy increased (p<0.0001). Atomic force microscopy showed changes in the microstructure of the Ti-6Al-4V alloy by increasing the surface thickness mainly in the group associated with dextrose and lipopolysaccharide. The combination of dextrose and lipopolysaccharide affected the corrosion behavior of the Ti-6Al-4V alloy surface treated with double-acid-etching. However, no

  18. Effects of dextrose and lipopolysaccharide on the corrosion behavior of a Ti-6Al-4V alloy with a smooth surface or treated with double-acid-etching.

    PubMed

    Faverani, Leonardo P; Assunção, Wirley G; de Carvalho, Paulo Sérgio P; Yuan, Judy Chia-Chun; Sukotjo, Cortino; Mathew, Mathew T; Barao, Valentim A

    2014-01-01

    Diabetes and infections are associated with a high risk of implant failure. However, the effects of such conditions on the electrochemical stability of titanium materials remain unclear. This study evaluated the corrosion behavior of a Ti-6Al-4V alloy, with a smooth surface or conditioned by double-acid-etching, in simulated body fluid with different concentrations of dextrose and lipopolysaccharide. For the electrochemical assay, the open-circuit-potential, electrochemical impedance spectroscopy, and potentiodynamic test were used. The disc surfaces were characterized by scanning electron microscopy and atomic force microscopy. Their surface roughness and Vickers microhardness were also tested. The quantitative data were analyzed by Pearson's correlation and independent t-tests (α = 0.05). In the corrosion parameters, there was a strong lipopolysaccharide correlation with the Ipass (passivation current density), Cdl (double-layer capacitance), and Rp (polarization resistance) values (p<0.05) for the Ti-6Al-4V alloy with surface treatment by double-acid-etching. The combination of dextrose and lipopolysaccharide was correlated with the Icorr (corrosion current density) and Ipass (p<0.05). The acid-treated groups showed a significant increase in Cdl values and reduced Rp values (p<0.05, t-test). According to the topography, there was an increase in surface roughness (R2 = 0.726, p<0.0001 for the smooth surface; R2 = 0.405, p = 0.036 for the double-acid-etching-treated surface). The microhardness of the smooth Ti-6Al-4V alloy decreased (p<0.05) and that of the treated Ti-6Al-4V alloy increased (p<0.0001). Atomic force microscopy showed changes in the microstructure of the Ti-6Al-4V alloy by increasing the surface thickness mainly in the group associated with dextrose and lipopolysaccharide. The combination of dextrose and lipopolysaccharide affected the corrosion behavior of the Ti-6Al-4V alloy surface treated with double-acid-etching. However, no

  19. Note: Dissolved hydrogen detection in power transformer oil based on chemically etched fiber Bragg grating.

    PubMed

    Jiang, Jun; Ma, Guo-ming; Song, Hong-tu; Zhou, Hong-yang; Li, Cheng-rong; Luo, Ying-ting; Wang, Hong-bin

    2015-10-01

    A fiber Bragg grating (FBG) sensor based on chemically etched cladding to detect dissolved hydrogen is proposed and studied in this paper. Low hydrogen concentration tests have been carried out in mixed gases and transformer oil to investigate the repeatability and sensitivity. Moreover, to estimate the influence of etched cladding thickness, a physical model of FBG-based hydrogen sensor is analyzed. Experimental results prove that thin cladding chemically etched by HF acid solution improves the response to hydrogen detection in oil effectively. At last, the sensitivity of FBG sensor chemically etched 16 μm could be as high as 0.060 pm/(μl/l), increased by more than 30% in comparison to un-etched FBG.

  20. Resin-dentin bonds to EDTA-treated vs. acid-etched dentin using ethanol wet-bonding. Part II: Effects of mechanical cycling load on microtensile bond strengths.

    PubMed

    Sauro, Salvatore; Toledano, Manuel; Aguilera, Fatima Sánchez; Mannocci, Francesco; Pashley, David H; Tay, Franklin R; Watson, Timothy F; Osorio, Raquel

    2011-06-01

    To compare microtensile bond strengths (MTBS) subsequent to load cycling of resin bonded acid-etched or EDTA-treated dentin using a modified ethanol wet-bonding technique. Flat dentin surfaces were obtained from extracted human molars and conditioned using 37% H(3)PO(4) (PA) (15s) or 0.1M EDTA (60s). Five experimental adhesives and one commercial bonding agent were applied to the dentin and light-cured. Solvated experimental resins (50% ethanol/50% comonomers) were used as primers and their respective neat resins were used as the adhesives. The resin-bonded teeth were stored in distilled water (24h) or submitted to 5000 loading cycles of 90N. The bonded teeth were then sectioned in beams for MTBS. Modes of failure were examined by scanning electron microscopy. The most hydrophobic resin 1 gave the lowest bond strength values to both acid and EDTA-treated dentin. The hydrophobic resin 2 applied to EDTA-treated dentin showed lower bond strengths after cycling load but this did not occur when it was bonded to PA-etched dentin. Resins 3 and 4, which contained hydrophilic monomers, gave higher bond strengths to both EDTA-treated or acid-etched dentin and showed no significant difference after load cycling. The most hydrophilic resin 5 showed no significant difference in bond strengths after cycling loading when bonded to EDTA or phosphoric acid treated dentin but exhibited low bond strengths. The presence of different functional monomers influences the MTBS of the adhesive systems when submitted to cyclic loads. Adhesives containing hydrophilic comonomers are not affected by cycling load challenge especially when applied on EDTA-treated dentin followed by ethanol wet bonding. Copyright © 2011 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  1. Selective dry etching of silicon containing anti-reflective coating

    NASA Astrophysics Data System (ADS)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  2. Influence of Nd:YAG laser on the bond strength of self-etching and conventional adhesive systems to dental hard tissues.

    PubMed

    Marimoto, A K; Cunha, L A; Yui, K C K; Huhtala, M F R L; Barcellos, D C; Prakki, A; Gonçalves, S E P

    2013-01-01

    The aim of this study was to investigate the influence of Nd:YAG laser on the shear bond strength to enamel and dentin of total and self-etch adhesives when the laser was applied over the adhesives, before they were photopolymerized, in an attempt to create a new bonding layer by dentin-adhesive melting. One-hundred twenty bovine incisors were ground to obtain flat surfaces. Specimens were divided into two substrate groups (n=60): substrate E (enamel) and substrate D (dentin). Each substrate group was subdivided into four groups (n=15), according to the surface treatment accomplished: X (Xeno III self-etching adhesive, control), XL (Xeno III + laser Nd:YAG irradiation at 140 mJ/10 Hz for 60 seconds + photopolymerization, experimental), S (acid etching + Single Bond conventional adhesive, Control), and SL (acid etching + Single Bond + laser Nd:YAG at 140 mJ/10 Hz for 60 seconds + photopolymerization, experimental). The bonding area was delimited with 3-mm-diameter adhesive tape for the bonding procedures. Cylinders of composite were fabricated on the bonding area using a Teflon matrix. The teeth were stored in water at 37°C/48 h and submitted to shear testing at a crosshead speed of 0.5 mm/min in a universal testing machine. Results were analyzed with three-way analysis of variance (ANOVA; substrate, adhesive, and treatment) and Tukey tests (α=0.05). ANOVA revealed significant differences for the substrate, adhesive system, and type of treatment: lased or unlased (p<0.05). The mean shear bond strength values (MPa) for the enamel groups were X=20.2 ± 5.61, XL=23.6 ± 4.92, S=20.8 ± 4.55, SL=22.1 ± 5.14 and for the dentin groups were X=14.1 ± 7.51, XL=22.2 ± 6.45, S=11.2 ± 5.77, SL=15.9 ± 3.61. For dentin, Xeno III self-etch adhesive showed significantly higher shear bond strength compared with Single Bond total-etch adhesive; Nd:YAG laser irradiation showed significantly higher shear bond strength compared with control (unlased). Nd:YAG laser application

  3. Partially etched Ti3AlC2 as a promising high capacity Lithium-ion battery anode.

    PubMed

    Chen, Xifan; Zhu, Yuanzhi; Zhu, Xiaoquan; Peng, Wenchao; Li, Yang; Zhang, Guoliang; Zhang, Fengbao; Fan, Xiaobin

    2018-06-25

    MXenes, a family of two-dimensional transition-metal carbide and nitride materials, are supposed to be the promising materials in energy storage because of the high electronic conductivity, hydrophilic surfaces and low diffusion barriers. MXenes are generally prepared by removing the "A" elements (A = Al, Si, Sn, etc.) from their corresponding MAX phases by using hydrofluoric acid (HF) and the other etching agents, despite the fact that these "A" elements usually have great volumetric and gravimetric capacities. Herein, we studied the etching progress of Ti3AlC2 and evaluated their anode performance in Lithium-ion batteries. We found that a partially etched sample (0.5h-peTi3C2Tx) showed much higher capacity (160 mA h g-1, 331.6 mA h cm-3 at 1C) when compared with the fully etched Ti3C2Tx (110 mA h g-1, 190.3 mA h cm-3 at 1C). Besides, a 99% capacity retention was observed even after 1000 cycles in the 0.5h-peTi3C2Tx anode. This interesting result can be explained, at least in part, by the alloying of the residue Al element during lithiation. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Method of plasma etching GA-based compound semiconductors

    DOEpatents

    Qiu, Weibin; Goddard, Lynford L.

    2013-01-01

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent thereto. The chamber contains a Ga-based compound semiconductor sample in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. SiCl.sub.4 and Ar gases are flowed into the chamber. RF power is supplied to the platen at a first power level, and RF power is supplied to the source electrode. A plasma is generated. Then, RF power is supplied to the platen at a second power level lower than the first power level and no greater than about 30 W. Regions of a surface of the sample adjacent to one or more masked portions of the surface are etched at a rate of no more than about 25 nm/min to create a substantially smooth etched surface.

  5. Etching of germanium-tin using ammonia peroxide mixture

    NASA Astrophysics Data System (ADS)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Zhang, Zheng; Pan, Jisheng; Gong, Xiao; Tok, Eng-Soon; Liang, Gengchiau; Yeo, Yee-Chia

    2015-12-01

    The wet etching of germanium-tin (Ge1-xSnx) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge1-xSnx is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge1-xSnx surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge0.918Sn0.082 samples. Both root-mean-square roughness and undulation periods of the Ge1-xSnx surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge1-xSnx using APM and may be used for the fabrication of Ge1-xSnx-based electronic and photonic devices.

  6. Formation of nanogaps in InAs nanowires by selectively etching embedded InP segments.

    PubMed

    Schukfeh, M I; Storm, K; Hansen, A; Thelander, C; Hinze, P; Beyer, A; Weimann, T; Samuelson, L; Tornow, M

    2014-11-21

    We present a method to fabricate nanometer scale gaps within InAs nanowires by selectively etching InAs/InP heterostructure nanowires. We used vapor-liquid-solid grown InAs nanowires with embedded InP segments of 10-60 nm length and developed an etching recipe to selectively remove the InP segment. A photo-assisted wet etching process in a mixture of acetic acid and hydrobromic acid gave high selectivity, with accurate removal of InP segments down to 20 nm, leaving the InAs wire largely unattacked, as verified using scanning electron and transmission electron microscopy. The obtained nanogaps in InAs wires have potential as semiconducting electrodes to investigate electronic transport in nanoscale objects. We demonstrate this functionality by dielectrophoretically trapping 30 nm diameter gold nanoparticles into the gap.

  7. Dry etched SiO2 Mask for HgCdTe Etching Process

    NASA Astrophysics Data System (ADS)

    Chen, Y. Y.; Ye, Z. H.; Sun, C. H.; Deng, L. G.; Zhang, S.; Xing, W.; Hu, X. N.; Ding, R. J.; He, L.

    2016-09-01

    A highly anisotropic etching process with low etch-induced damage is indispensable for advanced HgCdTe (MCT) infrared focal plane array (IRFPA) detectors. The inductively coupled plasma (ICP) enhanced reactive ion etching technique has been widely adopted in manufacturing HgCdTe IRFPA devices. An accurately patterned mask with sharp edges is decisive to accomplish pattern duplication. It has been reported by our group that the SiO2 mask functions well in etching HgCdTe with high selectivity. However, the wet process in defining the SiO2 mask is limited by ambiguous edges and nonuniform patterns. In this report, we patterned SiO2 with a mature ICP etching technique, prior to which a thin ZnS film was deposited by thermal evaporation. The SiO2 film etching can be terminated at the auto-stopping point of the ZnS layer thanks to the high selectivity of SiO2/ZnS in SF6 based etchant. Consequently, MCT etching was directly performed without any other treatment. This mask showed acceptable profile due to the maturity of the SiO2 etching process. The well-defined SiO2 pattern and the etched smooth surfaces were investigated with scanning electron microscopy and atomic force microscope. This new mask process could transfer the patterns exactly with very small etch-bias. A cavity with aspect-ratio (AR) of 1.2 and root mean square roughness of 1.77 nm was achieved first, slightly higher AR of 1.67 was also get with better mask profile. This masking process ensures good uniformity and surely benefits the delineation of shrinking pixels with its high resolution.

  8. Effect of post-space treatment on retention of fiber posts in different root regions using two self-etching systems.

    PubMed

    Zhang, Ling; Huang, Li; Xiong, Yu; Fang, Ming; Chen, Ji-Hua; Ferrari, Marco

    2008-06-01

    The effect of post-space treatment on the retention of fiber posts in different root regions was evaluated using two self-etching systems. Post spaces were prepared in extracted premolars and then the root canals were subjected to one of the following post-space treatments: (i) water irrigation (control); (ii) etching with 35% phosphoric acid for 30 s; (iii) irrigation with 17% EDTA followed by 5.25% sodium hypochlorite (NaOCl); and (iv) ultrasonic agitation associated with 17% EDTA and 5.25% NaOCl irrigating solutions. The dentin surfaces were examined under scanning electron microscopy (SEM) after different post-space treatments. Fiber posts were then luted in the treated roots using resin cement with either Clearfil SE Bond or Clearfil DC Bond, and the thin-slice push-out test was performed. Scanning electron microscopy showed that all the post-space treatments tested were effective in removal of the smear layer of debris, or sealer/gutta-percha remnants, on the root canal. The apical push-out strength was affected by post-space treatment. Both 35% phosphoric acid etching and ultrasonic agitation in combination with EDTA/NaOCl irrigation improved the apical push-out strength of the fiber post, regardless of the type of self-etching system. A solo irrigation with an EDTA/NaOCl solution resulted in a lower apical push-out strength compared with the other two experimental groups.

  9. Maskless micro/nanofabrication on GaAs surface by friction-induced selective etching

    PubMed Central

    2014-01-01

    In the present study, a friction-induced selective etching method was developed to produce nanostructures on GaAs surface. Without any resist mask, the nanofabrication can be achieved by scratching and post-etching in sulfuric acid solution. The effects of the applied normal load and etching period on the formation of the nanostructure were studied. Results showed that the height of the nanostructure increased with the normal load or the etching period. XPS and Raman detection demonstrated that residual compressive stress and lattice densification were probably the main reason for selective etching, which eventually led to the protrusive nanostructures from the scratched area on the GaAs surface. Through a homemade multi-probe instrument, the capability of this fabrication method was demonstrated by producing various nanostructures on the GaAs surface, such as linear array, intersecting parallel, surface mesas, and special letters. In summary, the proposed method provided a straightforward and more maneuverable micro/nanofabrication method on the GaAs surface. PMID:24495647

  10. Self-etching adhesives: review of adhesion to tooth structure part II.

    PubMed

    Strydom, C

    2005-02-01

    Self-etching adhesives are steadily increasing in popularity among dental practitioners with their easy handling technique and their promise of no post-op sensitivity. As with any new bonding material, in vitro and in vivo investigations are required to assess the clinical efficacy of these systems. The current literature was reviewed to provide information on these systems, including the influence of their acidity and permeability on the quality of the bond, the role of water in long-term degradation of the bond in in vivo and in vitro studies, and the clinical efficacy of the self-etching adhesives in clinical research studies. Published abstracts, reviews, laboratory reports and clinical research papers in the dental literature. Very little information is available on self-etching systems pertaining to the long-term in vitro and in vivo durability of their bond and their medium- to long-term clinical outcome. Although post-op sensitivity seems to be something of the past, short-term clinical studies show that some self-etching adhesives do not perform as well as total-etch systems.

  11. Iodine-Mediated Etching of Gold Nanorods for Plasmonic ELISA Based on Colorimetric Detection of Alkaline Phosphatase.

    PubMed

    Zhang, Zhiyang; Chen, Zhaopeng; Wang, Shasha; Cheng, Fangbin; Chen, Lingxin

    2015-12-23

    Here, we propose a plasmonic enzyme-linked immunosorbent assay (ELISA) based on highly sensitive colorimetric detection of alkaline phosphatase (ALP), which is achieved by iodine-mediated etching of gold nanorods (AuNRs). Once the sandwich-type immunocomplex is formed, the ALP bound on the polystyrene microwells will hydrolyze ascorbic acid 2-phosphate into ascorbic acid. Subsequently, iodate is reduced to iodine, a moderate oxidant, which etches AuNRs from rod to sphere in shape. The shape change of AuNRs leads to a blue-shift of longitudinal localized surface plasmon resonance. As a result, the solution of AuNRs changes from blue to red. Benefiting from the highly sensitive detection of ALP, the proposed plasmonic ELISA has achieved an ultralow detection limit (100 pg/mL) for human immunoglobulin G (IgG). Importantly, the visual detection limit (3.0 ng/mL) allows the rapid differential diagnosis with the naked eye. The further detection of human IgG in fetal bovine serum indicates its applicability to the determination of low abundance protein in complex biological samples.

  12. Etching nano-holes in silicon carbide using catalytic platinum nano-particles

    NASA Astrophysics Data System (ADS)

    Moyen, E.; Wulfhekel, W.; Lee, W.; Leycuras, A.; Nielsch, K.; Gösele, U.; Hanbücken, M.

    2006-09-01

    The catalytic reaction of platinum during a hydrogen etching process has been used to perform controlled vertical nanopatterning of silicon carbide substrates. A first set of experiments was performed with platinum powder randomly distributed on the SiC surface. Subsequent hydrogen etching in a hot wall reactor caused local atomic hydrogen production at the catalyst resulting in local SiC etching and hole formation. Secondly, a highly regular and monosized distribution of Pt was obtained by sputter deposition of Pt through an Au membrane serving as a contact mask. After the lift-off of the mask, the hydrogen etching revealed the onset of well-controlled vertical patterned holes on the SiC surface.

  13. Plasma etching a ceramic composite. [evaluating microstructure

    NASA Technical Reports Server (NTRS)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  14. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    NASA Astrophysics Data System (ADS)

    Al-Heuseen, K.; Hashim, M. R.; Ali, N. K.

    2011-05-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E 2 (high), A 1 (LO), A 1 (TO) and E 2 (low). There was a red shift in E 2 (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H 2SO 4:H 2O 2 and KOH followed by the samples etched in HF:HNO 3 and in HF:C 2H 5OH.

  15. Immediate occlusal loading of double acid-etched surface titanium implants in 41 consecutive full-arch cases in the mandible and maxilla: 6- to 74-month results.

    PubMed

    Ibañez, Juan C; Tahhan, Marcelo J; Zamar, Juan A; Menendez, Alicia B; Juaneda, Agustina M; Zamar, Nicolas J; Monqaut, Jose L

    2005-11-01

    The high success rate of dental implants has changed the quality of life for many patients. Immediate loading finds its application in some clinical cases and certainly adds another modality of treatment for the implant patient. Starting with a few implants immediately loaded with a bar overdenture in the mandible, the concept of immediate loading evolved to loading multiple implants in both the maxilla and mandible. In this investigation, 41 consecutive patients who needed full-arch restorations were treated with 343 double acid-etched surface implants between May 1998 and March 2004. The sample included smokers and bruxers. Twenty-three mandibular and 26 maxillary cases were treated, loading the implants within 48 hours, by using resin provisional prostheses, metal-reinforced provisional prostheses, or definitive prostheses (metal-acrylic or metal-ceramic). All implants were followed for 12 to 74 months. Follow-up consisted of clinical as well as radiographic examination. Furthermore, resonance frequency analysis was done in most of the implants during the last 2 years. The success rate obtained was 99.42% (only two mandibular implants that are still surviving were considered failures). The bone level was measured every year. The average radiographic bone level change was 0.56 mm at 12, 0.76 mm at 24, 0.84 mm at 36, 0.82 mm at 48, 0.83 mm at 60, and 0.94 mm at 72 months. A high success rate can be achieved when double acid-etched surface implants are immediately loaded with fixed full-arch restorations in the maxilla and mandible.

  16. Vapor etching of nuclear tracks in dielectric materials

    DOEpatents

    Musket, Ronald G.; Porter, John D.; Yoshiyama, James M.; Contolini, Robert J.

    2000-01-01

    A process involving vapor etching of nuclear tracks in dielectric materials for creating high aspect ratio (i.e., length much greater than diameter), isolated cylindrical holes in dielectric materials that have been exposed to high-energy atomic particles. The process includes cleaning the surface of the tracked material and exposing the cleaned surface to a vapor of a suitable etchant. Independent control of the temperatures of the vapor and the tracked materials provide the means to vary separately the etch rates for the latent track region and the non-tracked material. As a rule, the tracked regions etch at a greater rate than the non-tracked regions. In addition, the vapor-etched holes can be enlarged and smoothed by subsequent dipping in a liquid etchant. The 20-1000 nm diameter holes resulting from the vapor etching process can be useful as molds for electroplating nanometer-sized filaments, etching gate cavities for deposition of nano-cones, developing high-aspect ratio holes in trackable resists, and as filters for a variety of molecular-sized particles in virtually any liquid or gas by selecting the dielectric material that is compatible with the liquid or gas of interest.

  17. EFFECT OF AN ADDITIONAL HYDROPHILIC VERSUS HYDROPHOBIC COAT ON THE QUALITY OF DENTINAL SEALING PROVIDED BY TWO-STEP ETCH-AND-RINSE ADHESIVES

    PubMed Central

    Silva, Safira Marques de Andrade; Carrilho, Marcela Rocha de Oliveira; Marquezini, Luiz; Garcia, Fernanda Cristina Pimentel; Manso, Adriana Pigozzo; Alves, Marcelo Corrêa; de Carvalho, Ricardo Marins

    2009-01-01

    Objective: To test the hypothesis that the quality of the dentinal sealing provided by two-step etch-and-rinse adhesives cannot be altered by the addition of an extra layer of the respective adhesive or the application of a more hydrophobic, non-solvated resin. Material and Methods: full-crown preparations were acid-etched with phosphoric acid for 15 s and bonded with Adper Single Bond (3M ESPE), Excite DSC (Ivoclar/Vivadent) or Prime & Bond NT (Dentsply). The adhesives were used according to the manufacturers' instructions (control groups) or after application to dentin they were a) covered with an extra coat of each respective system or b) coated with a non-solvated bonding agent (Adper Scotchbond Multi-Purpose Adhesive, 3M ESPE). Fluid flow rate was measured before and after dentin surfaces were acid-etched and bonded with adhesives. Results: None of the adhesives or experimental treatments was capable to block completely the fluid transudation across the treated dentin. Application of an extra coat of the adhesive did not reduce the fluid flow rate of adhesive-bonded dentin (p>0.05). Conversely, the application of a more hydrophobic non-solvated resin resulted in significant reductions in the fluid flow rate (p<0.05) for all tested adhesives. Conclusions: The quality of the dentinal sealing provided by etch-and-rinse adhesives can be significantly improved by the application of a more hydrophobic, non-solvated bonding agent. PMID:19466248

  18. Bond strength of etch-and-rinse and self-etch adhesive systems to enamel and dentin irradiated with a novel CO2 9.3 μm short-pulsed laser for dental restorative procedures.

    PubMed

    Rechmann, Peter; Bartolome, N; Kinsel, R; Vaderhobli, R; Rechmann, B M T

    2017-12-01

    The objective of this study was to evaluate the influence of CO 2 9.3 μm short-pulsed laser irradiation on the shear bond strength of composite resin to enamel and dentin. Two hundred enamel and 210 dentin samples were irradiated with a 9.3 µm carbon dioxide laser (Solea, Convergent Dental, Inc., Natick, MA) with energies which either enhanced caries resistance or were effective for ablation. OptiBond Solo Plus [OptiBondTE] (Kerr Corporation, Orange, CA) and Peak Universal Bond light-cured adhesive [PeakTE] (Ultradent Products, South Jordan, UT) were used. In addition, Scotchbond Universal [ScotchbondSE] (3M ESPE, St. Paul, MN) and Peak SE self-etching primer with Peak Universal Bond light-cured adhesive [PeakSE] (Ultradent Products) were tested. Clearfil APX (Kuraray, New York, NY) was bonded to the samples. After 24 h, a single plane shear bond test was performed. Using the caries preventive setting on enamel resulted in increased shear bond strength for all bonding agents except for self-etch PeakSE. The highest overall bond strength was seen with PeakTE (41.29 ± 6.04 MPa). Etch-and-rinse systems achieved higher bond strength values to ablated enamel than the self-etch systems did. PeakTE showed the highest shear bond strength with 35.22 ± 4.40 MPa. OptiBondTE reached 93.8% of its control value. The self-etch system PeakSE presented significantly lower bond strength. The shear bond strength to dentin ranged between 19.15 ± 3.49 MPa for OptiBondTE and 43.94 ± 6.47 MPa for PeakSE. Etch-and-rinse systems had consistently higher bond strength to CO 2 9.3 µm laser-ablated enamel. Using the maximum recommended energy for dentin ablation, the self-etch system PeakSE reached the highest bond strength (43.9 ± 6.5 MPa).

  19. Dynamic Pattern Formation in Electron-Beam-Induced Etching [Emergent formation of dynamic topographic patterns in electron beam induced etching

    DOE PAGES

    Martin, Aiden A.; Bahm, Alan; Bishop, James; ...

    2015-12-15

    Here, we report highly ordered topographic patterns that form on the surface of diamond, span multiple length scales, and have a symmetry controlled by the precursor gas species used in electron-beam-induced etching (EBIE). The pattern formation dynamics reveals an etch rate anisotropy and an electron energy transfer pathway that is overlooked by existing EBIE models. Therefore, we, modify established theory such that it explains our results and remains universally applicable to EBIE. Furthermore, the patterns can be exploited in controlled wetting, optical structuring, and other emerging applications that require nano- and microscale surface texturing of a wide band-gap material.

  20. Effect of acid and laser etching on shear bond strength of conventional and resin-modified glass-ionomer cements to composite resin.

    PubMed

    Navimipour, Elmira Jafari; Oskoee, Siavash Savadi; Oskoee, Parnian Alizadeh; Bahari, Mahmoud; Rikhtegaran, Sahand; Ghojazadeh, Morteza

    2012-03-01

    Success in sandwich technique procedures can be achieved through an acceptable bond between the materials. The aim of this study was to compare the effect of 35% phosphoric acid and Er,Cr:YSGG laser on shear bond strength of conventional glass-ionomer cement (GIC) and resin-modified glass-ionomer cement (RMGIC) to composite resin in sandwich technique. Sixty-six specimens were prepared from each type of glass-ionomer cements and divided into three treatment groups as follows: without pretreatment, acid etching by 35% phosphoric acid for 15 s, and 1-W Er,Cr:YSGG laser treatment for 15 s with a 600-μm-diameter tip aligned perpendicular to the target area at a distance of 1 mm from the surface. Energy density of laser irradiation was 17.7 J/cm(2). Two specimens in each group were prepared for evaluation under a scanning electron microscope (SEM) after surface treatment and the remainder underwent bonding procedure with a bonding agent and composite resin. Then the shear bond strength was measured at a crosshead speed of 0.5 mm/min. Two-factor analysis of variance and post-hoc Tukey test showed that the cement type, surface treatment method, and the interaction of these two factors significantly affect the shear bond strength between glass-ionomer cements and composite resin (p < 0.05). Surface treatment with phosphoric acid or Er,Cr:YSGG laser increased the shear bond strength of GIC to composite resin; however, in RMGIC only laser etching resulted in significantly higher bond strength. These findings were supported by SEM results. The fracture mode was evaluated under a stereomicroscope at ×20.

  1. A study of GaN-based LED structure etching using inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Wang, Pei; Cao, Bin; Gan, Zhiyin; Liu, Sheng

    2011-02-01

    GaN as a wide band gap semiconductor has been employed to fabricate optoelectronic devices such as light-emitting diodes (LEDs) and laser diodes (LDs). Recently several different dry etching techniques for GaN-based materials have been developed. ICP etching is attractive because of its superior plasma uniformity and strong controllability. Most previous reports emphasized on the ICP etching characteristics of single GaN film. In this study dry etching of GaN-based LED structure was performed by inductively coupled plasmas (ICP) etching with Cl2 as the base gas and BCl3 as the additive gas. The effects of the key process parameters such as etching gases flow rate, ICP power, RF power and chamber pressure on the etching properties of GaN-based LED structure including etching rate, selectivity, etched surface morphology and sidewall was investigated. Etch depths were measured using a depth profilometer and used to calculate the etch rates. The etch profiles were observed with a scanning electron microscope (SEM).

  2. Uniform lateral etching of tungsten in deep trenches utilizing reaction-limited NF3 plasma process

    NASA Astrophysics Data System (ADS)

    Kofuji, Naoyuki; Mori, Masahito; Nishida, Toshiaki

    2017-06-01

    The reaction-limited etching of tungsten (W) with NF3 plasma was performed in an attempt to achieve the uniform lateral etching of W in a deep trench, a capability required by manufacturing processes for three-dimensional NAND flash memory. Reaction-limited etching was found to be possible at high pressures without ion irradiation. An almost constant etching rate that showed no dependence on NF3 pressure was obtained. The effect of varying the wafer temperature was also examined. A higher wafer temperature reduced the threshold pressure for reaction-limited etching and also increased the etching rate in the reaction-limited region. Therefore, the control of the wafer temperature is crucial to controlling the etching amount by this method. We found that the uniform lateral etching of W was possible even in a deep trench where the F radical concentration was low.

  3. Etching of germanium-tin using ammonia peroxide mixture

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount ofmore » Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.« less

  4. Bend measurement using an etched fiber incorporating a fiber Bragg grating.

    PubMed

    Rauf, Abdul; Zhao, Jianlin; Jiang, Biqiang; Jiang, Yajun; Jiang, Wei

    2013-01-15

    A fiber Bragg grating (FBG) based bend measurement method using an etched fiber is proposed that utilizes the coupling of the core mode to the cladding and radiation modes at the bending region. An etching region of 99 µm diameter that serves as bend sensing head is achieved at 10 mm upstream the FBG through processing in 40% hydrofluoric acid, while the FBG acts as a narrowband reflector to enhance the sensitivity. The power variation curves are obtained for a wide range of bend angles, but the performance is limited due to the presence of the loss peaks. The sensing response is improved by immersing the etching region in a refractive index matching gel. The results are analyzed by using curve fitting formulas and are in good agreement. A large dynamic range of -27° to +27° and sensitivity of 0.43 dBm/deg is achieved, which can be enhanced by reducing the etched diameter.

  5. Using Graphene Liquid Cell Transmission Electron Microscopy to Study in Situ Nanocrystal Etching.

    PubMed

    Hauwiller, Matthew R; Ondry, Justin C; Alivisatos, A Paul

    2018-05-17

    Graphene liquid cell electron microscopy provides the ability to observe nanoscale chemical transformations and dynamics as the reactions are occurring in liquid environments. This manuscript describes the process for making graphene liquid cells through the example of graphene liquid cell transmission electron microscopy (TEM) experiments of gold nanocrystal etching. The protocol for making graphene liquid cells involves coating gold, holey-carbon TEM grids with chemical vapor deposition graphene and then using those graphene-coated grids to encapsulate liquid between two graphene surfaces. These pockets of liquid, with the nanomaterial of interest, are imaged in the electron microscope to see the dynamics of the nanoscale process, in this case the oxidative etching of gold nanorods. By controlling the electron beam dose rate, which modulates the etching species in the liquid cell, the underlying mechanisms of how atoms are removed from nanocrystals to form different facets and shapes can be better understood. Graphene liquid cell TEM has the advantages of high spatial resolution, compatibility with traditional TEM holders, and low start-up costs for research groups. Current limitations include delicate sample preparation, lack of flow capability, and reliance on electron beam-generated radiolysis products to induce reactions. With further development and control, graphene liquid cell may become a ubiquitous technique in nanomaterials and biology, and is already being used to study mechanisms governing growth, etching, and self-assembly processes of nanomaterials in liquid on the single particle level.

  6. Exploration of suitable dry etch technologies for directed self-assembly

    NASA Astrophysics Data System (ADS)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  7. Model of wet chemical etching of swift heavy ions tracks

    NASA Astrophysics Data System (ADS)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  8. Investigation of the layout and optical proximity correction effects to control the trench etching process on 4H-SiC

    NASA Astrophysics Data System (ADS)

    Kyoung, Sinsu; Jung, Eun-Sik; Sung, Man Young

    2017-07-01

    Although trench gate and super-junction technology have micro-trench problems when applied to the SiC process due to the material characteristics. In this paper, area effects are analyzed from the test element group with various patterns and optical proximity correction (OPC) methods are proposed and analyzed to reduce micro-trenches in the SiC trench etching process. First, the loading effects were analyzed from pattern samples with various trench widths (Wt). From experiments, the area must limited under a proper size for a uniform etching profile and reduced micro-trenches because a wider area accelerates the etch rate. Second, the area effects were more severely unbalanced at corner patterns because the corner pattern necessarily has an in-corner and out-corner that have different etching areas to each other. We can balance areas using OPC patterns to overcome this. Experiments with OPC represented improved micro-trench profile from when comparing differences of trench depth (Δdt) at out corner and in corner. As a result, the area effects can be used to improve the trench profile with optimized etching process conditions. Therefore, the trench gate and super-junction pillar of the SiC power MOSFET can have an improved uniform profile without micro-trenches using proper design and OPC.[Figure not available: see fulltext.

  9. Shear bond strength of orthodontic brackets bonded with different self-etching adhesives.

    PubMed

    Scougall Vilchis, Rogelio José; Yamamoto, Seigo; Kitai, Noriyuki; Yamamoto, Kohji

    2009-09-01

    The purpose of this study was to compare the shear bond strength (SBS) of orthodontic brackets bonded with 4 self-etching adhesives. A total of 175 extracted premolars were randomly divided into 5 groups (n = 35). Group I was the control, in which the enamel was etched with 37% phosphoric acid, and stainless steel brackets were bonded with Transbond XT (3M Unitek, Monrovia, Calif). In the remaining 4 groups, the enamel was conditioned with the following self-etching primers and adhesives: group II, Transbond Plus and Transbond XT (3M Unitek); group III, Clearfil Mega Bond FA and Kurasper F (Kuraray Medical, Tokyo, Japan); group IV, Primers A and B, and BeautyOrtho Bond (Shofu, Kyoto, Japan); and group V, AdheSE and Heliosit Orthodontic (Ivoclar Vivadent AG, Liechtenstein). The teeth were stored in distilled water at 37 degrees C for 24 hours and debonded with a universal testing machine. The adhesive remnant index (ARI) including enamel fracture score was also evaluated. Additionally, the conditioned enamel surfaces were observed under a scanning electron microscope. The SBS values of groups I (19.0 +/- 6.7 MPa) and II (16.6 +/- 7.3 MPa) were significantly higher than those of groups III (11.0 +/- 3.9 MPa), IV (10.1 +/- 3.7 MPa), and V (11.8 +/- 3.5 MPa). Fluoride-releasing adhesives (Kurasper F and BeautyOrtho Bond) showed clinically acceptable SBS values. Significant differences were found in the ARI and enamel fracture scores between groups I and II. The 4 self-etching adhesives yielded SBS values higher than the bond strength (5.9 to 7.8 MPa) suggested for routine clinical treatment, indicating that orthodontic brackets can be successfully bonded with any of these self-etching adhesives.

  10. Vertical Si nanowire arrays fabricated by magnetically guided metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Chun, Dong Won; Kim, Tae Kyoung; Choi, Duyoung; Caldwell, Elizabeth; Kim, Young Jin; Paik, Jae Cheol; Jin, Sungho; Chen, Renkun

    2016-11-01

    In this work, vertically aligned Si nanowire arrays were fabricated by magnetically guided metal-assisted directional chemical etching. Using an anodized aluminum oxide template as a shadow mask, nanoscale Ni dot arrays were fabricated on an Si wafer to serve as a mask to protect the Si during the etching. For the magnetically guided chemical etching, we deposited a tri-layer metal catalyst (Au/Fe/Au) in a Swiss-cheese configuration and etched the sample under the magnetic field to improve the directionality of the Si nanowire etching and increase the etching rate along the vertical direction. After the etching, the nanowires were dried with minimal surface-tension-induced aggregation by utilizing a supercritical CO2 drying procedure. High-resolution transmission electron microscopy (HR-TEM) analysis confirmed the formation of single-crystal Si nanowires. The method developed here for producing vertically aligned Si nanowire arrays could find a wide range of applications in electrochemical and electronic devices.

  11. An evaluation of shear bond strength of self-etch adhesive on pre-etched enamel: an in vitro study.

    PubMed

    Rao, Bhadra; Reddy, Satti Narayana; Mujeeb, Abdul; Mehta, Kanchan; Saritha, G

    2013-11-01

    To determine the shear bond strength of self-etch adhesive G-bond on pre-etched enamel. Thirty caries free human mandibular premolars extracted for orthodontic purpose were used for the study. Occlusal surfaces of all the teeth were flattened with diamond bur and a silicon carbide paper was used for surface smoothening. The thirty samples were randomly grouped into three groups. Three different etch systems were used for the composite build up: group 1 (G-bond self-etch adhesive system), group 2 (G-bond) and group 3 (Adper single bond). Light cured was applied for 10 seconds with a LED unit for composite buildup on the occlusal surface of each tooth with 8 millimeters (mm) in diameter and 3 mm in thickness. The specimens in each group were tested in shear mode using a knife-edge testing apparatus in a universal testing machine across head speed of 1 mm/ minute. Shear bond strength values in Mpa were calculated from the peak load at failure divided by the specimen surface area. The mean shear bond strength of all the groups were calculated and statistical analysis was carried out using one-way Analysis of Variance (ANOVA). The mean bond strength of group 1 is 15.5 Mpa, group 2 is 19.5 Mpa and group 3 is 20.1 Mpa. Statistical analysis was carried out between the groups using one-way ANOVA. Group 1 showed statistically significant lower bond strength when compared to groups 2 and 3. No statistical significant difference between groups 2 and 3 (p < 0.05). Self-etch adhesive G-bond showed increase in shear bond strength on pre-etched enamel.

  12. More vertical etch profile using a Faraday cage in plasma etching

    NASA Astrophysics Data System (ADS)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  13. New silicon architectures by gold-assisted chemical etching.

    PubMed

    Mikhael, Bechelany; Elise, Berodier; Xavier, Maeder; Sebastian, Schmitt; Johann, Michler; Laetitia, Philippe

    2011-10-01

    Silicon nanowires (SiNWs) were produced by nanosphere lithography and metal assisted chemical etching. The combination of these methods allows the morphology and organization control of Si NWs on a large area. From the investigation of major parameters affecting the etching such as doping type, doping concentration of the substrate, we demonstrate the formation of new Si architectures consisting of organized Si NW arrays formed on a micro/mesoporous silicon layer with different thickness. These investigations will allow us to better understand the mechanism of Si etching to enable a wide range of applications such as molecular sensing, and for thermoelectric and photovoltaic devices. © 2011 American Chemical Society

  14. Metal-assisted chemical etch porous silicon formation method

    DOEpatents

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  15. Phase Analysis of Laser Direct Etching and Water Assisted Laser Combined Etching of SiC Ceramics

    NASA Astrophysics Data System (ADS)

    Yuan, Genfu; Cong, Qidong; Zhang, Chen; Xie, Bingbing

    2017-12-01

    In this study, to discover the etching mechanism of SiC ceramics under laser direct etching and water-jet assisted laser combined etching, the phenomena of substance change on the etched surface were investigated. Also, the rules of substance transfer in etching are discussed. The elemental content change and the phase change of the etching products on the etched surface were analyzed by energy dispersive spectroscopy (EDS) and X-ray diffraction (XRD), respectively. These studies showed a high amount of carbon black on the etched surface, because of the decomposition of SiC ceramics under the high-power-density laser irradiation. SiC decomposed to Si under the laser irradiation, and the subsequent chemical reaction of Si and O2 easily produced SiO2. The SiO2 on the etched surface melted and vaporized, whereas most of SiO2 was removed through splashing, changing the chemical composition of the etched surface. Following the water jet introduction, an increased amount of O existed on the combined etching surface, because the chemical reaction of SiC and H2O easily produced SiO2 under the high-power-density laser irradiation.

  16. Wet etching mechanism and crystallization of indium-tin oxide layer for application in light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Su, Shui-Hsiang; Kong, Hsieng-Jen; Tseng, Chun-Lung; Chen, Guan-Yu

    2018-01-01

    In the article, we describe the etching mechanism of indium-tin oxide (ITO) film, which was wet-etched using a solution of hydrochloric acid (HCl) and ferric chloride (FeCl3). The etching mechanism is analyzed at various etching durations of ITO films by scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HR-TEM), and selective area diffraction (SAD) analysis. In comparison with the crystalline phase of SnO2, the In2O3 phase can be more easily transformed to In3+ and can form an inverted conical structure during the etching process. By adjusting the etching duration, the residual ITO is completely removed to show a designed pattern. This is attributed to the negative Gibbs energy of In2O3 transformed to In3+. The result also corresponds to the finding of energy-dispersive X-ray spectroscopy (EDS) analysis that the Sn/In ratio increases with increasing etching duration.

  17. Evaluation of Mineral Content and Photon Interaction Parameters of Dental Enamel After Phosphoric Acid and Er:YAG Laser Treatment.

    PubMed

    Simsek, Huseyin; Gurbuz, Taskın; Buyuk, Suleyman Kutalmış; Ozdemir, Yuksel

    2017-05-01

    The purpose of this study was to evaluate the effects of laser and acid etching on the mineral content and photon interaction parameters of dental enamel in human teeth. The composition of dental enamel may vary, especially at the surface, depending on the reactions that occur during dental treatment. Forty maxillary premolars were divided randomly into 2 groups of 20 teeth. In the first group, half of teeth crowns were etched by using 37% phosphoric acid; in the second group, half of teeth crowns were etched by using an erbium:yttrium-aluminum-garnet (Er:YAG) laser. The remaining half crowns in each group were used as untreated controls. We characterized the calcium (Ca), phosphorus (P), magnesium (Mg), sodium (Na), and potassium (K) contents in each specimen by using wavelength dispersive X-ray fluorescence spectrometry. The total atomic cross-section ([Formula: see text]), effective atomic number ([Formula: see text]), and electron density (N e ) of the tooth samples were determined at photon energies of 22.1, 25, 59.5, and 88 keV by using a narrow beam transmission method. Data were analyzed statistically by using the Mann-Whitney U test. The mineral contents after Er:YAG laser and phosphoric acid etching did not differ significantly (p > 0.05), and no significant variation in [Formula: see text], [Formula: see text], or N e was observed. Therefore, we conclude that the Er:YAG laser and phosphoric acid systems used in this study did not affect mineral composition or photon interaction parameters of dental enamel.

  18. Comparison of tensile bond strengths of four one-bottle self-etching adhesive systems with Er:YAG laser-irradiated dentin.

    PubMed

    Jiang, Qianzhou; Chen, Minle; Ding, Jiangfeng

    2013-12-01

    This study aimed to investigate the interaction of current one-bottle self-etching adhesives and Er:YAG laser with dentin using a tensile bond strength (TBS) test and scanning electron microscopy (SEM) in vitro. Two hundred and thirteen dentin discs were randomly distributed to the Control Group using bur cutting and to the Laser Group using an Er:YAG laser (200 mJ, VSP, 20 Hz). The following adhesives were investigated: one two-step total-etch adhesive [Prime & Bond NT (Dentsply)] and four one-step self-etch adhesives [G-Bond plus (GC), XENO V (Dentsply), iBond Self Etch (Heraeus) and Adper Easy One (3 M ESPE)]. Samples were restored with composite resin, and after 24-hour storage in distilled water, subjected to the TBS test. For morphological analysis, 12 dentin specimens were prepared for SEM. No significant differences were found between the control group and laser group (p = 0.899); dentin subjected to Prime & Bond NT, XENOV and Adper Easy One produced higher TBS. In conclusion, this study indicates that Er:YAG laser-prepared dentin can perform as well as bur on TBS, and some of the one-step one-bottle adhesives are comparable to the total-etch adhesives in TBS on dentin.

  19. Electrochemical etching technique of platinum-iridium tips for scanning tunneling microscopy

    NASA Astrophysics Data System (ADS)

    Herrera, Oscar

    The scanning tunneling microscope (STM) allows researchers to investigate atomic and molecular structures and properties of nanomaterials. Through the quantum tunneling effect a charge is transferred between the surface of the material and a Platinum-Iridium (Pt-Ir) tip. The production of Pt-Ir tips by electrochemical etching (ECE) has been developed as an alternative technique, to achieve enhanced scanned images of samples, in contrast to the standard mechanical method (SMM). The sharpness apex structure is an essential feature during scanning in order to provide reliable data. We generated a control group of tips by the SMM technique and another group by the ECE technique to investigate the resolution effectiveness in scanning of graphite. The etching of the tips was produced using an auto-variable transformer running a 30 V AC in a 1.5 and 4.0 M CaCl2 solution. The scanning of the graphite surface was conducted at 7x7 nm image width, 0.2 seconds time/line, 256 points/line and 0.05 V for tip voltage. ECE etched tips displayed consistent image resolution, and the sharpness of the tip apex was generally uniform.

  20. Radiation induced deposition of copper nanoparticles inside the nanochannels of poly(acrylic acid)-grafted poly(ethylene terephthalate) track-etched membranes

    NASA Astrophysics Data System (ADS)

    Korolkov, Ilya V.; Güven, Olgun; Mashentseva, Anastassiya A.; Atıcı, Ayse Bakar; Gorin, Yevgeniy G.; Zdorovets, Maxim V.; Taltenov, Abzal A.

    2017-01-01

    Poly(ethylene terephthalate) PET, track-etched membranes (TeMs) with 400 nm average pore size were UV-grafted with poly(acrylic acid) (PAA) after oxidation of inner surfaces by H2O2/UV system. Carboxylate groups of grafted PAA chains were easily complexed with Cu2+ ions in aqueous solutions. These ions were converted into metallic copper nanoparticles (NPs) by radiation-induced reduction of copper ions in aqueous-alcohol solution by gamma rays in the dose range of 46-250 kGy. Copper ions chelating with -COOH groups of PAA chains grafted on PET TeMs form polymer-metal ion complex that prevent the formation of agglomerates during reduction of copper ions to metallic nanoparticles. The detailed analysis by X-Ray diffraction technique (XRD), transmission electron microscopy (TEM), scanning electron microscopy (SEM) and energy-dispersive X-ray spectroscopy (EDX) confirmed the deposition of copper nanoparticles with the average size of 70 nm on the inner surface of nanochannels of PET TeMs. Samples were also investigated by FTIR, ESR spectroscopies to follow copper ion reduction.

  1. Quantum cascade laser based monitoring of CF{sub 2} radical concentration as a diagnostic tool of dielectric etching plasma processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hübner, M.; Lang, N.; Röpcke, J.

    2015-01-19

    Dielectric etching plasma processes for modern interlevel dielectrics become more and more complex by the introduction of new ultra low-k dielectrics. One challenge is the minimization of sidewall damage, while etching ultra low-k porous SiCOH by fluorocarbon plasmas. The optimization of this process requires a deeper understanding of the concentration of the CF{sub 2} radical, which acts as precursor in the polymerization of the etch sample surfaces. In an industrial dielectric etching plasma reactor, the CF{sub 2} radical was measured in situ using a continuous wave quantum cascade laser (cw-QCL) around 1106.2 cm{sup −1}. We measured Doppler-resolved ro-vibrational absorption lines andmore » determined absolute densities using transitions in the ν{sub 3} fundamental band of CF{sub 2} with the aid of an improved simulation of the line strengths. We found that the CF{sub 2} radical concentration during the etching plasma process directly correlates to the layer structure of the etched wafer. Hence, this correlation can serve as a diagnostic tool of dielectric etching plasma processes. Applying QCL based absorption spectroscopy opens up the way for advanced process monitoring and etching controlling in semiconductor manufacturing.« less

  2. Oxygen plasma etching of graphene: A first-principles dynamical inspection of the reaction mechanisms and related activation barriers

    NASA Astrophysics Data System (ADS)

    Koizumi, Kenichi; Boero, Mauro; Shigeta, Yasuteru; Oshiyama, Atsushi; Dept. of Applied Physics Team; Institute of Physics and Chemistry of Strasbourg (IPCMS) Collaboration; Department Of Materials Engineering Science Collaboration

    2013-03-01

    Oxygen plasma etching is a crucial step in the fabrication of electronic circuits and has recently received a renovated interest in view of the realization of carbon-based nanodevices. In an attempt at unraveling the atomic-scale details and to provide guidelines for the control of the etching processes mechanisms, we inspected the possible reaction pathways via reactive first principles simulations. These processes involve breaking and formation of several chemical bonds and are characterized by different free-energy barriers. Free-energy sampling techniques (metadynamics and blue moon), used to enhance the standard Car-Parrinello molecular dynamics, provide us a detailed microscopic picture of the etching of graphene surfaces and a comprehensive scenario of the activation barriers involved in the various steps. MEXT, Japan - contract N. 22104005

  3. Caries-preventive effect of fissure sealant containing surface reaction-type pre-reacted glass ionomer filler and bonded by self-etching primer.

    PubMed

    Shimazu, Kisaki; Ogata, Kiyokazu; Karibe, Hiroyuki

    2012-01-01

    We aimed to evaluate the caries-preventive effect of a fissure sealant containing surface reaction-type pre-reacted glass ionomer (S-PRG) filler and bonded by self-etching primer versus those of 2 conventional resin-based sealants bonded by acid etching in terms of its impact on enamel demineralization and remineralization, enamel bond strength, and integrity of debonded enamel surfaces. Demineralization, remineralization, and bond strength on untreated enamel and enamel subsurface lesions of bovine incisors were assessed among the sealants by polarizing microscopy and microradiography; debonded enamel surfaces were examined by scanning electron microscopy. The conventional resin-based sealants bonded by acid etching caused surface defects on the enamel subsurface lesions and significantly increased the lesion depth (p = 0.014), indicative of enamel demineralization. However the S-PRG filler-containing sealant bonded by self-etching primer maintained the enamel surface integrity and inhibited enamel demineralization. No difference in bond strength on both untreated enamel and enamel subsurface lesions was noted among the sealants. An S-PRG filler-containing fissure sealant bonded by self-etching primer can prevent enamel demineralization, microleakage, and gaps without the tags created by acid etching regardless of the enamel condition. Such sealants are suitable for protecting the pits and fissures of immature permanent teeth.

  4. Effect of a whitening agent application on enamel bond strength of self-etching primer systems.

    PubMed

    Miyazaki, Masashi; Sato, Hikaru; Sato, Tomomi; Moore, B Keith; Platt, Jeffrey A

    2004-06-01

    Though reduction in bond strength after tooth whitening has been reported, little is known about it's effect on enamel bond strength of two-step bonding systems that exclude phosphoric acid etching prior to bonding agent application. The purpose of this study was to determine the effect of whitening procedure using an in-office whitening agent on enamel bond strength of self-etching primer systems. Three self-etching primer systems, Imperva Fluoro Bond, Mac Bond II, Clearfil SE Bond, and a one-bottle adhesive system Single Bond as a control material, were used. Bovine mandibular incisors were mounted in self-curing resin and the facial enamel or dentin surfaces were ground wet on 600-grit SiC paper. An in-office whitening agent, Hi-Lite was applied on the tooth surface according to the manufacturer's instruction. Bonding procedures were done soon after rinsing off the whitening agent or after 24 hours storage in distilled water. Specimens without whitening procedure were prepared as controls. Fifteen specimens per test group were stored in 37 degrees C distilled water for 24 hours, then shear tested at a crosshead speed of 1.0 mm/minute. One-way ANOVA followed by Duncan multiple range test were used for statistical analysis of the results. For the specimens made soon after rinsing off the whitening agent, a significant decrease in enamel bond strength was observed for all the bonding systems used. For the specimens made after 24 hours storage in water, a small decrease in enamel bond strength was observed and no significant differences were found compared to those of controls (without whitening). From the results of this study, enamel bond strengths of the self-etching primer systems might be affected to a lesser degree after rinsing with water followed by 24 hours storage in water.

  5. Ion track etching revisited: I. Correlations between track parameters in aged polymers

    NASA Astrophysics Data System (ADS)

    Fink, D.; Muñoz H., G.; García A., H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-04-01

    Some yet poorly understood problems of etching of pristine and swift heavy ion track-irradiated aged polymers were treated, by applying conductometry across the irradiated foils during etching. The onset times of etchant penetration across pristine foils, and the onset times of the different etched track regimes in irradiated foils were determined for polymers of various proveniences, fluences and ages, as well as their corresponding etching speeds. From the results, correlations of the parameters with each other were deduced. The normalization of these parameters enables one to compare irradiated polymer foils of different origin and treatment with one another. In a number of cases, also polymeric gel formation and swelling occur which influence the track etching behaviour. The polymer degradation during aging influences the track etching parameters, which differ from each other on both sides of the foils. With increasing sample age, these differences increase.

  6. Six-month evaluation of adhesives interface created by a hydrophobic adhesive to acid-etched ethanol-wet bonded dentine with simplified dehydration protocols.

    PubMed

    Sadek, Fernanda T; Mazzoni, Annalisa; Breschi, Lorenzo; Tay, Franklin R; Braga, Roberto R

    2010-04-01

    To evaluate the efficacy of simplified dehydration protocols, in the absence of tubular occlusion, on bond strength and interfacial nanoleakage of a hydrophobic experimental adhesive blend to acid-etched, ethanol-dehydrated dentine immediately and after 6 months. Molars were randomly assigned to 6 treatment groups (n=5). Under pulpal pressure simulation, dentine crowns were acid-etched with 35% H(3)PO(4) and rinsed with water. Adper Scotchbond Multi-Purpose was used for the control group. The remaining groups had their dentine surface dehydrated with ethanol solutions: group 1=50%, 70%, 80%, 95% and 3x100%, 30s for each application; group 2 the same ethanol sequence with 15s for each solution; groups 3, 4 and 5 used 100% ethanol only, applied in seven, three or one 30s step, respectively. After dehydration, a primer (50% BisGMA+TEGDMA, 50% ethanol) was used, followed by the neat comonomer adhesive application. Resin composite build-ups were then prepared using an incremental technique. Specimens were stored for 24h, sectioned into beams and stressed to failure after 24h or after 6 months of artificial ageing. Interfacial silver leakage evaluation was performed for both storage periods (n=5 per subgroup). Group 1 showed higher bond strengths at 24h or after 6 months of ageing (45.6+/-5.9(a)/43.1+/-3.2(a)MPa) and lower silver impregnation. Bond strength results were statistically similar to control group (41.2+/-3.3(ab)/38.3+/-4.0(ab)MPa), group 2 (40.0+/-3.1(ab)/38.6+/-3.2(ab)MPa), and group 3 at 24h (35.5+/-4.3(ab)MPa). Groups 4 (34.6+/-5.7(bc)/25.9+/-4.1(c)MPa) and 5 (24.7+/-4.9(c)/18.2+/-4.2(c)MPa) resulted in lower bond strengths, extensive interfacial nanoleakage and more prominent reductions (up to 25%) in bond strengths after 6 months of ageing. Simplified dehydration protocols using one or three 100% ethanol applications should be avoided for the ethanol-wet bonding technique in the absence of tubular occlusion, as they showed decreased bond strength, more

  7. Bonding efficacy of new self-etching, self-adhesive dual-curing resin cements to dental enamel.

    PubMed

    Benetti, Paula; Fernandes, Virgílio Vilas; Torres, Carlos Rocha; Pagani, Clovis

    2011-06-01

    This study evaluated the efficacy of the union between two new self-etching self-adhesive resin cements and enamel using the microtensile bond strength test. Buccal enamel of 80 bovine teeth was submitted to finishing and polishing with metallographic paper to a refinement of #600, in order to obtain a 5-mm2 flat area. Blocks (2 x 4 x 4 mm) of laboratory composite resin were cemented to enamel according to different protocols: (1) untreated enamel + RelyX Unicem cement (RX group); (2) untreated enamel + Bifix SE cement (BF group); (3) enamel acid etching and application of resin adhesive Single Bond + RelyX Unicem (RXA group); (4) enamel acid etching and application of resin adhesive Solobond M + Bifix SE (BFA group). After 7 days of storage in distillated water at 37°C, the blocks were sectioned for obtaining microbar specimens with an adhesive area of 1 mm2 (n = 120). Specimens were submitted to the microtensile bond strength test at a crosshead speed of 0.5 mm/min. The results (in MPa) were analyzed statistically by ANOVA and Tukey's test. Enamel pre-treatment with phosphoric acid and resin adhesive (27.9 and 30.3 for RXA and BFA groups) significantly improved (p ≤ 0.05) the adhesion of both cements to enamel compared to the union achieved with as-polished enamel (9.9 and 6.0 for RX and BF). Enamel pre-treatment with acid etching and the application of resin adhesive significantly improved the bond efficacy of both luting agents compared to the union achieved with as-polished enamel.

  8. Silicon etching of difluoromethane atmospheric pressure plasma jet combined with its spectroscopic analysis

    NASA Astrophysics Data System (ADS)

    Sung, Yu-Ching; Wei, Ta-Chin; Liu, You-Chia; Huang, Chun

    2018-06-01

    A capacitivly coupled radio-frequency double-pipe atmospheric-pressure plasma jet is used for etching. An argon carrier gas is supplied to the plasma discharge jet; and CH2F2 etch gas is inserted into the plasma discharge jet, near the silicon substrate. Silicon etchings rate can be efficiently-controlled by adjusting the feeding etching gas composition and plasma jet operating parameters. The features of silicon etched by the plasma discharge jet are discussed in order to spatially spreading plasma species. Electronic excitation temperature and electron density are detected by increasing plasma power. The etched silicon profile exhibited an anisotropic shape and the etching rate was maximum at the total gas flow rate of 4500 sccm and CH2F2 concentration of 11.1%. An etching rate of 17 µm/min was obtained at a plasma power of 100 W.

  9. A flexible method for the preparation of thin film samples for in situ TEM characterization combining shadow-FIB milling and electron-beam-assisted etching.

    PubMed

    Liebig, J P; Göken, M; Richter, G; Mačković, M; Przybilla, T; Spiecker, E; Pierron, O N; Merle, B

    2016-12-01

    A new method for the preparation of freestanding thin film samples for mechanical testing in transmission electron microscopes is presented. It is based on a combination of focused ion beam (FIB) milling and electron-beam-assisted etching with xenon difluoride (XeF 2 ) precursor gas. The use of the FIB allows for the target preparation of microstructural defects and enables well-defined sample geometries which can be easily adapted in order to meet the requirements of various testing setups. In contrast to existing FIB-based preparation approaches, the area of interest is never exposed to ion beam irradiation which preserves a pristine microstructure. The method can be applied to a wide range of thin film material systems compatible with XeF 2 etching. Its feasibility is demonstrated for gold and alloyed copper thin films and its practical application is discussed. Copyright © 2016 Elsevier B.V. All rights reserved.

  10. Bond strength with various etching times on young permanent teeth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, W.N.; Lu, T.C.

    1991-07-01

    Tensile bond strengths of an orthodontic resin cement were compared for 15-, 30-, 60-, 90-, or 120-second etching times, with a 37% phosphoric acid solution on the enamel surfaces of young permanent teeth. Fifty extracted premolars from 9- to 16-year-old children were used for testing. An orthodontic composite resin was used to bond the bracket directly onto the buccal surface of the enamel. The tensile bond strengths were tested with an Instron machine. Bond failure interfaces between bracket bases and teeth surfaces were examined with a scanning electron microscope and calculated with mapping of energy-dispersive x-ray spectrometry. The results ofmore » tensile bond strength for 15-, 30-, 60-, or 90-second etching times were not statistically different. For the 120-second etching time, the decrease was significant. Of the bond failures, 43%-49% occurred between bracket and resin interface, 12% to 24% within the resin itself, 32%-40% between resin and tooth interface, and 0% to 4% contained enamel fragments. There was no statistical difference in percentage of bond failure interface distribution between bracket base and resin, resin and enamel, or the enamel detachment. Cohesive failure within the resin itself at the 120-second etching time was less than at other etching times, with a statistical significance. To achieve good retention, to decrease enamel loss, and to reduce moisture contamination in the clinic, as well as to save chairside time, a 15-second etching time is suggested for teenage orthodontic patients.« less

  11. Does active application of universal adhesives to enamel in self-etch mode improve their performance?

    PubMed

    Loguercio, Alessandro D; Muñoz, Miguel Angel; Luque-Martinez, Issis; Hass, Viviane; Reis, Alessandra; Perdigão, Jorge

    2015-09-01

    To evaluate the effect of adhesion strategy on the enamel microshear bond strengths (μSBS), etching pattern, and in situ degree of conversion (DC) of seven universal adhesives. 84 extracted third molars were sectioned in four parts (buccal, lingual, proximal) and divided into 21 groups, according to the combination of the main factors adhesive (AdheSE Universal [ADU], All-Bond Universal [ABU], Clearfil Universal [CFU], Futurabond U [FBU], G-Bond Plus [GBP], Prime&Bond Elect (PBE), and Scotchbond Universal Adhesive [SBU]), and adhesion strategy (etch-and-rinse, active self-etch, and passive self-etch). Specimens were stored in water (37°C/24h) and tested at 1.0mm/min (μSBS). Enamel-resin interfaces were evaluated for DC using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a field-emission scanning electron microscope (direct and replica techniques). Data were analyzed with two-way ANOVA and Tukey's test (α=0.05). Active self-etch application increased μSBS and DC for five out of the seven universal adhesives when compared to passive application (p<0.001). A deeper enamel-etching pattern was observed for all universal adhesives in the etch-and-rinse strategy. A slight improvement in etching ability was observed in active self-etch application compared to that of passive self-etch application. Replicas of GBP and PBE applied in active self-etch mode displayed morphological features compatible with water droplets. The DC of GBP and PBE were not affected by the application/strategy mode. In light of the improved performance of universal adhesives when applied actively in SE mode, selective enamel etching with phosphoric acid may not be crucial for their adhesion to enamel. The active application of universal adhesives in self-etch mode may be a practical alternative to enamel etching in specific clinical situations. Copyright © 2015 Elsevier Ltd. All rights reserved.

  12. Principles and applications of laser-induced liquid-phase jet-chemical etching

    NASA Astrophysics Data System (ADS)

    Stephen, Andreas; Metev, Simeon; Vollertsen, Frank

    2003-11-01

    In this treatment method laser radiation, which is guided from a coaxially expanding liquid jet-stream, locally initiates a thermochemical etching reaction on a metal surface, which leads to selective material removal at high resolution and quality of the treated surface as well as low thermal influence on the workpiece. Electrochemical investigations were performed under focused laser irradiation using a cw-Nd:YAG laser with a maximum power of 15 W and a simultaneous impact of the liquid jet-stream consisting of phosphoric acid with a maximum flow rate of 20 m/s. The time resolved measurements of the electrical potential difference against an electrochemical reference electrode were correlated with the specific processing parameters and corresponding etch rates to identify processing conditions for temporally stable and enhanced chemical etching reactions. Applications of laser-induced liquid-phase jet-chemical etching in the field of sensor technology, micromechanics and micrmoulding technology are presented. This includes the microstructuring of thin film systems, cutting of foils of shape memory alloys or the generation of structures with defined shape in bulk material.

  13. Thermodynamics of nuclear track chemical etching

    NASA Astrophysics Data System (ADS)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  14. Fabrication of low reflective nanopore-type black Si layer using one-step Ni-assisted chemical etching for Si solar cell application

    NASA Astrophysics Data System (ADS)

    Takaloo, AshkanVakilipour; Kolahdouz, Mohammadreza; Poursafar, Jafar; Es, Firat; Turan, Rasit; Ki-Joo, Seung

    2018-03-01

    Nanotextured Si fabricated through metal-assisted chemical etching (MACE) technique exhibits a promising potential for producing antireflective layer for photovoltaic (PV) application. In this study, a novel single-step nickel (Ni) assisted etching technique was applied to produce an antireflective, nonporous Si (black Si) in an aqueous solution containing hydrofluoric acid (HF), hydrogen peroxide (H2O2) and NiSO4 at 40 °C. Field emission scanning electron microscope was used to characterize different morphologies of the textured Si. Optical reflection measurements of samples were carried out to compare the reflectivity of different morphologies. Results indicated that vertical as well as horizontal pores with nanosized diameters were bored in the Si wafer after 1 h treatment in the etching solution containing different molar ratios of H2O2 to HF. Increasing H2O2 concentration in electrochemical etching solution had a considerable influence on the morphology due to higher injection of positive charges from Ni atoms onto the Si surface. Optimized concentration of H2O2 led to formation of an antireflective layer with 2.1% reflectance of incident light.

  15. Closed System Step Etching of CI chondrite Ivuna reveals primordial noble gases in the HF-solubles

    NASA Astrophysics Data System (ADS)

    Riebe, My E. I.; Busemann, Henner; Wieler, Rainer; Maden, Colin

    2017-05-01

    We analyzed all the noble gases in HF-soluble phases in the CI chondrite Ivuna by in-vacuum gas release using the "Closed System Step Etching" (CSSE) technique, which allows for direct noble gas measurements of acid-soluble phases. The main motivation was to investigate if there are primordial noble gases in HF-soluble phases in Ivuna, something that has not been done before in CI chondrites, as most primordial noble gases are known to reside in HF-resistant phases. The first steps under mild etching released He, Ne, and Ar with solar-like elemental and isotopic compositions, confirming that Ivuna contains implanted solar wind (SW) noble gases acquired in the parent body regolith. The SW component released in some etch steps was elementally unfractionated. This is unusual as trapped SW noble gases are elementally fractionated in most meteoritic material. In the intermediate etch steps under slightly harsher etching, cosmogenic noble gases were more prominent than SW noble gases. The HF-soluble portion of Ivuna contained primordial Ne and Xe, that was most visible in the last etch steps after all cosmogenic and most SW gases had been released. The primordial Ne and Xe in the HF-solubles have isotopic and elemental ratios readily explained as a mixture of the two most abundant primordial noble gas components in Ivuna bulk samples: HL and Q. Only small fractions of the total HL and Q in Ivuna were released during CSSE analysis; ∼3% of 20NeHL and ∼4% of 132XeQ. HL is known to reside in nanodiamond-rich separates and Q-gases are most likely carried by a carbonaceous phase known as phase Q. Q-gases were likely released from an HF-soluble portion of phase Q. However, nanodiamonds might not be the source of the HL-gases released upon etching, since nanodiamond-rich separates are very HF-resistant and the less tightly bound nanodiamond component P3 was not detected.

  16. Bi/In thermal resist for both Si anisotropic wet etching and Si/SiO2 plasma etching

    NASA Astrophysics Data System (ADS)

    Chapman, Glenn H.; Tu, Yuqiang; Peng, Jun

    2004-01-01

    Bi/In thermal resist is a bilayer structure of Bi over In films which can be exposed by laser with a wide range of wavelengths and can be developed by diluted RCA2 solutions. Current research shows bimetallic resist can work as etch masking layer for both dry plasma etching and wet anisotropic etching. It can act as both patterning and masking layers for Si and SiO2 with plasma "dry" etch using CF4/CHF3. The etching condition is CF4 flow rate 50 sccm, pressure 150 mTorr, and RF power 100 - 600W. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1 nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In. Bi/In also creates etch masking layers for alkaline-based (KOH, TMAH and EDP) "wet" anisotropic bulk Si etch without the need of SiO2 masking steps. The laser exposed Bi/In etches two times more slowly than SiO2. Experiment result shows that single metal Indium film exhibits thermal resist characteristics but at twice the exposure levels. It can be developed in diluted RCA2 solution and used as an etch mask layer for Si anisotropic etch. X-ray diffraction analysis shows that laser exposure causes both Bi and In single film to oxidize. In film may become amorphous when exposed to high laser power.

  17. Correlation between surface chemistry and ion energy dependence of the etch yield in multicomponent oxides etching

    NASA Astrophysics Data System (ADS)

    Bérubé, P.-M.; Poirier, J.-S.; Margot, J.; Stafford, L.; Ndione, P. F.; Chaker, M.; Morandotti, R.

    2009-09-01

    The influence of surface chemistry in plasma etching of multicomponent oxides was investigated through measurements of the ion energy dependence of the etch yield. Using pulsed-laser-deposited CaxBa(1-x)Nb2O6 (CBN) and SrTiO3 thin films as examples, it was found that the etching energy threshold shifts toward values larger or smaller than the sputtering threshold depending on whether or not ion-assisted chemical etching is the dominant etching pathway and whether surface chemistry is enhancing or inhibiting desorption of the film atoms. In the case of CBN films etched in an inductively coupled Cl2 plasma, it is found that the chlorine uptake is inhibiting the etching reaction, with the desorption of nonvolatile NbCl2 and BaCl2 compounds being the rate-limiting step.

  18. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    NASA Astrophysics Data System (ADS)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  19. Etching twin core fiber for the temperature-independent refractive index sensing

    NASA Astrophysics Data System (ADS)

    Zhang, Chuanbiao; Ning, Tigang; Li, Jing; Zheng, Jingjing; Gao, Xuekai; Lin, Heng; Pei, Li

    2018-04-01

    We proposed an ultra-compact chemically etched twin core fiber (TCF) based optic refractive index (RI) sensor, in which the etched fiber was fabricated by immersing in an aqueous solution of hydrofluoric acid (HF) to etch the cladding. Due to the multipath evolutions of light during the TCF, the mode induced interference pattern can be used for measurement. Numerical simulations were performed, demonstrating that only the cladding mode strongly interacts with the surrounding media, and the higher cladding modes will be more sensitive to external medium. In the experiment demonstration, the RI response characteristics of the sensor were investigated, which shows a relatively high RI sensitivity and a much low temperature cross-sensitivity with about 1.06 × 10-6 RIU °C-1. Due to low cost and easy fabrication, the sensor can be a suitable candidate in the biochemical field.

  20. ZERODUR: bending strength data for etched surfaces

    NASA Astrophysics Data System (ADS)

    Hartmann, Peter; Leys, Antoine; Carré, Antoine; Kerz, Franca; Westerhoff, Thomas

    2014-07-01

    In a continuous effort since 2007 a considerable amount of new data and information has been gathered on the bending strength of the extremely low thermal expansion glass ceramic ZERODUR®. By fitting a three parameter Weibull distribution to the data it could be shown that for homogenously ground surfaces minimum breakage stresses exist lying much higher than the previously applied design limits. In order to achieve even higher allowable stress values diamond grain ground surfaces have been acid etched, a procedure widely accepted as strength increasing measure. If surfaces are etched taking off layers with thickness which are comparable to the maximum micro crack depth of the preceding grinding process they also show statistical distributions compatible with a three parameter Weibull distribution. SCHOTT has performed additional measurement series with etch solutions with variable composition testing the applicability of this distribution and the possibility to achieve further increase of the minimum breakage stress. For long term loading applications strength change with time and environmental media are important. The parameter needed for prediction calculations which is combining these influences is the stress corrosion constant. Results from the past differ significantly from each other. On the basis of new investigations better information will be provided for choosing the best value for the given application conditions.

  1. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    NASA Astrophysics Data System (ADS)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  2. Process for Smoothing an Si Substrate after Etching of SiO2

    NASA Technical Reports Server (NTRS)

    Turner, Tasha; Wu, Chi

    2003-01-01

    A reactive-ion etching (RIE) process for smoothing a silicon substrate has been devised. The process is especially useful for smoothing those silicon areas that have been exposed by etching a pattern of holes in a layer of silicon dioxide that covers the substrate. Applications in which one could utilize smooth silicon surfaces like those produced by this process include fabrication of optical waveguides, epitaxial deposition of silicon on selected areas of silicon substrates, and preparation of silicon substrates for deposition of adherent metal layers. During etching away of a layer of SiO2 that covers an Si substrate, a polymer becomes deposited on the substrate, and the substrate surface becomes rough (roughness height approximately equal to 50 nm) as a result of over-etching or of deposition of the polymer. While it is possible to smooth a silicon substrate by wet chemical etching, the undesired consequences of wet chemical etching can include compromising the integrity of the SiO2 sidewalls and undercutting of the adjacent areas of the silicon dioxide that are meant to be left intact. The present RIE process results in anisotropic etching that removes the polymer and reduces height of roughness of the silicon substrate to less than 10 nm while leaving the SiO2 sidewalls intact and vertical. Control over substrate versus sidewall etching (in particular, preferential etching of the substrate) is achieved through selection of process parameters, including gas flow, power, and pressure. Such control is not uniformly and repeatably achievable in wet chemical etching. The recipe for the present RIE process is the following: Etch 1 - A mixture of CF4 and O2 gases flowing at rates of 25 to 75 and 75 to 125 standard cubic centimeters per minute (stdcm3/min), respectively; power between 44 and 55 W; and pressure between 45 and 55 mtorr (between 6.0 and 7.3 Pa). The etch rate lies between approximately equal to 3 and approximately equal to 6 nm/minute. Etch 2 - O2 gas

  3. Microtensile bond strength of etch-and-rinse and self-etching adhesives to intrapulpal dentin after endodontic irrigation and setting of root canal sealer.

    PubMed

    Wattanawongpitak, Nipaporn; Nakajima, Masatoshi; Ikeda, Masaomi; Foxton, Richard M; Tagami, Junji

    2009-02-01

    To evaluate the effect of endodontic irrigation regimens and calcium hydroxide root canal sealer (Sealapex) on the microtensile bond strengths (muTBS) of dual-curing resin composite (Clearfil DC Core Automix) to the intrapulpal dentin. Forty standardized coronal-half root canal dentin specimens obtained from human premolars were divided into 4 groups: group A, no treatment (control); group B, Sealapex; group C, NaOCl/Sealapex; group D, EDTA/NaOCl/Sealapex. After 7 days of storage in 100% relative humidity, Sealapex was removed. Dentin surfaces were bonded with adhesives, either etch-and-rinse (Single Bond) or self-etching (Clearfil SE Bond), and built up with resin composite. The bonded specimens were trimmed into an hourglass shape with a 1-mm2 cross-sectional area for microtensile testing (n = 20). The muTBS to intrapulpal dentin was analyzed using two-way ANOVA and Dunnett's TC test. Two teeth of each group were prepared for micromorphological analysis of dentin surface. The root canal sealer with or without endodontic irrigation significantly affected the bond strengths of resin composite to intrapulpal dentin compared with the control group (p < 0.05). There were no significant differences in muTBS of each experimental group between etch-and-rinse and self-etching adhesives (p > 0.05). The dentin surface was covered with a mud-like material after sealer application for 7 days. The root canal sealer reduced the muTBS of dual-curing resin composite with etch-and-rinse and self-etching adhesive systems to intrapulpal dentin. Treatment with EDTA followed by NaOCI prior to obturation caused an additional reduction in muTBS of both adhesive systems to intrapulpal dentin.

  4. Decontamination of metals using chemical etching

    DOEpatents

    Lerch, Ronald E.; Partridge, Jerry A.

    1980-01-01

    The invention relates to chemical etching process for reclaiming contaminated equipment wherein a reduction-oxidation system is included in a solution of nitric acid to contact the metal to be decontaminated and effect reduction of the reduction-oxidation system, and includes disposing a pair of electrodes in the reduced solution to permit passage of an electrical current between said electrodes and effect oxidation of the reduction-oxidation system to thereby regenerate the solution and provide decontaminated equipment that is essentially radioactive contamination-free.

  5. A Twice Electrochemical-Etching Method to Fabricate Superhydrophobic-Superhydrophilic Patterns for Biomimetic Fog Harvest.

    PubMed

    Yang, Xiaolong; Song, Jinlong; Liu, Junkai; Liu, Xin; Jin, Zhuji

    2017-08-18

    Superhydrophobic-superhydrophilic patterned surfaces have attracted more and more attention due to their great potential applications in the fog harvest process. In this work, we developed a simple and universal electrochemical-etching method to fabricate the superhydrophobic-superhydrophilic patterned surface on metal superhydrophobic substrates. The anti-electrochemical corrosion property of superhydrophobic substrates and the dependence of electrochemical etching potential on the wettability of the fabricated dimples were investigated on Al samples. Results showed that high etching potential was beneficial for efficiently producing a uniform superhydrophilic dimple. Fabrication of long-term superhydrophilic dimples on the Al superhydrophobic substrate was achieved by combining the masked electrochemical etching and boiling-water immersion methods. A long-term wedge-shaped superhydrophilic dimple array was fabricated on a superhydrophobic surface. The fog harvest test showed that the surface with a wedge-shaped pattern array had high water collection efficiency. Condensing water on the pattern was easy to converge and depart due to the internal Laplace pressure gradient of the liquid and the contact angle hysteresis contrast on the surface. The Furmidge equation was applied to explain the droplet departing mechanism and to control the departing volume. The fabrication technique and research of the fog harvest process may guide the design of new water collection devices.

  6. Microtensile bond strength of etch and rinse versus self-etch adhesive systems.

    PubMed

    Hamouda, Ibrahim M; Samra, Nagia R; Badawi, Manal F

    2011-04-01

    The aim of this study was to compare the microtensile bond strength of the etch and rinse adhesive versus one-component or two-component self-etch adhesives. Twelve intact human molar teeth were cleaned and the occlusal enamel of the teeth was removed. The exposed dentin surfaces were polished and rinsed, and the adhesives were applied. A microhybride composite resin was applied to form specimens of 4 mm height and 6 mm diameter. The specimens were sectioned perpendicular to the adhesive interface to produce dentin-resin composite sticks, with an adhesive area of approximately 1.4 mm(2). The sticks were subjected to tensile loading until failure occurred. The debonded areas were examined with a scanning electron microscope to determine the site of failure. The results showed that the microtensile bond strength of the etch and rinse adhesive was higher than that of one-component or two-component self-etch adhesives. The scanning electron microscope examination of the dentin surfaces revealed adhesive and mixed modes of failure. The adhesive mode of failure occurred at the adhesive/dentin interface, while the mixed mode of failure occurred partially in the composite and partially at the adhesive/dentin interface. It was concluded that the etch and rinse adhesive had higher microtensile bond strength when compared to that of the self-etch adhesives. Copyright © 2010 Elsevier Ltd. All rights reserved.

  7. Etching fission tracks in zircons

    USGS Publications Warehouse

    Naeser, C.W.

    1969-01-01

    A new technique has been developed whereby fission tracks can be etched in zircon with a solution of sodium hydroxide at 220??C. Etching time varied between 15 minutes and 5 hours. Colored zircon required less etching time than the colorless varieties.

  8. Laser-driven fusion etching process

    DOEpatents

    Ashby, C.I.H.; Brannon, P.J.; Gerardo, J.B.

    1987-08-25

    The surfaces of solids are etched by a radiation-driven chemical reaction. The process involves exposing a substrate coated with a layer of a reactant material on its surface to radiation, e.g., a laser, to induce localized melting of the substrate which results in the occurrence of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic substrates, e.g., LiNbO/sub 3/, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  9. Dynamic secondary ion mass spectroscopy of Au nanoparticles on Si wafer using Bi3+ as primary ion coupled with surface etching by Ar cluster ion beam: The effect of etching conditions on surface structure

    NASA Astrophysics Data System (ADS)

    Park, Eun Ji; Choi, Chang Min; Kim, Il Hee; Kim, Jung-Hwan; Lee, Gaehang; Jin, Jong Sung; Ganteför, Gerd; Kim, Young Dok; Choi, Myoung Choul

    2018-01-01

    Wet-chemically synthesized Au nanoparticles were deposited on Si wafer surfaces, and the secondary ions mass spectra (SIMS) from these samples were collected using Bi3+ with an energy of 30 keV as the primary ions. In the SIMS, Au cluster cations with a well-known, even-odd alteration pattern in the signal intensity were observed. We also performed depth profile SIMS analyses, i.e., etching the surface using an Ar gas cluster ion beam (GCIB), and a subsequent Bi3+ SIMS analysis was repetitively performed. Here, two different etching conditions (Ar1600 clusters of 10 keV energy or Ar1000 of 2.5 keV denoted as "harsh" or "soft" etching conditions, respectively) were used. Etching under harsh conditions induced emission of the Au-Si binary cluster cations in the SIMS spectra of the Bi3+ primary ions. The formation of binary cluster cations can be induced by either fragmentation of Au nanoparticles or alloying of Au and Si, increasing Au-Si coordination on the sample surface during harsh GCIB etching. Alternatively, use of the soft GCIB etching conditions resulted in exclusive emission of pure Au cluster cations with nearly no Au-Si cluster cation formation. Depth profile analyses of the Bi3+ SIMS combined with soft GCIB etching can be useful for studying the chemical environments of atoms at the surface without altering the original interface structure during etching.

  10. Capabilities of ICP-RIE cryogenic dry etching of silicon: review of exemplary microstructures

    NASA Astrophysics Data System (ADS)

    Sökmen, Ü.; Stranz, A.; Fündling, S.; Wehmann, H.-H.; Bandalo, V.; Bora, A.; Tornow, M.; Waag, A.; Peiner, E.

    2009-10-01

    Inductively coupled plasma (ICP) cryogenic dry etching was used to etch submicron pores, nano contact lines, submicron diameter pillars, thin and thick cantilevers, membrane structures and anisotropic deep structures with high aspect ratios in silicon for bio-nanoelectronics, optoelectronics and nano-micro electromechanical systems (NMEMS). The ICP cryogenic dry etching gives us the advantage of switching plasmas between etch rates of 13 nm min-1 and 4 µm min-1 for submicron pores and for membrane structures, respectively. A very thin photoresist mask can endure at -75 °C even during etching 70 µm deep for cantilevers and 300 µm deep for membrane structures. Coating the backsides of silicon membrane substrates with a thin photoresist film inhibited the lateral etching of cantilevers during their front release. Between -95 °C and -140 °C, we realized crystallographic-plane-dependent etching that creates facets only at the etch profile bottom. By varying the oxygen content and the process temperature, we achieved good control over the shape of the etched structures. The formation of black silicon during membrane etching down to 300 µm was delayed by reducing the oxygen content.

  11. The effect of additional enamel etching and a flowable composite to the interfacial integrity of Class II adhesive composite restorations.

    PubMed

    Belli, S; Inokoshi, S; Ozer, F; Pereira, P N; Ogata, M; Tagami, J

    2001-01-01

    This in vitro study evaluated the interfacial integrity of Class II resin composite restorations. The influence of a flowable composite and additional enamel etching was also evaluated. Deep, saucer-shaped Class II cavities were prepared in the mesial and distal proximal surfaces of 25 extracted human molars and assigned to five treatment groups. The gingival margins were extended to approximately 1 mm above the CEJ in 40 cavities and below the CEJ in 10 cavities. The prepared cavities were then restored with a self-etching primer system (Clearfil Liner Bond II) and a hybrid resin composite (Clearfil AP-X), with and without a flowable composite (Protect Liner F) and additional enamel etching with 37% phosphoric acid gel (K-etchant). After finishing, polishing and thermocycling (4 and 60 degrees C, x300), the samples were longitudinally sectioned through the restorations and resin-tooth interfaces were observed directly under a laser scanning microscope. Statistical analysis indicated that the use of a flowable composite produced significantly more (p = 0.04) gap-free resin-dentin interfaces than teeth restored without the flowable composite. However, both flowable composite and enamel etching could not prevent gap formation at enamel-resin interfaces and crack formation on enamel walls.

  12. Submicron patterned metal hole etching

    DOEpatents

    McCarthy, Anthony M.; Contolini, Robert J.; Liberman, Vladimir; Morse, Jeffrey

    2000-01-01

    A wet chemical process for etching submicron patterned holes in thin metal layers using electrochemical etching with the aid of a wetting agent. In this process, the processed wafer to be etched is immersed in a wetting agent, such as methanol, for a few seconds prior to inserting the processed wafer into an electrochemical etching setup, with the wafer maintained horizontal during transfer to maintain a film of methanol covering the patterned areas. The electrochemical etching setup includes a tube which seals the edges of the wafer preventing loss of the methanol. An electrolyte composed of 4:1 water: sulfuric is poured into the tube and the electrolyte replaces the wetting agent in the patterned holes. A working electrode is attached to a metal layer of the wafer, with reference and counter electrodes inserted in the electrolyte with all electrodes connected to a potentiostat. A single pulse on the counter electrode, such as a 100 ms pulse at +10.2 volts, is used to excite the electrochemical circuit and perform the etch. The process produces uniform etching of the patterned holes in the metal layers, such as chromium and molybdenum of the wafer without adversely effecting the patterned mask.

  13. Laser-driven fusion etching process

    DOEpatents

    Ashby, Carol I. H.; Brannon, Paul J.; Gerardo, James B.

    1989-01-01

    The surfaces of solid ionic substrates are etched by a radiation-driven chemical reaction. The process involves exposing an ionic substrate coated with a layer of a reactant material on its surface to radiation, e.g. a laser, to induce localized melting of the substrate which results in the occurrance of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic salt substrates, e.g., a solid inorganic salt such as LiNbO.sub.3, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  14. Apparatus For Etching Or Depositing A Desired Profile Onto A Surface

    DOEpatents

    Rushford, Michael C.; Britten, Jerald A.

    2004-05-25

    An apparatus and method for modifying the surface of an object by contacting said surface with a liquid processing solution using the liquid applicator geometry and Marangoni effect (surface tension gradient-driven flow) to define and confine the dimensions of the wetted zone on said object surface. In particular, the method and apparatus involve contouring or figuring the surface of an object using an etchant solution as the wetting fluid and using real-time metrology (e.g. interferometry) to control the placement and dwell time of this wetted zone locally on the surface of said object, thereby removing material from the surface of the object in a controlled manner. One demonstrated manifestation is in the deterministic optical figuring of thin glasses by wet chemical etching using a buffered hydrofluoric acid solution and Marangoni effect.

  15. The use of a 'bleach-etch-seal' deproteinization technique on MIH affected enamel.

    PubMed

    Gandhi, Shan; Crawford, Peter; Shellis, Peter

    2012-11-01

    To ascertain whether deproteinization pretreatment of molar-incisor hypomineralization (MIH) enamel affects resin sealant infiltration. Thirty one extracted MIH teeth were divided into three sections and randomly allocated into the Control (etch and FS), Treatment 1 (5% NaOCl, etched and fissure sealed), and Treatment 2 (5% NaOCl and fissure sealed with no etch) groups. Two hundred seventy nine sealant tag/enamel grade observations were recorded by scanning electron microscopy. Control and Treatment 1 were similar in their outcomes, and Treatment 2 was markedly different. There was no statistical evidence to suggest that there was any difference between Treatment 1 and the Control Treatment (95% CI, 0.52, 1.51; P = 0.6). There was a marked difference between Treatment 2 and the Control Treatment (95% CI, 0.07, 0.25; P < 0.001). All treatments also demonstrated a high-predicted probability of obtaining 'poor' sealant tags (Control = 47%, Treatment 1 = 49%, and Treatment 2 = 40%). The findings suggest that there was no significant difference in the tag quality between the conventional technique (Control) and the 'bleach-etch-seal' technique (Treatment 1). There was no benefit in pre-treating with NaOCl alone (without etch) before sealing. This research also showed that there was a high-predicted probability of obtaining 'poor' sealant tags in MIH enamel, regardless of which of the three treatments was used. © 2012 The Authors. International Journal of Paediatric Dentistry © 2012 BSPD, IAPD and Blackwell Publishing Ltd.

  16. Enhanced Activity and Acid pH Stability of Prussian Blue-type Oxygen Evolution Electrocatalysts Processed by Chemical Etching.

    PubMed

    Han, Lijuan; Tang, Pengyi; Reyes-Carmona, Álvaro; Rodríguez-García, Bárbara; Torréns, Mabel; Morante, Joan Ramon; Arbiol, Jordi; Galan-Mascaros, Jose Ramon

    2016-12-14

    The development of upscalable oxygen evolving electrocatalysts from earth-abundant metals able to operate in neutral or acidic environments and low overpotentials remains a fundamental challenge for the realization of artificial photosynthesis. In this study, we report a highly active phase of heterobimetallic cyanide-bridged electrocatalysts able to promote water oxidation under neutral, basic (pH < 13), and acidic conditions (pH > 1). Cobalt-iron Prussian blue-type thin films, formed by chemical etching of Co(OH) 1.0 (CO 3 ) 0.5 ·nH 2 O nanocrystals, yield a dramatic enhancement of the catalytic performance toward oxygen production, when compared with previous reports for analogous materials. Electrochemical, spectroscopic, and structural studies confirm the excellent performance, stability, and corrosion resistance, even when compared with state-of-the-art metal oxide catalysts under moderate overpotentials and in a remarkably large pH range, including acid media where most cost-effective water oxidation catalysts are not useful. The origin of the superior electrocatalytic activity toward water oxidation appears to be in the optimized interfacial matching between catalyst and electrode surface obtained through this fabrication method.

  17. High-indexed Pt 3Ni alloy tetrahexahedral nanoframes evolved through preferential CO etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Chenyu; Zhang, Lihua; Yang, Hongzhou

    2017-03-07

    Here, chemically controlling crystal structures in nanoscale is challenging, yet provides an effective way to improve catalytic performances. Pt-based nanoframes are a new class of nanomaterials that have great potential as high-performance catalysts. To date, these nanoframes are formed through acid etching in aqueous solutions, which demands long reaction time and often yields ill-defined surface structures. Herein we demonstrate a robust and unprecedented protocol for facile development of high-performance nanoframe catalysts using size and crystallographic facet-controlled PtNi 4 tetrahexahedral nanocrystals prepared through a colloidal synthesis approach as precursors. This new protocol employs the Mond process to preferentially dealloy nickel componentmore » in the <100> direction through carbon monoxide etching of carbon-supported PtNi 4 tetrahexahedral nanocrystals at an elevated temperature. The resultant Pt 3Ni alloy tetrahexahedral nanoframes possess an open, stable, and high-indexed microstructure, containing a segregated Pt thin layer strained to the Pt–Ni alloy surfaces and featuring a down-shift d-band center as revealed by the density functional theory calculations. These nanoframes exhibit much improved catalytic performance, such as high stability under prolonged electrochemical potential cycles, promoting direct electro-oxidation of formic acid to carbon dioxide and enhancing oxygen reduction reaction activities. Because carbon monoxide can be generated from the carbon support through thermal annealing in air, a common process for pretreating supported catalysts, the developed approach can be easily adopted for preparing industrial scale catalysts that are made of Pt–Ni and other alloy nanoframes.« less

  18. Reinforcement of dentin in self-etch adhesive technology: a new concept.

    PubMed

    Waidyasekera, Kanchana; Nikaido, Toru; Weerasinghe, Dinesh S; Ichinose, Shizuko; Tagami, Junji

    2009-08-01

    Characterize the ultramorphology and secondary caries inhibition potential of different dentin adhesive systems in order to find a satisfactory explanation resist to recurrent caries. Human premolar dentin was treated with one of the two self-etching adhesive systems, Clearfil SE Bond, Clearfil Protect Bond or an acid-etching adhesive system, Single Bond. The bonded interface was exposed to an artificial demineralizing solution (pH 4.5) for 90 min and then 5% sodium hypochlorite for 20 min. Transmission electron microscopic observation was performed at the adhesive-dentin interface. The width of the reinforced zone was measured and data were analyzed with univariate analysis of variance under general linear model. In order to identify type of crystallites in the reinforced zone selected area electron diffraction was performed. An acid-base resistant zone (ABRZ) was found adjacent to the hybrid layer in the outer lesion front with only Clearfil SE Bond and Clearfil Protect Bond, while Single Bond was devoid of this protective zone. Crystallite arrangement and the ultramorphology were almost similar in the corresponding regions of Clearfil SE Bond and Clearfil Protect Bond. However, thickness of the ABRZ at the mid portion was 1159(+/-41.91)nm in Clearfil protect Bond, which was significantly thicker than that of Clearfil SE Bond (F=514.84, p<0.001). Selected area electron diffraction confirmed the crystallites in the zone as apatite. The self-etching adhesive systems created a new reinforced acid resistant dentin under the hybrid layer. Difference in the thickness of the zone expressed a different potential for demineralization inhibition.

  19. Chemically etched fiber tips for near-field optical microscopy: a process for smoother tips.

    PubMed

    Lambelet, P; Sayah, A; Pfeffer, M; Philipona, C; Marquis-Weible, F

    1998-11-01

    An improved method for producing fiber tips for scanning near-field optical microscopy is presented. The improvement consists of chemically etching quartz optical fibers through their acrylate jacket. This new method is compared with the previous one in which bare fibers were etched. With the new process the meniscus formed by the acid along the fiber does not move during etching, leading to a much smoother surface of the tip cone. Subsequent metallization is thus improved, resulting in better coverage of the tip with an aluminum opaque layer. Our results show that leakage can be avoided along the cone, and light transmission through the tip is spatially limited to an optical aperture of a 100-nm dimension.

  20. Effect of surface etching on the oxidation behavior of plasma chromizing-treated AISI440B stainless steel

    NASA Astrophysics Data System (ADS)

    Meng, T. X.; Guo, Q.; Xi, W.; Ding, W. Q.; Liu, X. Z.; Lin, N. M.; Yu, S. W.; Liu, X. P.

    2018-03-01

    Double glow plasma surface alloying was applied to prepare chromizing layer in the surface of AISI440B stainless steel. Prior to chromizing, the stainless steel was etched by microwave plasma chemical vapor deposition to change the surface morphology and composition, and then heated for chromizing at 950 °C for 3 h. The cyclical oxidation of steel after chromizing was carried out at 900 °C for 100 h. Scanning electron microscopy, glow discharge optical emission spectrometer and X-ray diffractometer were used to characterize microstructure, composition and phase structure of alloyed and oxidized samples. The results show that the surface was composed of the Cr-rich top layer and Cr23C6, Cr7C3 and {Cr,Fe}7C3 below layer after chromizing. The bonding between the chromizing layer and the substrate after etching treatment was obviously strengthened. AISI440B steel shows a poor oxidation resistance and the weight gain oxidized for 100 h was up to 31.1 mg/cm2. Weight gains for chromizing and etching + chromizing treated samples were 0.67 mg/cm2 and 8 mg/cm2, respectively. Both oxidized surfaces of chromizing and etching + chromizing were composed of Cr2O3, but the oxide scale of etching + chromizing treated samples was more compact than that of samples without etching.

  1. Optimization of plasma etching of SiO2 as hard mask for HgCdTe dry etching

    NASA Astrophysics Data System (ADS)

    Chen, Yiyu; Ye, Zhenhua; Sun, Changhong; Zhang, Shan; Xin, Wen; Hu, Xiaoning; Ding, Ruijun; He, Li

    2016-10-01

    HgCdTe is one of the dominating materials for infrared detection. To pattern this material, our group has proven the feasibility of SiO2 as a hard mask in dry etching process. In recent years, the SiO2 mask patterned by plasma with an auto-stopping layer of ZnS sandwiched between HgCdTe and SiO2 has been developed by our group. In this article, we will report the optimization of SiO2 etching on HgCdTe. The etching of SiO2 is very mature nowadays. Multiple etching recipes with deferent gas mixtures can be used. We utilized a recipe containing Ar and CHF3. With strictly controlled photolithography, the high aspect-ratio profile of SiO2 was firstly achieved on GaAs substrate. However, the same recipe could not work well on MCT because of the low thermal conductivity of HgCdTe and CdTe, resulting in overheated and deteriorated photoresist. By decreasing the temperature, the photoresist maintained its good profile. A starting table temperature around -5°C worked well enough. And a steep profile was achieved as checked by the SEM. Further decreasing of temperature introduced profile with beveled corner. The process window of the temperature is around 10°C. Reproducibility and uniformity were also confirmed for this recipe.

  2. Comparison of folic acid levels in schizophrenic patients and control groups

    NASA Astrophysics Data System (ADS)

    Arthy, C. C.; Amin, M. M.; Effendy, E.

    2018-03-01

    Folic acid deficiency is a risk factor for schizophrenia through epidemiology, biochemistry and gene-related studies. Compared with healthy people, schizophrenic patients may have high homocysteine plasma values and homocysteine or low levels of folic acid, which seems to correlate with extrapyramidal motor symptoms caused by neuroleptic therapy and with symptoms of schizophrenia. In this present study, we focus on the difference of folic acid level between schizophrenic patient and control group. The study sample consisted of schizophrenic patients and 14 people in the control group and performed blood sampling to obtain the results of folic acid levels. The folic acid level in both groups was within normal range, but the schizophrenic patient group had lower mean folic acid values of 5.00 ng/ml (sb 1.66), compared with the control group with mean folic acid values of 10.75 ng/ml (sb 4.33). there was the group of the control group had a higher value of folic acid than the schizophrenic group.

  3. Nanofabrication on monocrystalline silicon through friction-induced selective etching of Si3N4 mask

    PubMed Central

    2014-01-01

    A new fabrication method is proposed to produce nanostructures on monocrystalline silicon based on the friction-induced selective etching of its Si3N4 mask. With low-pressure chemical vapor deposition (LPCVD) Si3N4 film as etching mask on Si(100) surface, the fabrication can be realized by nanoscratching on the Si3N4 mask and post-etching in hydrofluoric acid (HF) and potassium hydroxide (KOH) solution in sequence. Scanning Auger nanoprobe analysis indicated that the HF solution could selectively etch the scratched Si3N4 mask and then provide the gap for post-etching of silicon substrate in KOH solution. Experimental results suggested that the fabrication depth increased with the increase of the scratching load or KOH etching period. Because of the excellent masking ability of the Si3N4 film, the maximum fabrication depth of nanostructure on silicon can reach several microns. Compared to the traditional friction-induced selective etching technique, the present method can fabricate structures with lesser damage and deeper depths. Since the proposed method has been demonstrated to be a less destructive and flexible way to fabricate a large-area texture structure, it will provide new opportunities for Si-based nanofabrication. PMID:24940174

  4. Anisotropic diamond etching through thermochemical reaction between Ni and diamond in high-temperature water vapour.

    PubMed

    Nagai, Masatsugu; Nakanishi, Kazuhiro; Takahashi, Hiraku; Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Matsumoto, Tsubasa; Inokuma, Takao; Tokuda, Norio

    2018-04-27

    Diamond possesses excellent physical and electronic properties, and thus various applications that use diamond are under development. Additionally, the control of diamond geometry by etching technique is essential for such applications. However, conventional wet processes used for etching other materials are ineffective for diamond. Moreover, plasma processes currently employed for diamond etching are not selective, and plasma-induced damage to diamond deteriorates the device-performances. Here, we report a non-plasma etching process for single crystal diamond using thermochemical reaction between Ni and diamond in high-temperature water vapour. Diamond under Ni films was selectively etched, with no etching at other locations. A diamond-etching rate of approximately 8.7 μm/min (1000 °C) was successfully achieved. To the best of our knowledge, this rate is considerably greater than those reported so far for other diamond-etching processes, including plasma processes. The anisotropy observed for this diamond etching was considerably similar to that observed for Si etching using KOH.

  5. Evaluation of effect of laser etching on shear bond strength between maxillofacial silicone and acrylic resin subjected to accelerated aging process.

    PubMed

    Rhea, Antonette; Ahila, S C; Kumar, B Muthu

    2017-01-01

    Maxillofacial prosthesis are supported by implants, require a retentive matrix to retain the suprastructure. The retentive matrix is made up of acrylic resin to which the silicone prostheses are anchored by micro-mechanical bond. The delamination of silicone away from the retentive matrix is a persisting problem in implant-supported maxillofacial prosthesis. This study aimed to evaluate the effect of laser etching on the shear bond strength (BS) between acrylic resin and maxillofacial silicone, after 24 h of fabrication and after 200 h of accelerated aging. The samples were prepared according to ISO/TR 11405:1994 in maxillofacial silicone and polymethyl methacrylate resin. The untreated samples were Group A (control), Group B (silicon carbide [SiC] paper abrasion 80 grit size), and Group C (erbium-doped yttrium aluminum garnet laser etching). Then, the samples were coated with primer and bonded to maxillofacial silicone. The samples were subjected to shear BS test in an universal testing machine after 24 h of fabrication and after 200 h of accelerated aging. The results were statistically analyzed using one-way ANOVA and Tukey's HSD post hoc test. The shear BS test after 24 h of fabrication showed better BS in SiC paper abrasion. The shear BS test after 200 h of accelerated aging showed better BS in laser etching compared to SiC abrasion. Laser etching produced better shear BS compared to conventional SiC paper abrasion after 200 h of accelerated aging process.

  6. Etch challenges for DSA implementation in CMOS via patterning

    NASA Astrophysics Data System (ADS)

    Pimenta Barros, P.; Barnola, S.; Gharbi, A.; Argoud, M.; Servin, I.; Tiron, R.; Chevalier, X.; Navarro, C.; Nicolet, C.; Lapeyre, C.; Monget, C.; Martinez, E.

    2014-03-01

    This paper reports on the etch challenges to overcome for the implementation of PS-b-PMMA block copolymer's Directed Self-Assembly (DSA) in CMOS via patterning level. Our process is based on a graphoepitaxy approach, employing an industrial PS-b-PMMA block copolymer (BCP) from Arkema with a cylindrical morphology. The process consists in the following steps: a) DSA of block copolymers inside guiding patterns, b) PMMA removal, c) brush layer opening and finally d) PS pattern transfer into typical MEOL or BEOL stacks. All results presented here have been performed on the DSA Leti's 300mm pilot line. The first etch challenge to overcome for BCP transfer involves in removing all PMMA selectively to PS block. In our process baseline, an acetic acid treatment is carried out to develop PMMA domains. However, this wet development has shown some limitations in terms of resists compatibility and will not be appropriated for lamellar BCPs. That is why we also investigate the possibility to remove PMMA by only dry etching. In this work the potential of a dry PMMA removal by using CO based chemistries is shown and compared to wet development. The advantages and limitations of each approach are reported. The second crucial step is the etching of brush layer (PS-r-PMMA) through a PS mask. We have optimized this step in order to preserve the PS patterns in terms of CD, holes features and film thickness. Several integrations flow with complex stacks are explored for contact shrinking by DSA. A study of CD uniformity has been addressed to evaluate the capabilities of DSA approach after graphoepitaxy and after etching.

  7. Laser desorption/ionization mass spectrometry of lipids using etched silver substrates.

    PubMed

    Schnapp, Andreas; Niehoff, Ann-Christin; Koch, Annika; Dreisewerd, Klaus

    2016-07-15

    Silver-assisted laser desorption/ionization mass spectrometry can be used for the analysis of small molecules. For example, adduct formation with silver cations enables the molecular analysis of long-chain hydrocarbons, which are difficult to ionize via conventional matrix-assisted laser desorption ionization (MALDI). Here we used highly porous silver foils, produced by etching with nitric acid, as sample substrates for LDI mass spectrometry. As model system for the analysis of complex lipid mixtures, cuticular extracts of fruit flies (Drosophila melanogaster) and worker bees (Apis mellifera) were investigated. The mass spectra obtained by spotting extract onto the etched silver substrates demonstrate the sensitive detection of numerous lipid classes such as long-chain saturated and unsaturated hydrocarbons, fatty acyl alcohols, wax esters, and triacylglycerols. MS imaging of cuticular surfaces with a lateral resolution of a few tens of micrometers became possible after blotting, i.e., after transferring lipids by physical contact with the substrate. The examples of pheromone-producing male hindwings of the squinting bush brown butterfly (Bicyclus anynana) and a fingermark are shown. Because the substrates are also easy to produce, they provide a viable alternative to colloidal silver nanoparticles and other so far described silver substrates. Copyright © 2016 Elsevier Inc. All rights reserved.

  8. Simulation of SiO2 etching in an inductively coupled CF4 plasma

    NASA Astrophysics Data System (ADS)

    Xu, Qing; Li, Yu-Xing; Li, Xiao-Ning; Wang, Jia-Bin; Yang, Fan; Yang, Yi; Ren, Tian-Ling

    2017-02-01

    Plasma etching technology is an indispensable processing method in the manufacturing process of semiconductor devices. Because of the high fluorine/carbon ratio of CF4, the CF4 gas is often used for etching SiO2. A commercial software ESI-CFD is used to simulate the process of plasma etching with an inductively coupled plasma model. For the simulation part, CFD-ACE is used to simulate the chamber, and CFD-TOPO is used to simulate the surface of the sample. The effects of chamber pressure, bias voltage and ICP power on the reactant particles were investigated, and the etching profiles of SiO2 were obtained. Simulation can be used to predict the effects of reaction conditions on the density, energy and angular distributions of reactant particles, which can play a good role in guiding the etching process.

  9. High-performance etching of multilevel phase-type Fresnel zone plates with large apertures

    NASA Astrophysics Data System (ADS)

    Guo, Chengli; Zhang, Zhiyu; Xue, Donglin; Li, Longxiang; Wang, Ruoqiu; Zhou, Xiaoguang; Zhang, Feng; Zhang, Xuejun

    2018-01-01

    To ensure the etching depth uniformity of large-aperture Fresnel zone plates (FZPs) with controllable depths, a combination of a point source ion beam with a dwell-time algorithm has been proposed. According to the obtained distribution of the removal function, the latter can be used to optimize the etching time matrix by minimizing the root-mean-square error between the simulation results and the design value. Owing to the convolution operation in the utilized algorithm, the etching depth error is insensitive to the etching rate fluctuations of the ion beam, thereby reducing the requirement for the etching stability of the ion system. As a result, a 4-level FZP with a circular aperture of 300 mm was fabricated. The obtained results showed that the etching depth uniformity of the full aperture could be reduced to below 1%, which was sufficiently accurate for meeting the use requirements of FZPs. The proposed etching method may serve as an alternative way of etching high-precision diffractive optical elements with large apertures.

  10. Effects of etch-and-rinse and self-etch adhesives on dentin MMP-2 and MMP-9.

    PubMed

    Mazzoni, A; Scaffa, P; Carrilho, M; Tjäderhane, L; Di Lenarda, R; Polimeni, A; Tezvergil-Mutluay, A; Tay, F R; Pashley, D H; Breschi, L

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives.

  11. Effects of Etch-and-Rinse and Self-etch Adhesives on Dentin MMP-2 and MMP-9

    PubMed Central

    Mazzoni, A.; Scaffa, P.; Carrilho, M.; Tjäderhane, L.; Di Lenarda, R.; Polimeni, A.; Tezvergil-Mutluay, A.; Tay, F.R.; Pashley, D.H.; Breschi, L.

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives. PMID:23128110

  12. Comparison of separation performance of laser-ablated and wet-etched microfluidic devices

    PubMed Central

    Baker, Christopher A.; Bulloch, Rayford; Roper, Michael G.

    2010-01-01

    Laser ablation of glass allows for production of microfluidic devices without the need of hydrofluoric acid and photolithography. The goal of this study was to compare the separation performance of microfluidic devices produced using a low-cost laser ablation system and conventional wet etching. During laser ablation, cracking of the glass substrate was prevented by heating the glass to 300°C. A range of laser energy densities was found to produce channel depths ranging from 4 – 35 μm and channel widths from 118 – 162 μm. The electroosmotic flow velocity was lower in laser-ablated devices, 0.110 ± 0.005 cm s−1, as compared to wet-etched microfluidic chips, 0.126 ± 0.003 cm s−1. Separations of both small and large molecules performed on both wet- and laser-ablated devices were compared by examining limits of detection, theoretical plate count, and peak asymmetry. Laser-induced fluorescence detection limits were 10 pM fluorescein for both types of devices. Laser-ablated and wet-etched microfluidic chips had reproducible migration times with ≤ 2.8% RSD and peak asymmetries ranging from 1.0 – 1.8. Numbers of theoretical plates were between 2.8- and 6.2-fold higher on the wet-etched devices compared to laser-ablated devices. Nevertheless, resolution between small and large analytes was accomplished, which indicates that laser ablation may find an application in pedagogical studies of electrophoresis or microfluidic devices, or in settings where hydrofluoric acid cannot be used. PMID:20827468

  13. Environmentally benign semiconductor processing for dielectric etch

    NASA Astrophysics Data System (ADS)

    Liao, Marci Yi-Ting

    Semiconductor processing requires intensive usage of chemicals, electricity, and water. Such intensive resource usage leaves a large impact on the environment. For instance, in Silicon Valley, the semiconductor industry is responsible for 80% of the hazardous waste sites contaminated enough to require government assistance. Research on environmentally benign semiconductor processing is needed to reduce the environmental impact of the semiconductor industry. The focus of this dissertation is on the environmental impact of one aspect of semiconductor processing: patterning of dielectric materials. Plasma etching of silicon dioxide emits perfluorocarbons (PFCs) gases, like C2F6 and CF4, into the atmosphere. These gases are super global warming/greenhouse gases because of their extremely long atmospheric lifetimes and excellent infrared absorption properties. We developed the first inductively coupled plasma (ICP) abatement device for destroying PFCs downstream of a plasma etcher. Destruction efficiencies of 99% and 94% can be obtained for the above mentioned PFCs, by using O 2 as an additive gas. Our results have lead to extensive modeling in academia as well as commercialization of the ICP abatement system. Dielectric patterning of hi-k materials for future device technology brings different environment challenges. The uncertainty of the hi-k material selection and the patterning method need to be addressed. We have evaluated the environmental impact of three different dielectric patterning methods (plasma etch, wet etch and chemical-mechanical polishing), as well as, the transistor device performances associated with the patterning methods. Plasma etching was found to be the most environmentally benign patterning method, which also gives the best device performance. However, the environmental concern for plasma etching is the possibility of cross-contamination from low volatility etch by-products. Therefore, mass transfer in a plasma etcher for a promising hi

  14. Analysis of 2H-Evaporator Acid Cleaning Samples

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hay, M.; Diprete, D.; Edwards, T.

    The 2H-Evaporator acid cleaning solution samples were analyzed by SRNL to determine a composition for the scale present in the evaporator before recent acid cleaning. Composite samples were formed from the solution samples from the two acid cleaning cycles. The solution composition was converted to a weight percent scale solids basis under an assumed chemical composition. The scale composition produced from the acid cleaning solution samples indicates a concentration of 6.85 wt% uranium. An upper bound, onesided 95% confidence interval on the weight percent uranium value may be given as 6.9 wt% + 1.645 × 0.596 wt% = 7.9 wt%.more » The comparison of the composition from the current acid cleaning solutions with the composition of recent scale samples along with the thermodynamic modeling results provides reasonable assurance that the sample results provide a good representation of the overall scale composition in the evaporator prior to acid cleaning. The small amount of scale solids dissolved in the 1.5 M nitric acid during the evaporator cleaning process likely produced only a small amount of precipitation based on modeling results and the visual appearance of the samples.« less

  15. TrackEtching - A Java based code for etched track profile calculations in SSNTDs

    NASA Astrophysics Data System (ADS)

    Muraleedhara Varier, K.; Sankar, V.; Gangadathan, M. P.

    2017-09-01

    A java code incorporating a user friendly GUI has been developed to calculate the parameters of chemically etched track profiles of ion-irradiated solid state nuclear track detectors. Huygen's construction of wavefronts based on secondary wavelets has been used to numerically calculate the etched track profile as a function of the etching time. Provision for normal incidence and oblique incidence on the detector surface has been incorporated. Results in typical cases are presented and compared with experimental data. Different expressions for the variation of track etch rate as a function of the ion energy have been utilized. The best set of values of the parameters in the expressions can be obtained by comparing with available experimental data. Critical angle for track development can also be calculated using the present code.

  16. Dicalcium phosphate (CaHPO4·2H2O) precipitation through ortho- or meta-phosphoric acid-etching: effects on the durability and nanoleakage/ultra-morphology of resin-dentine interfaces.

    PubMed

    Feitosa, Victor Pinheiro; Bazzocchi, Maria Giulia; Putignano, Angelo; Orsini, Giovanna; Luzi, Arlinda Luzi; Sinhoreti, Mário Alexandre Coelho; Watson, Timothy F; Sauro, Salvatore

    2013-11-01

    To compare the effects of two etching procedures using meta-phosphoric (MPA) or ortho-phosphoric acid (OPA) on dentine demineralisation, resin-dentine bonds durability and interface nanoleakage/ultra-morphology. Middle-dentine specimens were etched using 37% OPA (15s) or 40% MPA (60s) and submitted to infrared spectroscopy (FTIR) or ultra-morphology dye-assisted (calcium-staining) confocal microscopy (Ca-CLSM). A three-step etch-and-rinse adhesive was formulated, applied onto dentine and light-cured for 30s before composite build-up. After 24h, the dentine-bonded specimens were cut into 1mm(2) beams; half were immediately submitted to microtensile bond strength (μTBS) and half stored in DW for six months. The μTBS results were analysed with repeated-measures ANOVA and Tukey's test (p<0.05). Further teeth were bonded and prepared for interface nanoleakage/ultra-morphology confocal evaluation. FTIR and Ca-CLSM analyses showed dicalcium phosphate dihydrate (Brushite) precipitation in MPA-etched dentine and on the bottom (front of demineralisation) of the OPA-etched dentine. Statistical analysis showed similar μTBS for both etching procedures after 24h. The μTBS of specimens in OPA-group dropped significantly (p<0.05) after six month; the specimens in the MPA group showed no statistically difference (p>0.05). CLSM depicted no evident sign of nanoleakage within the resin-dentine interface of the MPA-treated specimens, while the specimens in OPA-group presented intense nanoleakage and interface degradation. The use of MPA (60s) as an alternative dentine conditioning agent in etch-and-rinse bonding procedures may be a suitable strategy to create more durable resin-dentine bonds. Copyright © 2013 Elsevier Ltd. All rights reserved.

  17. Adhesive capability of total-etch, self-etch, and self-adhesive systems for fiber post cementation

    NASA Astrophysics Data System (ADS)

    Theodor, Y.; Koesmaningati, H.; Gita, F.

    2017-08-01

    The aim of this study was to analyze whether self-etch and self-adhesive systems are comparable to the total-etch system for fiber post cementation. This experimental laboratory study, which was approved by an ethics committee, was performed using 27 mandibular premolar teeth randomly divided into three groups. Fiber post cementation was done using three different adhesive systems. Specimens were prepared with a thickness of 5 mm, which was measured from the cervical to medial areas of the root, and stored for 24 h in saline solution at room temperature. A push-out test was performed using a universal testing machine (Shimidzu AG-5000E) with a crosshead speed of 0.5 mm/min. The results of one way ANOVA bivariate testing showed that the total-etch and self-etch systems have comparable adhesion capability (p<0.05) and that the self-adhesive system has the lowest adhesion capability (p>0.05). With easier application, the self-etch system has a comparable adhesion capability to the total-etch system.

  18. Electrolytic Polishing and Etching Techniques for Preparing Specimens of Bismuth and Antimony and Their Alloys: Materials and Structures.

    DTIC Science & Technology

    Electrolytic polishing was performed in a solution of methyl alcohol, sulphuric acid , hydrocloric acid and ethylene glycol. Etching was done...electrolytically in a 5 percent chromic acid solution. Use of these techniques has permitted detailed studies of the microstructures of bismuth-antimony single

  19. Comparison of Butyric acid concentrations in ordinary and probiotic yogurt samples in Iran.

    PubMed

    Vaseji, N; Mojgani, N; Amirinia, C; Iranmanesh, M

    2012-06-01

    Butyric acid has many applications in chemical, food and pharmaceutical industries. Applications of butyric acid are as an additive to food, flavorings, varnishes, perfumes, pharmaceuticals and disinfectants. Butyric acid concentrations have positive impact on the quality control of milk, yogurt and other probiotic dairy products. The present investigation was undertaken to determine and compare the concentrations of butyric acid (C4) in the ordinary and probiotic yogurt samples by GC method. Probiotic yogurt samples were prepared under laboratory scale conditions using two different commercial starters ABY1 and 211, while ordinary yogurt samples lacked the probiotic starter cultures. All samples were analyzed in duplicate, for C4 concentrations by gas chromatography after day 1, 2, 10 and 20 of production, during storage at 4°C. The results were analyzed using ANOVA and Duncan test. The level of the mentioned fatty acid in ABY1 yogurt sample was significantly higher (0.2%) than in 211 samples (0.17%). These values were significantly lower in ordinary yogurt samples and only 0.07% was recorded in these samples on first day of storage which decreased gradually during storage. The level of reduction in the yogurt samples tested during different time intervals was not similar in all the examined samples, and some showed enhanced reduction than other samples. Compared to ordinary yogurt samples, probiotic yogurt samples used in study showed higher levels of butyric acid with increased shelf life.

  20. Comparison of Butyric acid concentrations in ordinary and probiotic yogurt samples in Iran

    PubMed Central

    Vaseji, N; Mojgani, N; Amirinia, C; Iranmanesh, M

    2012-01-01

    Background and objectives Butyric acid has many applications in chemical, food and pharmaceutical industries. Applications of butyric acid are as an additive to food, flavorings, varnishes, perfumes, pharmaceuticals and disinfectants. Butyric acid concentrations have positive impact on the quality control of milk, yogurt and other probiotic dairy products. The present investigation was undertaken to determine and compare the concentrations of butyric acid (C4) in the ordinary and probiotic yogurt samples by GC method. Materials and Methods Probiotic yogurt samples were prepared under laboratory scale conditions using two different commercial starters ABY1 and 211, while ordinary yogurt samples lacked the probiotic starter cultures. All samples were analyzed in duplicate, for C4 concentrations by gas chromatography after day 1, 2, 10 and 20 of production, during storage at 4°C. The results were analyzed using ANOVA and Duncan test. Results The level of the mentioned fatty acid in ABY1 yogurt sample was significantly higher (0.2%) than in 211 samples (0.17%). These values were significantly lower in ordinary yogurt samples and only 0.07% was recorded in these samples on first day of storage which decreased gradually during storage. The level of reduction in the yogurt samples tested during different time intervals was not similar in all the examined samples, and some showed enhanced reduction than other samples. Conclusions Compared to ordinary yogurt samples, probiotic yogurt samples used in study showed higher levels of butyric acid with increased shelf life. PMID:22973475

  1. Nanoscale silver-assisted wet etching of crystalline silicon for anti-reflection surface textures.

    PubMed

    Li, Rui; Wang, Shuling; Chuwongin, Santhad; Zhou, Weidong

    2013-01-01

    We report here an electro-less metal-assisted chemical etching (MacEtch) process as light management surface-texturing technique for single crystalline Si photovoltaics. Random Silver nanostructures were formed on top of the Si surface based on the thin film evaporation and annealing process. Significant reflection reduction was obtained from the fabricated Si sample, with approximately 2% reflection over a wide spectra range (300 to 1050 nm). The work demonstrates the potential of MacEtch process for anti-reflection surface texture fabrication of large area, high efficiency, and low cost thin film solar cell.

  2. Effect of nonsinusoidal bias waveforms on ion energy distributions and fluorocarbon plasma etch selectivity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Agarwal, Ankur; Kushner, Mark J.; Iowa State University, Department of Electrical and Computer Engineering, 104 Marston Hall, Ames, Iowa 50011-2151

    2005-09-15

    The distributions of ion energies incident on the wafer significantly influence feature profiles and selectivity during plasma etching. Control of ion energies is typically obtained by varying the amplitude or frequency of a radio frequency sinusoidal bias voltage applied to the substrate. The resulting ion energy distribution (IED), though, is generally broad. Controlling the width and shape of the IED can potentially improve etch selectivity by distinguishing between threshold energies of surface processes. In this article, control of the IED was computationally investigated by applying a tailored, nonsinusoidal bias waveform to the substrate of an inductively coupled plasma. The waveformmore » we investigated, a quasi-dc negative bias having a short positive pulse each cycle, produced a narrow IED whose width was controllable based on the length of the positive spike and frequency. We found that the selectivity between etching Si and SiO{sub 2} in fluorocarbon plasmas could be controlled by adjusting the width and energy of the IED. Control of the energy of a narrow IED enables etching recipes that transition between speed and selectivity without change of gas mixture.« less

  3. Process margin enhancement for 0.25-μm metal etch process

    NASA Astrophysics Data System (ADS)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    -wall angles after etch. Only a slight improvement is observed in the isolated to dense bias effects of the etch process. Improved CD control is also achieved by applying the electron beam process, as more consistent CDs are observed after etch.

  4. Method to fabricate multi-level silicon-based microstructures via use of an etching delay layer

    DOEpatents

    Manginell, Ronald P.; Schubert, W. Kent; Shul, Randy J.

    2005-08-16

    New methods for fabrication of silicon microstructures have been developed. In these methods, an etching delay layer is deposited and patterned so as to provide differential control on the depth of features being etched into a substrate material. Structures having features with different depth can be formed thereby in a single etching step.

  5. Comparison of shear bond strength of self-etch and self-adhesive cements bonded to lithium disilicate, enamel and dentin.

    PubMed

    Naranjo, Jennifer; Ali, Mohsin; Belles, Donald

    2015-11-01

    Comparison of shear bond strength of self-etch and self-adhesive cements bonded to lithium disilicate, enamel and dentin. With several self-adhesive resin cements currently available, there is confusion about which product and technique is optimal for bonding ceramic restorations to teeth. The objective of this study was to compare the shear bond strength of lithium disilicate cemented to enamel and dentin using 5 adhesive cements. 100 lithium disilicate rods were pretreated with 5% hydrofluoric acid, silane, and cemented to 50 enamel and 50 dentin surfaces using five test cements: Variolink II (etch-and-rinse) control group, Clearfil Esthetic (two step self-etch), RelyX Unicem, SpeedCEM, and BifixSE (self-adhesive). All specimens were stored (37 degrees C, 100% humidity) for 24 hours before testing their shear bond strength using a universal testing machine (Instron). Debonded surfaces were observed under a low-power microscope to assess the location and type of failure. The highest bond strength for both enamel and dentin were recorded for Variolink II, 15.1MPa and 20.4MPa respectively, and the lowest were recorded for BifixSE, 0.6MPa and 0.9MPa respectively. Generally, higher bond strengths were found for dentin (7.4MPa) than enamel (5.3MPa). Tukey's post hoc test showed no significant difference between Clearfil Esthetic and SpeedCem (p = 0.059), Unicem and SpeedCem (p = 0.88), and Unicem and BifixSE (p = 0.092). All cements bonded better to lithium disilicate than to enamel or dentin, as all bond failures occurred at the tooth/adhesive interface except for Variolink II. Bond strengths recorded for self-adhesive cements were very low compared to the control "etch and rinse" and self-etch systems. Further improvements are apparently needed in self-adhesive cements for them to replace multistep adhesive systems. The use of conventional etch and rinse cements such as Veriolink II should be preferred for cementing all ceramic restorations over self-adhesive cements

  6. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    NASA Astrophysics Data System (ADS)

    Kim, Do-Hyun; Lee, Minwoo; Ye, Bora; Jang, Ho-Kyun; Kim, Gyu Tae; Lee, Dong-Jin; Kim, Eok-Soo; Kim, Hong Dae

    2017-04-01

    Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co3O4, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co3O4 and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  7. Synthesis of blue emitting InP/ZnS quantum dots through control of competition between etching and growth.

    PubMed

    Lim, Kipil; Jang, Ho Seong; Woo, Kyoungja

    2012-12-07

    Blue (<480 nm) emitting Cd-free quantum dots (QDs) are in great demand for various applications. However, their synthesis has been challenging. Here we present blue emitting InP/ZnS core/shell QDs with a band edge emission of 475 nm and a full width at half maximum of 39 nm (215 meV) from their quantum confined states. The drastic temperature drop immediately after mixing of the precursors and holding them at a temperature below 150 °C was the critical factor for the synthesis of blue emitting QDs, because the blue QDs are formed by the etching of ultra-small InP cores by residual acetic acid below 150 °C. Etching was dominant at temperatures below 150 °C, whereas growth was dominant at temperatures above 150 °C. ZnS shells were formed successfully at 150 °C, yielding blue emitting InP/ZnS QDs. The colour of the InP/ZnS QDs depicted on the CIE 1931 chromaticity diagram is located close to the edge, indicating a pure blue colour compared to other InP-based QDs.

  8. Synthesis of blue emitting InP/ZnS quantum dots through control of competition between etching and growth

    NASA Astrophysics Data System (ADS)

    Lim, Kipil; Jang, Ho Seong; Woo, Kyoungja

    2012-12-01

    Blue (<480 nm) emitting Cd-free quantum dots (QDs) are in great demand for various applications. However, their synthesis has been challenging. Here we present blue emitting InP/ZnS core/shell QDs with a band edge emission of 475 nm and a full width at half maximum of 39 nm (215 meV) from their quantum confined states. The drastic temperature drop immediately after mixing of the precursors and holding them at a temperature below 150 °C was the critical factor for the synthesis of blue emitting QDs, because the blue QDs are formed by the etching of ultra-small InP cores by residual acetic acid below 150 °C. Etching was dominant at temperatures below 150 °C, whereas growth was dominant at temperatures above 150 °C. ZnS shells were formed successfully at 150 °C, yielding blue emitting InP/ZnS QDs. The colour of the InP/ZnS QDs depicted on the CIE 1931 chromaticity diagram is located close to the edge, indicating a pure blue colour compared to other InP-based QDs.

  9. Anisotropic Etching Using Reactive Cluster Beams

    NASA Astrophysics Data System (ADS)

    Koike, Kunihiko; Yoshino, Yu; Senoo, Takehiko; Seki, Toshio; Ninomiya, Satoshi; Aoki, Takaaki; Matsuo, Jiro

    2010-12-01

    The characteristics of Si etching using nonionic cluster beams with highly reactive chlorine-trifluoride (ClF3) gas were examined. An etching rate of 40 µm/min or higher was obtained even at room temperature when a ClF3 molecular cluster was formed and irradiated on a single-crystal Si substrate in high vacuum. The etching selectivity of Si with respect to a photoresist and SiO2 was at least 1:1000. We also succeeded in highly anisotropic etching with an aspect ratio of 10 or higher. Moreover, this etching method has a great advantage of low damage, compared with the conventional plasma process.

  10. Cryo-Etched Black Silicon for Use as Optical Black

    NASA Technical Reports Server (NTRS)

    Yee, Karl Y.; White, Victor E.; Mouroulis, Pantazis; Eastwood, Michael L.

    2011-01-01

    Stray light reflected from the surface of imaging spectrometer components in particular, the spectrometer slit degrade the image quality. A technique has been developed for rapid, uniform, and cost-effective black silicon formation based on inductively coupled plasma (ICP) etching at cryogenic temperatures. Recent measurements show less than 1-percent total reflectance from 350 2,500 nm of doped black silicon formed in this way, making it an excellent option for texturing of component surfaces for reduction of stray light. Oxygen combines with SF6 + Si etch byproducts to form a passivation layer atop the Si when the etch is performed at cryogenic temperatures. Excess flow of oxygen results in micromasking and the formation of black silicon. The process is repeatable and reliable, and provides control over etch depth and sidewall profile. Density of the needles can be controlled to some extent. Regions to be textured can be patterned lithographically. Adhesion is not an issue as the nanotips are part of the underlying substrate. This is in contrast to surface growth/deposition techniques such as carbon nanotubes (CNTs). The black Si surface is compatible with wet processing, including processing with solvents, the textured surface is completely inorganic, and it does not outgas. In radiometry applications, optical absorbers are often constructed using gold black or CNTs. This black silicon technology is an improvement for these types of applications.

  11. Bio-inspired silicon nanospikes fabricated by metal-assisted chemical etching for antibacterial surfaces

    NASA Astrophysics Data System (ADS)

    Hu, Huan; Siu, Vince S.; Gifford, Stacey M.; Kim, Sungcheol; Lu, Minhua; Meyer, Pablo; Stolovitzky, Gustavo A.

    2017-12-01

    The recently discovered bactericidal properties of nanostructures on wings of insects such as cicadas and dragonflies have inspired the development of similar nanostructured surfaces for antibacterial applications. Since most antibacterial applications require nanostructures covering a considerable amount of area, a practical fabrication method needs to be cost-effective and scalable. However, most reported nanofabrication methods require either expensive equipment or a high temperature process, limiting cost efficiency and scalability. Here, we report a simple, fast, low-cost, and scalable antibacterial surface nanofabrication methodology. Our method is based on metal-assisted chemical etching that only requires etching a single crystal silicon substrate in a mixture of silver nitrate and hydrofluoric acid for several minutes. We experimentally studied the effects of etching time on the morphology of the silicon nanospikes and the bactericidal properties of the resulting surface. We discovered that 6 minutes of etching results in a surface containing silicon nanospikes with optimal geometry. The bactericidal properties of the silicon nanospikes were supported by bacterial plating results, fluorescence images, and scanning electron microscopy images.

  12. [Effect of a nano hydroxyapatite desensitizing paste application on dentin bond strength of three self-etch adhesive systems].

    PubMed

    Pei, D D; Liu, S Y; Yang, H Y; Gan, J; Huang, C

    2017-05-09

    Objective: To evaluate a nano-hydroxyapatite (nano-HA) desensitizing paste application on the bond strength of three self-etch adhesives. Methods: Three dentin specimens of about 1 mm thick were cut from two teeth. Scanning electron microscope (SEM) was used to evaluate the dentin surfaces without treatment, after citric acid treatment and after nano-HA treatment. Thirty-six intact third molars extracted for surgical reasons were cut to remove the occlusal enamel with isomet, and then were etched with 1% citric acid for 20 s to simulate the sensitive dentin and divided into two groups randomly using a table of random numbers ( n= 18): the control group (no treatment) and the HA treated group (with nano-HA paste treatment). Each group was divided into three subgroups randomly using a table of random numbers ( n= 6). Subgroup A, B and C was bonded with G-Bond, Clearfil S(3) Bond and FL-Bond Ⅱ according to the manufacture's instruction separately. At 24 h after bonding procedure, and after water storage for 6 months, microtensile bond strength of the specimens was tested and the failure mode was analyzed. Results: SEM obeservation showed that citric acid could open the dentin tubules to set up the sensitive dentin model, and the nano-HA could occlude the dentin tubules effectively. For subgroup A, bonding strength of specimens treated with nano-HA ([41.14±8.91] MPa) was significantly high than that of the control group ([34.27±6.16] MPa) at 24 h after bonding procedure ( P< 0.05). However, after 6 month water ageing, the bonding strength of the control group and the HA treated group showed no significant difference ( P> 0.05). For subgroup B, specimens with nano-HA application showed lower bonding strength ([30.87±6.41] MPa) than that of the control group ([36.73±5.82] MPa) at 24 h after bonding procedure ( P< 0.05), and after 6 month water ageing, the bond strength of nano-HA application ([25.73±6.99] MPa) was also lower than that of the control group ([32.33

  13. Amino acid analyses of Apollo 14 samples.

    NASA Technical Reports Server (NTRS)

    Gehrke, C. W.; Zumwalt, R. W.; Kuo, K.; Aue, W. A.; Stalling, D. L.; Kvenvolden, K. A.; Ponnamperuma, C.

    1972-01-01

    Detection limits were between 300 pg and 1 ng for different amino acids, in an analysis by gas-liquid chromatography of water extracts from Apollo 14 lunar fines in which amino acids were converted to their N-trifluoro-acetyl-n-butyl esters. Initial analyses of water and HCl extracts of sample 14240 and 14298 samples showed no amino acids above background levels.

  14. Guiding gate-etch process development using 3D surface reaction modeling for 7nm and beyond

    NASA Astrophysics Data System (ADS)

    Dunn, Derren; Sporre, John R.; Deshpande, Vaibhav; Oulmane, Mohamed; Gull, Ronald; Ventzek, Peter; Ranjan, Alok

    2017-03-01

    Increasingly, advanced process nodes such as 7nm (N7) are fundamentally 3D and require stringent control of critical dimensions over high aspect ratio features. Process integration in these nodes requires a deep understanding of complex physical mechanisms to control critical dimensions from lithography through final etch. Polysilicon gate etch processes are critical steps in several device architectures for advanced nodes that rely on self-aligned patterning approaches to gate definition. These processes are required to meet several key metrics: (a) vertical etch profiles over high aspect ratios; (b) clean gate sidewalls free of etch process residue; (c) minimal erosion of liner oxide films protecting key architectural elements such as fins; and (e) residue free corners at gate interfaces with critical device elements. In this study, we explore how hybrid modeling approaches can be used to model a multi-step finFET polysilicon gate etch process. Initial parts of the patterning process through hardmask assembly are modeled using process emulation. Important aspects of gate definition are then modeled using a particle Monte Carlo (PMC) feature scale model that incorporates surface chemical reactions.1 When necessary, species and energy flux inputs to the PMC model are derived from simulations of the etch chamber. The modeled polysilicon gate etch process consists of several steps including a hard mask breakthrough step (BT), main feature etch steps (ME), and over-etch steps (OE) that control gate profiles at the gate fin interface. An additional constraint on this etch flow is that fin spacer oxides are left intact after final profile tuning steps. A natural optimization required from these processes is to maximize vertical gate profiles while minimizing erosion of fin spacer films.2

  15. Formation of silicon nanowire packed films from metallurgical-grade silicon powder using a two-step metal-assisted chemical etching method.

    PubMed

    Ouertani, Rachid; Hamdi, Abderrahmen; Amri, Chohdi; Khalifa, Marouan; Ezzaouia, Hatem

    2014-01-01

    In this work, we use a two-step metal-assisted chemical etching method to produce films of silicon nanowires shaped in micrograins from metallurgical-grade polycrystalline silicon powder. The first step is an electroless plating process where the powder was dipped for few minutes in an aqueous solution of silver nitrite and hydrofluoric acid to permit Ag plating of the Si micrograins. During the second step, corresponding to silicon dissolution, we add a small quantity of hydrogen peroxide to the plating solution and we leave the samples to be etched for three various duration (30, 60, and 90 min). We try elucidating the mechanisms leading to the formation of silver clusters and silicon nanowires obtained at the end of the silver plating step and the silver-assisted silicon dissolution step, respectively. Scanning electron microscopy (SEM) micrographs revealed that the processed Si micrograins were covered with densely packed films of self-organized silicon nanowires. Some of these nanowires stand vertically, and some others tilt to the silicon micrograin facets. The thickness of the nanowire films increases from 0.2 to 10 μm with increasing etching time. Based on SEM characterizations, laser scattering estimations, X-ray diffraction (XRD) patterns, and Raman spectroscopy, we present a correlative study dealing with the effect of the silver-assisted etching process on the morphological and structural properties of the processed silicon nanowire films.

  16. Image analysis used to count and measure etched tracks from ionizing radiation

    NASA Technical Reports Server (NTRS)

    Blanford, George E.; Schulz, Cindy K.

    1995-01-01

    We have developed techniques to use digitized scanning electron micrographs and computer image analysis programs to measure track densities in lunar soil grains and plastic dosimeters. Tracks in lunar samples are formed by highly ionizing solar energetic particles and cosmic rays during near surface exposure on the Moon. The track densities are related to the exposure conditions (depth and time). Distributions of the number of grains as a function of their track densities can reveal the modality of soil maturation. We worked on two samples identified for a consortium study of lunar weathering effects, 61221 and 67701. They were prepared by the lunar curator's staff as polished grain mounts that were etched in boiling 1 N NaOH for 6 h to reveal tracks. We determined that backscattered electron images taken at 10 percent contrast and approximately 50 percent brightness produced suitable high contrast images for analysis. We used the NIH Image program to cut out areas that were unsuitable for measurement such as edges, cracks, etc. We ascertained a gray-scale threshold of 25 to separate tracks from background. We used the computer to count everything that was two pixels or greater in size and to measure the area to obtain track densities. We found an excellent correlation with manual measurements for track densities below 1 x 10(exp 8) cm(exp -2). For track densities between 1 x 10(exp 8) cm(exp -2) to 1 x 10(exp 9) cm(exp -2) we found that a regression formula using the percentage area covered by tracks gave good agreement with manual measurements. We determined the track density distributions for 61221 and 67701. Sample 61221 is an immature sample, but not pristine. Sample 67701 is a submature sample that is very close to being fully mature. Because only 10 percent of the grains have track densities less than 10(exp 9) cm(exp -2), it is difficulty to determine whether the sample matured in situ or is a mixture of a mature and a submature soil. Although our analysis

  17. Image analysis used to count and measure etched tracks from ionizing radiation

    NASA Astrophysics Data System (ADS)

    Blanford, George E.; Schulz, Cindy K.

    1995-07-01

    We have developed techniques to use digitized scanning electron micrographs and computer image analysis programs to measure track densities in lunar soil grains and plastic dosimeters. Tracks in lunar samples are formed by highly ionizing solar energetic particles and cosmic rays during near surface exposure on the Moon. The track densities are related to the exposure conditions (depth and time). Distributions of the number of grains as a function of their track densities can reveal the modality of soil maturation. We worked on two samples identified for a consortium study of lunar weathering effects, 61221 and 67701. They were prepared by the lunar curator's staff as polished grain mounts that were etched in boiling 1 N NaOH for 6 h to reveal tracks. We determined that backscattered electron images taken at 10 percent contrast and approximately 50 percent brightness produced suitable high contrast images for analysis. We used the NIH Image program to cut out areas that were unsuitable for measurement such as edges, cracks, etc. We ascertained a gray-scale threshold of 25 to separate tracks from background. We used the computer to count everything that was two pixels or greater in size and to measure the area to obtain track densities. We found an excellent correlation with manual measurements for track densities below 1 x 10(exp 8) cm(exp -2). For track densities between 1 x 10(exp 8) cm(exp -2) to 1 x 10(exp 9) cm(exp -2) we found that a regression formula using the percentage area covered by tracks gave good agreement with manual measurements. We determined the track density distributions for 61221 and 67701. Sample 61221 is an immature sample, but not pristine. Sample 67701 is a submature sample that is very close to being fully mature. Because only 10 percent of the grains have track densities less than 10(exp 9) cm(exp -2), it is difficulty to determine whether the sample matured in situ or is a mixture of a mature and a submature soil. Although our analysis

  18. Alternating SiCl4/O2 passivation steps with SF6 etch steps for silicon deep etching

    NASA Astrophysics Data System (ADS)

    Duluard, C. Y.; Ranson, P.; Pichon, L. E.; Pereira, J.; Oubensaid, E. H.; Lefaucheux, P.; Puech, M.; Dussart, R.

    2011-06-01

    Deep etching of silicon has been investigated in an inductively coupled plasma etch reactor using short SiCl4/O2 plasma steps to passivate the sidewalls of the etched structures. A study was first carried out to define the appropriate parameters to create, at a substrate temperature of -20 °C, a passivation layer by SiCl4/O2 plasma that resists lateral chemical etching in SF6 plasma. The most efficient passivation layer was obtained for a SiCl4/O2 gas flow ratio of 2:1, a pressure of 1 Pa and a source power of 1000 W. Ex situ analyses on a film deposited with these parameters show that it is very rich in oxygen. Silicon etching processes that alternate SF6 plasma etch steps with SiCl4/O2 plasma passivation steps were then developed. Preliminary tests in pulsed-mode conditions have enabled etch rates greater than 2 µm min-1 with selectivities higher than 220. These results show that it is possible to develop a silicon deep etching process at substrate temperatures around -20 °C that uses low SiCl4 and O2 gas flows instead of conventional fluorocarbon gases for sidewall protection.

  19. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    NASA Astrophysics Data System (ADS)

    Megalini, Ludovico

    Group III-nitride is a remarkable material system to make highly efficient and high-power optoelectronics and electronic devices because of the unique electrical, physical, chemical and structural properties it offers. In particular, InGaN-based blue Laser Diodes (LDs) have been successfully employed in a variety of applications ranging from biomedical and military devices to scientific instrumentation and consumer electronics. Recently their use in highly efficient Solid State Lighting (SSL) has been proposed because of their superior beam quality and higher efficiency at high input power density. Tremendous advances in research of GaN semi-polar and non-polar crystallographic planes have led both LEDs and LDs grown on these non-basal planes to rival with, and with the promise to outperform, their equivalent c-plane counterparts. However, still many issues need to be addressed, both related to material growth and device fabrication, including a lack of conventional wet etching techniques. GaN and its alloys with InN and AlN have proven resistant essentially to all known standard wet etching techniques, and the predominant etching methods rely on chlorine-based dry etching (RIE). These introduce sub-surface damage which can degrade the electrical properties of the epitaxial structure and reduce the reliability and lifetime of the final device. Such reasons and the limited effectiveness of passivation techniques have so far suggested to etch the LD ridges before the active region, although it is well-known that this can badly affect the device performance, especially in narrow stripe width LDs, because the gain guiding obtained in the planar configuration is weak and the low index step and high lateral current leakage result in devices with threshold current density higher than devices whose ridge is etched beyond the active region. Moreover, undercut etching of III-nitride layers has proven even more challenging, with limitations in control of the lateral etch

  20. The acid-base resistant zone in three dentin bonding systems.

    PubMed

    Inoue, Go; Nikaido, Toru; Foxton, Richard M; Tagami, Junji

    2009-11-01

    An acid-base resistant zone has been found to exist after acid-base challenge adjacent to the hybrid layer using SEM. The aim of this study was to examine the acid-base resistant zone using three different bonding systems. Dentin disks were applied with three different bonding systems, and then a resin composite was light-cured to make dentin disk sandwiches. After acid-base challenge, the polished surfaces were observed using SEM. For both one- and two-step self-etching primer systems, an acid-base resistant zone was clearly observed adjacent to the hybrid layer - but with differing appearances. For the wet bonding system, the presence of an acid-base resistant zone was unclear. This was because the self-etching primer systems etched the dentin surface mildly, such that the remaining mineral phase of dentin and the bonding agent yielded clear acid-base resistant zones. In conclusion, the acid-base resistant zone was clearly observed when self-etching primer systems were used, but not so for the wet bonding system.

  1. Enhanced photoelectrochemical properties of copper-assisted catalyzed etching black silicon by electrodepositing cobalt

    NASA Astrophysics Data System (ADS)

    Cai, Weidong; Xiong, Haiying; Su, Xiaodong; Zhou, Hao; Shen, Mingrong; Fang, Liang

    2017-11-01

    Black silicon (Si) photoelectrodes are promising for improving the performance of photoelectrochemical (PEC) water splitting. Here, we report the fabrication of p-black Si and n+p-black Si photocathodes via a controllable copper-assisted catalyzed etching method. The etching process affects only the topmost less than 200 nm of Si and is independent of the surface doping. The synergistic effects of the excellent light harvesting of the black Si and the improved charge transfer properties of the p-n junction boost the production and utilization of photogenerated carriers. The mean reflectance of the pristine Si samples is about 10% from 400 to 950 nm, while that of the black Si samples is reduced as low as 5%. In addition, the PEC properties of the n+p-black Si photocathode can be further enhanced by depositing a cobalt (Co) layer. Compared with the p-Si sample, the onset potential of the Co/n+p-black Si photocathode is positively shifted by 560 mV to 0.33 V vs. reversible hydrogen electrode and the saturation photocurrent density is increased from 22.7 to 32.6 mA/cm2. The design of the Co/n+p-black Si photocathode offers an efficient strategy for preparing PEC solar energy conversion devices.

  2. Dual-peak long-period fiber gratings with enhanced refractive index sensitivity by finely tailored mode dispersion that uses the light cladding etching technique.

    PubMed

    Chen, Xianfeng; Zhou, Kaiming; Zhang, Lin; Bennion, Ian

    2007-02-01

    We have experimentally investigated the mode dispersion property and refractive index sensitivity of dual-peak long-period fiber gratings (LPGs) that were sensitized by hydrofluoric acid (HF) etching. The nature of the coupled cladding modes close to the dispersion turning point makes the dual-peak LPGs ultrasensitive to cladding property, permitting a fine tailoring of the mode dispersion and index sensitivity by the light cladding etching method using HF acid of only 1% concentration. As an implementation of an optical biosensor, the etched device was used to detect the concentration of hemoglobin protein in a sugar solution, showing a sensitivity as high as 20 nm/1%.

  3. Method for dry etching of transition metals

    DOEpatents

    Ashby, Carol I. H.; Baca, Albert G.; Esherick, Peter; Parmeter, John E.; Rieger, Dennis J.; Shul, Randy J.

    1998-01-01

    A method for dry etching of transition metals. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorous-containing .pi.-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/.pi.-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the .pi.-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the .pi.-acceptor ligand for forming the volatile transition metal/.pi.-acceptor ligand complex.

  4. Removal and deposition efficiencies of the long-lived 222Rn daughters during etching of germanium surfaces

    NASA Astrophysics Data System (ADS)

    Zuzel, G.; Wójcik, M.; Majorovits, B.; Lampert, M. O.; Wendling, P.

    2012-06-01

    Removal and deposition efficiencies of the long-lived 222Rn daughters during etching from and onto surfaces of standard and high purity germanium were investigated. The standard etching procedure of Canberra-France used during production of high purity n-type germanium diodes was applied to germanium discs, which have been exposed earlier to a strong radon source for deposition of its progenies. An uncontaminated sample was etched in a solution containing 210Pb, 210Bi and 210Po. All isotopes were measured before and after etching with appropriate detectors. In contrast to copper and stainless steel, they were removed from germanium very efficiently. However, the reverse process was also observed. Considerable amounts of radioactive lead, bismuth and polonium isotopes present initially in the artificially polluted etchant were transferred to the clean high purity surface during processing of the sample.

  5. Minimizing Isolate Catalyst Motion in Metal-Assisted Chemical Etching for Deep Trenching of Silicon Nanohole Array.

    PubMed

    Kong, Lingyu; Zhao, Yunshan; Dasgupta, Binayak; Ren, Yi; Hippalgaonkar, Kedar; Li, Xiuling; Chim, Wai Kin; Chiam, Sing Yang

    2017-06-21

    The instability of isolate catalysts during metal-assisted chemical etching is a major hindrance to achieve high aspect ratio structures in the vertical and directional etching of silicon (Si). In this work, we discussed and showed how isolate catalyst motion can be influenced and controlled by the semiconductor doping type and the oxidant concentration ratio. We propose that the triggering event in deviating isolate catalyst motion is brought about by unequal etch rates across the isolate catalyst. This triggering event is indirectly affected by the oxidant concentration ratio through the etching rates. While the triggering events are stochastic, the doping concentration of silicon offers a good control in minimizing isolate catalyst motion. The doping concentration affects the porosity at the etching front, and this directly affects the van der Waals (vdWs) forces between the metal catalyst and Si during etching. A reduction in the vdWs forces resulted in a lower bending torque that can prevent the straying of the isolate catalyst from its directional etching, in the event of unequal etch rates. The key understandings in isolate catalyst motion derived from this work allowed us to demonstrate the fabrication of large area and uniformly ordered sub-500 nm nanoholes array with an unprecedented high aspect ratio of ∼12.

  6. [Antibacterial effect of self-etching adhesive systems on Streptococcus mutans].

    PubMed

    Zhang, Lu; Yuan, Chong-yang; Tian, Fu-cong; Wang, Xiao-yan; Gao, Xue-jun

    2016-02-18

    To investigate the antibacterial effect of different self-etching adhesive systems against Streptococcus mutans (S. mutans). Six reagents Clearfil(TM) SE Bond primer (SP), Clearfil(TM) SE Bond adhesive (SA),Clearfil(TM) Protect Bond primer (PP), which contained antibacterial monomer methacryloyloxydodecylpyridinium bromide (MDPB), ClearfilTM Protect Bond adhesive (PA), positive control chlorhexidine acetate [CHX, 1% (mass fraction)], and negative control phosphate buffer solution (PBS) were selected. They were mixed with S. mutans for 30 s respectively, then colony-forming units (CFU) were counted after incubated for 48 h on brain heart infusion (BHI) agar medium. The 6 reagents were applied to the sterile paper discs, and distributed onto the BHI agar medium with S. mutans and incubated for 24 h, then the inhibition zones were observed. CHX, PBS, PP, and SP were added on the dentin with artificial caries induced by S. mutans and kept for 30 s, then confocal laser scanning microscope (CLSM) was used to observe the live and dead bacteria after staining. The ratio of live to dead bacteria was calculated. PP+PA and SP+SA were applied on the dentin according to the manual and light cured. S. mutans were incubated on the samples for 2 h, ultrasonically treated and incubated on BHI agar medium for 48 h, then CFU was counted. The data were analyzed by non-parametric analysis and one-way ANOVA. Compared with PBS, the PP, SP, PA, SA and CHX showed the antibacterial effect on free S. mutans (P<0.05); SP and PP showed stronger antibacterial effect than PA, SA and CHX (P<0.05). CHX, SP and PP presented inhibition zones, while PBS, SA and PA did not. Compared with PBS, the CHX, SP and PP could lower the ratio of the live to dead bacteria significantly (P<0.05). Cured self-etching adhesive systems did not show any antibacterial effect on the free S. mutans. The primer of self-etching adhesives Clearfil(TM) SE Bond and Clearfil(TM) Protect Bond showed significant antibacterial

  7. Cryogenic Etching of Silicon: An Alternative Method For Fabrication of Vertical Microcantilever Master Molds

    PubMed Central

    Addae-Mensah, Kweku A.; Retterer, Scott; Opalenik, Susan R.; Thomas, Darrell; Lavrik, Nickolay V.; Wikswo, John P.

    2013-01-01

    This paper examines the use of deep reactive ion etching (DRIE) of silicon with fluorine high-density plasmas at cryogenic temperatures to produce silicon master molds for vertical microcantilever arrays used for controlling substrate stiffness for culturing living cells. The resultant profiles achieved depend on the rate of deposition and etching of a SiOxFy polymer, which serves as a passivation layer on the sidewalls of the etched structures in relation to areas that have not been passivated with the polymer. We look at how optimal tuning of two parameters, the O2 flow rate and the capacitively coupled plasma (CCP) power, determine the etch profile. All other pertinent parameters are kept constant. We examine the etch profiles produced using e-beam resist as the main etch mask, with holes having diameters of 750 nm, 1 µm, and 2 µm. PMID:24223478

  8. Titanium hydride and hydrogen concentration in acid-etched commercially pure titanium and titanium alloy implants: a comparative analysis of five implant systems.

    PubMed

    Szmukler-Moncler, S; Bischof, M; Nedir, R; Ermrich, M

    2010-09-01

    Acid etching is a popular method to texture the surface of dental implants. During etching, the titanium oxide protective layer is dissolved and small native hydrogen ions diffuse into the unprotected implant surface. They enrich the implant surface with hydrogen and precipitate into titanium hydride (TiH). The aim of this study was to measure the concentration of TiH at the implant surface and the total concentration of Hydrogen at five commercially available implant systems, made of either commercially pure (cp) titanium or titanium alloy. X-Ray diffraction (XRD) was conducted on each implant system to determine the compounds present at the implant surface. Following a TiH(2)/Ti calibration curve, the concentration of TiH was determined. Concentration of hydrogen in the implants was measured by the inert gas fusion thermal conductivity/infrared detection method. XRD data showed that TiH was present on all cp titanium implants but not on the alloyed implants. TiH concentration varied between 5% and 37%. Hydrogen concentration varied between 43 and 108 ppm, no difference in uptake was found between the cp titanium and alloyed implants. Low solubility of hydrogen in alpha-titanium is responsible for precipitation into TiH. Stronger etching conditions led to higher concentration of TiH2-x. High solubility of hydrogen in the beta-phase of the alloy is preventing hydrogen from precipitating into TiH. All implants, even those lacking TiH at the surface, were enriched with hydrogen. In all implants, hydrogen concentration was within the normative limit of 130 ppm.

  9. Does ErbiumiYttrium-Aluminum-Garnet Laser to Enamel improve the Performance of Etch-and-rinse and Universal Adhesives?

    PubMed

    De Jesus Tavarez, Rudys R; Rodrigues, Lorrany Lc; Diniz, Ana C; Lage, Lucas M; Torres, Carlos Rg; Bandeca, Matheus C; Firoozmand, Leily M

    2018-03-01

    This study aims to evaluate the effect of erbium: Yttrium-aluminum-garnet (Er:YAG) laser irradiation on the enamel microshear bond strength (μSBS), followed by the utilization of etch-and-rinse and universal adhesive systems. A total of 32 molars were sectioned in the mesiodistal direction producing 64 samples that were randomized into two groups (n = 32): single bond 2 (SB2) (etch-and-rinse system; 3M), SB universal (SBU) (universal etching system; The SB2 and SBU groups were then divided into two subgroups (n = 16): (i) enamel was irradiated with an Er:YAG laser (λ = 2.94 μm, 60 mJ, 10 Hz), and (ii) enamel served as a control. The samples were restored with TPH3 (Dentsply), stored in artificial saliva for 24 hours, and subjected to a micro-shear test. Kruskal-Wallis (p < 0.05) and Mann-Whitney U tests indicated no significant differences in uSBS between the groups, and the fractures were predominately at the resin-enamel interface. The previous irradiation of enamel with Er:YAG laser does not interfere with the performance of simplified two-step etch-and-rinse and universal adhesive systems. The increasing use of Er:YAG laser is important to evaluate the influence of this irradiation on the adhesion of restorative materials. Thus, to obtain the longevity of the restorative procedures, it is necessary to know the result of the association of the present adhesive systems to the irradiated substrate.

  10. Optimization of etching and reading procedures for the Autoscan 60 track etch system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McKeever, R.; Devine, R.; Coennen, C.

    1997-02-11

    The Los Alamos National Laboratory is charged with measuring the occupational exposure to radiological workers and contractors throughout the Laboratory, which includes many different sites with multiple and varied radiation fields. Of concern here are the high energy neutrons such as those generated during accelerator operations at Los Alamos Neutron Science Center (LANSCE). In 1993, the Los Alamos National Laboratory purchased an Autoscan 60 automated reader for use with chemically etched CR39 detectors. The dosimeter design employed at LANL uses a plastic, hemispherical case, encompassing a polystyrene pyramidal detector holder. The pyramidal holder supports three detectors at a 35{degree} angle.more » Averaging the results of the three detectors minimizes the angular dependence normally associated with a planar dosimeter. The Autoscan 60 is an automated reading system for use with CR39 chemical etch detectors. The detectors are immersed in an etch solution to enhance the visibility of the damage sites caused by recoil proton impact with the hydrogen atoms in the detector. The authors decided to increase the etch time from six hours to 15 hours, while retaining the 70 C temperature. The reason for the change in the etch is to enhance the sensitivity and precision of the CR39 detector as indicated by this study.« less

  11. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    PubMed

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  12. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  13. Etching Behavior of Aluminum Alloy Extrusions

    NASA Astrophysics Data System (ADS)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  14. Method for dry etching of transition metals

    DOEpatents

    Ashby, C.I.H.; Baca, A.G.; Esherick, P.; Parmeter, J.E.; Rieger, D.J.; Shul, R.J.

    1998-09-29

    A method for dry etching of transition metals is disclosed. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorus-containing {pi}-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/{pi}-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the {pi}-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the {pi}-acceptor ligand for forming the volatile transition metal/{pi}-acceptor ligand complex.

  15. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    NASA Astrophysics Data System (ADS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min-1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ.

  16. Effects of texturization due to chemical etching and laser on the optical properties of multicrystalline silicon for applications in solar cells

    NASA Astrophysics Data System (ADS)

    Vera, D.; Mass, J.; Manotas, M.; Cabanzo, R.; Mejia, E.

    2016-02-01

    In this work we carried out the texturization of surfaces of multicrystalline silicon type-p in order to decrease the reflection of light on the surface, using the chemical etching method and then a treatment with laser. In the first method, it was immersed in solutions of HF:HNO3:H2O, HF:HNO3:CH3COOH, HF:HNO3:H3PO4, in the proportion 14:01:05, during 30 seconds, 1, 2 and 3 minutes. Subsequently with a laser (ND:YAG) grids were generated beginning with parallel lines separated 50μm. The samples were analyzed by means of diffuse spectroscopy (UV-VIS) and scanning electron micrograph (SEM) before and after the laser treatment. The lowest result of reflectance obtained by HF:HNO3:H2O during 30 seconds, was of 15.5%. However, after applying the treatment with laser the reflectance increased to 17.27%. On the other hand, the samples treated (30 seconds) with acetic acid and phosphoric acid as diluents gives as a result a decrease in the reflectance values after applying the laser treatment from 21.97% to 17.79% and from 27.73% to 20.03% respectively. The above indicates that in some cases it is possible to decrease the reflectance using jointly the method of chemical etching and then a laser treatment.

  17. Nd:YAG laser ablation and acid resistance of enamel.

    PubMed

    Kwon, Yong Hoon; Kwon, Oh-Won; Kim, Hyung-Il; Kim, Kyo-Han

    2003-09-01

    The acid resistance of Nd:YAG laser-ablated enamel surfaces was studied by evaluating crystal structure, mineral distribution, and fluorescence radiance and image in the present study. For comparison, 37% phosphoric acid etching was performed. The formation of beta-tricalcium phosphate (beta-TCP) was confirmed in the laser-ablated surface. The Ca/P ratio increased after ablation due to mineral re-distribution. In contrast, the Ca/P ratio decreased after acid etching due to mineral loss. The laser-ablated enamels showed a smaller increase of fluorescence radiances and less clear laser confocal scanning microscope images than those observed in the acid-etched enamels. The former suggests a minimized mineral loss. The Nd:YAG laser irradiation will enhance the acid resistance and retard the carious progression in enamel.

  18. Anisotropic Hydrogen Etching of Chemical Vapor Deposited Graphene

    NASA Astrophysics Data System (ADS)

    Zhang, Yi; Li, Zhen; Zhang, Luyao; Kim, Pyojae; Zhou, Chongwu

    2012-02-01

    In terms of the preparation of graphene, chemical vapor deposition (CVD) has raised its popularity as a scalable and cost effective approach for graphene synthesis. While the formation of graphene on copper foil has been intensively studied, the reverse reaction of graphene reacts with hydrogen has not been systematically studied. In this talk we will present a simple, clean, and highly anisotropic hydrogen etching method for CVD graphene catalyzed by the copper substrate. By exposing CVD graphene on copper foil to hydrogen flow around 800 ^oC, we observed that the initially continuous graphene can be etched to have many hexagonal openings. In addition, we found that the etching is temperature dependent and the etching of graphene at 800 oC is most efficient and anisotropic. 80% of the angles of graphene edges after etching are 120^o, indicating the etching is highly anisotropic. No increase of D band along the etched edges indicates that the crystallographic orientation of etching is zigzag direction. Furthermore, we observed that copper played an important role in catalyzing the etching reaction, as no etching was observed for graphene transferred to Si/SiO2 under similar conditions. This highly anisotropic hydrogen etching technology may work as a simple and convenient way to determine graphene crystal orientation and grain size, and may enable the etching of graphene into nanoribbons for electronic applications.

  19. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    NASA Astrophysics Data System (ADS)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  20. High-Pressure, High-Temperature Equations of State Using Fabricated Controlled-Geometry Ni/SiO2 Double Hot-Plate Samples

    NASA Astrophysics Data System (ADS)

    Pigott, J. S.; Ditmer, D. A.; Fischer, R. A.; Reaman, D. M.; Davis, R. J.; Panero, W. R.

    2014-12-01

    To model and predict the structure, dynamics, and composition of Earth's deep interior, accurate and precise measurements of thermal expansion and compressibility are required. The laser-heated diamond-anvil cell (LHDAC) coupled with synchrotron-based x-ray diffraction (XRD) is a powerful tool to determine pressure-volume-temperature (P-V-T) relationships. However, LHDAC experiments may be hampered by non-uniform heating caused by the mixing of transparent materials with opaque laser absorbers. Additionally, radial temperature gradients are exacerbated by small misalignments (1-3 µm) of the x-ray beam with respect to the center of the laser-heated hotspot. We have fabricated three-dimensional, controlled-geometry, double hot-plate samples. In this double hot-plate arrangement, a transparent oxide layer (SiO2) is sandwiched between two laser absorbing layers (Ni) in a single, cohesive sample. These samples were mass manufactured (>105 samples) using a combination of physical vapor deposition, photolithography, wet etching, and plasma etching. The double hot-plate arrangement coupled with the chemical and spatial homogeneity of the laser absorbing layers addresses problems caused by mixtures of transparent and opaque samples. The controlled-geometry samples have dimensions of 50 μm x 50 μm x 1.4 μm. The dimensions of the samples are much larger than the synchrotron x-ray beam. With a heating laser FWHM of ~50 μm, the radial temperature gradients within the volume probed by the x-ray are reduced. We conducted XRD experiments to P > 50 GPa and T > 2200 K at beamline 16-ID-B (HPCAT) of the Advanced Photon Source. Here we present relevant thermal modeling of the LHDAC environment along with Ni and SiO2 P-V-T equations of state. Our photolithography method of sample fabrication can be extended to different materials including but not limited to Fe and MgO.

  1. Graphene nanoribbons: Relevance of etching process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simonet, P., E-mail: psimonet@phys.ethz.ch; Bischoff, D.; Moser, A.

    2015-05-14

    Most graphene nanoribbons in the experimental literature are patterned using plasma etching. Various etching processes induce different types of defects and do not necessarily result in the same electronic and structural ribbon properties. This study focuses on two frequently used etching techniques, namely, O{sub 2} plasma ashing and O{sub 2 }+ Ar reactive ion etching (RIE). O{sub 2} plasma ashing represents an alternative to RIE physical etching for sensitive substrates, as it is a more gentle chemical process. We find that plasma ashing creates defective graphene in the exposed trenches, resulting in instabilities in the ribbon transport. These are probably caused bymore » more or larger localized states at the edges of the ashed device compared to the RIE defined device.« less

  2. In-Situ Atomic Force Microscope Imaging of Calcite Etch Pit Morphology Changes in Undersaturated and 1-Hydroxyethylidene-1,1-diphosphonic Acid Poisoned Solutions

    PubMed Central

    Britt, David W.

    2012-01-01

    Morphology changes in etch pits formed on the (1014) cleavage plane of calcite were induced by varying the ratio of [Ca2+] to [CO32−] in the bulk solution as well as through the addition of the crystal poison 1-hydroxyethylidene-1,1-diphosphonic acid (HEDP). Three distinct morphologies were noted: symmetric rhombic, asymmetric rhombic, and triangular with a rough curved hypotenuse. The latter represents a transient morphology which is only observed during the actual dissolution process, while the former morphologies persist after dissolution is halted. PMID:25125794

  3. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    PubMed

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  4. Influence of de/remineralization of enamel on the tensile bond strength of etch-and-rinse and self-etching adhesives.

    PubMed

    Farias de Lacerda, Ana Julia; Ferreira Zanatta, Rayssa; Crispim, Bruna; Borges, Alessandra Bühler; Gomes Torres, Carlos Rocha; Tay, Franklin R; Pucci, Cesar Rogério

    2016-10-01

    To evaluate the bonding behavior of resin composite and different adhesives applied to demineralized or remineralized enamel. Bovine tooth crowns were polished to prepare a 5 mm2 enamel bonding area, and divided into five groups (n= 48) according to the surface treatment: CONT (sound enamel control), DEM (demineralized with acid to create white spot lesions), REMS (DEM remineralized with artificial saliva), REMF (DEM remineralized with sodium fluoride) and INF (DEM infiltrated with Icon resin infiltrant). The surface-treated teeth were divided into two subgroups (n= 24) according to adhesive type: ER (etch-and-rinse; Single Bond Universal) and SE (self-etching; Clearfill S3 Bond), and further subdivided into two categories (n= 12) according to aging process: Thermo (thermocycling) and NA (no aging). Composite blocks were made over bonded enamel and sectioned for microtensile bond strength (MTBS) testing. Data were analyzed with three-way ANOVA and post-hoc Tukey's test (α= 0.05). Significant differences were observed for enamel surface treatment (P< 0.0001), adhesive type (P< 0.0001) and aging (P< 0.0001). CONT and INF groups had higher MTBS than the other groups; Single Bond Universal had higher MTBS than Clearfil S3 Bond; thermo-aging resulted in lower MTBS irrespective of adhesive type and surface treatment condition. The predominant failure mode was mixed for all groups. Enamel surface infiltrated with Icon does not interfere with adhesive resin bonding procedures. Treatment of enamel surface containing white spot lesions or cavities with cavosurface margins in partially-demineralized enamel can benefit from infiltration with a low viscosity resin infiltrant prior to adhesive bonding of resin composites.

  5. [Evaluation of the effect of one-step self etching adhesives applied in pit and fissure sealing].

    PubMed

    Su, Hong-Ru; Xu, Pei-Cheng; Qian, Wen-Hao

    2016-06-01

    To observe the effect of three one-step self etching adhesive systems used in fit and fissure sealant and explore the feasibility of application in caries prevention in school. Seven hundred and twenty completely erupted mandibular first molars in 360 children aged 7 to 9 years old were chosen. The split-mouth design was used to select one side as the experimental group, divided into A1(Easy One Adper), B1(Adper Easy One), and C1(iBond SE).The contra lateral teeth served as A2,B2 and C2 groups (phosphoric acid). The retention and caries status were regularly reviewed .The clinical effect of the two groups was compared using SPSS19.0 software package for Chi - square test. At 3 and 6 months, pit and fissure sealant retention rate in A1 and A2, B1 and B2,C1 and C2 group had no significant difference. At 12 months, sealant retention in A1 and B1 group was significantly lower than A2 and B2 group (P<0.05). No significant difference was found between C1 and C2 groups (P>0.05). At 24 months, sealant retention rate in A1, B1 and C1 group was significantly lower than A2, B2 and C2 group (P<0.05). The caries rate in A1and A2, B1 and B2, C1 and C2 group had no significant difference during different follow-up time (P>0.05). The clinical anticariogenic effect of three kinds of one-step etching adhesives and phosphoric acid etching sealant was similar .One-step self etching adhesive system was recommended for pit and fissure sealant to improve the students' oral health. The long-term retention rate of one-step self etching adhesive system was lower than the phosphoric acid method to long term observation is needed.

  6. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    PubMed Central

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P < 0.0001 and P < 0.0001). When enamel and dentin interfaces were evaluated separately, (1) for the Self-Etch adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P < 0.0001); (2) for the Etch and Rinse adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  7. Mechanisms of Hydrocarbon Based Polymer Etch

    NASA Astrophysics Data System (ADS)

    Lane, Barton; Ventzek, Peter; Matsukuma, Masaaki; Suzuki, Ayuta; Koshiishi, Akira

    2015-09-01

    Dry etch of hydrocarbon based polymers is important for semiconductor device manufacturing. The etch mechanisms for oxygen rich plasma etch of hydrocarbon based polymers has been studied but the mechanism for lean chemistries has received little attention. We report on an experimental and analytic study of the mechanism for etching of a hydrocarbon based polymer using an Ar/O2 chemistry in a single frequency 13.56 MHz test bed. The experimental study employs an analysis of transients from sequential oxidation and Ar sputtering steps using OES and surface analytics to constrain conceptual models for the etch mechanism. The conceptual model is consistent with observations from MD studies and surface analysis performed by Vegh et al. and Oehrlein et al. and other similar studies. Parameters of the model are fit using published data and the experimentally observed time scales.

  8. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains.

    PubMed

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-23

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H 2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  9. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains

    NASA Astrophysics Data System (ADS)

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-01

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  10. Acids with an equivalent taste lead to different erosion of human dental enamel.

    PubMed

    Beyer, Markus; Reichert, Jörg; Bossert, Jörg; Sigusch, Bernd W; Watts, David C; Jandt, Klaus D

    2011-10-01

    The consumption of acidic soft drinks may lead to demineralization and softening of human dental enamel, known as dental erosion. The aims of this in vitro study were to determine: (i) if different acids with a similar sensorial acidic taste lead to different hardness loss of enamel and (ii) if the fruit acids tartaric, malic, lactic or ascorbic acid lead to less hardness loss of enamel than citric or phosphoric acid when their concentration in solution is based on an equivalent sensorial acidic taste. Enamel samples of non-erupted human third molars were treated with acidic solutions of tartaric (TA), malic (MA), lactic (LA), ascorbic (AA), phosphoric (PA) and citric (CA) acids with a concentration that gave an equivalent sensorial acidic taste. The acidic solutions were characterized by pH value and titratable acidity. Atomic force microscopy (AFM) based nanoindentation was used to study the nano mechanical properties and scanning electron microscopy (SEM) was used to study the morphology of the treated enamel samples and the untreated control areas, respectively. The investigated acids fell into two groups. The nano hardnesses of MA, TA and CA treated enamel samples (group I) were statistically significantly greater (p<0.05) than the nano hardnesses of PA, AA and LA treated enamel samples (group II). Within each group the nano hardness was not statistically significantly different (p>0.05). The SEM micrographs showed different etch prism morphologies depending on the acid used. In vitro, the acids investigated led to different erosion effects on human dental enamel, despite their equivalent sensorial acidic taste. This has not been reported previously. Copyright © 2011 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  11. Inductively coupled BCl 3/Cl 2 /Ar plasma etching of Al-rich AlGaN

    DOE PAGES

    Douglas, Erica A.; Sanchez, Carlos A.; Kaplar, Robert J.; ...

    2016-12-01

    Varying atomic ratios in compound semiconductors is well known to have large effects on the etching properties of the material. The use of thin device barrier layers, down to 25 nm, adds to the fabrication complexity by requiring precise control over etch rates and surface morphology. The effects of bias power and gas ratio of BCl 3 to Cl 2 for inductively coupled plasma etching of high Al content AlGaN were contrasted with AlN in this study for etch rate, selectivity, and surface morphology. Etch rates were greatly affected by both bias power and gas chemistry. Here we detail themore » effects of small variations in Al composition for AlGaN and show substantial changes in etch rate with regards to bias power as compared to AlN.« less

  12. Formation of silicon nanowire packed films from metallurgical-grade silicon powder using a two-step metal-assisted chemical etching method

    PubMed Central

    2014-01-01

    In this work, we use a two-step metal-assisted chemical etching method to produce films of silicon nanowires shaped in micrograins from metallurgical-grade polycrystalline silicon powder. The first step is an electroless plating process where the powder was dipped for few minutes in an aqueous solution of silver nitrite and hydrofluoric acid to permit Ag plating of the Si micrograins. During the second step, corresponding to silicon dissolution, we add a small quantity of hydrogen peroxide to the plating solution and we leave the samples to be etched for three various duration (30, 60, and 90 min). We try elucidating the mechanisms leading to the formation of silver clusters and silicon nanowires obtained at the end of the silver plating step and the silver-assisted silicon dissolution step, respectively. Scanning electron microscopy (SEM) micrographs revealed that the processed Si micrograins were covered with densely packed films of self-organized silicon nanowires. Some of these nanowires stand vertically, and some others tilt to the silicon micrograin facets. The thickness of the nanowire films increases from 0.2 to 10 μm with increasing etching time. Based on SEM characterizations, laser scattering estimations, X-ray diffraction (XRD) patterns, and Raman spectroscopy, we present a correlative study dealing with the effect of the silver-assisted etching process on the morphological and structural properties of the processed silicon nanowire films. PMID:25349554

  13. Semiconductor etching by hyperthermal neutral beams

    NASA Technical Reports Server (NTRS)

    Minton, Timothy K. (Inventor); Giapis, Konstantinos P. (Inventor)

    1999-01-01

    An at-least dual chamber apparatus and method in which high flux beams of fast moving neutral reactive species are created, collimated and used to etch semiconductor or metal materials from the surface of a workpiece. Beams including halogen atoms are preferably used to achieve anisotropic etching with good selectivity at satisfactory etch rates. Surface damage and undercutting are minimized.

  14. Overview Of Dry-Etch Techniques

    NASA Astrophysics Data System (ADS)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  15. Near-infrared diode laser hydrogen fluoride monitor for dielectric etch

    NASA Astrophysics Data System (ADS)

    Xu, Ning; Pirkle, David R.; Jeffries, Jay B.; McMillin, Brian; Hanson, Ronald K.

    2004-11-01

    A hydrogen fluoride (HF) monitor, using a tunable diode laser, is designed and used to detect the etch endpoints for dielectric film etching in a commercial plasma reactor. The reactor plasma contains HF, a reaction product of feedstock gas CF4 and the hydrogen-containing films (photoresist, SiOCH) on the substrate. A near-infrared diode laser is used to scan the P(3) transition in the first overtone of HF near 1.31 μm to monitor changes in the level of HF concentration in the plasma. Using 200 ms averaging and a signal modulation technique, we estimate a minimum detectable HF absorbance of 6×10-5 in the etch plasma, corresponding to an HF partial pressure of 0.03 mTorr. The sensor could indicate, in situ, the SiOCH over tetraethoxysilane oxide (TEOS) trench endpoint, which was not readily discerned by optical emission. These measurements demonstrate the feasibility of a real-time diode laser-based sensor for etch endpoint monitoring and a potential for process control.

  16. The origin of amino acids in lunar regolith samples

    NASA Astrophysics Data System (ADS)

    Elsila, Jamie E.; Callahan, Michael P.; Dworkin, Jason P.; Glavin, Daniel P.; McLain, Hannah L.; Noble, Sarah K.; Gibson, Everett K.

    2016-01-01

    We analyzed the amino acid content of seven lunar regolith samples returned by the Apollo 16 and Apollo 17 missions and stored under NASA curation since collection using ultrahigh-performance liquid chromatography with fluorescence detection and time-of-flight mass spectrometry. Consistent with results from initial analyses shortly after collection in the 1970s, we observed amino acids at low concentrations in all of the curated samples, ranging from 0.2 parts-per-billion (ppb) to 42.7 ppb in hot-water extracts and 14.5-651.1 ppb in 6 M HCl acid-vapor-hydrolyzed, hot-water extracts. Amino acids identified in the Apollo soil extracts include glycine, D- and L-alanine, D- and L-aspartic acid, D- and L-glutamic acid, D- and L-serine, L-threonine, and L-valine, all of which had previously been detected in lunar samples, as well as several compounds not previously identified in lunar regoliths: α-aminoisobutyric acid (AIB), D- and L-β-amino-n-butyric acid (β-ABA), DL-α-amino-n-butyric acid, γ-amino-n-butyric acid, β-alanine, and ε-amino-n-caproic acid. We observed an excess of the L enantiomer in most of the detected proteinogenic amino acids, but racemic alanine and racemic β-ABA were present in some samples. We also examined seven samples from Apollo 15, 16, and 17 that had been previously allocated to a non-curation laboratory, as well as two samples of terrestrial dunite from studies of lunar module engine exhaust that had been stored in the same laboratory. The amino acid content of these samples suggested that contamination had occurred during non-curatorial storage. We measured the compound-specific carbon isotopic ratios of glycine, β-alanine, and L-alanine in Apollo regolith sample 70011 and found values of -21‰ to -33‰. These values are consistent with those seen in terrestrial biology and, together with the enantiomeric compositions of the proteinogenic amino acids, suggest that terrestrial biological contamination is a primary source of the

  17. Versatile control of metal-assisted chemical etching for vertical silicon microwire arrays and their photovoltaic applications

    PubMed Central

    Um, Han-Don; Kim, Namwoo; Lee, Kangmin; Hwang, Inchan; Hoon Seo, Ji; Yu, Young J.; Duane, Peter; Wober, Munib; Seo, Kwanyong

    2015-01-01

    A systematic study was conducted into the use of metal-assisted chemical etching (MacEtch) to fabricate vertical Si microwire arrays, with several models being studied for the efficient redox reaction of reactants with silicon through a metal catalyst by varying such parameters as the thickness and morphology of the metal film. By optimizing the MacEtch conditions, high-quality vertical Si microwires were successfully fabricated with lengths of up to 23.2 μm, which, when applied in a solar cell, achieved a conversion efficiency of up to 13.0%. These solar cells also exhibited an open-circuit voltage of 547.7 mV, a short-circuit current density of 33.2 mA/cm2, and a fill factor of 71.3% by virtue of the enhanced light absorption and effective carrier collection provided by the Si microwires. The use of MacEtch to fabricate high-quality Si microwires therefore presents a unique opportunity to develop cost-effective and highly efficient solar cells. PMID:26060095

  18. Inorganic Bi/In thermal resist as a high-etch-ratio patterning layer for CF4/CHF3/O2 plasma etch

    NASA Astrophysics Data System (ADS)

    Tu, Yuqiang; Chapman, Glenn H.; Peng, Jun

    2004-05-01

    Bimetallic thin films containing indium and with low eutectic points, such as Bi/In, have been found to form highly sensitive thermal resists. They can be exposed by lasers with a wide range of wavelengths and be developed by diluted RCA2 solutions. The exposed bimetallic resist Bi/In can work as an etch masking layer for alkaline-based (KOH, TMAH and EDP) "wet" Si anisotropic etching. Current research shows that it can also act as a patterning and masking layer for Si and SiO2 plasma "dry" etch using CF4/CHF3. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In, indicating that laser exposure is an oxidation process. Experiment result shows that single metal Indium film and bilayer Sn/In exhibit thermal resist characteristics but at higher exposure levels. They can be developed in diluted RCA2 solution and used as etch mask layers for Si anisotropic etch and plasma etch.

  19. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    NASA Astrophysics Data System (ADS)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  20. Effects of different etching methods and bonding procedures on shear bond strength of orthodontic metal brackets applied to different CAD/CAM ceramic materials.

    PubMed

    Buyuk, S Kutalmış; Kucukekenci, Ahmet Serkan

    2018-03-01

    To investigate the shear bond strength (SBS) of orthodontic metal brackets applied to different types of ceramic surfaces treated with different etching procedures and bonding agents. Monolithic CAD/CAM ceramic specimens (N = 120; n = 40 each group) of feldspathic ceramic Vita Mark II, resin nanoceramic Lava Ultimate, and hybrid ceramic Vita Enamic were fabricated (14 × 12 × 3 mm). Ceramic specimens were separated into four subgroups (n = 10) according to type of surface treatment and bonding onto the ceramic surface. Within each group, four subgroups were prepared by phosphoric acid, hydrofluoric acid, Transbond XT primer, and Clearfill Ceramic primer. Mandibular central incisor metal brackets were bonded with light-cure composite. The SBS data were analyzed using three-way analysis of variance (ANOVA) and Tukey HSD tests. The highest SBS was found in the Vita Enamic group, which is a hybrid ceramic, etched with hydrofluoric acid and applied Transbond XT Adhesive primer (7.28 ± 2.49 MPa). The lowest SBS was found in the Lava Ultimate group, which is a resin nano-ceramic etched with hydrofluoric acid and applied Clearfill ceramic primer (2.20 ± 1.21 MPa). CAD/CAM material types and bonding procedures affected bond strength ( P < .05), but the etching procedure did not ( P > .05). The use of Transbond XT as a primer bonding agent resulted in higher SBS.

  1. High density plasma etching of magnetic devices

    NASA Astrophysics Data System (ADS)

    Jung, Kee Bum

    Magnetic materials such as NiFe (permalloy) or NiFeCo are widely used in the data storage industry. Techniques for submicron patterning are required to develop next generation magnetic devices. The relative chemical inertness of most magnetic materials means they are hard to etch using conventional RIE (Reactive Ion Etching). Therefore ion milling has generally been used across the industry, but this has limitations for magnetic structures with submicron dimensions. In this dissertation, we suggest high density plasmas such as ECR (Electron Cyclotron Resonance) and ICP (Inductively Coupled Plasma) for the etching of magnetic materials (NiFe, NiFeCo, CoFeB, CoSm, CoZr) and other related materials (TaN, CrSi, FeMn), which are employed for magnetic devices like magnetoresistive random access memories (MRAM), magnetic read/write heads, magnetic sensors and microactuators. This research examined the fundamental etch mechanisms occurring in high density plasma processing of magnetic materials by measuring etch rate, surface morphology and surface stoichiometry. However, one concern with using Cl2-based plasma chemistry is the effect of residual chlorine or chlorinated etch residues remaining on the sidewalls of etched features, leading to a degradation of the magnetic properties. To avoid this problem, we employed two different processing methods. The first one is applying several different cleaning procedures, including de-ionized water rinsing or in-situ exposure to H2, O2 or SF6 plasmas. Very stable magnetic properties were achieved over a period of ˜6 months except O2 plasma treated structures, with no evidence of corrosion, provided chlorinated etch residues were removed by post-etch cleaning. The second method is using non-corrosive gas chemistries such as CO/NH3 or CO2/NH3. There is a small chemical contribution to the etch mechanism (i.e. formation of metal carbonyls) as determined by a comparison with Ar and N2 physical sputtering. The discharge should be NH3

  2. Adsorptive conversion of nitrogen dioxide from etching vent gases over activated carbon.

    PubMed

    Fang, Mei-Ling; Wu, Ching-Yi; Chou, Ming-Shean

    2018-04-13

    Some metal etching operations emit limited flow rates of waste gases with reddish-brown NO 2 fume, which may cause visual and acidic-odor complaints, as well as negative health effects. In this study, tests were performed by passing caustic-treated waste gases vented from Al-etching operations through columns packed either with virgin or regenerated granular activated carbon (GAC) to test their adsorptive conversion performance of NO 2 in the gases. The gases contained 5-55 ppm NO 2 and acetic and nitric acids of below 3 ppm. Exhausted carbon was regenerated by scrubbing it with caustic solution and water, and dried for further adsorption tests. Results indicate that with an (empty bed residence time (EBRT) of 0.15 sec for the gas through the GAC-packed space, around 60% of the influent NO 2 of 54 ppm could be removed, and 47% of the removed NO 2 was converted by and desorbed from the carbon as NO. GAC used in the present study could be regenerated at least twice to restore its capacity for NO 2 adsorption. Within EBRTs of 0.076-0.18 sec, the adsorptive conversion capacity was linearly varied with EBRT. In practice, with an EBRT of 0.20 sec, a conversion capacity of 0.80 kg NO 2 (kg GAC) -1 with an influent NO 2 of 40 ppm can be used as a basis for system design. Some metal etching operations emit waste gases with reddish-brown (yellow when diluted) NO 2 fume which may cause visual and acidic-odor complaints, as well as negative health effects. This study provides a simple process for the adsorptive conversion of NO 2 in caustic-treated waste gases vented from metal-etching operations through a GAC column. With an EBRT of 0.20 sec, a conversion capacity of 0.80 kg NO 2 (kg GAC) -1 with an influent NO 2 of 40 ppm can be used as a basis for system design. Saturated GAC can be regenerated at least twice by simply scrubbing it with aqueous caustic solution.

  3. Investigation of Nitride Morphology After Self-Aligned Contact Etch

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Keil, J.; Helmer, B. A.; Chien, T.; Gopaladasu, P.; Kim, J.; Shon, J.; Biegel, Bryan (Technical Monitor)

    2001-01-01

    Self-Aligned Contact (SAC) etch has emerged as a key enabling technology for the fabrication of very large-scale memory devices. However, this is also a very challenging technology to implement from an etch viewpoint. The issues that arise range from poor oxide etch selectivity to nitride to problems with post etch nitride surface morphology. Unfortunately, the mechanisms that drive nitride loss and surface behavior remain poorly understood. Using a simple langmuir site balance model, SAC nitride etch simulations have been performed and compared to actual etched results. This approach permits the study of various etch mechanisms that may play a role in determining nitride loss and surface morphology. Particle trajectories and fluxes are computed using Monte-Carlo techniques and initial data obtained from double Langmuir probe measurements. Etched surface advancement is implemented using a shock tracking algorithm. Sticking coefficients and etch yields are adjusted to obtain the best agreement between actual etched results and simulated profiles.

  4. A deep etching mechanism for trench-bridging silicon nanowires

    NASA Astrophysics Data System (ADS)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  5. A deep etching mechanism for trench-bridging silicon nanowires.

    PubMed

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  6. Smooth and selective photo-electrochemical etching of heavily doped GaN:Si using a mode-locked 355 nm microchip laser

    NASA Astrophysics Data System (ADS)

    Lee, SeungGeun; Mishkat-Ul-Masabih, Saadat; Leonard, John T.; Feezell, Daniel F.; Cohen, Daniel A.; Speck, James S.; Nakamura, Shuji; DenBaars, Steven P.

    2017-01-01

    We investigate the photo-electrochemical (PEC) etching of Si-doped GaN samples grown on nonpolar GaN substrates, using a KOH/K2S2O8 solution and illuminated by a Xe arc lamp or a Q-switched 355 nm laser. The etch rate with the arc lamp decreased as the doping concentration increased, and the etching stopped for concentrations above 7.7 × 1018 cm-3. The high peak intensity of the Q-switched laser extended the etchable concentration to 2.4 × 1019 cm-3, with an etch rate of 14 nm/min. Compositionally selective etching was demonstrated, with an RMS surface roughness of 1.6 nm after etching down to an n-Al0.20Ga0.80N etch stop layer.

  7. The Origin of Amino Acids in Lunar Regolith Samples

    NASA Technical Reports Server (NTRS)

    Cook, Jamie E.; Callahan, Michael P.; Dworkin, Jason P.; Glavin, Daniel P.; McLain, Hannah L.; Noble, Sarah K.; Gibson, Everett K., Jr.

    2016-01-01

    We analyzed the amino acid content of seven lunar regolith samples returned by the Apollo 16 and Apollo 17 missions and stored under NASA curation since collection using ultrahigh-performance liquid chromatography with fluorescence detection and time-of-flight mass spectrometry. Consistent with results from initial analyses shortly after collection in the 1970s, we observed amino acids at low concentrations in all of the curated samples, ranging from 0.2 parts-per-billion (ppb) to 42.7 ppb in hot-water extracts and 14.5 ppb to 651.1 ppb in 6M HCl acid-vapor-hydrolyzed, hot-water extracts. Amino acids identified in the Apollo soil extracts include glycine, D- and L-alanine, D- and L-aspartic acid, D- and L-glutamic acid, D- and L-serine, L-threonine, and L-valine, all of which had previously been detected in lunar samples, as well as several compounds not previously identified in lunar regoliths: -aminoisobutyric acid (AIB), D-and L-amino-n-butyric acid (-ABA), DL-amino-n-butyric acid, -amino-n-butyric acid, -alanine, and -amino-n-caproic acid. We observed an excess of the L enantiomer in most of the detected proteinogenic amino acids, but racemic alanine and racemic -ABA were present in some samples.

  8. Development and Research on the Mechanism of Novel Mist Etching Method for Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    Kawaharamura, Toshiyuki; Hirao, Takashi

    2012-03-01

    A novel etching process with etchant mist was developed and applied to oxide thin films such as zinc oxide (ZnO), zinc magnesium oxide (ZnMgO), and indium tin oxide (ITO). By using this process, it was shown that precise control of the etching characteristics is possible with a reasonable etching rate, for example, in the range of 10-100 nm/min, and a fine pattern of high accuracy can also be realized, even though this is usually very difficult by conventional wet etching processes, for ZnO and ZnMgO. The mist etching process was found to be similarly and successfully applied to ITO. The mechanism of mist etching has been studied by examining the etching temperature dependence of pattern accuracy, and it was shown that the mechanism was different from that of conventional liquid-phase spray etching. It was ascertained that fine pattern etching was attained using mist droplets completely (or partly) gasified by the heat applied to the substrate. This technique was applied to the fabrication of a ZnO thin-film transistor (TFT) with a ZnO active channel length of 4 µm. The electrical properties of the TFT were found to be excellent with fine uniformity over the entire 4-in. wafer.

  9. Influence of Etching Mode on Enamel Bond Durability of Universal Adhesive Systems.

    PubMed

    Suzuki, T; Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Endo, H; Erickson, R L; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to determine the enamel bond durability of three universal adhesives in different etching modes through fatigue testing. The three universal adhesives used were Scotchbond Universal, Prime&Bond Elect universal dental adhesive, and All-Bond Universal light-cured dental adhesive. A single-step self-etch adhesive, Clearfil S 3 Bond Plus was used as a control. The shear bond strength (SBS) and shear fatigue strength (SFS) to human enamel were evaluated in total-etch mode and self-etch mode. A stainless steel metal ring with an internal diameter of 2.4 mm was used to bond the resin composite to the flat-ground (4000-grit) tooth surfaces for determination of both SBS and SFS. For each enamel surface treatment, 15 specimens were prepared for SBS and 30 specimens for SFS. The staircase method for fatigue testing was then used to determine the SFS of the resin composite bonded to the enamel using 10-Hz frequencies for 50,000 cycles or until failure occurred. Scanning electron microscopy was used to observe representative debonded specimen surfaces and the resin-enamel interfaces. A two-way analysis of variance and the Tukey post hoc test were used for analysis of the SBS data, whereas a modified t-test with Bonferroni correction was used for the SFS data. All adhesives in total-etch mode showed significantly higher SBS and SFS values than those in self-etch mode. Although All-Bond Universal in self-etch mode showed a significantly lower SBS value than the other adhesives, there was no significant difference in SFS values among the adhesives in this mode. All adhesives showed higher SFS:SBS ratios in total-etch mode than in self-etch mode. With regard to the adhesive systems used in this study, universal adhesives showed higher enamel bond strengths in total-etch mode. Although the influence of different etching modes on the enamel-bonding performance of universal adhesives was found to be dependent on the adhesive material, total-etch mode

  10. Porous silicon formation during Au-catalyzed etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Algasinger, Michael; Bernt, Maximilian; Koynov, Svetoslav

    2014-04-28

    The formation of “black” nano-textured Si during the Au-catalyzed wet-chemical etch process was investigated with respect to photovoltaic applications. Cross-sectional scanning electron microscopy (SEM) images recorded at different stages of the etch process exhibit an evolution of a two-layer structure, consisting of cone-like Si hillocks covered with a nano-porous Si (np-Si) layer. Optical measurements confirm the presence of a np-Si phase which appears after the first ∼10 s of the etch process and continuously increases with the etch time. Furthermore, the etch process was investigated on Si substrates with different doping levels (∼0.01–100 Ω cm). SEM images show a transition frommore » the two-layer morphology to a structure consisting entirely of np-Si for higher doping levels (<0.1 Ω cm). The experimental results are discussed on the basis of the model of a local electrochemical etch process. A better understanding of the metal-catalyzed etch process facilitates the fabrication of “black” Si on various Si substrates, which is of significant interest for photovoltaic applications.« less

  11. Effect of a functional monomer (MDP) on the enamel bond durability of single-step self-etch adhesives.

    PubMed

    Tsuchiya, Kenji; Takamizawa, Toshiki; Barkmeier, Wayne W; Tsubota, Keishi; Tsujimoto, Akimasa; Berry, Thomas P; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-02-01

    The present study aimed to determine the effect of the functional monomer, 10-methacryloxydecyl dihydrogen phosphate (MDP), on the enamel bond durability of single-step self-etch adhesives through integrating fatigue testing and long-term water storage. An MDP-containing self-etch adhesive, Clearfil Bond SE ONE (SE), and an experimental adhesive, MDP-free (MF), which comprised the same ingredients as SE apart from MDP, were used. Shear bond strength (SBS) and shear fatigue strength (SFS) were measured with or without phosphoric acid pre-etching. The specimens were stored in distilled water for 24 h, 6 months, or 1 yr. Although similar SBS and SFS values were obtained for SE with pre-etching and for MF after 24 h of storage in distilled water, SE with pre-etching showed higher SBS and SFS values than MF after storage in water for 6 months or 1 yr. Regardless of the pre-etching procedure, SE showed higher SBS and SFS values after 6 months of storage in distilled water than after 24 h or 1 yr. To conclude, MDP might play an important role in enhancing not only bond strength but also bond durability with respect to repeated subcritical loading after long-term water storage. © 2015 Eur J Oral Sci.

  12. Fabrication of through-silicon via arrays by photo-assisted electrochemical etching and supercritical electroplating

    NASA Astrophysics Data System (ADS)

    Chuang, Ho-Chiao; Yang, Hsi-Min; Wu, Cheng-Xiang; Sanchez, Jorge; Shyu, Jenq-Huey

    2017-01-01

    This paper aims to fabricate high aspect ratio through silicon via (TSV) by photo-assisted electrochemical etching (PAECE) and supercritical CO2 copper electroplating. A blind-holed silicon array was first fabricated by PAECE. By studying the etching parameters, including hydrofluoric acid concentration, etchant temperature, stirring speed, tetrabutylammonium perchlorate (TBAP) content, and Ohmic contact thickness, an array of pores with a 1∶45 aspect ratio (height=250 μm and diameter=5.5 μm) was obtained successfully. Moreover, TBAP and Kodak Photo-Flo (PF) solution were added into the etchant to acquire smooth sidewalls for the first time. TBAP was added for the first time to serve as an antistatic agent in deionized water-based etchant to prevent side-branch etching, and PF was used to degasify hydrogen bubbles in the etchant. The effect of gold thickness over Ohmic contact was investigated. Randomized etching was observed with an Au thickness of 200 Å, but it can be improved by increasing the etching voltage. The silicon mold of through-holes was filled with metal using supercritical CO2 copper electroplating, which features high diffusivity, permeability, and density. The TSV structure (aspect ratio=1∶35) was obtained at a supercritical pressure of 2000 psi, temperature of 50°C, and current density of 30 mA/cm2 in 2.5 h.

  13. Optimization of KOH etching parameters for quantitative defect recognition in n- and p-type doped SiC

    NASA Astrophysics Data System (ADS)

    Sakwe, S. A.; Müller, R.; Wellmann, P. J.

    2006-04-01

    We have developed a KOH-based defect etching procedure for silicon carbide (SiC), which comprises in situ temperature measurement and control of melt composition. As benefit for the first time reproducible etching conditions were established (calibration plot, etching rate versus temperature and time); the etching procedure is time independent, i.e. no altering in KOH melt composition takes place, and absolute melt temperature values can be set. The paper describes this advanced KOH etching furnace, including the development of a new temperature sensor resistant to molten KOH. We present updated, absolute KOH etching parameters of n-type SiC and new absolute KOH etching parameters for low and highly p-type doped SiC, which are used for quantitative defect analysis. As best defect etching recipes we found T=530 °C/5 min (activation energy: 16.4 kcal/mol) and T=500 °C/5 min (activation energy: 13.5 kcal/mol) for n-type and p-type SiC, respectively.

  14. Silicon etching using only Oxygen at high temperature: An alternative approach to Si micro-machining on 150 mm Si wafers

    NASA Astrophysics Data System (ADS)

    Chai, Jessica; Walker, Glenn; Wang, Li; Massoubre, David; Tan, Say Hwa; Chaik, Kien; Hold, Leonie; Iacopi, Alan

    2015-12-01

    Using a combination of low-pressure oxygen and high temperatures, isotropic and anisotropic silicon (Si) etch rates can be controlled up to ten micron per minute. By varying the process conditions, we show that the vertical-to-lateral etch rate ratio can be controlled from 1:1 isotropic etch to 1.8:1 anisotropic. This simple Si etching technique combines the main respective advantages of both wet and dry Si etching techniques such as fast Si etch rate, stiction-free, and high etch rate uniformity across a wafer. In addition, this alternative O2-based Si etching technique has additional advantages not commonly associated with dry etchants such as avoiding the use of halogens and has no toxic by-products, which improves safety and simplifies waste disposal. Furthermore, this process also exhibits very high selectivity (>1000:1) with conventional hard masks such as silicon carbide, silicon dioxide and silicon nitride, enabling deep Si etching. In these initial studies, etch rates as high as 9.2 μm/min could be achieved at 1150 °C. Empirical estimation for the calculation of the etch rate as a function of the feature size and oxygen flow rate are presented and used as proof of concepts.

  15. Ultradeep electron cyclotron resonance plasma etching of GaN

    DOE PAGES

    Harrison, Sara E.; Voss, Lars F.; Torres, Andrea M.; ...

    2017-07-25

    Here, ultradeep (≥5 μm) electron cyclotron resonance plasma etching of GaN micropillars was investigated. Parametric studies on the influence of the applied radio-frequency power, chlorine content in a Cl 2/Ar etch plasma, and operating pressure on the etch depth, GaN-to-SiO 2 selectivity, and surface morphology were performed. Etch depths of >10 μm were achieved over a wide range of parameters. Etch rates and sidewall roughness were found to be most sensitive to variations in RF power and % Cl 2 in the etch plasma. Selectivities of >20:1 GaN:SiO 2 were achieved under several chemically driven etch conditions where a maximummore » selectivity of ~39:1 was obtained using a 100% Cl 2 plasma. The etch profile and (0001) surface morphology were significantly influenced by operating pressure and the chlorine content in the plasma. Optimized etch conditions yielded >10 μm tall micropillars with nanometer-scale sidewall roughness, high GaN:SiO 2 selectivity, and nearly vertical etch profiles. These results provide a promising route for the fabrication of ultradeep GaN microstructures for use in electronic and optoelectronic device applications. In addition, dry etch induced preferential crystallographic etching in GaN microstructures is also demonstrated, which may be of great interest for applications requiring access to non- or semipolar GaN surfaces.« less

  16. Surface etching technologies for monocrystalline silicon wafer solar cells

    NASA Astrophysics Data System (ADS)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  17. Reticulated shallow etch mesa isolation for controlling surface leakage in GaSb-based infrared detectors

    NASA Astrophysics Data System (ADS)

    Nolde, J. A.; Jackson, E. M.; Bennett, M. F.; Affouda, C. A.; Cleveland, E. R.; Canedy, C. L.; Vurgaftman, I.; Jernigan, G. G.; Meyer, J. R.; Aifer, E. H.

    2017-07-01

    Longwave infrared detectors using p-type absorbers composed of InAs-rich type-II superlattices (T2SLs) nearly always suffer from high surface currents due to carrier inversion on the etched sidewalls. Here, we demonstrate reticulated shallow etch mesa isolation (RSEMI): a structural method of reducing surface currents in longwave single-band and midwave/longwave dual-band detectors with p-type T2SL absorbers. By introducing a lateral shoulder to increase the separation between the n+ cathode and the inverted absorber surface, a substantial barrier to surface electron flow is formed. We demonstrate experimentally that the RSEMI process results in lower surface current, lower net dark current, much weaker dependence of the current on bias, and higher uniformity compared to mesas processed with a single deep etch. For the structure used, a shoulder width of 2 μm is sufficient to block surface currents.

  18. Selective etching of silicon carbide films

    DOEpatents

    Gao, Di; Howe, Roger T.; Maboudian, Roya

    2006-12-19

    A method of etching silicon carbide using a nonmetallic mask layer. The method includes providing a silicon carbide substrate; forming a non-metallic mask layer by applying a layer of material on the substrate; patterning the mask layer to expose underlying areas of the substrate; and etching the underlying areas of the substrate with a plasma at a first rate, while etching the mask layer at a rate lower than the first rate.

  19. Recovering obliterated engraved marks on aluminium surfaces by etching technique.

    PubMed

    Baharum, Mohd Izhar Mohd; Kuppuswamy, R; Rahman, Azari Abd

    2008-05-20

    A study has been made of the characteristics of restoration of obliterated engraved marks on aluminium surfaces by etching technique. By etching different reagents on 0.61mm thick sheets of aluminium (99wt%) on which some engraved marks had been erased to different depths it was found that the reagent 60% hydrochloric acid and 40% sodium hydroxide on alternate swabbing on the surfaces was found to be the most sensitive one for these metal surfaces. This reagent was able to restore marks in the above plates erased down to 0.04mm below the bottom of the engraving. The marks also presented excellent contrast with the background. This reagent was further experimented with similar aluminium surfaces, but of relatively greater thickness of 1.5mm. It was noticed that the recovery depth increased slightly to 0.06mm; this suggested the dependence of recovery depth on the thickness of the sheet metal. Further, the depth of restoration decreased in cases where the original number was erased and over which a new number was engraved; the latter results are similar to those of steel surfaces reported earlier [M.A.M. Zaili, R. Kuppuswamy, H. Harun, Restoration of engraved marks on steel surfaces by etching technique, Forensic Sci. Int. 171 (2007) 27-32].

  20. Enamel shear bond strength of two orthodontic self-etching bonding systems compared to Transbond™ XT.

    PubMed

    Hellak, Andreas; Rusdea, Patrick; Schauseil, Michael; Stein, Steffen; Korbmacher-Steiner, Heike Maria

    2016-11-01

    The aim of this in vitro study was to compare the shear bond strength (SBS) and Adhesive Remnant Index (ARI) scores of two self-etching no-mix adhesives (Prompt L-Pop™ and Scotchbond™) for orthodontic appliances to the commonly used total etch system Transbond XT™ (in combination with phosphoric acid). In all, 60 human premolars were randomly divided into three groups of 20 specimens each. In group 1 (control), brackets were bonded with Transbond™ XT primer. Prompt L-Pop™ (group 2) and Scotchbond™ Universal (group 3) were used in the experimental groups. Lower premolar brackets were bonded by light curing the adhesive. After 24 h of storage, the shear bond strength (SBS) was measured using a Zwicki 1120 testing machine. The adhesive remnant index (ARI) was determined under 10× magnification. The Kruskal-Wallis test was used to statistically compare the SBS and the ARI scores. No significant differences in the SBS between any of the experimental groups were detected (group 1: 15.49 ± 3.28 MPa; group 2: 13.89 ± 4.95 MPa; group 3: 14.35 ± 3.56 MPa; p = 0.489), nor were there any significant differences in the ARI scores (p = 0.368). Using the two self-etching no-mix adhesives (Prompt L-Pop™ and Scotchbond™) for orthodontic appliances does not affect either the SBS or ARI scores in comparison with the commonly used total-etch system Transbond™ XT. In addition, Scotchbond™ Universal supports bonding on all types of surfaces (enamel, metal, composite, and porcelain) with no need for additional primers. It might therefore be helpful for simplifying bonding in orthodontic procedures.

  1. Enlargement of halloysite clay nanotube lumen by selective etching of aluminum oxide.

    PubMed

    Abdullayev, Elshad; Joshi, Anupam; Wei, Wenbo; Zhao, Yafei; Lvov, Yuri

    2012-08-28

    Halloysite clay tubes have 50 nm diameter and chemically different inner and outer walls (inner surface of aluminum oxide and outer surface of silica). Due to this different chemistry, the selective etching of alumina from inside the tube was realized, while preserving their external diameter (lumen diameter changed from 15 to 25 nm). This increases 2-3 times the tube lumen capacity for loading and further sustained release of active chemical agents such as metals, corrosion inhibitors, and drugs. In particular, halloysite loading efficiency for the benzotriazole increased 4 times by selective etching of 60% alumina within the tubes' lumens. Specific surface area of the tubes increased over 6 times, from 40 to 250 m(2)/g, upon acid treatment.

  2. Comparison between universal adhesives and two-step self-etch adhesives in terms of dentin bond fatigue durability in self-etch mode.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Takamizawa, Toshiki; Watanabe, Hidehiko; Johnson, William W; Latta, Mark A; Miyazaki, Masashi

    2017-06-01

    This aim of this study was to compare universal adhesives and two-step self-etch adhesives in terms of dentin bond fatigue durability in self-etch mode. Three universal adhesives - Clearfil Universal, G-Premio Bond, and Scotchbond Universal Adhesive - and three-two-step self-etch adhesives - Clearfil SE Bond, Clearfil SE Bond 2, and OptiBond XTR - were used. The initial shear bond strength and shear fatigue strength of resin composite bonded to adhesive on dentin in self-etch mode were determined. Scanning electron microscopy observations of fracture surfaces after bond strength tests were also made. The initial shear bond strength of universal adhesives was material dependent, unlike that of two-step self-etch adhesives. The shear fatigue strength of Scotchbond Universal Adhesive was not significantly different from that of two-step self-etch adhesives, unlike the other universal adhesives. The shear fatigue strength of universal adhesives differed depending on the type of adhesive, unlike those of two-step self-etch adhesives. The results of this study encourage the continued use of two-step self-etch adhesive over some universal adhesives but suggest that changes to the composition of universal adhesives may lead to a dentin bond fatigue durability similar to that of two-step self-etch adhesives. © 2017 Eur J Oral Sci.

  3. Influence of laboratory degradation methods and bonding application parameters on microTBS of self-etch adhesives to dentin.

    PubMed

    Erhardt, Maria Carolina G; Pisani-Proença, Jatyr; Osorio, Estrella; Aguilera, Fátima S; Toledano, Manuel; Osorio, Raquel

    2011-04-01

    To evaluate the laboratory resistance to degradation and the use of different bonding treatments on resin-dentin bonds formed with three self-etching adhesive systems. Flat, mid-coronal dentin surfaces from extracted human molars were bonded according to manufacturer's directions and submitted to two challenging regimens: (A) chemical degradation with 10% NaOC1 immersion for 5 hours; and (B) fatigue loading at 90 N using 50,000 cycles at 3.0 Hz. Additional dentin surfaces were bonded following four different bonding application protocols: (1) according to manufacturer's directions; (2) acid-etched with 36% phosphoric acid (H3PO4) for 15 seconds; (3) 10% sodium hypochlorite (NaOClaq) treated for 2 minutes, after H3PO4-etching; and (4) doubling the application time of the adhesives. Two one-step self-etch adhesives (an acetone-based: Futurabond/FUT and an ethanol-based: Futurabond NR/FNR) and a two-step self-etch primer system (Clearfil SE Bond/CSE) were examined. Specimens were sectioned into beams and tested for microtensile bond strength (microTBS). Selected debonded specimens were observed under scanning electron microscopy (SEM). Data (MPa) were analyzed by ANOVA and multiple comparisons tests (alpha= 0.05). microTBS significantly decreased after chemical and mechanical challenges (P< 0.05). CSE showed higher microTBS than the other adhesive systems, regardless the bonding protocol. FUT attained the highest microTBS after doubling the application time. H3PO4 and H3PO4 + NaOCl pretreatments significantly decreased bonding efficacy of the adhesives.

  4. Silicon nanostructure arrays prepared by single step metal assisted chemical etching from single crystal wafer

    NASA Astrophysics Data System (ADS)

    Sarkar, Kalyan; Das, Debajyoti

    2018-04-01

    Arrays of silicon nanostructures have been produced by single step Metal Assisted Chemical Etching (MACE) of single crystal Si-wafers at room temp and normal atmospheric condition. By studying optical and structural properties of the silicon nanowire like structures synthesized by Ag catalyst assisted chemical etching, a significant change in the reflectance spectra has been obtained leading to a gross reduction in reflectance from ˜31% to less than 1%. In comparison with bulk c-Si, the surface areas of the nanostructured samples have been increased significantly with the etching time, leading to an efficient absorption of light, favorable for photovoltaic applications.

  5. Bonding characteristics of self-etching adhesives to intact versus prepared enamel.

    PubMed

    Perdigão, Jorge; Geraldeli, Saulo

    2003-01-01

    This study tested the null hypothesis that the preparation of the enamel surface would not affect the enamel microtensile bond strengths of self-etching adhesive materials. Ten bovine incisors were trimmed with a diamond saw to obtain a squared enamel surface with an area of 8 x 8 mm. The specimens were randomly assigned to five adhesives: (1) ABF (Kuraray), an experimental two-bottle self-etching adhesive; (2) Clearfil SE Bond (Kuraray), a two-bottle self-etching adhesive; (3) One-Up Bond F (Tokuyama), an all-in-one adhesive; (4) Prompt L-Pop (3M ESPE), an all-in-one adhesive; and (5) Single Bond (3M ESPE), a two-bottle total-etch adhesive used as positive control. For each specimen, one half was roughened with a diamond bur for 5 seconds under water spray, whereas the other half was left unprepared. The adhesives were applied as per manufacturers' directions. A universal hybrid composite resin (Filtek Z250, 3M ESPE) was inserted in three layers of 1.5 mm each and light-cured. Specimens were sectioned in X and Y directions to obtain bonded sticks with a cross-sectional area of 0.8 +/- 0.2 mm2. Sticks were tested in tension in an Instron at a cross-speed of 1 mm per minute. Statistical analysis was carried out with two-way analysis of variance and Duncan's test at p < .05. Ten extra specimens were processed for observation under a field-emission scanning electron microscope. Single Bond, the total-etch adhesive, resulted in statistically higher microtensile bond strength than any of the other adhesives regardless of the enamel preparation (unprepared = 31.5 MPa; prepared = 34.9 MPa, not statistically different at p < .05). All the self-etching adhesives resulted in higher microtensile bond strength when enamel was roughened than when enamel was left unprepared. However, for ABF and for Clearfil SE Bond this difference was not statistically significant at p > .05. When applied to ground enamel, mean bond strengths of Prompt L-Pop were not statistically different

  6. Two-year clinical trial of a universal adhesive in total-etch and self-etch mode in non-carious cervical lesions☆

    PubMed Central

    Lawson, Nathaniel C.; Robles, Augusto; Fu, Chin-Chuan; Lin, Chee Paul; Sawlani, Kanchan; Burgess, John O.

    2016-01-01

    Objectives To compare the clinical performance of Scotchbond™ Universal Adhesive used in self- and total-etch modes and two-bottle Scotchbond™ Multi-purpose Adhesive in total-etch mode for Class 5 non-carious cervical lesions (NCCLs). Methods 37 adults were recruited with 3 or 6 NCCLs (>1.5 mm deep). Teeth were isolated, and a short cervical bevel was prepared. Teeth were restored randomly with Scotchbond Universal total-etch, Scotchbond Universal self-etch or Scotchbond Multi-purpose followed with a composite resin. Restorations were evaluated at baseline, 6, 12 and 24 months for marginal adaptation, marginal discoloration, secondary caries, and sensitivity to cold using modified USPHS Criteria. Patients and evaluators were blinded. Logistic and linear regression models using a generalized estimating equation were applied to evaluate the effects of time and adhesive material on clinical assessment outcomes over the 24 month follow-up period. Kaplan–Meier method was used to compare the retention between adhesive materials. Results Clinical performance of all adhesive materials deteriorated over time for marginal adaptation, and discoloration (p <0.0001). Both Scotchbond Universal self-etch and Scotchbond Multi-purpose materials were more than three times as likely to contribute to less satisfying performance in marginal discoloration over time than Scotchbond Universal total-etch. The retention rates up to 24 months were 87.6%, 94.9% and 100% for Scotchbond Multi-purpose and Scotchbond Universal self-etch and total-etch, respectively. Conclusions Scotchbond Universal in self- and total- etch modes performed similar to or better than Scotchbond Multipurpose, respectively. Clinical significance 24 month evaluation of a universal adhesive indicates acceptable clinical performance, particularly in a total-etch mode. PMID:26231300

  7. Validation of acid washes as critical control points in hazard analysis and critical control point systems.

    PubMed

    Dormedy, E S; Brashears, M M; Cutter, C N; Burson, D E

    2000-12-01

    A 2% lactic acid wash used in a large meat-processing facility was validated as an effective critical control point (CCP) in a hazard analysis and critical control point (HACCP) plan. We examined the microbial profiles of beef carcasses before the acid wash, beef carcasses immediately after the acid wash, beef carcasses 24 h after the acid wash, beef subprimal cuts from the acid-washed carcasses, and on ground beef made from acid-washed carcasses. Total mesophilic, psychrotrophic, coliforms, generic Escherichia coli, lactic acid bacteria, pseudomonads, and acid-tolerant microorganisms were enumerated on all samples. The presence of Salmonella spp. was also determined. Acid washing significantly reduced all counts except for pseudomonads that were present at very low numbers before acid washing. All other counts continued to stay significantly lower (P < 0.05) than those on pre-acid-washed carcasses throughout all processing steps. Total bacteria, coliforms, and generic E. coli enumerated on ground beef samples were more than 1 log cycle lower than those reported in the U.S. Department of Agriculture Baseline data. This study suggests that acid washes may be effective CCPs in HACCP plans and can significantly reduce the total number of microorganisms present on the carcass and during further processing.

  8. Dry etching technologies for the advanced binary film

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  9. The effect of different chemical agents on human enamel: an atomic force and scanning electron microscopy study

    NASA Astrophysics Data System (ADS)

    Rominu, Roxana O.; Rominu, Mihai; Negrutiu, Meda Lavinia; Sinescu, Cosmin; Pop, Daniela; Petrescu, Emanuela

    2010-12-01

    PURPOSE: The goal of our study was to investigate the changes in enamel surface roughess induced by the application of different chemical substances by atomic force microscopy and scanning electron microscopy. METHOD: Five sound human first upper premolar teeth were chosen for the study. The buccal surface of each tooth was treated with a different chemical agent as follows: Sample 1 - 38% phosphoric acid etching (30s) , sample 2 - no surface treatment (control sample), 3 - bleaching with 37.5 % hydrogen peroxide (according to the manufacturer's instructions), 4 - conditioning with a self-etching primer (15 s), 5 - 9.6 % hydrofluoric acid etching (30s). All samples were investigated by atomic force microscopy in a non-contact mode and by scanning electron microscopy. Several images were obtained for each sample, showing evident differences regarding enamel surface morphology. The mean surface roughness and the mean square roughness were calculated and compared. RESULTS: All chemical substances led to an increased surface roughness. Phosphoric acid led to the highest roughness while the control sample showed the lowest. Hydrofluoric acid also led to an increase in surface roughness but its effects have yet to be investigated due to its potential toxicity. CONCLUSIONS: By treating the human enamel with the above mentioned chemical compounds a negative microretentive surface is obtained, with a morphology depending on the applied substance.

  10. Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Provine, J., E-mail: jprovine@stanford.edu; Schindler, Peter; Kim, Yongmin

    2016-06-15

    The continued scaling in transistors and memory elements has necessitated the development of atomic layer deposition (ALD) of silicon nitride (SiN{sub x}), particularly for use a low k dielectric spacer. One of the key material properties needed for SiN{sub x} films is a low wet etch rate (WER) in hydrofluoric (HF) acid. In this work, we report on the evaluation of multiple precursors for plasma enhanced atomic layer deposition (PEALD) of SiN{sub x} and evaluate the film’s WER in 100:1 dilutions of HF in H{sub 2}O. The remote plasma capability available in PEALD, enabled controlling the density of the SiN{submore » x} film. Namely, prolonged plasma exposure made films denser which corresponded to lower WER in a systematic fashion. We determined that there is a strong correlation between WER and the density of the film that extends across multiple precursors, PEALD reactors, and a variety of process conditions. Limiting all steps in the deposition to a maximum temperature of 350 °C, it was shown to be possible to achieve a WER in PEALD SiN{sub x} of 6.1 Å/min, which is similar to WER of SiN{sub x} from LPCVD reactions at 850 °C.« less

  11. X-ray Reflectivity Study of a Highly Rough Surface: Si Nanowires Grown by Ag Nanoparticle Etching

    NASA Astrophysics Data System (ADS)

    Kremenak, Jesse; Arendse, Christopher; Cummings, Franscious; Chen, Yiyao; Miceli, Paul

    Vertically oriented Si nanowires (SiNWs) formed by Ag-assisted wet chemical etching of a Si(100) substrate was studied by X-ray reflectivity (XRR) in combination with electron microscopy. Si(100) wafers coated with Ag nanoparticles, which serve as a catalyst, were etched for different durations in a HF/H2O2/DI-H2O solution. Because of the extreme roughness of these surfaces, there are challenges for using XRR methods in such systems. Therefore, significant attention is given to the analysis method of the XRR measurements. This sample-average information presents a valuable complement to electron microscopy studies, which focus on small sections of the sample. The present work shows-for the first time-the amount and distribution of Ag during the formation of SiNWs fabricated by Ag-assisted wet chemical etching, which is vital information for understanding the etching mechanisms. Support is gratefully acknowledged from the National Science Foundation (USA) - DGE1069091, the National Research Foundation (RSA) - TTK14052167658, 76568, 92520, and 93212; and the University of Missouri/University of Western Cape Linkage Program.

  12. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  13. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    NASA Astrophysics Data System (ADS)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  14. A Reactive-Ion Etch for Patterning Piezoelectric Thin Film

    NASA Technical Reports Server (NTRS)

    Yang, Eui-Hyeok; Wild, Larry

    2003-01-01

    Reactive-ion etching (RIE) under conditions described below has been found to be a suitable means for patterning piezoelectric thin films made from such materials as PbZr(1-x)Ti(x)O3 or Ba(x)Sr(1.x)TiO3. In the original application for which this particular RIE process was developed, PbZr(1-x)Ti(x)O3 films 0.5 microns thick are to be sandwiched between Pt electrode layers 0.1 microns thick and Ir electrode layers 0.1 microns thick to form piezoelectric capacitor structures. Such structures are typical of piezoelectric actuators in advanced microelectromechanical systems now under development or planned to be developed in the near future. RIE of PbZr(1-x)Ti(x)O3 is usually considered to involve two major subprocesses: an ion-assisted- etching reaction, and a sputtering subprocess that removes reactive byproducts. RIE is favored over other etching techniques because it offers a potential for a high degree of anisotropy, high-resolution pattern definition, and good process control. However, conventional RIE is not ideal for patterning PbZr(1-x)Ti(x)O3 films at a thickness as great as that in the original intended application. In order to realize the potential benefits mentioned above, it is necessary to optimize process conditions . in particular, the composition of the etching gas and the values of such other process parameters as radio-frequency power, gas pressure, gas-flow rate, and duration of the process. Guidelines for determining optimum conditions can be obtained from experimental determination of etch rates as functions of these parameters. Etch-gas mixtures of BCl3 and Cl2, some also including Ar, have been found to offer a high degree of selectivity as needed for patterning of PbZr(1-x)Ti(x)O3 films on top of Ir electrode layers in thin-film capacitor structures. The selectivity is characterized by a ratio of approx.10:1 (rate of etching PbZr(1-x)Ti(x)O3 divided by rate of etching Ir and IrO(x)). At the time of reporting the information for this article

  15. Dry etching of copper phthalocyanine thin films: effects on morphology and surface stoichiometry.

    PubMed

    Van Dijken, Jaron G; Brett, Michael J

    2012-08-24

    We investigate the evolution of copper phthalocyanine thin films as they are etched with argon plasma. Significant morphological changes occur as a result of the ion bombardment; a planar surface quickly becomes an array of nanopillars which are less than 20 nm in diameter. The changes in morphology are independent of plasma power, which controls the etch rate only. Analysis by X-ray photoelectron spectroscopy shows that surface concentrations of copper and oxygen increase with etch time, while carbon and nitrogen are depleted. Despite these changes in surface stoichiometry, we observe no effect on the work function. The absorbance and X-ray diffraction spectra show no changes other than the peaks diminishing with etch time. These findings have important implications for organic photovoltaic devices which seek nanopillar thin films of metal phthalocyanine materials as an optimal structure.

  16. Preparation of scanning tunneling microscopy tips using pulsed alternating current etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Valencia, Victor A.; Thaker, Avesh A.; Derouin, Jonathan

    An electrochemical method using pulsed alternating current etching (PACE) to produce atomically sharp scanning tunneling microscopy (STM) tips is presented. An Arduino Uno microcontroller was used to control the number and duration of the alternating current (AC) pulses, allowing for ready optimization of the procedures for both Pt:Ir and W tips using a single apparatus. W tips prepared using constant and pulsed AC power were compared. Tips fashioned using PACE were sharper than those etched with continuous AC power alone. Pt:Ir tips were prepared with an initial coarse etching stage using continuous AC power followed by fine etching using PACE.more » The number and potential of the finishing AC pulses was varied and scanning electron microscope imaging was used to compare the results. Finally, tip quality using the optimized procedures was verified by UHV-STM imaging. With PACE, at least 70% of the W tips and 80% of the Pt:Ir tips were of sufficiently high quality to obtain atomically resolved images of HOPG or Ni(111)« less

  17. Study of Etching Pits in a Large-grain Single Cell Bulk Niobium Cavity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhao, Xin; Ciovati, Gianluigi; Reece, Charles E.

    2009-11-01

    Performance of SRF cavities are limited by non-linear localized effects. The variation of local material characters between "hot" and "cold" spots is thus of intense interest. Such locations were identified in a BCP-etched large-grain single-cell cavity and removed for examination by high resolution electron microscopy (SEM), electron-back scattering diffraction microscopy (EBSD), optical microscopy, and 3D profilometry. Pits with clearly discernable crystal facets were observed in both "hotspot" and "coldspot" specimens. The pits were found in-grain, at bi-crystal boundaries, and on tri-crystal junctions. They are interpreted as etch pits induced by surface crystal defects (e.g. dislocations). All "coldspots" examined had qualitativelymore » low density of etching pits or very shallow tri-crystal boundary junction. EBSD revealed crystal structure surrounding the pits via crystal phase orientation mapping, while 3D profilometry gave information on the depth and size of the pits. In addition, a survey of the samples by energy dispersive X-ray analysis (EDX) did not show any significant contamination of the samples surface.« less

  18. Effect of Hydrofluoric Acid Concentration on Resin Adhesion to a Feldspathic Ceramic.

    PubMed

    Venturini, Andressa Borin; Prochnow, Catina; Rambo, Dagma; Gundel, Andre; Valandro, Luiz Felipe

    2015-08-01

    To evaluate the effect of different concentrations of hydrofluoric acid (HF) on the contact angle and the resin bond strength durability to feldspathic ceramic. To evaluate the contact angles of distilled water on etched feldspathic ceramic, 25 specimens (12×10×2.4 mm) of VitaBlocks Mark II were used, divided into 5 groups (n=5): one unconditioned control (UC) group with no ceramic surface treatment, and 4 other groups that were etched for 60 s with different concentrations of HF: 1% (HF1), 3% (HF3), 5% (HF5) and 10% (HF10). The bond testing utilized 40 ceramic blocks (12×10×4 mm) that were fabricated and subjected to the same surface treatments as previously mentioned (excluding the control). The etched surfaces were silanized and resin cement was applied. After 24 h, the blocks were sectioned to produce bar specimens that were divided into two groups, non-aged (immediate testing) and aged (storage for 230 days+12,000 thermocycles at 5°C and 55°C), and subjected to microtensile testing (μTBS). Micromorphogical analysis of the treated surfaces was also performed (atomic force and scanning electron microscopy). One-way ANOVA and Tukey's tests were applied for data analysis. UC had the highest contact angle (61.4°), whereas HF10 showed the lowest contact angle (17.5°). In non-aged conditions, different acids promoted statistically similar bond strengths (14.2 to 15.7 MPa) (p>0.05); in terms of bond durability, only the bond strength of the HF1 group presented a statistically significant decrease comparing before and after aging (14.5 to 10.2 MPa). When etched with 3%, 5%, or 10% hydrofluoric acid, the ceramic tested showed stable resin adhesion after long-term aging.

  19. Method and apparatus for spatially uniform electropolishing and electrolytic etching

    DOEpatents

    Mayer, Steven T.; Contolini, Robert J.; Bernhardt, Anthony F.

    1992-01-01

    In an electropolishing or electrolytic etching apparatus the anode is separated from the cathode to prevent bubble transport to the anode and to produce a uniform current distribution at the anode by means of a solid nonconducting anode-cathode barrier. The anode extends into the top of the barrier and the cathode is outside the barrier. A virtual cathode hole formed in the bottom of the barrier below the level of the cathode permits current flow while preventing bubble transport. The anode is rotatable and oriented horizontally facing down. An extended anode is formed by mounting the workpiece in a holder which extends the electropolishing or etching area beyond the edge of the workpiece to reduce edge effects at the workpiece. A reference electrode controls cell voltage. Endpoint detection and current shut-off stop polishing. Spatially uniform polishing or etching can be rapidly performed.

  20. Method and apparatus for spatially uniform electropolishing and electrolytic etching

    DOEpatents

    Mayer, S.T.; Contolini, R.J.; Bernhardt, A.F.

    1992-03-17

    In an electropolishing or electrolytic etching apparatus the anode is separated from the cathode to prevent bubble transport to the anode and to produce a uniform current distribution at the anode by means of a solid nonconducting anode-cathode barrier. The anode extends into the top of the barrier and the cathode is outside the barrier. A virtual cathode hole formed in the bottom of the barrier below the level of the cathode permits current flow while preventing bubble transport. The anode is rotatable and oriented horizontally facing down. An extended anode is formed by mounting the workpiece in a holder which extends the electropolishing or etching area beyond the edge of the workpiece to reduce edge effects at the workpiece. A reference electrode controls cell voltage. Endpoint detection and current shut-off stop polishing. Spatially uniform polishing or etching can be rapidly performed. 6 figs.

  1. Influence of different etching modes on bond strength and fatigue strength to dentin using universal adhesive systems.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Berry, Thomas P; Watanabe, Hedehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-02-01

    The purpose of this study was to determine the dentin bonding ability of three new universal adhesive systems under different etching modes using fatigue testing. Prime & Bond elect [PE] (DENTSPLY Caulk), Scotchbond Universal [SU] (3M ESPE), and All Bond Universal [AU] (Bisco) were used in this study. A conventional single-step self-etch adhesive, Clearfil Bond SE ONE [CS] (Kuraray Noritake Dental) was also included as a control. Shear bond strengths (SBS) and shear fatigue strength (SFS) to human dentin were obtained in the total-etch mode and self-etch modes. For each test condition, 15 specimens were prepared for the SBS and 30 specimens for SFS. SEM was used to examine representative de-bonded specimens, treated dentin surfaces and the resin/dentin interface for each test condition. Among the universal adhesives, PE in total-etch mode showed significantly higher SBS and SFS values than in self-etch mode. SU and AU did not show any significant difference in SBS and SFS between the total-etch mode and self-etch mode. However, the single-step self-etch adhesive CS showed significantly lower SBS and SFS values in the etch-and-rinse mode when compared to the self-etch mode. Examining the ratio of SFS/SBS, for PE and AU, the etch-and-rinse mode groups showed higher ratios than the self-etch mode groups. The influence of different etching modes on dentin bond quality of universal adhesives was dependent on the adhesive material. However, for the universal adhesives, using the total-etch mode did not have a negative impact on dentin bond quality. Copyright © 2015 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  2. Shear bond strengths of self-etching adhesives to caries-affected dentin on the gingival wall.

    PubMed

    Koyuturk, Alp Erdin; Sengun, Abdulkadir; Ozer, Fusun; Sener, Yagmur; Gokalp, Alparslan

    2006-03-01

    The purpose of this study was to evaluate the bonding ability of five current self-etching adhesives to caries-affected dentin on the gingival wall. Seventy extracted human molars with approximal dentin caries were employed in this study. In order to obtain caries-affected dentin on the gingival wall, grinding was performed under running water. Following which, specimens mounted in acrylic blocks and composite resins of the bonding systems were bonded to dentin with plastic rings and then debonded by shear bond strength. With Clearfil SE Bond, bonding to caries-affected dentin showed the highest bond strength. With Optibond Solo Plus Self-Etch, bonding to caries-affected dentin showed higher shear bond strength than AQ Bond, Tyrian SPE & One-Step Plus, and Prompt-L-Pop (p<0.05). Further, the bond strengths of Clearfil SE Bond and Optibond Solo Plus Self-Etch to sound dentin were higher than those of Prompt-L-Pop, AQ Bond, and Tyrian SPE & One-Step Plus (p<0.05). In conclusion, besides micromechanical interlocking through hybrid layer formation, bond strength of self-etch adhesives to dentin may be increased from additional chemical interaction between the functional monomer and residual hydroxyapatite. The results of this study confirmed that differences in bond strength among self-etching adhesives to both caries-affected and sound dentin were due to chemical composition rather than acidity.

  3. Method of sputter etching a surface

    DOEpatents

    Henager, Jr., Charles H.

    1984-01-01

    The surface of a target is textured by co-sputter etching the target surface with a seed material adjacent thereto, while the target surface is maintained at a pre-selected temperature. By pre-selecting the temperature of the surface while sputter etching, it is possible to predetermine the reflectance properties of the etched surface. The surface may be textured to absorb sunlight efficiently and have minimal emittance in the infrared region so as to be well-suited for use as a solar absorber for photothermal energy conversion.

  4. Selforganized Structure Formation in Organized Microstructuring by Laser-Jet Etching

    NASA Astrophysics Data System (ADS)

    Rabbow, T. J.; Plath, P. J.; Mora, A.; Haase, M.

    Laser-jet induced wet etching of stainless steel in 5M H3PO4 has been investigated. By this method, it is possible to cut and microstructure metals and alloys that form passive layers in strong etchants. Due to the laser heating of the metal and the adjacent layers of the etchant, the passive layer is removed and an active dissolution of the base metal together with the formation of hydrogen is observed. The reactions are limited by the transport of fresh acid and the removal of dissolved metal. A jet of etchant reduces the transport limitations. For definite ranges of the laser power, the feed velocity and the etchant jet velocity, a regime of periodic structure formation of the kerf, often called ripples, has been found. The ripple length depends on all three parameters. The ripple formation can be brought into correlation with a periodic change of the intensity of the reflected light as well as oscillations of the potential workpiece. It could be shown that the periodic structure formation is connected to a spreading of an etching front from the laser activated area, that temporarily moves ahead to the laser. This leads to modulations of the interface for the laser absorption, which results, for example, in oscillations of the intensity of the reflected light. This means the laser induced etching reaction attracts a feedback based on the conditions of absorption for the laser. For those parameters of feed velocity, laser power and etchant jet velocity, without ripple formation the laser induced etching front is of a constant distance to the laser which results in steady conditions at the interface for the absorption of the laser.

  5. Effect of carbonated water manufactured by a soda carbonator on etched or sealed enamel

    PubMed Central

    Ryu, Hyo-kyung; Kim, Yong-do; Heo, Sung-su

    2018-01-01

    Objective The purpose of this study was to determine the effects of carbonated water on etched or sealed enamel according to the carbonation level and the presence of calcium ions. Methods Carbonated water with different carbonation levels was manufactured by a soda carbonator. Seventy-five premolar teeth were randomly divided into a control group and 4 experimental groups in accordance with the carbonation level and the presence of calcium ions in the test solutions. After specimen preparation of the Unexposed, Etched, and Sealed enamel subgroups, all the specimens were submerged in each test solution for 15 minutes three times a day during 7 days. Microhardness tests on the Unexposed and Etched enamel subgroups were performed with 10 specimens from each group. Scanning electron microscopy (SEM) tests on the Unexposed, Etched, and Sealed enamel subgroups were performed with 5 specimens from each group. Microhardness changes in different groups were statistically compared using paired t-tests, the Wilcoxon signed rank test, and the Kruskal-Wallis test. Results The microhardness changes were significantly different between the groups (p = 0.000). The microhardness changes in all experimental groups except Group 3 (low-level carbonated water with calcium ions) were significantly greater than those in the Control group. SEM showed that etched areas of the specimen were affected by carbonated water and the magnitude of destruction varied between groups. Adhesive material was partially removed in groups exposed to carbonated water. Conclusions Carbonated water has negative effects on etched or sealed enamel, resulting in decreased microhardness and removal of the adhesive material. PMID:29291188

  6. Distribution and Origin of Amino Acids in Lunar Regolith Samples

    NASA Technical Reports Server (NTRS)

    Elsila, J. E.; Callahan, M. P.; Glavin, D. P.; Dworkin, J. P.; McLain, H. L.; Noble, S. K.; Gibson, E. K., Jr.

    2015-01-01

    The existence of organic compounds on the lunar surface has been a question of interest from the Apollo era to the present. Investigations of amino acids immediately after collection of lunar samples yielded inconclusive identifications, in part due to analytical limitations including insensitivity to certain compounds, an inability to separate enantiomers, and lack of compound-specific isotopic measurements. It was not possible to determine if the detected amino acids were indigenous to the lunar samples or the result of terrestrial contamination. Recently, we presented initial data from the analysis of amino acid abundances in 12 lunar regolith samples and discussed those results in the context of four potential amino acid sources [5]. Here, we expand on our previous work, focusing on amino acid abundances and distributions in seven regolith samples and presenting the first compound-specific carbon isotopic ratios measured for amino acids in a lunar sample.

  7. Radicals are required for thiol etching of gold particles

    PubMed Central

    Dreier, Timothy A.

    2016-01-01

    Etching of gold with excess thiol ligand is used in both synthesis and analysis of gold particles. Mechanistically, the process of etching gold with excess thiol is opaque. Previous studies have obliquely considered the role of oxygen in thiolate etching of gold. Herein, we show that oxygen or a radical initator is a necessary component for efficient etching of gold by thiolates. Attenuation of the etching process by radical scavengers in the presence of oxygen, and the restoration of activity by radical initiators under inert atmosphere, strongly implicate the oxygen radical. These data led us to propose an atomistic mechanism in which the oxygen radical initiates the etching process. PMID:26089294

  8. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    PubMed

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  9. Silicon Carbide Etching Using Chlorine Trifluoride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Oda, Satoko; Fukai, Yasushi; Fukae, Katsuya; Takeuchi, Takashi; Aihara, Masahiko

    2005-03-01

    The etch rate, chemical reactions and etched surface of β-silicon carbide are studied in detail using chlorine trifluoride gas. The etch rate is greater than 10 μm min-1 at 723 K with a flow rate of 0.1 \\ell min-1 at atmospheric pressure in a horizontal reactor. The maximum etch rate at a substrate temperature of 773 K is 40 μm min-1 with a flow rate of 0.25 \\ell min-1. The step-like pattern that initially exists on the β-silicon carbide surface tends to be smoothed; the root-mean-square surface roughness decreases from its initial value of 5 μm to 1 μm within 15 min; this minimum value is maintained for more than 15 min. Therefore, chlorine trifluoride gas is considered to have a large etch rate for β-silicon carbide associated with making a rough surface smooth.

  10. Anisotropic etching of silicon in solutions containing tensioactive compounds

    NASA Astrophysics Data System (ADS)

    Zubel, Irena

    2016-12-01

    The results of investigations concerning anisotropic etching in 3M KOH and 25% TMAH solutions modified by tensioactive compounds such as alcohols, diols and a typical surfactant Triton X100 have been compared. Etching anisotropy was assessed on the basis of etch rates ratio V(110)/V(100). It was stated that the relation between surface tension of the solutions and etch rates of particular planes depend not only on the kind of surfactant but also on the kind of etching solution (KOH, TMAH). It points out an important role of TMA+ ions in the etching process, probably in the process of forming an adsorption layer, consisting of the molecules of tensioactive compounds on Si surface, which decides about etch rate. We have observed that this phenomenon occurs only at high concentration of TMA+ ions (25% TMAH). Reduction of TMAH concentration changes the properties of surfactant containing TMAH solutions. From all investigated solutions, the solutions that assured developing of (110) plane inclined at the angle of 45° to (100) substrate were selected. Such planes can be used as micromirrors in MOEMS structures. The solutions provide the etch rate ratio V(110)/V(100)<0.7, thus they were selected from hydroxide solutions containing surfactants. A simple way for etch rate anisotropy V(110)/V(100) assessment based on microscopic images etched structures has been proposed.

  11. Method of sputter etching a surface

    DOEpatents

    Henager, C.H. Jr.

    1984-02-14

    The surface of a target is textured by co-sputter etching the target surface with a seed material adjacent thereto, while the target surface is maintained at a pre-selected temperature. By pre-selecting the temperature of the surface while sputter etching, it is possible to predetermine the reflectance properties of the etched surface. The surface may be textured to absorb sunlight efficiently and have minimal emittance in the infrared region so as to be well-suited for use as a solar absorber for photothermal energy conversion. 4 figs.

  12. Dry etching method for compound semiconductors

    DOEpatents

    Shul, Randy J.; Constantine, Christopher

    1997-01-01

    A dry etching method. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators.

  13. Dry etching method for compound semiconductors

    DOEpatents

    Shul, R.J.; Constantine, C.

    1997-04-29

    A dry etching method is disclosed. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators. 1 fig.

  14. Electroless epitaxial etching for semiconductor applications

    DOEpatents

    McCarthy, Anthony M.

    2002-01-01

    A method for fabricating thin-film single-crystal silicon on insulator substrates using electroless etching for achieving efficient etch stopping on epitaxial silicon substrates. Microelectric circuits and devices are prepared on epitaxial silicon wafers in a standard fabrication facility. The wafers are bonded to a holding substrate. The silicon bulk is removed using electroless etching leaving the circuit contained within the epitaxial layer remaining on the holding substrate. A photolithographic operation is then performed to define streets and wire bond pad areas for electrical access to the circuit.

  15. The chemistry screening for ultra low-k dielectrics plasma etching

    NASA Astrophysics Data System (ADS)

    Zotovich, A.; Krishtab, M.; Lazzarino, F.; Baklanov, M. R.

    2014-12-01

    Nowadays, some of the important problems in microelectronics technological node scaling down are related to interconnect delay, dynamic power consumption and crosstalk. This compels introduction and integration of new materials with low dielectric permittivity (low-k materials) as insulator in interconnects. One of such materials under consideration for sub 10 nm technology node is a spin-coated organosilicate glass layer with ordered porosity (37-40%) and a k-value of 2.2 (OSG 2.2). High porosity leads to significant challenges during the integration and one of them is a material degradation during the plasma etching. The low-k samples have been etched in a CCP double frequency plasma chamber from TEL. Standard recipes developed for microporous materials with k<2.5 and based on mixture of C4F8 and CF4 with N2, O2 and Ar were found significantly damaging for high-porous ULK materials. The standard etch recipe was compared with oxygen free etch chemistries based on mixture CF4 with CH2F2 and Ar assuming that the presence of oxygen in the first recipe will have significant negative impact in high porous ULK materials. The film damage has been analyzed using FTIR spectroscopy and the k-value has been extracted by capacitance CV-measurements. There was indirectly shown that vacuum ultraviolet photons cause the main damage of low-k, whereas radicals and ions are not so harmful. Trench structures have been etched in low-k film and cross-SEM analysis with and without HF dipping has been performed to reveal patterning capability and visualize the sidewall damage and. The bottom roughness was analyzed by AFM.

  16. CR-39 track etching and blow-up method

    DOEpatents

    Hankins, Dale E.

    1987-01-01

    This invention is a method of etching tracks in CR-39 foil to obtain uniformly sized tracks. The invention comprises a step of electrochemically etching the foil at a low frequency and a "blow-up" step of electrochemically etching the foil at a high frequency.

  17. Spectral artefacts post sputter-etching and how to cope with them - A case study of XPS on nitride-based coatings using monoatomic and cluster ion beams

    NASA Astrophysics Data System (ADS)

    Lewin, Erik; Counsell, Jonathan; Patscheider, Jörg

    2018-06-01

    The issue of artefacts due to sputter-etching has been investigated for a group of AlN-based thin film materials with varying thermodynamical stability. Stability of the materials was controlled by alloying AlN with the group 14 elements Si, Ge or Sn in two different concentrations. The coatings were sputter-etched with monoatomic Ar+ with energies between 0.2 and 4.0 keV to study the sensitivity of the materials for sputter damage. The use of Arn+ clusters to remove an oxidised surface layer was also evaluated for a selected sample. The spectra were compared to pristine spectra obtained after in-vacuo sample transfer from the synthesis chamber to the analysis instrument. It was found that the all samples were affected by high energy (4 keV) Ar+ ions to varying degrees. The determining factors for the amount of observed damage were found to be the materials' enthalpy of formation, where a threshold value seems to exist at approximately -1.25 eV/atom (∼-120 kJ/mol atoms). For each sample, the observed amount of damage was found to have a linear dependence to the energy deposited by the ion beam per volume removed material. Despite the occurrence of sputter-damage in all samples, etching settings that result in almost artefact-free spectral data were found; using either very low energy (i.e. 200 eV) monoatomic ions, or an appropriate combination of ion cluster size and energy. The present study underlines that analysis post sputter-etching must be carried out with an awareness of possible sputter-induced artefacts.

  18. Controlled core removal from a D-shaped optical fiber.

    PubMed

    Markos, Douglas J; Ipson, Benjamin L; Smith, Kevin H; Schultz, Stephen M; Selfridge, Richard H; Monte, Thomas D; Dyott, Richard B; Miller, Gregory

    2003-12-20

    The partial removal of a section of the core from a continuous D-shaped optical fiber is presented. In the core removal process, selective chemical etching is used with hydrofluoric (HF) acid. A 25% HF acid solution removes the cladding material above the core, and a 5% HF acid solution removes the core. A red laser with a wavelength of 670 nm is transmitted through the optical fiber during the etching. The power transmitted through the optical fiber is correlated to the etch depth by scanning electron microscope imaging. The developed process provides a repeatable method to produce an optical fiber with a specific etch depth.

  19. Interface and transport properties of metallization contacts to flat and wet-etching roughed N-polar n-type GaN.

    PubMed

    Wang, Liancheng; Liu, Zhiqiang; Guo, Enqing; Yang, Hua; Yi, Xiaoyan; Wang, Guohong

    2013-06-26

    The electrical characteristics of metallization contacts to flat (F-sample, without wet-etching roughed) and wet-etching roughed (R-sample) N-polar (Nitrogen-polar) n-GaN have been investigated. R-sample shows higher contact resistance (Rc) to Al/Ti/Au (~2.5 × 10(-5) Ω·cm(2)) and higher Schottky barriers height (SBH, ~0.386 eV) to Ni/Au, compared with that of F-sample (~1.3 × 10(-6) Ω·cm(2), ~0.154 eV). Reasons accounting for this discrepancy has been detail investigated and discussed: for R-sample, wet-etching process caused surface state and spontaneous polarization variation will degraded its electrical characteristics. Metal on R-sample shows smoother morphology, however, the effect of metal deposition state on electrical characteristics is negligible. Metallization contact area for both samples has also been further considered. Electrical characteristics of metallization contact to both samples show degradation upon annealing. The VLED chip (1 mm × 1 mm), which was fabricated on the basis of a hybrid scheme, coupling the advantage of F- and R-sample, shows the lowest forward voltage (2.75 V@350 mA) and the highest light output power.

  20. Study on Dicarboxylic Acids in Aerosol Samples with Capillary Electrophoresis

    PubMed Central

    Adler, Heidi; Sirén, Heli

    2014-01-01

    The research was performed to study the simultaneous detection of a homologous series of α, ω-dicarboxylic acids (C2–C10), oxalic, malonic, succinic, glutaric, adipic, pimelic, suberic, azelaic, and sebacic acids, with capillary electrophoresis using indirect UV detection. Good separation efficiency in 2,6-pyridinedicarboxylic acid as background electrolyte modified with myristyl trimethyl ammonium bromide was obtained. The dicarboxylic acids were ionised and separated within five minutes. For the study, authentic samples were collected onto dry cellulose membrane filters of a cascade impactor (12 stages) from outdoor spring aerosols in an urban area. Hot water and ultrasonication extraction methods were used to isolate the acids from membrane filters. Due to the low concentrations of acids in the aerosols, the extracts were concentrated with solid-phase extraction (SPE) before determination. The enrichment of the carboxylic acids was between 86 and 134% with sample pretreatment followed by 100-time increase by preparation of the sample to 50 μL. Inaccuracy was optimised for all the sample processing steps. The aerosols contained dicarboxylic acids C2–C10. Then, mostly they contained C2, C5, and C10. Only one sample contained succinic acid. In the study, the concentrations of the acids in aerosols were lower than 10 ng/m3. PMID:24729915

  1. Characterization of rock samples and mineralogical controls on leachates

    USGS Publications Warehouse

    Hammarstrom, Jane M.; Cravotta, Charles A.; Galeone, Daniel G.; Jackson, John C.; Dulong, Frank T.; Hornberger, Roger J.; Brady, Keith B.C.

    2009-01-01

    Rocks associated with coal beds typically include shale, sandstone, and (or) limestone. In addition to common rock-forming minerals, all of these rock types may contain sulfide and sulfate minerals, various carbonate minerals, and organic material. These different minerals have inherently different solubility characteristics, as well as different acid-generating or acid-neutralizing potentials. The abundance and composition of sulfur- and carbonate-bearing minerals are of particular interest in interpreting the leaching column data because (1) pyrite and carbonate minerals are the primary controls on the acid-base account of a sample, (2) these minerals incorporate trace metals that can be released during weathering, and (3) these minerals readily react during weathering due to mineral dissolution and oxidation of iron.Rock samples were collected by the Pennsylvania Department of Environmental Protection (PaDEP) from five different sites to assess the draft standardized leaching column method (ADTI-WP2) for the prediction of weathering rates and water quality at coal mines. Samples were sent to USGS laboratories for mineralogical characterization and to ActLabs for chemical analysis. The samples represent a variety of rock types (shales, sandstones, and coal refuse) that are typical of coal overburden in the eastern United States. These particular samples were chosen for testing the weathering protocols because they represent a range of geochemical and lithologic characteristics, sulfur contents, and acid-base accounting characteristics (Hornberger et al., 2003). The rocks contain variable amounts of pyrite and carbonate minerals and vary in texture.This chapter includes bulk rock chemical data and detailed mineralogical and textural data for unweathered starting materials used in the interlaboratory validation study, and for two samples used in the early phases of leaching column tests (Wadesville Sandstone, Leechburg Coal Refuse). We also characterize some of the

  2. Barium-strontium-titanate etching characteristics in chlorinated discharges

    NASA Astrophysics Data System (ADS)

    Stafford, Luc; Margot, Joëlle; Langlois, Olivier; Chaker, Mohamed

    2003-07-01

    The etching characteristics of barium-strontium-titanate (BST) were investigated using a high-density plasma sustained by surface waves at 190 MHz in Ar/Cl2 gas mixtures. The etch rate was examined as a function of both the total gas pressure and the Cl2 fraction in Ar/Cl2 using a wafer temperature of 10 °C. The results were correlated to positive ion density and plasma composition obtained from Langmuir probes and mass spectrometry. The BST etch rate was found to increase linearly with the positive ion density and to decrease with increasing chlorine atom concentration. This result indicates that for the temperature conditions used, the interaction between chlorine and BST yields compounds having a volatility that is lower than the original material. As a consequence, the contribution of neutral atomic Cl atoms to the etch mechanism is detrimental, thereby reducing the etch rate. As the wafer temperature increases, the role of chemistry in the etching process is enhanced.

  3. Fiber post etching with hydrogen peroxide: effect of concentration and application time.

    PubMed

    de Sousa Menezes, Murilo; Queiroz, Ellyne Cavalcanti; Soares, Paulo Vinícius; Faria-e-Silva, André Luis; Soares, Carlos José; Martins, Luis Roberto Marcondes

    2011-03-01

    Etching is necessary to expose the fibers and enable both mechanical and chemical bonding of the resin core to the fiber post. This study evaluated the effect of concentration and application time of hydrogen peroxide on the surface topography and bond strength of glass fiber posts to resin cores. Fiber posts were etched with 24% or 50% hydrogen peroxide for 1, 5, or 10 min (n = 10). Posts without any treatment were used as a control. After etching, the posts were silanated and adhesive resin was applied. The posts were positioned into a mold to allow a self-cured resin core to be inserted. The post/resin assembly was serially sectioned into five beams that were subjected to a tensile bond strength test. Data were subjected to two-way ANOVA and Tukey test (α = 0.05). The surface topography was analyzed using scanning electronic microscopy. Non-etched post presents a relatively smooth surface without fiber exposure. Application of hydrogen peroxide increased the surface roughness and exposed the fibers. All experimental conditions yielded similar bond strength values that were higher than those obtained in the control group. Both 24% and 50% hydrogen peroxide exposure increased the bond strength of resin to the posts, irrespective of the application time. Copyright © 2011 American Association of Endodontists. Published by Elsevier Inc. All rights reserved.

  4. Effect of EDTA Conditioning and Carbodiimide Pretreatment on the Bonding Performance of All-in-One Self-Etch Adhesives

    PubMed Central

    Singh, Shipra; Nagpal, Rajni; Tyagi, Shashi Prabha; Manuja, Naveen

    2015-01-01

    Objective. This study evaluated the effect of ethylenediaminetetraacetic acid (EDTA) conditioning and carbodiimide (EDC) pretreatment on the shear bond strength of two all-in-one self-etch adhesives to dentin. Methods. Flat coronal dentin surfaces were prepared on one hundred and sixty extracted human molars. Teeth were randomly divided into eight groups according to two different self-etch adhesives used [G-Bond and OptiBond-All-In-One] and four different surface pretreatments: (a) adhesive applied following manufacturer's instructions; (b) dentin conditioning with 24% EDTA gel prior to application of adhesive; (c) EDC pretreatment followed by application of adhesive; (d) application of EDC on EDTA conditioned dentin surface followed by application of adhesive. Composite restorations were placed in all the samples. Ten samples from each group were subjected to immediate and delayed (6-month storage in artificial saliva) shear bond strength evaluation. Data collected was subjected to statistical analysis using three-way ANOVA and post hoc Tukey's test at a significance level of p < 0.05.  Results and Conclusion. EDTA preconditioning as well as EDC pretreatment alone had no significant effect on the immediate and delayed bond strengths of either of the adhesives. However, EDC pretreatment on EDTA conditioned dentin surface resulted in preservation of resin-dentin bond strength of both adhesives with no significant fall over six months. PMID:26557850

  5. Chemical etching of nitinol stents.

    PubMed

    Katona, Bálint; Bognár, Eszter; Berta, Balázs; Nagy, Péter; Hirschberg, Kristóf

    2013-01-01

    At present the main cause of death originates from cardiovascular diseases. Primarily the most frequent cause is vessel closing thus resulting in tissue damage. The stent can help to avoid this. It expands the narrowed vessel section and allows free blood flow. The good surface quality of stents is important. It also must have adequate mechanical characteristics or else it can be damaged which can easily lead to the fracture of the implant. Thus, we have to consider the importance of the surface treatment of these implants. In our experiments the appropriate design was cut from a 1.041 mm inner diameter and 0.100 mm wall thickness nitinol tube by using Nd:YAG laser device. Then, the stent was subjected to chemical etching. By doing so, the burr created during the laser cutting process can be removed and the surface quality refined. In our research, we changed the time of chemical etching and monitored the effects of this parameter. The differently etched stents were subjected to microscopic analysis, mass measurement and in vivo environment tests. The etching times that gave suitable surface and mechanical features were identified.

  6. High-aspect ratio micro- and nanostructures enabled by photo-electrochemical etching for sensing and energy harvesting applications

    NASA Astrophysics Data System (ADS)

    Alhalaili, Badriyah; Dryden, Daniel M.; Vidu, Ruxandra; Ghandiparsi, Soroush; Cansizoglu, Hilal; Gao, Yang; Saif Islam, M.

    2018-03-01

    Photo-electrochemical (PEC) etching can produce high-aspect ratio features, such as pillars and holes, with high anisotropy and selectivity, while avoiding the surface and sidewall damage caused by traditional deep reactive ion etching (DRIE) or inductively coupled plasma (ICP) RIE. Plasma-based techniques lead to the formation of dangling bonds, surface traps, carrier leakage paths, and recombination centers. In pursuit of effective PEC etching, we demonstrate an optical system using long wavelength (λ = 975 nm) infra-red (IR) illumination from a high-power laser (1-10 W) to control the PEC etching process in n-type silicon. The silicon wafer surface was patterned with notches through a lithography process and KOH etching. Then, PEC etching was introduced by illuminating the backside of the silicon wafer to enhance depth, resulting in high-aspect ratio structures. The effect of the PEC etching process was optimized by varying light intensities and electrolyte concentrations. This work was focused on determining and optimizing this PEC etching technique on silicon, with the goal of expanding the method to a variety of materials including GaN and SiC that are used in designing optoelectronic and electronic devices, sensors and energy harvesting devices.

  7. Radicals Are Required for Thiol Etching of Gold Particles.

    PubMed

    Dreier, Timothy A; Ackerson, Christopher J

    2015-08-03

    Etching of gold with an excess of thiol ligand is used in both synthesis and analysis of gold particles. Mechanistically, the process of etching gold with excess thiol is unclear. Previous studies have obliquely considered the role of oxygen in thiolate etching of gold. Herein, we show that oxygen or a radical initiator is a necessary component for efficient etching of gold by thiolates. Attenuation of the etching process by radical scavengers in the presence of oxygen, and the restoration of activity by radical initiators under inert atmosphere, strongly implicate the oxygen radical. These data led us to propose an atomistic mechanism in which the oxygen radical initiates the etching process. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Reactive ion etching of indium-tin oxide films by CCl4-based Inductivity Coupled Plasma

    NASA Astrophysics Data System (ADS)

    Juneja, Sucheta; Poletayev, Sergey D.; Fomchenkov, Sergey; Khonina, Svetlana N.; Skidanov, Roman V.; Kazanskiy, Nikolay L.

    2016-08-01

    Indium tin oxide (ITO) films have been a subject of extensive studies in fabrication of micro-electronic devices for opto-electronic applications ranging from anti-reflection coatings to transparent contacts in photovoltaic devices. In this paper, a new and effective way of reactive ion etching of a conducting indium-tin oxide (ITO) film with Carbon tetrachloride (CCl4) has been investigated. CCl4 plasma containing an addition of gases mixture of dissociated argon and oxygen were used. Oxygen is added to increase the etchant percentage whereas argon was used for stabilization of plasma. The etching characteristics obtained with these gaseous mixtures were explained based on plasma etch chemistry and etching regime of ITO films. An etch rate as high as ∼20 nm/min can be achieved with a controlled process parameter such as power density, total flow rate, composition of reactive gases gas and pressure. Our Investigation represents some of the extensive work in this area.

  9. Hydroxyapatite Effect on Photopolymerization of Self-etching Adhesives with Different Aggressiveness

    PubMed Central

    Zhang, Ying; Wang, Yong

    2012-01-01

    Objective To understand the correlation of the acidic monomer/hydroxyapatite (HAp) reaction with the photopolymerization behavior of self-etching adhesives with different aggressiveness. Methods Two commercial self-etching adhesives the strong Adper Prompt L-Pop (APLP, pH~0.8) and the mild Adper Easy Bond (AEB, pH~2.5) were used. HAp powders were incorporated into both adhesives to acquire solutions with concentrations of 0, 1, 3, 5, 7 wt%. The attenuated total reflectance Fourier transform infrared (ATR/FT-IR) technique was employed to collect the in-situ spectra during light-curing, from which the degree of conversion (DC) and polymerization rate (PR) were calculated. The pH of each tested solution was also measured. Results Without HAp incorporation, the DC and PR of the strong APLP (7.8% and 0.12%/s, respectively) were much lower than those of the mild AEB (85.5% and 5.7%/s, respectively). The DC and PR of APLP displayed an apparent increasing trend with the HAp content. For example, the DC increased from 7.8% to 58.4% and the PR increased from 0.12 to 3.8%/s when the HAp content increased from 0 to 7 wt%. In contrast, the DC and PR of AEB were much less affected by the HAp content. The observations were correlated well with the spectral and pH changes, which indicated that APLP underwent a higher extent of chemical reaction with HAp than AEB. Conclusions The results disclosed the important role of the acidic monomer/HAp chemical reaction in improving the photopolymerization of the strong (low-pH) self-etching adhesives such as APLP. The phenomenon of polymerization improvement strongly depended on the adhesive aggressiveness. PMID:22445789

  10. 3D memory: etch is the new litho

    NASA Astrophysics Data System (ADS)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  11. Characteristics of n-GaN after ICP etching

    NASA Astrophysics Data System (ADS)

    Han, Yanjun; Xue, Song; Guo, Wenping; Hao, Zhi-Biao; Sun, Changzheng; Luo, Yi

    2002-09-01

    In this work, a systematic study on the plasma-induced damage on n-type GaN by inductively coupled plasma (ICP) etching is presented. After n-contact metal formation and annealing, electrical property is evaluated by the I-V characteristics. Room temperature photoluminescence (PL) measurement of etched GaN surfaces is performed to investigate the etching damage on the optical properties of n-type GaN. Investigation of the effect of additive gas RF chuck power on these characteristics has also been carried out. The better etching conditions have been obtained based on these results.

  12. Note: Circuit design for direct current and alternating current electrochemical etching of scanning probe microscopy tips.

    PubMed

    Jobbins, Matthew M; Raigoza, Annette F; Kandel, S Alex

    2012-03-01

    We present control circuits designed for electrochemically etching, reproducibly sharp STM probes. The design uses an Arduino UNO microcontroller to allow for both ac and dc operation, as well as a comparator driven shut-off that allows for etching to be stopped in 0.5-1 μs. The Arduino allows the instrument to be customized to suit a wide variety of potential applications without significant changes to hardware. Data is presented for coarse chemical etching of 80:20 platinum-iridium, tungsten, and nickel tips.

  13. Porous siliconformation and etching process for use in silicon micromachining

    DOEpatents

    Guilinger, Terry R.; Kelly, Michael J.; Martin, Jr., Samuel B.; Stevenson, Joel O.; Tsao, Sylvia S.

    1991-01-01

    A reproducible process for uniformly etching silicon from a series of micromechanical structures used in electrical devices and the like includes providing a micromechanical structure having a silicon layer with defined areas for removal thereon and an electrochemical cell containing an aqueous hydrofluoric acid electrolyte. The micromechanical structure is submerged in the electrochemical cell and the defined areas of the silicon layer thereon are anodically biased by passing a current through the electrochemical cell for a time period sufficient to cause the defined areas of the silicon layer to become porous. The formation of the depth of the porous silicon is regulated by controlling the amount of current passing through the electrochemical cell. The micromechanical structure is then removed from the electrochemical cell and submerged in a hydroxide solution to remove the porous silicon. The process is subsequently repeated for each of the series of micromechanical structures to achieve a reproducibility better than 0.3%.

  14. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure

    PubMed Central

    Liu, Y.; Bai, X.; Liu, Y.W.; Wang, Y.

    2015-01-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. PMID:26635279

  15. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure.

    PubMed

    Liu, Y; Bai, X; Liu, Y W; Wang, Y

    2016-03-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. © International & American Associations for Dental Research 2015.

  16. Study on the performance of 2.6 μm In0.83Ga0.17As detector with different etch gases

    NASA Astrophysics Data System (ADS)

    Li, Ping; Tang, Hengjing; Li, Tao; Li, Xue; Shao, Xiumei; Ma, Yingjie; Gong, Haimei

    2017-09-01

    In order to obtain a low-damage recipe in the ICP processing, ICP-induced damage using Cl2/CH4 etch gases in extended wavelength In0.83Ga0.17As detector materials was studied in this paper. The effect of ICP etching on In0.83Ga0.17As samples was characterized qualitatively by the photoluminescence (PL) technology. The etch damage of In0.83Ga0.17As samples was characterized quantitatively by the Transmission Line Model (TLM), current voltage (IV) measurement, signal and noise testing and the Fourier Transform Infrared Spectroscopy (FTIR) technologies. The results showed that the Cl2/CH4 etching processing could lead better detector performance than that Cl2/N2, such as a larger square resistance, a lower dark current, a lower noise voltage and a higher peak detectivity. The lower PL signal intensity and lower dark current could be attributed to the hydrogen decomposed by the CH4 etch gases in the plasma etching process. These hydrogen particles generated non-radiative recombination centers in inner materials to weaken the PL intensity and passivated dangling bond at the surface to reduce the dark current. The larger square resistance resulted from the lower etch damage. The lower dark current meant that the detectors have less dangling bonds and leakage channels.

  17. The influence of H{sub 2}O{sub 2} concentration to the structure of silicon nanowire growth by metal-assisted chemical etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Omar, Hafsa, E-mail: mrshafsaomar@gmail.com; Jani, Abdul Mutalib Md., E-mail: abdmutalib@perlis.uitm.edu.my; Abdullah, Saifollah, E-mail: saifollah@salam.utm.edu.my

    2016-07-06

    A simple and low cost method to produce well aligned silicon nanowires at large areas using Ag-assisted chemical etching at room temperature were presented. The structure of silicon nanowires growth by metal-assisted chemical etching was observed. Prior to the etching, the silicon nanowires were prepared by electroless metal deposited (EMD) in solution containing hydrofluoric acid and hydrogen peroxide in Teflon vessel. The silver particle was deposited on substrate by immersion in hydrofluoric acid and silver nitrate solution for sixty second. The silicon nanowires were growth in different hydrogen peroxide concentration which are 0.3M, 0.4M, 0.5M and 0.6M and 0.7M.The influencemore » of hydrogen peroxide concentration to the formation of silicon nanowires was studied. The morphological properties of silicon nanowires were investigated using field emission scanning electron microscopy (FESEM) and Energy Dispersive X-Ray Spectroscopy (EDS).« less

  18. Mechanism for Plasma Etching of Shallow Trench Isolation Features in an Inductively Coupled Plasma

    NASA Astrophysics Data System (ADS)

    Agarwal, Ankur; Rauf, Shahid; He, Jim; Choi, Jinhan; Collins, Ken

    2011-10-01

    Plasma etching for microelectronics fabrication is facing extreme challenges as processes are developed for advanced technological nodes. As device sizes shrink, control of shallow trench isolation (STI) features become more important in both logic and memory devices. Halogen-based inductively coupled plasmas in a pressure range of 20-60 mTorr are typically used to etch STI features. The need for improved performance and shorter development cycles are placing greater emphasis on understanding the underlying mechanisms to meet process specifications. In this work, a surface mechanism for STI etch process will be discussed that couples a fundamental plasma model to experimental etch process measurements. This model utilizes ion/neutral fluxes and energy distributions calculated using the Hybrid Plasma Equipment Model. Experiments are for blanket Si wafers in a Cl2/HBr/O2/N2 plasma over a range of pressures, bias powers, and flow rates of feedstock gases. We found that kinetic treatment of electron transport was critical to achieve good agreement with experiments. The calibrated plasma model is then coupled to a string-based feature scale model to quantify the effect of varying process parameters on the etch profile. We found that the operating parameters strongly influence critical dimensions but have only a subtle impact on the etch depths.

  19. Glass sample characterization

    NASA Technical Reports Server (NTRS)

    Ahmad, Anees

    1990-01-01

    The development of in-house integrated optical performance modelling capability at MSFC is described. This performance model will take into account the effects of structural and thermal distortions, as well as metrology errors in optical surfaces to predict the performance of large an complex optical systems, such as Advanced X-Ray Astrophysics Facility. The necessary hardware and software were identified to implement an integrated optical performance model. A number of design, development, and testing tasks were supported to identify the debonded mirror pad, and rebuilding of the Technology Mirror Assembly. Over 300 samples of Zerodur were prepared in different sizes and shapes for acid etching, coating, and polishing experiments to characterize the subsurface damage and stresses produced by the grinding and polishing operations.

  20. Semiconductor structure and recess formation etch technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching processmore » stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.« less

  1. Epoxy bond and stop etch fabrication method

    DOEpatents

    Simmons, Jerry A.; Weckwerth, Mark V.; Baca, Wes E.

    2000-01-01

    A class of epoxy bond and stop etch (EBASE) microelectronic fabrication techniques is disclosed. The essence of such techniques is to grow circuit components on top of a stop etch layer grown on a first substrate. The first substrate and a host substrate are then bonded together so that the circuit components are attached to the host substrate by the bonding agent. The first substrate is then removed, e.g., by a chemical or physical etching process to which the stop etch layer is resistant. EBASE fabrication methods allow access to regions of a device structure which are usually blocked by the presence of a substrate, and are of particular utility in the fabrication of ultrafast electronic and optoelectronic devices and circuits.

  2. Influence of Etching Protocol and Silane Treatment with a Universal Adhesive on Lithium Disilicate Bond Strength.

    PubMed

    Kalavacharla, V K; Lawson, N C; Ramp, L C; Burgess, J O

    2015-01-01

    To measure the effects of hydrofluoric acid (HF) etching and silane prior to the application of a universal adhesive on the bond strength between lithium disilicate and a resin. Sixty blocks of lithium disilicate (e.max CAD, Ivoclar Vivadent) were sectioned into coupons and polished. Specimens were divided into six groups (n=10) based on surface pretreatments, as follows: 1) no treatment (control); 2) 5% HF etch for 20 seconds (5HF); 3) 9.5% HF etch for 60 seconds (9.5HF); 4) silane with no HF (S); 5) 5% HF for 20 seconds + silane (5HFS); and 6) 9.5% HF for 60 seconds + silane (9.5HFS). All etching was followed by rinsing, and all silane was applied in one coat for 20 seconds and then dried. The universal adhesive (Scotchbond Universal, 3M ESPE) was applied onto the pretreated ceramic surface, air thinned, and light cured for 10 seconds. A 1.5-mm-diameter plastic tube filled with Z100 composite (3M ESPE) was applied over the bonded ceramic surface and light cured for 20 seconds on all four sides. The specimens were thermocycled for 10,000 cycles (5°C-50°C/15 s dwell time). Specimens were loaded until failure using a universal testing machine at a crosshead speed of 1 mm/min. The peak failure load was used to calculate the shear bond strength. Scanning electron microscopy images were taken of representative e.max specimens from each group. A two-way analysis of variance (ANOVA) determined that there were significant differences between HF etching, silane treatment, and the interaction between HF and silane treatment (p<0.01). Silane treatment provided higher shear bond strength regardless of the use or concentration of the HF etchant. Individual one-way ANOVA and Tukey post hoc analyses were performed for each silane group. Shear bond strength values for each etch time were significantly different (p<0.01) and could be divided into significantly different groups based on silane treatment: no silane treatment: 0 HF < 5% HF < 9.5% HF; and RelyX silane treatment: 0

  3. Dopant Selective Reactive Ion Etching of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Okojie, Robert (Inventor)

    2016-01-01

    A method for selectively etching a substrate is provided. In one embodiment, an epilayer is grown on top of the substrate. A resistive element may be defined and etched into the epilayer. On the other side of the substrate, the substrate is selectively etched up to the resistive element, leaving a suspended resistive element.

  4. Technology development for phosphoric acid fuel cell powerplant, phase 2

    NASA Technical Reports Server (NTRS)

    Christner, L.

    1979-01-01

    A technique for producing an acid inventory control member by spraying FEP onto a partially screened carbon paper backing is discussed. Theoretical analysis of the acid management indicates that the vapor composition of 103% H3PO4 is approximately 1.0 ppm P4O10. An SEM evaluation of corrosion resistance of phenolic resins and graphite/phenolic resin composites in H3PO4 at 185 C shows specific surface etching. Carbonization of graphite/phenolic bipolar plates is achieved without blistering.

  5. Track-Etched Magnetic Micropores for Immunomagnetic Isolation of Pathogens

    PubMed Central

    Muluneh, Melaku; Shang, Wu

    2014-01-01

    A microfluidic chip is developed to selectively isolate magnetically tagged cells from heterogeneous suspensions, the track-etched magnetic micropore (TEMPO) filter. The TEMPO consists of an ion track-etched polycarbonate membrane coated with soft magnetic film (Ni20Fe80). In the presence of an applied field, provided by a small external magnet, the filter becomes magnetized and strong magnetic traps are created along the edges of the micropores. In contrast to conventional microfluidics, fluid flows vertically through the porous membrane allowing large flow rates while keeping the capture rate high and the chip compact. By utilizing track-etching instead of conventional semiconductor fabrication, TEMPOs can be fabricated with microscale pores over large areas A > 1 cm2 at little cost (< 5 ¢ cm−2). To demonstrate the utility of this platform, a TEMPO with 5 μm pore size is used to selectively and rapidly isolate immunomagnetically targeted Escherichia coli from heterogeneous suspensions, demonstrating enrichment of ζ > 500 at a flow rate of Φ = 5 mL h−1. Furthermore, the large density of micropores (ρ = 106 cm−2) allows the TEMPO to sort E. coli from unprocessed environmental and clinical samples, as the blockage of a few pores does not significantly change the behavior of the device. PMID:24535921

  6. Comparative study of resist stabilization techniques for metal etch processing

    NASA Astrophysics Data System (ADS)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  7. Fabrication of luminescent porous silicon with stain etches and evidence that luminescence originates in amorphous layers

    NASA Technical Reports Server (NTRS)

    Fathauer, R. W.; George, T.; Ksendzov, A.; Lin, T. L.; Pike, W. T.; Vasquez, R. P.; Wu, Z.-C.

    1992-01-01

    Simple immersion of Si in stain etches of HF:HNO3:H2O or NaNO2 in aqueous HF was used to produce films exhibiting luminescence in the visible similar to that of anodically-etched porous Si. All of the luminescent samples consist of amorphous porous Si in at least the near surface region. No evidence was found for small crystalline regions within these amorphous layers.

  8. Surface modification with alumina blasting and H2SO4-HCl etching for bonding two resin-composite veneers to titanium.

    PubMed

    Taira, Yohsuke; Egoshi, Takafumi; Kamada, Kohji; Sawase, Takashi

    2014-02-01

    The purpose of this study was to investigate the effect of an experimental surface treatment with alumina blasting and acid etching on the bond strengths between each of two resin composites and commercially pure titanium. The titanium surface was blasted with alumina and then etched with 45wt% H2SO4 and 15wt% HCl (H2SO4-HCl). A light- and heat-curing resin composite (Estenia) and a light-curing resin composite (Ceramage) were used with adjunctive metal primers. Veneered specimens were subjected to thermal cycling between 4 and 60°C for 50,000 cycles, and the shear bond strengths were determined. The highest bond strengths were obtained for Blasting/H2SO4-HCl/Estenia (30.2 ± 4.5 MPa) and Blasting/Etching/Ceramage (26.0 ± 4.5 MPa), the values of which were not statistically different, followed by Blasting/No etching/Estenia (20.4 ± 2.4 MPa) and Blasting/No etching/Ceramage (0.8 ± 0.3 MPa). Scanning electron microscopy observations revealed that alumina blasting and H2SO4-HCl etching creates a number of micro- and nanoscale cavities on the titanium surface, which contribute to adhesive bonding. © 2013 Eur J Oral Sci.

  9. Multi-Step Deep Reactive Ion Etching Fabrication Process for Silicon-Based Terahertz Components

    NASA Technical Reports Server (NTRS)

    Reck, Theodore (Inventor); Perez, Jose Vicente Siles (Inventor); Lee, Choonsup (Inventor); Cooper, Ken B. (Inventor); Jung-Kubiak, Cecile (Inventor); Mehdi, Imran (Inventor); Chattopadhyay, Goutam (Inventor); Lin, Robert H. (Inventor); Peralta, Alejandro (Inventor)

    2016-01-01

    A multi-step silicon etching process has been developed to fabricate silicon-based terahertz (THz) waveguide components. This technique provides precise dimensional control across multiple etch depths with batch processing capabilities. Nonlinear and passive components such as mixers and multipliers waveguides, hybrids, OMTs and twists have been fabricated and integrated into a small silicon package. This fabrication technique enables a wafer-stacking architecture to provide ultra-compact multi-pixel receiver front-ends in the THz range.

  10. Research for amino acids in lunar samples.

    NASA Technical Reports Server (NTRS)

    Gehrke, C. W.; Zumwalt, R. W.; Kuo, K.; Rash, J. J.; Aue , W. A.; Stalling, D. L.; Kvenvolden, K. A.; Ponnamperuma, C.

    1972-01-01

    The study was primarily directed toward the examination of Apollo 14 lunar fines for indigenous amino acids or materials which could be converted to amino acids on hydrolysis with 6 N hydrochloric acid. Initial experiments were conducted to confirm the integrity of the derivatization reactions and reagents, and to optimize the gas-liquid chromatographic (GLC) instrumental and chromatographic system for the separation and flame ionization detection of the amino acid derivatives. In studies on the recovery of amino acids added to lunar fines, low recoveries were obtained when 10 ng of each amino acid were added to 50 mg of virgin fines, but the subsequent addition of 50 ng of each to the previously extracted sample resulted in much higher recoveries.

  11. Figuring of plano-elliptical neutron focusing mirror by local wet etching.

    PubMed

    Yamamura, Kazuya; Nagano, Mikinori; Takai, Hiroyuki; Zettsu, Nobuyuki; Yamazaki, Dai; Maruyama, Ryuji; Soyama, Kazuhiko; Shimada, Shoichi

    2009-04-13

    Local wet etching technique was proposed to fabricate high-performance aspherical mirrors. In this process, only the limited area facing to the small nozzle is removed by etching on objective surface. The desired objective shape is deterministically fabricated by performing the numerically controlled scanning of the nozzle head. Using the technique, a plano-elliptical mirror to focus the neutron beam was successfully fabricated with the figure accuracy of less than 0.5 microm and the focusing gain of 6. The strong and thin focused neutron beam is expected to be a useful tool for the analyses of various material properties.

  12. Wafer hotspot prevention using etch aware OPC correction

    NASA Astrophysics Data System (ADS)

    Hamouda, Ayman; Power, Dave; Salama, Mohamed; Chen, Ao

    2016-03-01

    As technology development advances into deep-sub-wavelength nodes, multiple patterning is becoming more essential to achieve the technology shrink requirements. Recently, Optical Proximity Correction (OPC) technology has proposed simultaneous correction of multiple mask-patterns to enable multiple patterning awareness during OPC correction. This is essential to prevent inter-layer hot-spots during the final pattern transfer. In state-of-art literature, multi-layer awareness is achieved using simultaneous resist-contour simulations to predict and correct for hot-spots during mask generation. However, this approach assumes a uniform etch shrink response for all patterns independent of their proximity, which isn't sufficient for the full prevention of inter-exposure hot-spot, for example different color space violations post etch or via coverage/enclosure post etch. In this paper, we explain the need to include the etch component during multiple patterning OPC. We also introduce a novel approach for Etch-aware simultaneous Multiple-patterning OPC, where we calibrate and verify a lumped model that includes the combined resist and etch responses. Adding this extra simulation condition during OPC is suitable for full chip processing from a computation intensity point of view. Also, using this model during OPC to predict and correct inter-exposures hot-spots is similar to previously proposed multiple-patterning OPC, yet our proposed approach more accurately corrects post-etch defects too.

  13. Quadrilateral Micro-Hole Array Machining on Invar Thin Film: Wet Etching and Electrochemical Fusion Machining

    PubMed Central

    Choi, Woong-Kirl; Kim, Seong-Hyun; Choi, Seung-Geon; Lee, Eun-Sang

    2018-01-01

    Ultra-precision products which contain a micro-hole array have recently shown remarkable demand growth in many fields, especially in the semiconductor and display industries. Photoresist etching and electrochemical machining are widely known as precision methods for machining micro-holes with no residual stress and lower surface roughness on the fabricated products. The Invar shadow masks used for organic light-emitting diodes (OLEDs) contain numerous micro-holes and are currently machined by a photoresist etching method. However, this method has several problems, such as uncontrollable hole machining accuracy, non-etched areas, and overcutting. To solve these problems, a machining method that combines photoresist etching and electrochemical machining can be applied. In this study, negative photoresist with a quadrilateral hole array pattern was dry coated onto 30-µm-thick Invar thin film, and then exposure and development were carried out. After that, photoresist single-side wet etching and a fusion method of wet etching-electrochemical machining were used to machine micro-holes on the Invar. The hole machining geometry, surface quality, and overcutting characteristics of the methods were studied. Wet etching and electrochemical fusion machining can improve the accuracy and surface quality. The overcutting phenomenon can also be controlled by the fusion machining. Experimental results show that the proposed method is promising for the fabrication of Invar film shadow masks. PMID:29351235

  14. Method for anisotropic etching in the manufacture of semiconductor devices

    NASA Technical Reports Server (NTRS)

    Koontz, Steven L. (Inventor); Cross, Jon B. (Inventor)

    1993-01-01

    Hydrocarbon polymer coatings used in microelectronic manufacturing processes are anisotropically etched by hyperthermal atomic oxygen beams (translational energies of 0.2 to 20 eV, preferably 1 to 10 eV). Etching with hyperthermal oxygen atom species obtains highly anisotropic etching with sharp boundaries between etched and mask protected areas.

  15. Chemical Etching of Zinc Oxide for Thin-Film Silicon Solar Cells

    PubMed Central

    Hüpkes, Jürgen; Owen, Jorj I; Pust, Sascha E; Bunte, Eerke

    2012-01-01

    Abstract Chemical etching is widely applied to texture the surface of sputter-deposited zinc oxide for light scattering in thin-film silicon solar cells. Based on experimental findings from the literature and our own results we propose a model that explains the etching behavior of ZnO depending on the structural material properties and etching agent. All grain boundaries are prone to be etched to a certain threshold, that is defined by the deposition conditions and etching solution. Additionally, several approaches to modify the etching behavior through special preparation and etching steps are provided. PMID:22162035

  16. Influence of Hot-Etching Surface Treatment on Zirconia/Resin Shear Bond Strength

    PubMed Central

    Lv, Pin; Yang, Xin; Jiang, Ting

    2015-01-01

    This study was designed to evaluate the effect of hot-etching surface treatment on the shear bond strength between zirconia ceramics and two commercial resin cements. Ceramic cylinders (120 units; length: 2.5 mm; diameter: 4.7 mm) were randomly divided into 12 groups (n = 10) according to different surface treatments (blank control; airborne-particle-abrasion; hot-etching) and different resin cements (Panavia F2.0; Superbond C and B) and whether or not a thermal cycling fatigue test (5°–55° for 5000 cycles) was performed. Flat enamel surfaces, mounted in acrylic resin, were bonded to the zirconia discs (diameter: 4.7 mm). All specimens were subjected to shear bond strength testing using a universal testing machine with a crosshead speed of 1 mm/min. All data were statistically analyzed using one-way analysis of variance and multiple-comparison least significant difference tests (α = 0.05). Hot-etching treatment produced higher bond strengths than the other treatment with both resin cements. The shear bond strength of all groups significantly decreased after the thermal cycling test; except for the hot-etching group that was cemented with Panavia F2.0 (p < 0.05). Surface treatment of zirconia with hot-etching solution enhanced the surface roughness and bond strength between the zirconia and the resin cement. PMID:28793699

  17. Nanoleakage in Hybrid Layer and Acid-Base Resistant Zone at the Adhesive/Dentin Interface.

    PubMed

    Nikaido, Toru; Nurrohman, Hamid; Takagaki, Tomohiro; Sadr, Alireza; Ichinose, Shizuko; Tagami, Junji

    2015-10-01

    The aim of interfacial nanoleakage evaluation is to gain a better understanding of degradation of the adhesive-dentin interface. The acid-base resistant zone (ABRZ) is recognized at the bonded interface under the hybrid layer (HL) in self-etch adhesive systems after an acid-base challenge. The purpose of this study was to evaluate nanoleakage in HL and ABRZ using three self-etch adhesives; Clearfil SE Bond (SEB), Clearfil SE One (SEO), and G-Bond Plus (GBP). One of the three adhesives was applied on the ground dentin surface and light cured. The specimens were longitudinally divided into two halves. One half remained as the control group. The others were immersed in ammoniacal silver nitrate solution, followed by photo developing solution under fluorescent light. Following this, the specimens were subjected to acid-base challenges with an artificial demineralization solution (pH4.5) and sodium hypochlorite, and prepared in accordance with common procedures for transmission electron microscopy (TEM) examination. The TEM images revealed silver depositions in HL and ABRZ due to nanoleakage in all the adhesives; however, the extent of nanoleakage was material dependent. Funnel-shaped erosion beneath the ABRZ was observed only in the all-in-one adhesive systems; SEO and GBP, but not in the two-step self-etch adhesive system; SEB.

  18. Method for anisotropic etching in the manufacture of semiconductor devices

    DOEpatents

    Koontz, Steven L.; Cross, Jon B.

    1993-01-01

    Hydrocarbon polymer coatings used in microelectronic manufacturing processes are anisotropically etched by atomic oxygen beams (translational energies of 0.2-20 eV, preferably 1-10 eV). Etching with hyperthermal (kinetic energy>1 eV) oxygen atom species obtains highly anisotropic etching with sharp boundaries between etched and mask-protected areas.

  19. Solvent composition of one-step self-etch adhesives and dentine wettability.

    PubMed

    Grégoire, Geneviève; Dabsie, Firas; Dieng-Sarr, Farimata; Akon, Bernadette; Sharrock, Patrick

    2011-01-01

    Our aim was to determine the wettability of dentine by four commercial self-etch adhesives and evaluate their spreading rate on the dentine surface. Any correlation with chemical composition was sought, particularly with the amount of solvent or HEMA present in the adhesive. The adhesives used were AdheSE One, Optibond All.In.One, Adper Easy Bond and XenoV. Chemical compositions were determined by proton nuclear magnetic resonance (NMR) spectroscopy of the adhesives dissolved in dimethylsulfoxide. Apparent contact angles for sessile drops of adhesives were measured on dentine slices as a function of time for up to 180s. The water contact angles were determined for fully polymerised adhesives. All adhesives were water-based with total solvent contents ranging from 27% to 73% for HEMA-free adhesives, and averaging 45% for HEMA containing adhesives. The contents in hydrophobic groups decreased as water contents increased. No differences were found in the adhesive contact angles after 180s even though the spreading rates were different for the products tested. Water contact angles differed significantly but were not correlated with HEMA or solvent presence. Manufacturers use different approaches to stabilise acid co-monomer ingredients in self-etch adhesives. Co-solvents, HEMA, or acrylamides without co-solvents are used to simultaneously etch and infiltrate dentine. A large proportion of water is necessary for decalcification action. Copyright © 2010 Elsevier Ltd. All rights reserved.

  20. An Optimization of Electrochemical Etching Conditions for Gold Nanotips Fabrication

    NASA Astrophysics Data System (ADS)

    Oh, Min Woo; Chong, Haeeun; Park, Doo Jae; Jang, Moonkyu; Bahn, Sebin; Choi, Soo Bong

    2018-05-01

    We demonstrate a series of experiments to find optimized electrochemical etching condition for fabricating gold nanotip, using square-wave voltage as a bias and using hydrochloric acid diluted by acetone as an etchant. We confirmed that the dilution ratio of 3: 1 between hydrochloric acid and acetone give the smallest tip apex diameter which reproduces our previous result. More importantly, by varying applied bias condition and immersion depth of the platinum ring used as a cathode inside the etchant, we found that the smaller tip apex diameter is achieved when both the amplitude and duty cycle get higher. The success rate, which we define the number of tips having meaningfully less diameter out of total number of tried tips, is also discussed.

  1. Hafnium Oxide Film Etching Using Hydrogen Chloride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Yamaji, Masahiko; Kobori, Yoshitsugu; Horii, Sadayoshi; Kunii, Yasuo

    2009-12-01

    Hydrogen chloride gas removes the hafnium oxide film formed by atomic layer deposition at the etch rate of about 1 nm/min. A 100 nm-thick hafnium oxide film was perfectly etched off at 1173 K for 60 min by 100% hydrogen chloride gas at 100 sccm. A weight decrease in the hafnium oxide film was observed at temperatures higher than ca. 600 K, which corresponds to the sublimation point of hafnium tetrachloride. The etching by-product is considered to be hafnium tetrachloride. The etching technique developed in this study is expected to be applicable to various processes, such as the cleaning of a hafnium oxide film deposition reactor.

  2. Photonic jet μ-etching: from static to dynamic process

    NASA Astrophysics Data System (ADS)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  3. Effect of application mode on interfacial morphology and chemistry between dentin and self-etch adhesives

    PubMed Central

    Zhang, Ying; Wang, Yong

    2012-01-01

    Objective To investigate the influence of application mode on the interfacial morphology and chemistry between dentin and self-etch adhesives with different aggressiveness. Methods The occlusal one-third of the crown was removed from un-erupted human third molars, followed by abrading with 600 grit SiC under water. Rectangular dentin slabs were prepared by sectioning the tooth specimens perpendicular to the abraded surfaces. The obtained dentin slabs were treated with one of the two one-step self-etch adhesives: Adper Easy Bond (AEB, PH~2.5) and Adper Prompt L-Pop (APLP, PH~0.8) with (15s, active application) or without (15s, inactive application) agitation. The dentin slabs were fractured and the exposed adhesive/dentin (A/D) interfaces were examined with micro-Raman spectroscopy and scanning electron microscopy (SEM). Results The interfacial morphology, degree of dentin demineralization (DD) and degree of conversion (DC) of the strong self-etch adhesive APLP showed more significant dependence on the application mode than the mild AEB. APLP exhibited inferior bonding at the A/D interface if applied without agitation, evidenced by debonding from the dentin substrate. The DDs and DCs of the APLP with agitation were higher than those of without agitation in the interface, in contrast to the comparable DD and DC values of two AEB specimen groups with different application modes. Raman spectral analysis revealed the important role of chemical interaction between acid monomers of self-etch adhesives and dentin in the above observations. Conclusion The chemical interaction with dentin is especially important for improving the DC of the strong self-etching adhesive at the A/D interface. Agitation could benefit polymerization efficacy of the strong self-etch adhesive through enhancing the chemical interaction with tooth substrate. PMID:23153573

  4. Qualitative modeling of silica plasma etching using neural network

    NASA Astrophysics Data System (ADS)

    Kim, Byungwhan; Kwon, Kwang Ho

    2003-01-01

    An etching of silica thin film is qualitatively modeled by using a neural network. The process was characterized by a 23 full factorial experiment plus one center point, in which the experimental factors and ranges include 100-800 W radio-frequency source power, 100-400 W bias power and gas flow rate ratio CHF3/CF4. The gas flow rate ratio varied from 0.2 to 5.0. The backpropagation neural network (BPNN) was trained on nine experiments and tested on six experiments, not pertaining to the original training data. The prediction ability of the BPNN was optimized as a function of the training parameters. Prediction errors are 180 Å/min and 1.33, for the etch rate and anisotropy models, respectively. Physical etch mechanisms were estimated from the three-dimensional plots generated from the optimized models. Predicted response surfaces were consistent with experimentally measured etch data. The dc bias was correlated to the etch responses to evaluate its contribution. Both the source power (plasma density) and bias power (ion directionality) strongly affected the etch rate. The source power was the most influential factor for the etch rate. A conflicting effect between the source and bias powers was noticed with respect to the anisotropy. The dc bias played an important role in understanding or separating physical etch mechanisms.

  5. Uniformity studies of inductively coupled plasma etching in fabrication of HgCdTe detector arrays

    NASA Astrophysics Data System (ADS)

    Bommena, R.; Velicu, S.; Boieriu, P.; Lee, T. S.; Grein, C. H.; Tedjojuwono, K. K.

    2007-04-01

    Inductively coupled plasma (ICP) chemistry based on a mixture of CH 4, Ar, and H II was investigated for the purpose of delineating HgCdTe mesa structures and vias typically used in the fabrication of second and third generation infrared photo detector arrays. We report on ICP etching uniformity results and correlate them with plasma controlling parameters (gas flow rates, total chamber pressure, ICP power and RF power). The etching rate and surface morphology of In-doped MWIR and LWIR HgCdTe showed distinct dependences on the plasma chemistry, total pressure and RF power. Contact stylus profilometry and cross-section scanning electron microscopy (SEM) were used to characterize the anisotropy of the etched profiles obtained after various processes and a standard deviation of 0.06 μm was obtained for etch depth on 128 x 128 format array vias. The surface morphology and the uniformity of the etched surfaces were studied by plan view SEM. Atomic force microscopy was used to make precise assessments of surface roughness.

  6. A junction-level optoelectronic characterization of etching-induced damage for third-generation HgCdTe infrared focal-plane array photodetectors

    NASA Astrophysics Data System (ADS)

    Wang, Peng; Wang, Yueming; Wu, Mingzai; Ye, Zhenhua

    2018-06-01

    Third-generation HgCdTe-based infrared focal plane arrays require high aspect ratio trenches with admissible etch induced damage at the surface and sidewalls for effectively isolating the pixels. In this paper, the high-density inductively coupled plasma enhanced reaction ion etching technique has been used for micro-mesa delineation of HgCdTe for third-generation infrared focal-plane array detectors. A nondestructive junction-level optoelectronic characterization method called laser beam induced current (LBIC) is used to evaluate the lateral junction extent of HgCdTe etch-induced damage scanning electron microscopy. It is found that the LBIC profiles exhibit evident double peaks and valleys phenomena. The lateral extent of etch induced mesa damage of ∼2.4 μm is obtained by comparing the LBIC profile and the scanning electron microscopy image of etched sample. This finding will guide us to nondestructively identify the distributions of the etching damages in large scale HgCdTe micro-mesa.

  7. Electronegativity-dependent tin etching from thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that,more » due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.« less

  8. Lateral electrochemical etching of III-nitride materials for microfabrication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Han, Jung

    Conductivity-selective lateral etching of III-nitride materials is described. Methods and structures for making vertical cavity surface emitting lasers with distributed Bragg reflectors via electrochemical etching are described. Layer-selective, lateral electrochemical etching of multi-layer stacks is employed to form semiconductor/air DBR structures adjacent active multiple quantum well regions of the lasers. The electrochemical etching techniques are suitable for high-volume production of lasers and other III-nitride devices, such as lasers, HEMT transistors, power transistors, MEMs structures, and LEDs.

  9. Effect of dentin etching and chlorhexidine application on metalloproteinase-mediated collagen degradation

    PubMed Central

    Raquel, Osorio; Mónica, Yamauti; Estrella, Osorio; Estrella, Ruiz-Requena María; David, Pashley; Franklin, Tay; Manuel, Toledano

    2013-01-01

    Dentin matrix metalloproteinases (MMPs) are involved in collagen degradation of resin-dentin interfaces. This study evaluated if collagen degradation can be prevented by chlorhexidine after different dentin demineralization procedures. Human dentin demineralization was performed with phosphoric acid (PA), EDTA, or acidic monomers (ClearfilSEBond and XENOV). Specimens were stored (24 h, 1 wk or 3 wk) in the presence or absence of chlorhexidine. In half of the groups, active MMP-2 was incorporated into the storing solution. C-terminal telopeptide determination (ICTP) was performed in the supernatants. Collagen degradation was higher in PA and EDTA-demineralized dentin. Chlorhexidine reduced collagen degradation in these groups only for 24 h. When dentin was demineralized with SEBond or Xeno, collagen degradation was reduced up to 30%, but addition of exogenous MMP-2 significantly increased collagen degradation. In self-etchant treated dentin the inhibitory effect of chlorhexidine on MMPs lasted up to 3 wk. Treating dentin with EDTA, PA or self-etching agents produces enough demineralization to permit cleavage of the exposed collagen. Monomers infiltration may exert protection on demineralized collagen, probably through immobilization of MMPs. The partial inhibitory action of CHX on MMP activity produced by self-etching adhesives was prolonged compared to the short-acting in PA or EDTA-treated dentin. PMID:21244516

  10. Ion-Exchange-Induced Selective Etching for the Synthesis of Amino-Functionalized Hollow Mesoporous Silica for Elevated-High-Temperature Fuel Cells.

    PubMed

    Zhang, Jin; Liu, Jian; Lu, Shanfu; Zhu, Haijin; Aili, David; De Marco, Roland; Xiang, Yan; Forsyth, Maria; Li, Qingfeng; Jiang, San Ping

    2017-09-20

    As differentiated from conventional synthetic processes, amino-functionalized hollow mesoporous silica (NH 2 -HMS) has been synthesized using a new and facile strategy of ion-exchange-induced selective etching of amino-functionalized mesoporous silica (NH 2 -meso-silica) by an alkaline solution. Nuclear magnetic resonance (NMR) spectroscopy and in situ time-resolved small-angle X-ray scattering (SAXS) reveal that ion-exchange-induced selective etching arises from the gradient distribution of OH - in the NH 2 -meso-silica nanospheres. Moreover, the ion-exchange-induced selective etching mechanism is verified through a successful synthesis of hollow mesoporous silica. After infiltration with phosphotungstic acid (PWA), PWA-NH 2 -HMS nanoparticles are dispersed in the poly(ether sulfone)-polyvinylpyrrolidone (PES-PVP) matrix, forming a hybrid PWA-NH 2 -HMS/PES-PVP nanocomposite membrane. The resultant nanocomposite membrane with an optimum loading of 10 wt % of PWA-NH 2 -HMS showed an enhanced proton conductivity of 0.175 S cm -1 and peak power density of 420 mW cm -2 at 180 °C under anhydrous conditions. Excellent durability of the hybrid composite membrane fuel cell has been demonstrated at 200 °C. The results of this study demonstrated the potential of the facile synthetic strategy in the fabrication of NH 2 -HMS with controlled mesoporous structure for application in nanocomposite membranes as a technology platform for elevated-temperature proton exchange membrane fuel cells.

  11. Dry etch challenges for CD shrinkage in memory process

    NASA Astrophysics Data System (ADS)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  12. Low damage dry etch for III-nitride light emitters

    NASA Astrophysics Data System (ADS)

    Nedy, Joseph G.; Young, Nathan G.; Kelchner, Kathryn M.; Hu, Yanling; Farrell, Robert M.; Nakamura, Shuji; DenBaars, Steven P.; Weisbuch, Claude; Speck, James S.

    2015-08-01

    We have developed a dry etch process for the fabrication of lithographically defined features close to light emitting layers in the III-nitride material system. The dry etch was tested for its effect on the internal quantum efficiency of c-plane InGaN quantum wells using the photoluminescence of a test structure with two active regions. No change was observed in the internal quantum efficiency of the test active region when the etched surface was greater than 71 nm away. To demonstrate the application of the developed dry etch process, surface-etched air gaps were fabricated 275 nm away from the active region of an m-plane InGaN/GaN laser diode and served as the waveguide upper cladding. Electrically injected lasing was observed without the need for regrowth or recovery anneals. This dry etch opens up a new design tool that can be utilized in the next generation of GaN light emitters.

  13. Direct mapping and characterization of dry etch damage-induced PN junction for long-wavelength HgCdTe infrared detector arrays.

    PubMed

    Li, Yantao; Hu, Weida; Ye, Zhenhua; Chen, Yiyu; Chen, Xiaoshuang; Lu, Wei

    2017-04-01

    Mercury cadmium telluride is the standard material to fabricate high-performance infrared focal plane array (FPA) detectors. However, etch-induced damage is a serious obstacle for realizing highly uniform and damage-free FPA detectors. In this Letter, the high signal-to-noise ratio and high spatial resolution scanning photocurrent microscopy (SPCM) is used to characterize the dry etch-induced inversion layer of vacancy-doped p-type Hg1-xCdxTe (x=0.22) material under different etching temperatures. It is found that the peak-to-peak magnitude of the SPCM profile decreases with a decrease in etching temperature, showing direct proof of controlling dry etch-induced type conversion. Our work paves the way toward seeking optimal etching processes in large-scale infrared FPAs.

  14. Consideration of VT5 etch-based OPC modeling

    NASA Astrophysics Data System (ADS)

    Lim, ChinTeong; Temchenko, Vlad; Kaiser, Dieter; Meusel, Ingo; Schmidt, Sebastian; Schneider, Jens; Niehoff, Martin

    2008-03-01

    Including etch-based empirical data during OPC model calibration is a desired yet controversial decision for OPC modeling, especially for process with a large litho to etch biasing. While many OPC software tools are capable of providing this functionality nowadays; yet few were implemented in manufacturing due to various risks considerations such as compromises in resist and optical effects prediction, etch model accuracy or even runtime concern. Conventional method of applying rule-based alongside resist model is popular but requires a lot of lengthy code generation to provide a leaner OPC input. This work discusses risk factors and their considerations, together with introduction of techniques used within Mentor Calibre VT5 etch-based modeling at sub 90nm technology node. Various strategies are discussed with the aim of better handling of large etch bias offset without adding complexity into final OPC package. Finally, results were presented to assess the advantages and limitations of the final method chosen.

  15. Optical-fiber strain sensors with asymmetric etched structures.

    PubMed

    Vaziri, M; Chen, C L

    1993-11-01

    Optical-fiber strain gauges with asymmetric etched structures have been analyzed, fabricated, and tested. These sensors are very sensitive with a gauge factor as high as 170 and a flat frequency response to at least 2.7 kHz. The gauge factor depends on the asymmetry of the etched structures and the number of etched sections. To understand the physical principles involved, researchers have used structural analysis programs based on a finite-element method to analyze fibers with asymmetric etched structures under tensile stress. The results show that lateral bends are induced on the etched fibers when they are stretched axially. To relate the lateral bending to the optical attenuation, we have also employed a ray-tracing technique to investigate the dependence of the attenuation on the structural deformation. Based on the structural analysis and the ray-tracing study parameters affecting the sensitivity have been studied. These results agree with the results of experimental investigations.

  16. Etched-multilayer phase shifting masks for EUV lithography

    DOEpatents

    Chapman, Henry N.; Taylor, John S.

    2005-04-05

    A method is disclosed for the implementation of phase shifting masks for EUV lithography. The method involves directly etching material away from the multilayer coating of the mask, to cause a refractive phase shift in the mask. By etching into the multilayer (for example, by reactive ion etching), rather than depositing extra material on the top of the multilayer, there will be minimal absorption loss associated with the phase shift.

  17. A survey of some metallographic etching reagents for restoration of obliterated engraved marks on aluminium-silicon alloy surfaces.

    PubMed

    Uli, Norjaidi; Kuppuswamy, R; Amran, Mohd Firdaus Che

    2011-05-20

    A brief survey to assess the sensitivity and efficacy of some common etching reagents for revealing obliterated engraved marks on Al-Si alloy surfaces is presented. Experimental observations have recommended use of alternate swabbing of 10% NaOH and 10% HNO(3) on the obliterated surfaces for obtaining the desired results. The NaOH etchant responsible for bringing back the original marks resulted in the deposition of some dark coating that has masked the recovered marks. The coating had been well removed by dissolving it in HNO(3) containing 10-20% acid. However, the above etching procedure was not effective on aluminium (99% purity) and Al-Zn-Mg-Cu alloy surfaces. Also the two reagents (i) immersion in 10% aq. phosphoric acid and (ii) alternate swabbing of 60% HCl and 40% NaOH suggested earlier for high strength Al-Zn-Mg-Cu alloys [23] were quite ineffective on Al-Si alloys. Thus different aluminium alloys needed different etching treatments for successfully restoring the obliterated marks. Al-Si alloys used in casting find wide applications especially in the manufacture of engine blocks of motor vehicles. Hence, the results presented in this paper are of much relevance in serial number restoration problems involving this alloy. Copyright © 2010 Elsevier Ireland Ltd. All rights reserved.

  18. Microtrenching-free two-step reactive ion etching of 4H-SiC using NF{sub 3}/HBr/O{sub 2} and Cl{sub 2}/O{sub 2}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tseng, Yuan-Hung, E-mail: yhtseng.ee99g@nctu.edu.tw; Tsui, Bing-Yue

    2014-05-15

    In this paper, the authors performed a reactive ion etch of a 4H-SiC substrate with a gas mixture of NF{sub 3}, HBr, and O{sub 2}, resulting in a microtrenching-free etch. The etch rate was 107.8 nm/min, and the selectivity over the oxide hard mask was ∼3.85. Cross-sectional scanning electron microscopy showed no microtrenching compared with etches using plasmas of NF{sub 3}, NF{sub 3}/HBr, and NF{sub 3}/O{sub 2}. Analyzing a variety of HBr/O{sub 2} mixing ratios, the authors discuss the additive effect of each gas and their respective potential mechanisms for alleviating microtrenching. To increase the radius of gyration of the bottommore » corners, they introduced a second etch step with Cl{sub 2}/O{sub 2} plasma. Fabricating simple metal-oxide-semiconductor capacitors on the two-step etched surface, the authors found that the electrical characteristics of the etched sample were nearly the same as the nonetched sample.« less

  19. Sealing effectiveness of fissure sealant bonded with universal adhesive systems on saliva-contaminated and noncontaminated enamel.

    PubMed

    Memarpour, Mahtab; Shafiei, Fereshteh; Zarean, Mehran; Razmjoei, Faranak

    2018-01-01

    The effectiveness of sealants is dependent upon their adhesion to enamel surface. The aim of the study was to evaluate the sealing ability of a pit and fissure sealant used with a universal adhesive (etch-and-rinse vs. self-etch modes) when the site is contaminated with saliva. Adhesive properties were evaluated as microleakage and scanning electron microscopic (SEM) characteristics. A total of 72 mandibular third molars were randomly divided into 6 groups (n=12). Occlusal pits and fissures were sealed with an unfilled resin fissure sealant (FS) material with or without saliva contamination. The groups included: 1) phosphoric acid etching + FS (control), 2) phosphoric acid etching + Scotchbond Universal (etch-and-rinse) + FS, 3) phosphoric acid etching + saliva + Scotchbond Universal (etch-and-rinse) + FS, 4) Scotchbond Universal (self-etching) + FS,5) Scotchbond Universal (self-etching) + saliva + FS, and 6) Scotchbond Universal (self-etching) + saliva + Scotchbond Universal + FS. After thermocycling, the teeth were placed in 0.5% fuchsin, sectioned, and evaluated by digital microscopy. Two samples from each group were also observed by SEM. The data were analyzed with Kruskal-Wallis and Mann-Whitney tests for a significance of p <0.05. There were significant differences among groups. Groups 1,2 and 4 showed the least microleakage, with no significant differences among groups. Saliva contamination led to increased microleakage and gap formation in SEM images in groups 3, 5 and 6. The fissure sealing ability of the universal adhesive in etch-and-rinse or self-etch modes was similar to that of conventional acid etching. Saliva contamination had a negative effect on sealant adhesion to pretreated enamel. Key words: Pit and fissure sealant, Universal adhesive, Saliva.

  20. Composition/bandgap selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, Carol I. H.; Dishman, James L.

    1987-01-01

    A method of selectively photochemically dry etching a first semiconductor material of a given composition and direct bandgap Eg.sub.1 in the presence of a second semiconductor material of a different composition and direct bandgap Eg.sub.2, wherein Eg.sub.2 >Eg.sub.1, said second semiconductor material substantially not being etched during said method, comprises subjecting both materials to the same photon flux and to the same gaseous etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said photons being of an energy greater than Eg.sub.1 but less than Eg.sub.2, whereby said first semiconductor material is photochemically etched and said second material is substantially not etched.