Sample records for adaptive systems cas

  1. CRISPR-Cas: Adapting to change.

    PubMed

    Jackson, Simon A; McKenzie, Rebecca E; Fagerlund, Robert D; Kieper, Sebastian N; Fineran, Peter C; Brouns, Stan J J

    2017-04-07

    Bacteria and archaea are engaged in a constant arms race to defend against the ever-present threats of viruses and invasion by mobile genetic elements. The most flexible weapons in the prokaryotic defense arsenal are the CRISPR-Cas adaptive immune systems. These systems are capable of selective identification and neutralization of foreign DNA and/or RNA. CRISPR-Cas systems rely on stored genetic memories to facilitate target recognition. Thus, to keep pace with a changing pool of hostile invaders, the CRISPR memory banks must be regularly updated with new information through a process termed CRISPR adaptation. In this Review, we outline the recent advances in our understanding of the molecular mechanisms governing CRISPR adaptation. Specifically, the conserved protein machinery Cas1-Cas2 is the cornerstone of adaptive immunity in a range of diverse CRISPR-Cas systems. Copyright © 2017, American Association for the Advancement of Science.

  2. Adaptation in CRISPR-Cas Systems.

    PubMed

    Sternberg, Samuel H; Richter, Hagen; Charpentier, Emmanuelle; Qimron, Udi

    2016-03-17

    Clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) proteins constitute an adaptive immune system in prokaryotes. The system preserves memories of prior infections by integrating short segments of foreign DNA, termed spacers, into the CRISPR array in a process termed adaptation. During the past 3 years, significant progress has been made on the genetic requirements and molecular mechanisms of adaptation. Here we review these recent advances, with a focus on the experimental approaches that have been developed, the insights they generated, and a proposed mechanism for self- versus non-self-discrimination during the process of spacer selection. We further describe the regulation of adaptation and the protein players involved in this fascinating process that allows bacteria and archaea to harbor adaptive immunity. Copyright © 2016 Elsevier Inc. All rights reserved.

  3. CRISPR-Cas systems: prokaryotes upgrade to adaptive immunity

    PubMed Central

    Barrangou, Rodolphe; Marraffini, Luciano A.

    2014-01-01

    Summary Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR), and associated proteins (Cas) comprise the CRISPR-Cas system, which confers adaptive immunity against exogenic elements in many bacteria and most archaea. CRISPR-mediated immunization occurs through the uptake of DNA from invasive genetic elements such as plasmids and viruses, followed by its integration into CRISPR loci. These loci are subsequently transcribed and processed into small interfering RNAs that guide nucleases for specific cleavage of complementary sequences. Conceptually, CRISPR-Cas shares functional features with the mammalian adaptive immune system, while also exhibiting characteristics of Lamarckian evolution. Because immune markers spliced from exogenous agents are integrated iteratively in CRISPR loci, they constitute a genetic record of vaccination events and reflect environmental conditions and changes over time. Cas endonucleases, which can be reprogrammed by small guide RNAs have shown unprecedented potential and flexibility for genome editing, and can be repurposed for numerous DNA targeting applications including transcriptional control. PMID:24766887

  4. CRISPR-Cas systems: Prokaryotes upgrade to adaptive immunity.

    PubMed

    Barrangou, Rodolphe; Marraffini, Luciano A

    2014-04-24

    Clustered regularly interspaced short palindromic repeats (CRISPR), and associated proteins (Cas) comprise the CRISPR-Cas system, which confers adaptive immunity against exogenic elements in many bacteria and most archaea. CRISPR-mediated immunization occurs through the uptake of DNA from invasive genetic elements such as plasmids and viruses, followed by its integration into CRISPR loci. These loci are subsequently transcribed and processed into small interfering RNAs that guide nucleases for specific cleavage of complementary sequences. Conceptually, CRISPR-Cas shares functional features with the mammalian adaptive immune system, while also exhibiting characteristics of Lamarckian evolution. Because immune markers spliced from exogenous agents are integrated iteratively in CRISPR loci, they constitute a genetic record of vaccination events and reflect environmental conditions and changes over time. Cas endonucleases, which can be reprogrammed by small guide RNAs have shown unprecedented potential and flexibility for genome editing and can be repurposed for numerous DNA targeting applications including transcriptional control. Copyright © 2014 Elsevier Inc. All rights reserved.

  5. CRISPR-Cas: evolution of an RNA-based adaptive immunity system in prokaryotes.

    PubMed

    Koonin, Eugene V; Makarova, Kira S

    2013-05-01

    The CRISPR-Cas (clustered regularly interspaced short palindromic repeats, CRISPR-associated genes) is an adaptive immunity system in bacteria and archaea that functions via a distinct self-non-self recognition mechanism that is partially analogous to the mechanism of eukaryotic RNA interference (RNAi). The CRISPR-Cas system incorporates fragments of virus or plasmid DNA into the CRISPR repeat cassettes and employs the processed transcripts of these spacers as guide RNAs to cleave the cognate foreign DNA or RNA. The Cas proteins, however, are not homologous to the proteins involved in RNAi and comprise numerous, highly diverged families. The majority of the Cas proteins contain diverse variants of the RNA recognition motif (RRM), a widespread RNA-binding domain. Despite the fast evolution that is typical of the cas genes, the presence of diverse versions of the RRM in most Cas proteins provides for a simple scenario for the evolution of the three distinct types of CRISPR-cas systems. In addition to several proteins that are directly implicated in the immune response, the cas genes encode a variety of proteins that are homologous to prokaryotic toxins that typically possess nuclease activity. The predicted toxins associated with CRISPR-Cas systems include the essential Cas2 protein, proteins of COG1517 that, in addition to a ligand-binding domain and a helix-turn-helix domain, typically contain different nuclease domains and several other predicted nucleases. The tight association of the CRISPR-Cas immunity systems with predicted toxins that, upon activation, would induce dormancy or cell death suggests that adaptive immunity and dormancy/suicide response are functionally coupled. Such coupling could manifest in the persistence state being induced and potentially providing conditions for more effective action of the immune system or in cell death being triggered when immunity fails.

  6. CRISPR-Cas Adaptive Immune Systems of the Sulfolobales: Unravelling Their Complexity and Diversity

    PubMed Central

    Garrett, Roger A.; Shah, Shiraz A.; Erdmann, Susanne; Liu, Guannan; Mousaei, Marzieh; León-Sobrino, Carlos; Peng, Wenfang; Gudbergsdottir, Soley; Deng, Ling; Vestergaard, Gisle; Peng, Xu; She, Qunxin

    2015-01-01

    The Sulfolobales have provided good model organisms for studying CRISPR-Cas systems of the crenarchaeal kingdom of the archaea. These organisms are infected by a wide range of exceptional archaea-specific viruses and conjugative plasmids, and their CRISPR-Cas systems generally exhibit extensive structural and functional diversity. They carry large and multiple CRISPR loci and often multiple copies of diverse Type I and Type III interference modules as well as more homogeneous adaptation modules. These acidothermophilic organisms have recently provided seminal insights into both the adaptation process, the diverse modes of interference, and their modes of regulation. The functions of the adaptation and interference modules tend to be loosely coupled and the stringency of the crRNA-DNA sequence matching during DNA interference is relatively low, in contrast to some more streamlined CRISPR-Cas systems of bacteria. Despite this, there is evidence for a complex and differential regulation of expression of the diverse functional modules in response to viral infection. Recent work also supports critical roles for non-core Cas proteins, especially during Type III-directed interference, and this is consistent with these proteins tending to coevolve with core Cas proteins. Various novel aspects of CRISPR-Cas systems of the Sulfolobales are considered including an alternative spacer acquisition mechanism, reversible spacer acquisition, the formation and significance of antisense CRISPR RNAs, and a novel mechanism for avoidance of CRISPR-Cas defense. Finally, questions regarding the basis for the complexity, diversity, and apparent redundancy, of the intracellular CRISPR-Cas systems are discussed. PMID:25764276

  7. Cas4 Facilitates PAM-Compatible Spacer Selection during CRISPR Adaptation.

    PubMed

    Kieper, Sebastian N; Almendros, Cristóbal; Behler, Juliane; McKenzie, Rebecca E; Nobrega, Franklin L; Haagsma, Anna C; Vink, Jochem N A; Hess, Wolfgang R; Brouns, Stan J J

    2018-03-27

    CRISPR-Cas systems adapt their immunological memory against their invaders by integrating short DNA fragments into clustered regularly interspaced short palindromic repeat (CRISPR) loci. While Cas1 and Cas2 make up the core machinery of the CRISPR integration process, various class I and II CRISPR-Cas systems encode Cas4 proteins for which the role is unknown. Here, we introduced the CRISPR adaptation genes cas1, cas2, and cas4 from the type I-D CRISPR-Cas system of Synechocystis sp. 6803 into Escherichia coli and observed that cas4 is strictly required for the selection of targets with protospacer adjacent motifs (PAMs) conferring I-D CRISPR interference in the native host Synechocystis. We propose a model in which Cas4 assists the CRISPR adaptation complex Cas1-2 by providing DNA substrates tailored for the correct PAM. Introducing functional spacers that target DNA sequences with the correct PAM is key to successful CRISPR interference, providing a better chance of surviving infection by mobile genetic elements. Copyright © 2018 The Authors. Published by Elsevier Inc. All rights reserved.

  8. Spacer capture and integration by a type I-F Cas1-Cas2-3 CRISPR adaptation complex.

    PubMed

    Fagerlund, Robert D; Wilkinson, Max E; Klykov, Oleg; Barendregt, Arjan; Pearce, F Grant; Kieper, Sebastian N; Maxwell, Howard W R; Capolupo, Angela; Heck, Albert J R; Krause, Kurt L; Bostina, Mihnea; Scheltema, Richard A; Staals, Raymond H J; Fineran, Peter C

    2017-06-27

    CRISPR-Cas adaptive immune systems capture DNA fragments from invading bacteriophages and plasmids and integrate them as spacers into bacterial CRISPR arrays. In type I-E and II-A CRISPR-Cas systems, this adaptation process is driven by Cas1-Cas2 complexes. Type I-F systems, however, contain a unique fusion of Cas2, with the type I effector helicase and nuclease for invader destruction, Cas3. By using biochemical, structural, and biophysical methods, we present a structural model of the 400-kDa Cas1 4 -Cas2-3 2 complex from Pectobacterium atrosepticum with bound protospacer substrate DNA. Two Cas1 dimers assemble on a Cas2 domain dimeric core, which is flanked by two Cas3 domains forming a groove where the protospacer binds to Cas1-Cas2. We developed a sensitive in vitro assay and demonstrated that Cas1-Cas2-3 catalyzed spacer integration into CRISPR arrays. The integrase domain of Cas1 was necessary, whereas integration was independent of the helicase or nuclease activities of Cas3. Integration required at least partially duplex protospacers with free 3'-OH groups, and leader-proximal integration was stimulated by integration host factor. In a coupled capture and integration assay, Cas1-Cas2-3 processed and integrated protospacers independent of Cas3 activity. These results provide insight into the structure of protospacer-bound type I Cas1-Cas2-3 adaptation complexes and their integration mechanism.

  9. Adaptation of the Haloarcula hispanica CRISPR-Cas system to a purified virus strictly requires a priming process

    PubMed Central

    Li, Ming; Wang, Rui; Zhao, Dahe; Xiang, Hua

    2014-01-01

    The clustered regularly interspaced short palindromic repeat (CRISPR)-Cas system mediates adaptive immunity against foreign nucleic acids in prokaryotes. However, efficient adaptation of a native CRISPR to purified viruses has only been observed for the type II-A system from a Streptococcus thermophilus industry strain, and rarely reported for laboratory strains. Here, we provide a second native system showing efficient adaptation. Infected by a newly isolated virus HHPV-2, Haloarcula hispanica type I-B CRISPR system acquired spacers discriminatively from viral sequences. Unexpectedly, in addition to Cas1, Cas2 and Cas4, this process also requires Cas3 and at least partial Cascade proteins, which are involved in interference and/or CRISPR RNA maturation. Intriguingly, a preexisting spacer partially matching a viral sequence is also required, and spacer acquisition from upstream and downstream sequences of its target sequence (i.e. priming protospacer) shows different strand bias. These evidences strongly indicate that adaptation in this system strictly requires a priming process. This requirement, if validated also true for other CRISPR systems as implied by our bioinformatic analysis, may help to explain failures to observe efficient adaptation to purified viruses in many laboratory strains, and the discrimination mechanism at the adaptation level that has confused scientists for years. PMID:24265226

  10. CRISPR-Cas adaptation: insights into the mechanism of action.

    PubMed

    Amitai, Gil; Sorek, Rotem

    2016-02-01

    Since the first demonstration that CRISPR-Cas systems provide bacteria and archaea with adaptive immunity against phages and plasmids, numerous studies have yielded key insights into the molecular mechanisms governing how these systems attack and degrade foreign DNA. However, the molecular mechanisms underlying the adaptation stage, in which new immunological memory is formed, have until recently represented a major unresolved question. In this Progress article, we discuss recent discoveries that have shown both how foreign DNA is identified by the CRISPR-Cas adaptation machinery and the molecular basis for its integration into the chromosome to form an immunological memory. Furthermore, we describe the roles of each of the specific CRISPR-Cas components that are involved in memory formation, and consider current models for their evolutionary origin.

  11. Annotation and Classification of CRISPR-Cas Systems

    PubMed Central

    Makarova, Kira S.; Koonin, Eugene V.

    2018-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)-Cas (CRISPR-associated proteins) is a prokaryotic adaptive immune system that is represented in most archaea and many bacteria. Among the currently known prokaryotic defense systems, the CRISPR-Cas genomic loci show unprecedented complexity and diversity. Classification of CRISPR-Cas variants that would capture their evolutionary relationships to the maximum possible extent is essential for comparative genomic and functional characterization of this theoretically and practically important system of adaptive immunity. To this end, a multipronged approach has been developed that combines phylogenetic analysis of the conserved Cas proteins with comparison of gene repertoires and arrangements in CRISPR-Cas loci. This approach led to the current classification of CRISPR-Cas systems into three distinct types and ten subtypes for each of which signature genes have been identified. Comparative genomic analysis of the CRISPR-Cas systems in new archaeal and bacterial genomes performed over the 3 years elapsed since the development of this classification makes it clear that new types and subtypes of CRISPR-Cas need to be introduced. Moreover, this classification system captures only part of the complexity of CRISPR-Cas organization and evolution, due to the intrinsic modularity and evolutionary mobility of these immunity systems, resulting in numerous recombinant variants. Moreover, most of the cas genes evolve rapidly, complicating the family assignment for many Cas proteins and the use of family profiles for the recognition of CRISPR-Cas subtype signatures. Further progress in the comparative analysis of CRISPR-Cas systems requires integration of the most sensitive sequence comparison tools, protein structure comparison, and refined approaches for comparison of gene neighborhoods. PMID:25981466

  12. Annotation and Classification of CRISPR-Cas Systems.

    PubMed

    Makarova, Kira S; Koonin, Eugene V

    2015-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)-Cas (CRISPR-associated proteins) is a prokaryotic adaptive immune system that is represented in most archaea and many bacteria. Among the currently known prokaryotic defense systems, the CRISPR-Cas genomic loci show unprecedented complexity and diversity. Classification of CRISPR-Cas variants that would capture their evolutionary relationships to the maximum possible extent is essential for comparative genomic and functional characterization of this theoretically and practically important system of adaptive immunity. To this end, a multipronged approach has been developed that combines phylogenetic analysis of the conserved Cas proteins with comparison of gene repertoires and arrangements in CRISPR-Cas loci. This approach led to the current classification of CRISPR-Cas systems into three distinct types and ten subtypes for each of which signature genes have been identified. Comparative genomic analysis of the CRISPR-Cas systems in new archaeal and bacterial genomes performed over the 3 years elapsed since the development of this classification makes it clear that new types and subtypes of CRISPR-Cas need to be introduced. Moreover, this classification system captures only part of the complexity of CRISPR-Cas organization and evolution, due to the intrinsic modularity and evolutionary mobility of these immunity systems, resulting in numerous recombinant variants. Moreover, most of the cas genes evolve rapidly, complicating the family assignment for many Cas proteins and the use of family profiles for the recognition of CRISPR-Cas subtype signatures. Further progress in the comparative analysis of CRISPR-Cas systems requires integration of the most sensitive sequence comparison tools, protein structure comparison, and refined approaches for comparison of gene neighborhoods.

  13. Cas9 specifies functional viral targets during CRISPR-Cas adaptation.

    PubMed

    Heler, Robert; Samai, Poulami; Modell, Joshua W; Weiner, Catherine; Goldberg, Gregory W; Bikard, David; Marraffini, Luciano A

    2015-03-12

    Clustered regularly interspaced short palindromic repeat (CRISPR) loci and their associated (Cas) proteins provide adaptive immunity against viral infection in prokaryotes. Upon infection, short phage sequences known as spacers integrate between CRISPR repeats and are transcribed into small RNA molecules that guide the Cas9 nuclease to the viral targets (protospacers). Streptococcus pyogenes Cas9 cleavage of the viral genome requires the presence of a 5'-NGG-3' protospacer adjacent motif (PAM) sequence immediately downstream of the viral target. It is not known whether and how viral sequences flanked by the correct PAM are chosen as new spacers. Here we show that Cas9 selects functional spacers by recognizing their PAM during spacer acquisition. The replacement of cas9 with alleles that lack the PAM recognition motif or recognize an NGGNG PAM eliminated or changed PAM specificity during spacer acquisition, respectively. Cas9 associates with other proteins of the acquisition machinery (Cas1, Cas2 and Csn2), presumably to provide PAM-specificity to this process. These results establish a new function for Cas9 in the genesis of prokaryotic immunological memory.

  14. Exploiting CRISPR/Cas systems for biotechnology

    PubMed Central

    Sampson, Timothy R.; Weiss, David S.

    2015-01-01

    The Cas9 endonuclease is the central component of the Type II CRISPR/Cas system, a prokaryotic adaptive restriction system against invading nucleic acids, such as those originating from bacteriophages and plasmids. Recently, this RNA-directed DNA endonuclease has been harnessed to target DNA sequences of interest. Here, we review the development of Cas9 as an important tool to not only edit the genomes of a number of different prokaryotic and eukaryotic species, but also as an efficient system for site-specific transcriptional repression or activation. Additionally, a specific Cas9 protein has been observed to target an RNA substrate, suggesting that Cas9 may have the ability to be programmed to target RNA as well. Cas proteins from other CRISPR/Cas subtypes may also be exploited in this regard. Thus, CRISPR/Cas systems represent an effective and versatile biotechnological tool, which will have significant impact on future advancements in genome engineering. PMID:24323919

  15. Exploiting CRISPR/Cas systems for biotechnology.

    PubMed

    Sampson, Timothy R; Weiss, David S

    2014-01-01

    The Cas9 endonuclease is the central component of the Type II CRISPR/Cas system, a prokaryotic adaptive restriction system against invading nucleic acids, such as those originating from bacteriophages and plasmids. Recently, this RNA-directed DNA endonuclease has been harnessed to target DNA sequences of interest. Here, we review the development of Cas9 as an important tool to not only edit the genomes of a number of different prokaryotic and eukaryotic species, but also as an efficient system for site-specific transcriptional repression or activation. Additionally, a specific Cas9 protein has been observed to target an RNA substrate, suggesting that Cas9 may have the ability to be programmed to target RNA as well. Cas proteins from other CRISPR/Cas subtypes may also be exploited in this regard. Thus, CRISPR/Cas systems represent an effective and versatile biotechnological tool, which will have significant impact on future advancements in genome engineering. © 2014 WILEY Periodicals, Inc.

  16. [Advances in molecular mechanisms of adaptive immunity mediated by type I-E CRISPR/Cas system--A review].

    PubMed

    Sun, Dongchang; Qiu, Juanping

    2016-01-04

    To better adapt to the environment, prokaryocyte can take up exogenous genes (from bacteriophages, plasmids or genomes of other species) through horizontal gene transfer. Accompanied by the acquisition of exogenous genes, prokaryocyte is challenged by the invasion of 'selfish genes'. Therefore, to protect against the risk of gene transfer, prokaryocyte needs to establish mechanisms for selectively taking up or degrading exogenous DNA. In recent years, researchers discovered an adaptive immunity, which is mediated by the small RNA guided DNA degradation, prevents the invasion of exogenous genes in prokaryocyte. During the immune process, partial DNA fragments are firstly integrated.to the clustered regularly interspaced short palindromic repeats (CRISPR) located within the genome DNA, and then the mature CRISPR RNA transcript and the CRISPR associated proteins (Cas) form a complex CRISPR/Cas for degrading exogenous DNA. In this review, we will first briefly describe the CRISPR/Cas systems and then mainly focus on the recent advances of the function mechanism and the regulation mechanism of the type I-E CRISPR/Cas system in Escherichia coli.

  17. CALM: Complex Adaptive System (CAS)-Based Decision Support for Enabling Organizational Change

    NASA Astrophysics Data System (ADS)

    Adler, Richard M.; Koehn, David J.

    Guiding organizations through transformational changes such as restructuring or adopting new technologies is a daunting task. Such changes generate workforce uncertainty, fear, and resistance, reducing morale, focus and performance. Conventional project management techniques fail to mitigate these disruptive effects, because social and individual changes are non-mechanistic, organic phenomena. CALM (for Change, Adaptation, Learning Model) is an innovative decision support system for enabling change based on CAS principles. CALM provides a low risk method for validating and refining change strategies that combines scenario planning techniques with "what-if" behavioral simulation. In essence, CALM "test drives" change strategies before rolling them out, allowing organizations to practice and learn from virtual rather than actual mistakes. This paper describes the CALM modeling methodology, including our metrics for measuring organizational readiness to respond to change and other major CALM scenario elements: prospective change strategies; alternate futures; and key situational dynamics. We then describe CALM's simulation engine for projecting scenario outcomes and its associated analytics. CALM's simulator unifies diverse behavioral simulation paradigms including: adaptive agents; system dynamics; Monte Carlo; event- and process-based techniques. CALM's embodiment of CAS dynamics helps organizations reduce risk and improve confidence and consistency in critical strategies for enabling transformations.

  18. Using CRISPR-Cas systems as antimicrobials.

    PubMed

    Bikard, David; Barrangou, Rodolphe

    2017-06-01

    Although CRISPR-Cas systems naturally evolved to provide adaptive immunity in bacteria and archaea, Cas nucleases can be co-opted to target chromosomal sequences rather than invasive genetic elements. Although genome editing is the primary outcome of self-targeting using CRISPR-based technologies in eukaryotes, self-targeting by CRISPR is typically lethal in bacteria. Here, we discuss how DNA damage introduced by Cas nucleases in bacteria can efficiently and specifically lead to plasmid curing or drive cell death. Specifically, we discuss how various CRISPR-Cas systems can be engineered and delivered using phages or phagemids as vectors. These principles establish CRISPR-Cas systems as potent and programmable antimicrobials, and open new avenues for the development of CRISPR-based tools for selective removal of bacterial pathogens and precise microbiome composition alteration. Copyright © 2017 Elsevier Ltd. All rights reserved.

  19. Molecular Mechanisms of RNA-Targeting by Cas13-containing Type VI CRISPR-Cas Systems.

    PubMed

    O'Connell, Mitchell

    2018-06-22

    Prokaryotic adaptive immune systems use CRISPRs (Clustered Regularly Interspaced Short Palindromic Repeats) and CRISPR associated (Cas) proteins for RNA-guided cleavage of foreign genetic elements. The focus of this review, Type VI CRISPR-Cas systems, include a single protein known as Cas13 (formerly C2c2), that when assembled with a crRNA forms a crRNA-guided RNA-targeting effector complex. Type VI CRISPR-Cas systems can be divided into four subtypes (A-D) based on Cas13 phylogeny. All Cas13 proteins studied to date possess two enzymatically distinct ribonuclease activities that are required for optimal interference. One RNase is responsible for pre-crRNA processing to form mature Type VI interference complexes, while the other RNase activity provided by the two HEPN (Higher Eukaryotes and Prokaryotes Nucleotide-binding) domains, is required for degradation of target RNA during viral interference. In this review, I will compare and contrast what is known about the molecular architecture and behavior of Type VI (A-D) CRISPR-Cas13 interference complexes, how this allows them to carry out their RNA-targeting function, how Type VI accessory proteins are able to modulate Cas13 activity, and how together all of these features have led to the rapid development of a range of RNA-targeting applications. Throughout I will also discuss some of the outstanding questions regarding Cas13's molecular behavior, and its role in bacterial adaptive immunity and RNA-targeting applications. Copyright © 2018. Published by Elsevier Ltd.

  20. Evolution and classification of the CRISPR-Cas systems

    PubMed Central

    S. Makarova, Kira; H. Haft, Daniel; Barrangou, Rodolphe; J. J. Brouns, Stan; Charpentier, Emmanuelle; Horvath, Philippe; Moineau, Sylvain; J. M. Mojica, Francisco; I. Wolf, Yuri; Yakunin, Alexander F.; van der Oost, John; V. Koonin, Eugene

    2012-01-01

    The CRISPR–Cas (clustered regularly interspaced short palindromic repeats–CRISPR-associated proteins) modules are adaptive immunity systems that are present in many archaea and bacteria. These defence systems are encoded by operons that have an extraordinarily diverse architecture and a high rate of evolution for both the cas genes and the unique spacer content. Here, we provide an updated analysis of the evolutionary relationships between CRISPR–Cas systems and Cas proteins. Three major types of CRISPR–Cas system are delineated, with a further division into several subtypes and a few chimeric variants. Given the complexity of the genomic architectures and the extremely dynamic evolution of the CRISPR–Cas systems, a unified classification of these systems should be based on multiple criteria. Accordingly, we propose a `polythetic' classification that integrates the phylogenies of the most common cas genes, the sequence and organization of the CRISPR repeats and the architecture of the CRISPR–cas loci. PMID:21552286

  1. Requirements for Pseudomonas aeruginosa Type I-F CRISPR-Cas Adaptation Determined Using a Biofilm Enrichment Assay.

    PubMed

    Heussler, Gary E; Miller, Jon L; Price, Courtney E; Collins, Alan J; O'Toole, George A

    2016-11-15

    CRISPR (clustered regularly interspaced short palindromic repeat)-Cas (CRISPR-associated protein) systems are diverse and found in many archaea and bacteria. These systems have mainly been characterized as adaptive immune systems able to protect against invading mobile genetic elements, including viruses. The first step in this protection is acquisition of spacer sequences from the invader DNA and incorporation of those sequences into the CRISPR array, termed CRISPR adaptation. Progress in understanding the mechanisms and requirements of CRISPR adaptation has largely been accomplished using overexpression of cas genes or plasmid loss assays; little work has focused on endogenous CRISPR-acquired immunity from viral predation. Here, we developed a new biofilm-based assay system to enrich for Pseudomonas aeruginosa strains with new spacer acquisition. We used this assay to demonstrate that P. aeruginosa rapidly acquires spacers protective against DMS3vir, an engineered lytic variant of the Mu-like bacteriophage DMS3, through primed CRISPR adaptation from spacers present in the native CRISPR2 array. We found that for the P. aeruginosa type I-F system, the cas1 gene is required for CRISPR adaptation, recG contributes to (but is not required for) primed CRISPR adaptation, recD is dispensable for primed CRISPR adaptation, and finally, the ability of a putative priming spacer to prime can vary considerably depending on the specific sequences of the spacer. Our understanding of CRISPR adaptation has expanded largely through experiments in type I CRISPR systems using plasmid loss assays, mutants of Escherichia coli, or cas1-cas2 overexpression systems, but there has been little focus on studying the adaptation of endogenous systems protecting against a lytic bacteriophage. Here we describe a biofilm system that allows P. aeruginosa to rapidly gain spacers protective against a lytic bacteriophage. This approach has allowed us to probe the requirements for CRISPR adaptation in

  2. New CRISPR-Cas systems from uncultivated microbes

    NASA Astrophysics Data System (ADS)

    Burstein, David; Harrington, Lucas B.; Strutt, Steven C.; Probst, Alexander J.; Anantharaman, Karthik; Thomas, Brian C.; Doudna, Jennifer A.; Banfield, Jillian F.

    2017-02-01

    CRISPR-Cas systems provide microbes with adaptive immunity by employing short DNA sequences, termed spacers, that guide Cas proteins to cleave foreign DNA. Class 2 CRISPR-Cas systems are streamlined versions, in which a single RNA-bound Cas protein recognizes and cleaves target sequences. The programmable nature of these minimal systems has enabled researchers to repurpose them into a versatile technology that is broadly revolutionizing biological and clinical research. However, current CRISPR-Cas technologies are based solely on systems from isolated bacteria, leaving the vast majority of enzymes from organisms that have not been cultured untapped. Metagenomics, the sequencing of DNA extracted directly from natural microbial communities, provides access to the genetic material of a huge array of uncultivated organisms. Here, using genome-resolved metagenomics, we identify a number of CRISPR-Cas systems, including the first reported Cas9 in the archaeal domain of life, to our knowledge. This divergent Cas9 protein was found in little-studied nanoarchaea as part of an active CRISPR-Cas system. In bacteria, we discovered two previously unknown systems, CRISPR-CasX and CRISPR-CasY, which are among the most compact systems yet discovered. Notably, all required functional components were identified by metagenomics, enabling validation of robust in vivo RNA-guided DNA interference activity in Escherichia coli. Interrogation of environmental microbial communities combined with in vivo experiments allows us to access an unprecedented diversity of genomes, the content of which will expand the repertoire of microbe-based biotechnologies.

  3. The Reverse Transcriptases Associated with CRISPR-Cas Systems.

    PubMed

    Toro, Nicolás; Martínez-Abarca, Francisco; González-Delgado, Alejandro

    2017-08-02

    CRISPR (clustered regularly interspaced short palindromic repeats) and associated proteins (Cas) act as adaptive immune systems in bacteria and archaea. Some CRISPR-Cas systems have been found to be associated with putative reverse transcriptases (RT), and an RT-Cas1 fusion associated with a type III-B system has been shown to acquire RNA spacers in vivo. Nevertheless, the origin and evolutionary relationships of these RTs and associated CRISPR-Cas systems remain largely unknown. We performed a comprehensive phylogenetic analysis of these RTs and associated Cas1 proteins, and classified their CRISPR-Cas modules. These systems were found predominantly in bacteria, and their presence in archaea may be due to a horizontal gene transfer event. These RTs cluster into 12 major clades essentially restricted to particular phyla, suggesting host-dependent functioning. The RTs and associated Cas1 proteins may have largely coevolved. They are, therefore, subject to the same selection pressures, which may have led to coadaptation within particular protein complexes. Furthermore, our results indicate that the association of an RT with a CRISPR-Cas system has occurred on multiple occasions during evolution.

  4. Determining the Specificity of Cascade Binding, Interference, and Primed Adaptation In Vivo in the Escherichia coli Type I-E CRISPR-Cas System

    PubMed Central

    Cooper, Lauren A.; Stringer, Anne M.

    2018-01-01

    ABSTRACT In clustered regularly interspaced short palindromic repeat (CRISPR)-Cas (CRISPR-associated) immunity systems, short CRISPR RNAs (crRNAs) are bound by Cas proteins, and these complexes target invading nucleic acid molecules for degradation in a process known as interference. In type I CRISPR-Cas systems, the Cas protein complex that binds DNA is known as Cascade. Association of Cascade with target DNA can also lead to acquisition of new immunity elements in a process known as primed adaptation. Here, we assess the specificity determinants for Cascade-DNA interaction, interference, and primed adaptation in vivo, for the type I-E system of Escherichia coli. Remarkably, as few as 5 bp of crRNA-DNA are sufficient for association of Cascade with a DNA target. Consequently, a single crRNA promotes Cascade association with numerous off-target sites, and the endogenous E. coli crRNAs direct Cascade binding to >100 chromosomal sites. In contrast to the low specificity of Cascade-DNA interactions, >18 bp are required for both interference and primed adaptation. Hence, Cascade binding to suboptimal, off-target sites is inert. Our data support a model in which the initial Cascade association with DNA targets requires only limited sequence complementarity at the crRNA 5′ end whereas recruitment and/or activation of the Cas3 nuclease, a prerequisite for interference and primed adaptation, requires extensive base pairing. PMID:29666291

  5. Spacer-length DNA intermediates are associated with Cas1 in cells undergoing primed CRISPR adaptation.

    PubMed

    Musharova, Olga; Klimuk, Evgeny; Datsenko, Kirill A; Metlitskaya, Anastasia; Logacheva, Maria; Semenova, Ekaterina; Severinov, Konstantin; Savitskaya, Ekaterina

    2017-04-07

    During primed CRISPR adaptation spacers are preferentially selected from DNA recognized by CRISPR interference machinery, which in the case of Type I CRISPR-Cas systems consists of CRISPR RNA (crRNA) bound effector Cascade complex that locates complementary targets, and Cas3 executor nuclease/helicase. A complex of Cas1 and Cas2 proteins is capable of inserting new spacers in the CRISPR array. Here, we show that in Escherichia coli cells undergoing primed adaptation, spacer-sized fragments of foreign DNA are associated with Cas1. Based on sensitivity to digestion with nucleases, the associated DNA is not in a standard double-stranded state. Spacer-sized fragments are cut from one strand of foreign DNA in Cas1- and Cas3-dependent manner. These fragments are generated from much longer S1-nuclease sensitive fragments of foreign DNA that require Cas3 for their production. We propose that in the course of CRISPR interference Cas3 generates fragments of foreign DNA that are recognized by the Cas1-Cas2 adaptation complex, which excises spacer-sized fragments and channels them for insertion into CRISPR array. © The Author(s) 2017. Published by Oxford University Press on behalf of Nucleic Acids Research.

  6. New CRISPR–Cas systems from uncultivated microbes

    DOE PAGES

    Burstein, David; Harrington, Lucas B.; Strutt, Steven C.; ...

    2016-12-22

    We present that CRISPR-Cas systems provide microbes with adaptive immunity by employing short DNA sequences, termed spacers, that guide Cas proteins to cleave foreign DNA. Class 2 CRISPR-Cas systems are streamlined versions, in which a single RNA-bound Cas protein recognizes and cleaves target sequences. The programmable nature of these minimal systems has enabled researchers to repurpose them into a versatile technology that is broadly revolutionizing biological and clinical research. However, current CRISPR-Cas technologies are based solely on systems from isolated bacteria, leaving the vast majority of enzymes from organisms that have not been cultured untapped. Metagenomics, the sequencing of DNAmore » extracted directly from natural microbial communities, provides access to the genetic material of a huge array of uncultivated organisms. Here, using genome-resolved metagenomics, we identify a number of CRISPR-Cas systems, including the first reported Cas9 in the archaeal domain of life, to our knowledge. This divergent Cas9 protein was found in little-studied nanoarchaea as part of an active CRISPR-Cas system. In bacteria, we discovered two previously unknown systems, CRISPR-CasX and CRISPR-CasY, which are among the most compact systems yet discovered. Notably, all required functional components were identified by metagenomics, enabling validation of robust in vivo RNA-guided DNA interference activity in Escherichia coli. Lastly, interrogation of environmental microbial communities combined with in vivo experiments allows us to access an unprecedented diversity of genomes, the content of which will expand the repertoire of microbe-based biotechnologies.« less

  7. New CRISPR–Cas systems from uncultivated microbes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Burstein, David; Harrington, Lucas B.; Strutt, Steven C.

    We present that CRISPR-Cas systems provide microbes with adaptive immunity by employing short DNA sequences, termed spacers, that guide Cas proteins to cleave foreign DNA. Class 2 CRISPR-Cas systems are streamlined versions, in which a single RNA-bound Cas protein recognizes and cleaves target sequences. The programmable nature of these minimal systems has enabled researchers to repurpose them into a versatile technology that is broadly revolutionizing biological and clinical research. However, current CRISPR-Cas technologies are based solely on systems from isolated bacteria, leaving the vast majority of enzymes from organisms that have not been cultured untapped. Metagenomics, the sequencing of DNAmore » extracted directly from natural microbial communities, provides access to the genetic material of a huge array of uncultivated organisms. Here, using genome-resolved metagenomics, we identify a number of CRISPR-Cas systems, including the first reported Cas9 in the archaeal domain of life, to our knowledge. This divergent Cas9 protein was found in little-studied nanoarchaea as part of an active CRISPR-Cas system. In bacteria, we discovered two previously unknown systems, CRISPR-CasX and CRISPR-CasY, which are among the most compact systems yet discovered. Notably, all required functional components were identified by metagenomics, enabling validation of robust in vivo RNA-guided DNA interference activity in Escherichia coli. Lastly, interrogation of environmental microbial communities combined with in vivo experiments allows us to access an unprecedented diversity of genomes, the content of which will expand the repertoire of microbe-based biotechnologies.« less

  8. Determining the Specificity of Cascade Binding, Interference, and Primed Adaptation In Vivo in the Escherichia coli Type I-E CRISPR-Cas System.

    PubMed

    Cooper, Lauren A; Stringer, Anne M; Wade, Joseph T

    2018-04-17

    In clustered regularly interspaced short palindromic repeat (CRISPR)-Cas (CRISPR-associated) immunity systems, short CRISPR RNAs (crRNAs) are bound by Cas proteins, and these complexes target invading nucleic acid molecules for degradation in a process known as interference. In type I CRISPR-Cas systems, the Cas protein complex that binds DNA is known as Cascade. Association of Cascade with target DNA can also lead to acquisition of new immunity elements in a process known as primed adaptation. Here, we assess the specificity determinants for Cascade-DNA interaction, interference, and primed adaptation in vivo , for the type I-E system of Escherichia coli Remarkably, as few as 5 bp of crRNA-DNA are sufficient for association of Cascade with a DNA target. Consequently, a single crRNA promotes Cascade association with numerous off-target sites, and the endogenous E. coli crRNAs direct Cascade binding to >100 chromosomal sites. In contrast to the low specificity of Cascade-DNA interactions, >18 bp are required for both interference and primed adaptation. Hence, Cascade binding to suboptimal, off-target sites is inert. Our data support a model in which the initial Cascade association with DNA targets requires only limited sequence complementarity at the crRNA 5' end whereas recruitment and/or activation of the Cas3 nuclease, a prerequisite for interference and primed adaptation, requires extensive base pairing. IMPORTANCE Many bacterial and archaeal species encode CRISPR-Cas immunity systems that protect against invasion by foreign DNA. In the Escherichia coli CRISPR-Cas system, a protein complex, Cascade, binds 61-nucleotide (nt) CRISPR RNAs (crRNAs). The Cascade complex is directed to invading DNA molecules through base pairing between the crRNA and target DNA. This leads to recruitment of the Cas3 nuclease, which destroys the invading DNA molecule and promotes acquisition of new immunity elements. We made the first in vivo measurements of Cascade binding to DNA

  9. CRISPR/Cas9 Immune System as a Tool for Genome Engineering.

    PubMed

    Hryhorowicz, Magdalena; Lipiński, Daniel; Zeyland, Joanna; Słomski, Ryszard

    2017-06-01

    CRISPR/Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated) adaptive immune systems constitute a bacterial defence against invading nucleic acids derived from bacteriophages or plasmids. This prokaryotic system was adapted in molecular biology and became one of the most powerful and versatile platforms for genome engineering. CRISPR/Cas9 is a simple and rapid tool which enables the efficient modification of endogenous genes in various species and cell types. Moreover, a modified version of the CRISPR/Cas9 system with transcriptional repressors or activators allows robust transcription repression or activation of target genes. The simplicity of CRISPR/Cas9 has resulted in the widespread use of this technology in many fields, including basic research, biotechnology and biomedicine.

  10. Comparative analysis of CRISPR-Cas systems in Klebsiella genomes.

    PubMed

    Shen, Juntao; Lv, Li; Wang, Xudong; Xiu, Zhilong; Chen, Guoqiang

    2017-04-01

    Prokaryotic CRISPR-Cas system provides adaptive immunity against invasive genetic elements. Bacteria of the genus Klebsiella are important nosocomial opportunistic pathogens. However, information of CRISPR-Cas system in Klebsiella remains largely unknown. Here, we analyzed the CRISPR-Cas systems of 68 complete genomes of Klebsiella representing four species. All the elements for CRISPR-Cas system (cas genes, repeats, leader sequences, and PAMs) were characterized. Besides the typical Type I-E and I-F CRISPR-Cas systems, a new Subtype I system located in the ABC transport system-glyoxalase region was found. The conservation of the new subtype CRISPR system between different species showed new evidence for CRISPR horizontal transfer. CRISPR polymorphism was strongly correlated both with species and multilocus sequence types. Some results indicated the function of adaptive immunity: most spacers (112 of 124) matched to prophages and plasmids and no matching housekeeping genes; new spacer acquisition was observed within the same sequence type (ST) and same clonal complex; the identical spacers were observed only in the ancient position (far from the leader) between different STs and clonal complexes. Interestingly, a high ratio of self-targeting spacers (7.5%, 31 of 416) was found in CRISPR-bearing Klebsiella pneumoniae (61%, 11 of 18). In some strains, there even were multiple full matching self-targeting spacers. Some self-targeting spacers were conserved even between different STs. These results indicated that some unknown mechanisms existed to compromise the function of self-targets of CRISPR-Cas systems in K. pneumoniae. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Evolution of the CRISPR-Cas adaptive immunity systems in prokaryotes: models and observations on virus-host coevolution.

    PubMed

    Koonin, Eugene V; Wolf, Yuri I

    2015-01-01

    CRISPR-Cas is an adaptive immunity system in prokaryotes that functions via a unique mechanism which involves incorporation of foreign DNA fragments into CRISPR arrays and subsequent utilization of transcripts of these inserts (known as spacers) as guide RNAs to cleave the cognate selfish element genome. Multiple attempts have been undertaken to explore the coevolution of viruses and microbial hosts carrying CRISPR-Cas using mathematical models that employ either systems of differential equations or an agent-based approach, or combinations thereof. Analysis of these models reveals highly complex co-evolutionary dynamics that ensues from the combination of the heritability of the CRISPR-mediated adaptive immunity with the existence of different degrees of immunity depending on the number of cognate spacers and the cost of carrying a CRISPR-Cas locus. Depending on the details of the models, a variety of testable, sometimes conflicting predictions have been made on the dependence of the degree of immunity and the benefit of maintaining CRISPR-Cas on the abundance and diversity of hosts and viruses. Some of these predictions have already been directly validated experimentally. In particular, both the reality of the virus-host arms race, with viruses escaping resistance and hosts reacquiring it through the capture of new spacers, and the fitness cost of CRISPR-Cas due to the curtailment of beneficial HGT have been reproduced in the laboratory. However, to test the predictions of the models more specifically, detailed studies of coevolving populations of microbes and viruses both in nature and in the laboratory are essential. Such analyses are expected to yield disagreements with the predictions of the current, oversimplified models and to trigger a new round of theoretical developments.

  12. Control of gene expression by CRISPR-Cas systems

    PubMed Central

    2013-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) loci and their associated cas (CRISPR-associated) genes provide adaptive immunity against viruses (phages) and other mobile genetic elements in bacteria and archaea. While most of the early work has largely been dominated by examples of CRISPR-Cas systems directing the cleavage of phage or plasmid DNA, recent studies have revealed a more complex landscape where CRISPR-Cas loci might be involved in gene regulation. In this review, we summarize the role of these loci in the regulation of gene expression as well as the recent development of synthetic gene regulation using engineered CRISPR-Cas systems. PMID:24273648

  13. Crystal Structure of Streptococcus pyogenes Cas1 and Its Interaction with Csn2 in the Type II CRISPR-Cas System.

    PubMed

    Ka, Donghyun; Lee, Hasup; Jung, Yi-Deun; Kim, Kyunggon; Seok, Chaok; Suh, Nayoung; Bae, Euiyoung

    2016-01-05

    CRISPRs and Cas proteins constitute an RNA-guided microbial immune system against invading nucleic acids. Cas1 is a universal Cas protein found in all three types of CRISPR-Cas systems, and its role is implicated in new spacer acquisition during CRISPR-mediated adaptive immunity. Here, we report the crystal structure of Streptococcus pyogenes Cas1 (SpCas1) in a type II CRISPR-Cas system and characterize its interaction with S. pyogenes Csn2 (SpCsn2). The SpCas1 structure reveals a unique conformational state distinct from type I Cas1 structures, resulting in a more extensive dimerization interface, a more globular overall structure, and a disruption of potential metal-binding sites for catalysis. We demonstrate that SpCas1 directly interacts with SpCsn2, and identify the binding interface and key residues for Cas complex formation. These results provide structural information for a type II Cas1 protein, and lay a foundation for studying multiprotein Cas complexes functioning in type II CRISPR-Cas systems. Copyright © 2016 Elsevier Ltd. All rights reserved.

  14. Unravelling the structural and mechanistic basis of CRISPR–Cas systems

    PubMed Central

    van der Oost, John; Westra, Edze R.; Jackson, Ryan N.; Wiedenheft, Blake

    2014-01-01

    Bacteria and archaea have evolved sophisticated adaptive immune systems, known as CRISPR–Cas (clustered regularly interspaced short palindromic repeats–CRISPR-associated proteins) systems, which target and inactivate invading viruses and plasmids. Immunity is acquired by integrating short fragments of foreign DNA into CRISPR loci, and following transcription and processing of these loci, the CRISPR RNAs (crRNAs) guide the Cas proteins to complementary invading nucleic acid, which results in target interference. In this Review, we summarize the recent structural and biochemical insights that have been gained for the three major types of CRISPR–Cas systems, which together provide a detailed molecular understanding of the unique and conserved mechanisms of RNA-guided adaptive immunity in bacteria and archaea. PMID:24909109

  15. Unravelling the structural and mechanistic basis of CRISPR-Cas systems.

    PubMed

    van der Oost, John; Westra, Edze R; Jackson, Ryan N; Wiedenheft, Blake

    2014-07-01

    Bacteria and archaea have evolved sophisticated adaptive immune systems, known as CRISPR-Cas (clustered regularly interspaced short palindromic repeats-CRISPR-associated proteins) systems, which target and inactivate invading viruses and plasmids. Immunity is acquired by integrating short fragments of foreign DNA into CRISPR loci, and following transcription and processing of these loci, the CRISPR RNAs (crRNAs) guide the Cas proteins to complementary invading nucleic acid, which results in target interference. In this Review, we summarize the recent structural and biochemical insights that have been gained for the three major types of CRISPR-Cas systems, which together provide a detailed molecular understanding of the unique and conserved mechanisms of RNA-guided adaptive immunity in bacteria and archaea.

  16. Applications of CRISPR/Cas System to Bacterial Metabolic Engineering.

    PubMed

    Cho, Suhyung; Shin, Jongoh; Cho, Byung-Kwan

    2018-04-05

    The clustered regularly interspaced short palindromic repeats/CRISPR-associated (CRISPR/Cas) adaptive immune system has been extensively used for gene editing, including gene deletion, insertion, and replacement in bacterial and eukaryotic cells owing to its simple, rapid, and efficient activities in unprecedented resolution. Furthermore, the CRISPR interference (CRISPRi) system including deactivated Cas9 (dCas9) with inactivated endonuclease activity has been further investigated for regulation of the target gene transiently or constitutively, avoiding cell death by disruption of genome. This review discusses the applications of CRISPR/Cas for genome editing in various bacterial systems and their applications. In particular, CRISPR technology has been used for the production of metabolites of high industrial significance, including biochemical, biofuel, and pharmaceutical products/precursors in bacteria. Here, we focus on methods to increase the productivity and yield/titer scan by controlling metabolic flux through individual or combinatorial use of CRISPR/Cas and CRISPRi systems with introduction of synthetic pathway in industrially common bacteria including Escherichia coli . Further, we discuss additional useful applications of the CRISPR/Cas system, including its use in functional genomics.

  17. The CRISPR-Cas9 system in Neisseria spp.

    PubMed Central

    2017-01-01

    Abstract Bacteria and archaea possess numerous defense systems to combat viral infections and other mobile genetic elements. Uniquely among these, CRISPR-Cas (clustered, regularly interspaced short palindromic repeats-CRISPR associated) provides adaptive genetic interference against foreign nucleic acids. Here we review recent advances on the CRISPR-Cas9 system in Neisseria spp, with a focus on its biological functions in genetic transfer, its mechanistic features that establish new paradigms and its technological applications in eukaryotic genome engineering. PMID:28369433

  18. An updated evolutionary classification of CRISPR–Cas systems

    PubMed Central

    Makarova, Kira S.; Wolf, Yuri I.; Alkhnbashi, Omer S.; Costa, Fabrizio; Shah, Shiraz A.; Saunders, Sita J.; Barrangou, Rodolphe; Brouns, Stan J. J.; Charpentier, Emmanuelle; Haft, Daniel H.; Horvath, Philippe; Moineau, Sylvain; Mojica, Francisco J. M.; Terns, Rebecca M.; Terns, Michael P.; White, Malcolm F.; Yakunin, Alexander F.; Garrett, Roger A.; van der Oost, John; Backofen, Rolf; Koonin, Eugene V.

    2017-01-01

    The evolution of CRISPR–cas loci, which encode adaptive immune systems in archaea and bacteria, involves rapid changes, in particular numerous rearrangements of the locus architecture and horizontal transfer of complete loci or individual modules. These dynamics complicate straightforward phylogenetic classification, but here we present an approach combining the analysis of signature protein families and features of the architecture of cas loci that unambiguously partitions most CRISPR–cas loci into distinct classes, types and subtypes. The new classification retains the overall structure of the previous version but is expanded to now encompass two classes, five types and 16 subtypes. The relative stability of the classification suggests that the most prevalent variants of CRISPR–Cas systems are already known. However, the existence of rare, currently unclassifiable variants implies that additional types and subtypes remain to be characterized. PMID:26411297

  19. On the Origin of Reverse Transcriptase-Using CRISPR-Cas Systems and Their Hyperdiverse, Enigmatic Spacer Repertoires.

    PubMed

    Silas, Sukrit; Makarova, Kira S; Shmakov, Sergey; Páez-Espino, David; Mohr, Georg; Liu, Yi; Davison, Michelle; Roux, Simon; Krishnamurthy, Siddharth R; Fu, Becky Xu Hua; Hansen, Loren L; Wang, David; Sullivan, Matthew B; Millard, Andrew; Clokie, Martha R; Bhaya, Devaki; Lambowitz, Alan M; Kyrpides, Nikos C; Koonin, Eugene V; Fire, Andrew Z

    2017-07-11

    Cas1 integrase is the key enzyme of the clustered regularly interspaced short palindromic repeat (CRISPR)-Cas adaptation module that mediates acquisition of spacers derived from foreign DNA by CRISPR arrays. In diverse bacteria, the cas1 gene is fused (or adjacent) to a gene encoding a reverse transcriptase (RT) related to group II intron RTs. An RT-Cas1 fusion protein has been recently shown to enable acquisition of CRISPR spacers from RNA. Phylogenetic analysis of the CRISPR-associated RTs demonstrates monophyly of the RT-Cas1 fusion, and coevolution of the RT and Cas1 domains. Nearly all such RTs are present within type III CRISPR-Cas loci, but their phylogeny does not parallel the CRISPR-Cas type classification, indicating that RT-Cas1 is an autonomous functional module that is disseminated by horizontal gene transfer and can function with diverse type III systems. To compare the sequence pools sampled by RT-Cas1-associated and RT-lacking CRISPR-Cas systems, we obtained samples of a commercially grown cyanobacterium- Arthrospira platensis Sequencing of the CRISPR arrays uncovered a highly diverse population of spacers. Spacer diversity was particularly striking for the RT-Cas1-containing type III-B system, where no saturation was evident even with millions of sequences analyzed. In contrast, analysis of the RT-lacking type III-D system yielded a highly diverse pool but reached a point where fewer novel spacers were recovered as sequencing depth was increased. Matches could be identified for a small fraction of the non-RT-Cas1-associated spacers, and for only a single RT-Cas1-associated spacer. Thus, the principal source(s) of the spacers, particularly the hypervariable spacer repertoire of the RT-associated arrays, remains unknown. IMPORTANCE While the majority of CRISPR-Cas immune systems adapt to foreign genetic elements by capturing segments of invasive DNA, some systems carry reverse transcriptases (RTs) that enable adaptation to RNA molecules. From

  20. Use of the CRISPR/Cas9 system as an intracellular defense against HIV-1 infection in human cells.

    PubMed

    Liao, Hsin-Kai; Gu, Ying; Diaz, Arturo; Marlett, John; Takahashi, Yuta; Li, Mo; Suzuki, Keiichiro; Xu, Ruo; Hishida, Tomoaki; Chang, Chan-Jung; Esteban, Concepcion Rodriguez; Young, John; Izpisua Belmonte, Juan Carlos

    2015-03-10

    To combat hostile viruses, bacteria and archaea have evolved a unique antiviral defense system composed of clustered regularly interspaced short palindromic repeats (CRISPRs), together with CRISPR-associated genes (Cas). The CRISPR/Cas9 system develops an adaptive immune resistance to foreign plasmids and viruses by creating site-specific DNA double-stranded breaks (DSBs). Here we adapt the CRISPR/Cas9 system to human cells for intracellular defense against foreign DNA and viruses. Using HIV-1 infection as a model, our results demonstrate that the CRISPR/Cas9 system disrupts latently integrated viral genome and provides long-term adaptive defense against new viral infection, expression and replication in human cells. We show that engineered human-induced pluripotent stem cells stably expressing HIV-targeted CRISPR/Cas9 can be efficiently differentiated into HIV reservoir cell types and maintain their resistance to HIV-1 challenge. These results unveil the potential of the CRISPR/Cas9 system as a new therapeutic strategy against viral infections.

  1. Genetic and epigenetic control of gene expression by CRISPR–Cas systems

    PubMed Central

    Lo, Albert; Qi, Lei

    2017-01-01

    The discovery and adaption of bacterial clustered regularly interspaced short palindromic repeats (CRISPR)–CRISPR-associated (Cas) systems has revolutionized the way researchers edit genomes. Engineering of catalytically inactivated Cas variants (nuclease-deficient or nuclease-deactivated [dCas]) combined with transcriptional repressors, activators, or epigenetic modifiers enable sequence-specific regulation of gene expression and chromatin state. These CRISPR–Cas-based technologies have contributed to the rapid development of disease models and functional genomics screening approaches, which can facilitate genetic target identification and drug discovery. In this short review, we will cover recent advances of CRISPR–dCas9 systems and their use for transcriptional repression and activation, epigenome editing, and engineered synthetic circuits for complex control of the mammalian genome. PMID:28649363

  2. Evidence for the widespread distribution of CRISPR-Cas system in the Phylum Cyanobacteria

    PubMed Central

    Cai, Fei; Axen, Seth D.; Kerfeld, Cheryl A.

    2013-01-01

    Members of the phylum Cyanobacteria inhabit ecologically diverse environments. However, the CRISPR-Cas (clustered regularly interspaced short palindromic repeats, CRISPR associated genes), an extremely adaptable defense system, has not been surveyed in this phylum. We analyzed 126 cyanobacterial genomes and, surprisingly, found CRISPR-Cas in the majority except the marine subclade (Synechococcus and Prochlorococcus), in which cyanophages are a known force shaping their evolution. Multiple observations of CRISPR loci in the absence of cas1/cas2 genes may represent an early stage of losing a CRISPR-Cas locus. Our findings reveal the widespread distribution of their role in the phylum Cyanobacteria and provide a first step to systematically understanding CRISPR-Cas systems in cyanobacteria. PMID:23628889

  3. CRISPR-Cas systems exploit viral DNA injection to establish and maintain adaptive immunity.

    PubMed

    Modell, Joshua W; Jiang, Wenyan; Marraffini, Luciano A

    2017-04-06

    Clustered regularly interspaced short palindromic repeats (CRISPR)-Cas systems provide protection against viral and plasmid infection by capturing short DNA sequences from these invaders and integrating them into the CRISPR locus of the prokaryotic host. These sequences, known as spacers, are transcribed into short CRISPR RNA guides that specify the cleavage site of Cas nucleases in the genome of the invader. It is not known when spacer sequences are acquired during viral infection. Here, to investigate this, we tracked spacer acquisition in Staphylococcus aureus cells harbouring a type II CRISPR-Cas9 system after infection with the staphylococcal bacteriophage ϕ12. We found that new spacers were acquired immediately after infection preferentially from the cos site, the viral free DNA end that is first injected into the cell. Analysis of spacer acquisition after infection with mutant phages demonstrated that most spacers are acquired during DNA injection, but not during other stages of the viral cycle that produce free DNA ends, such as DNA replication or packaging. Finally, we showed that spacers acquired from early-injected genomic regions, which direct Cas9 cleavage of the viral DNA immediately after infection, provide better immunity than spacers acquired from late-injected regions. Our results reveal that CRISPR-Cas systems exploit the phage life cycle to generate a pattern of spacer acquisition that ensures a successful CRISPR immune response.

  4. The molecular mechanism of CRISPR/Cas9 system and its application in gene therapy of human diseases.

    PubMed

    Qu, Liang; Li, Hua-shan; Jiang, Yun-han; Dong, Chun-sheng

    2015-10-01

    CRISPR/Cas system is an adaptive immune system that confers resistance to exogenous virus or plasmid in bacteria and archaea. In recent years, the booming CRISPR/Cas9 genome editing technology modified from type2 CRISPR/Cas adaptive immune system has been widely applied to various research fields of life science and led to revolutionary changes. In this review, we summarize the origin and development of CRISPR/Cas9 genome editing technology as well as its applications in life science research. We focus on the latest application of this system in gene therapy of human diseases and the associated side/off-target effects, which may provide references for researchers in related areas.

  5. Priming in the Type I-F CRISPR-Cas system triggers strand-independent spacer acquisition, bi-directionally from the primed protospacer.

    PubMed

    Richter, Corinna; Dy, Ron L; McKenzie, Rebecca E; Watson, Bridget N J; Taylor, Corinda; Chang, James T; McNeil, Matthew B; Staals, Raymond H J; Fineran, Peter C

    2014-07-01

    Clustered regularly interspaced short palindromic repeats (CRISPR), in combination with CRISPR associated (cas) genes, constitute CRISPR-Cas bacterial adaptive immune systems. To generate immunity, these systems acquire short sequences of nucleic acids from foreign invaders and incorporate these into their CRISPR arrays as spacers. This adaptation process is the least characterized step in CRISPR-Cas immunity. Here, we used Pectobacterium atrosepticum to investigate adaptation in Type I-F CRISPR-Cas systems. Pre-existing spacers that matched plasmids stimulated hyperactive primed acquisition and resulted in the incorporation of up to nine new spacers across all three native CRISPR arrays. Endogenous expression of the cas genes was sufficient, yet required, for priming. The new spacers inhibited conjugation and transformation, and interference was enhanced with increasing numbers of new spacers. We analyzed ∼ 350 new spacers acquired in priming events and identified a 5'-protospacer-GG-3' protospacer adjacent motif. In contrast to priming in Type I-E systems, new spacers matched either plasmid strand and a biased distribution, including clustering near the primed protospacer, suggested a bi-directional translocation model for the Cas1:Cas2-3 adaptation machinery. Taken together these results indicate priming adaptation occurs in different CRISPR-Cas systems, that it can be highly active in wild-type strains and that the underlying mechanisms vary. © The Author(s) 2014. Published by Oxford University Press on behalf of Nucleic Acids Research.

  6. Delivery strategies of the CRISPR-Cas9 gene-editing system for therapeutic applications.

    PubMed

    Liu, Chang; Zhang, Li; Liu, Hao; Cheng, Kun

    2017-11-28

    The CRISPR-Cas9 genome-editing system is a part of the adaptive immune system in archaea and bacteria to defend against invasive nucleic acids from phages and plasmids. The single guide RNA (sgRNA) of the system recognizes its target sequence in the genome, and the Cas9 nuclease of the system acts as a pair of scissors to cleave the double strands of DNA. Since its discovery, CRISPR-Cas9 has become the most robust platform for genome engineering in eukaryotic cells. Recently, the CRISPR-Cas9 system has triggered enormous interest in therapeutic applications. CRISPR-Cas9 can be applied to correct disease-causing gene mutations or engineer T cells for cancer immunotherapy. The first clinical trial using the CRISPR-Cas9 technology was conducted in 2016. Despite the great promise of the CRISPR-Cas9 technology, several challenges remain to be tackled before its successful applications for human patients. The greatest challenge is the safe and efficient delivery of the CRISPR-Cas9 genome-editing system to target cells in human body. In this review, we will introduce the molecular mechanism and different strategies to edit genes using the CRISPR-Cas9 system. We will then highlight the current systems that have been developed to deliver CRISPR-Cas9 in vitro and in vivo for various therapeutic purposes. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. How type II CRISPR-Cas establish immunity through Cas1-Cas2-mediated spacer integration.

    PubMed

    Xiao, Yibei; Ng, Sherwin; Nam, Ki Hyun; Ke, Ailong

    2017-10-05

    CRISPR (clustered regularly interspaced short palindromic repeats) and the nearby Cas (CRISPR-associated) operon establish an RNA-based adaptive immunity system in prokaryotes. Molecular memory is created when a short foreign DNA-derived prespacer is integrated into the CRISPR array as a new spacer. Whereas the RNA-guided CRISPR interference mechanism varies widely among CRISPR-Cas systems, the spacer integration mechanism is essentially identical. The conserved Cas1 and Cas2 proteins form an integrase complex consisting of two distal Cas1 dimers bridged by a Cas2 dimer. The prespacer is bound by Cas1-Cas2 as a dual-forked DNA, and the terminal 3'-OH of each 3' overhang serves as an attacking nucleophile during integration. The prespacer is preferentially integrated into the leader-proximal region of the CRISPR array, guided by the leader sequence and a pair of inverted repeats inside the CRISPR repeat. Spacer integration in the well-studied Escherichia coli type I-E CRISPR system also relies on the bacterial integration host factor. In type II-A CRISPR, however, Cas1-Cas2 alone integrates spacers efficiently in vitro; other Cas proteins (such as Cas9 and Csn2) have accessory roles in the biogenesis phase of prespacers. Here we present four structural snapshots from the type II-A system of Enterococcus faecalis Cas1 and Cas2 during spacer integration. Enterococcus faecalis Cas1-Cas2 selectively binds to a splayed 30-base-pair prespacer bearing 4-nucleotide 3' overhangs. Three molecular events take place upon encountering a target: first, the Cas1-Cas2-prespacer complex searches for half-sites stochastically, then it preferentially interacts with the leader-side CRISPR repeat, and finally, it catalyses a nucleophilic attack that connects one strand of the leader-proximal repeat to the prespacer 3' overhang. Recognition of the spacer half-site requires DNA bending and leads to full integration. We derive a mechanistic framework to explain the stepwise spacer

  8. Inhibition of NHEJ repair by type II-A CRISPR-Cas systems in bacteria.

    PubMed

    Bernheim, Aude; Calvo-Villamañán, Alicia; Basier, Clovis; Cui, Lun; Rocha, Eduardo P C; Touchon, Marie; Bikard, David

    2017-12-12

    Type II CRISPR-Cas systems introduce double-strand breaks into DNA of invading genetic material and use DNA fragments to acquire novel spacers during adaptation. These breaks can be the substrate of several DNA repair pathways, paving the way for interactions. We report that non-homologous end-joining (NHEJ) and type II-A CRISPR-Cas systems only co-occur once among 5563 fully sequenced prokaryotic genomes. We investigated experimentally the possible molecular interactions using the NHEJ pathway from Bacillus subtilis and the type II-A CRISPR-Cas systems from Streptococcus thermophilus and Streptococcus pyogenes. Our results suggest that the NHEJ system has no effect on CRISPR immunity. On the other hand, we provide evidence for the inhibition of NHEJ repair by the Csn2 protein. Our findings give insights on the complex interactions between CRISPR-Cas systems and repair mechanisms in bacteria, contributing to explain the scattered distribution of CRISPR-Cas systems in bacterial genome.

  9. Mobile Genetic Elements and Evolution of CRISPR-Cas Systems: All the Way There and Back.

    PubMed

    Koonin, Eugene V; Makarova, Kira S

    2017-10-01

    The Clustered Regularly Interspaced Palindromic Repeats (CRISPR)-CRISPR-associated proteins (Cas) systems of bacterial and archaeal adaptive immunity show multifaceted evolutionary relationships with at least five classes of mobile genetic elements (MGE). First, the adaptation module of CRISPR-Cas that is responsible for the formation of the immune memory apparently evolved from a Casposon, a self-synthesizing transposon that employs the Cas1 protein as the integrase and might have brought additional cas genes to the emerging immunity loci. Second, a large subset of type III CRISPR-Cas systems recruited a reverse transcriptase from a Group II intron, providing for spacer acquisition from RNA. Third, effector nucleases of Class 2 CRISPR-Cas systems that are responsible for the recognition and cleavage of the target DNA were derived from transposon-encoded TnpB nucleases, most likely, on several independent occasions. Fourth, accessory nucleases in some variants of types I and III toxin and type VI effectors RNases appear to be ultimately derived from toxin nucleases of microbial toxin-antitoxin modules. Fifth, the opposite direction of evolution is manifested in the recruitment of CRISPR-Cas systems by a distinct family of Tn7-like transposons that probably exploit the capacity of CRISPR-Cas to recognize unique DNA sites to facilitate transposition as well as by bacteriophages that employ them to cope with host defense. Additionally, individual Cas proteins, such as the Cas4 nuclease, were recruited by bacteriophages and transposons. The two-sided evolutionary connection between CRISPR-Cas and MGE fits the "guns for hire" paradigm whereby homologous enzymatic machineries, in particular nucleases, are shuttled between MGE and defense systems and are used alternately as means of offense or defense. Published by Oxford University Press on behalf of the Society for Molecular Biology and Evolution 2017. This work is written by US Government employees and is in the public

  10. Mobile Genetic Elements and Evolution of CRISPR-Cas Systems: All the Way There and Back

    PubMed Central

    Makarova, Kira S.

    2017-01-01

    Abstract The Clustered Regularly Interspaced Palindromic Repeats (CRISPR)-CRISPR-associated proteins (Cas) systems of bacterial and archaeal adaptive immunity show multifaceted evolutionary relationships with at least five classes of mobile genetic elements (MGE). First, the adaptation module of CRISPR-Cas that is responsible for the formation of the immune memory apparently evolved from a Casposon, a self-synthesizing transposon that employs the Cas1 protein as the integrase and might have brought additional cas genes to the emerging immunity loci. Second, a large subset of type III CRISPR-Cas systems recruited a reverse transcriptase from a Group II intron, providing for spacer acquisition from RNA. Third, effector nucleases of Class 2 CRISPR-Cas systems that are responsible for the recognition and cleavage of the target DNA were derived from transposon-encoded TnpB nucleases, most likely, on several independent occasions. Fourth, accessory nucleases in some variants of types I and III toxin and type VI effectors RNases appear to be ultimately derived from toxin nucleases of microbial toxin–antitoxin modules. Fifth, the opposite direction of evolution is manifested in the recruitment of CRISPR-Cas systems by a distinct family of Tn7-like transposons that probably exploit the capacity of CRISPR-Cas to recognize unique DNA sites to facilitate transposition as well as by bacteriophages that employ them to cope with host defense. Additionally, individual Cas proteins, such as the Cas4 nuclease, were recruited by bacteriophages and transposons. The two-sided evolutionary connection between CRISPR-Cas and MGE fits the “guns for hire” paradigm whereby homologous enzymatic machineries, in particular nucleases, are shuttled between MGE and defense systems and are used alternately as means of offense or defense. PMID:28985291

  11. CRISPR adaptive immune systems of Archaea

    PubMed Central

    Vestergaard, Gisle; Garrett, Roger A; Shah, Shiraz A

    2014-01-01

    CRISPR adaptive immune systems were analyzed for all available completed genomes of archaea, which included representatives of each of the main archaeal phyla. Initially, all proteins encoded within, and proximal to, CRISPR-cas loci were clustered and analyzed using a profile–profile approach. Then cas genes were assigned to gene cassettes and to functional modules for adaptation and interference. CRISPR systems were then classified primarily on the basis of their concatenated Cas protein sequences and gene synteny of the interference modules. With few exceptions, they could be assigned to the universal Type I or Type III systems. For Type I, subtypes I-A, I-B, and I-D dominate but the data support the division of subtype I-B into two subtypes, designated I-B and I-G. About 70% of the Type III systems fall into the universal subtypes III-A and III-B but the remainder, some of which are phyla-specific, diverge significantly in Cas protein sequences, and/or gene synteny, and they are classified separately. Furthermore, a few CRISPR systems that could not be assigned to Type I or Type III are categorized as variant systems. Criteria are presented for assigning newly sequenced archaeal CRISPR systems to the different subtypes. Several accessory proteins were identified that show a specific gene linkage, especially to Type III interference modules, and these may be cofunctional with the CRISPR systems. Evidence is presented for extensive exchange having occurred between adaptation and interference modules of different archaeal CRISPR systems, indicating the wide compatibility of the functionally diverse interference complexes with the relatively conserved adaptation modules. PMID:24531374

  12. Occurrence and activity of a type II CRISPR-Cas system in Lactobacillus gasseri.

    PubMed

    Sanozky-Dawes, Rosemary; Selle, Kurt; O'Flaherty, Sarah; Klaenhammer, Todd; Barrangou, Rodolphe

    2015-09-01

    Bacteria encode clustered regularly interspaced short palindromic repeats (CRISPRs) and CRISPR-associated genes (cas), which collectively form an RNA-guided adaptive immune system against invasive genetic elements. In silico surveys have revealed that lactic acid bacteria harbour a prolific and diverse set of CRISPR-Cas systems. Thus, the natural evolutionary role of CRISPR-Cas systems may be investigated in these ecologically, industrially, scientifically and medically important microbes. In this study, 17 Lactobacillus gasseri strains were investigated and 6 harboured a type II-A CRISPR-Cas system, with considerable diversity in array size and spacer content. Several of the spacers showed similarity to phage and plasmid sequences, which are typical targets of CRISPR-Cas immune systems. Aligning the protospacers facilitated inference of the protospacer adjacent motif sequence, determined to be 5'-NTAA-3' flanking the 3' end of the protospacer. The system in L. gasseri JV-V03 and NCK 1342 interfered with transforming plasmids containing sequences matching the most recently acquired CRISPR spacers in each strain. We report the distribution and function of a native type II-A CRISPR-Cas system in the commensal species L. gasseri. Collectively, these results open avenues for applications for bacteriophage protection and genome modification in L. gasseri, and contribute to the fundamental understanding of CRISPR-Cas systems in bacteria.

  13. The Neisseria meningitidis CRISPR-Cas9 System Enables Specific Genome Editing in Mammalian Cells

    PubMed Central

    Lee, Ciaran M; Cradick, Thomas J; Bao, Gang

    2016-01-01

    The clustered regularly-interspaced short palindromic repeats (CRISPR)—CRISPR-associated (Cas) system from Streptococcus pyogenes (Spy) has been successfully adapted for RNA-guided genome editing in a wide range of organisms. However, numerous reports have indicated that Spy CRISPR-Cas9 systems may have significant off-target cleavage of genomic DNA sequences differing from the intended on-target site. Here, we report the performance of the Neisseria meningitidis (Nme) CRISPR-Cas9 system that requires a longer protospacer-adjacent motif for site-specific cleavage, and present a comparison between the Spy and Nme CRISPR-Cas9 systems targeting the same protospacer sequence. The results with the native crRNA and tracrRNA as well as a chimeric single guide RNA for the Nme CRISPR-Cas9 system were also compared. Our results suggest that, compared with the Spy system, the Nme CRISPR-Cas9 system has similar or lower on-target cleavage activity but a reduced overall off-target effect on a genomic level when sites containing three or fewer mismatches are considered. Thus, the Nme CRISPR-Cas9 system may represent a safer alternative for precision genome engineering applications. PMID:26782639

  14. The Neisseria meningitidis CRISPR-Cas9 System Enables Specific Genome Editing in Mammalian Cells.

    PubMed

    Lee, Ciaran M; Cradick, Thomas J; Bao, Gang

    2016-03-01

    The clustered regularly-interspaced short palindromic repeats (CRISPR)-CRISPR-associated (Cas) system from Streptococcus pyogenes (Spy) has been successfully adapted for RNA-guided genome editing in a wide range of organisms. However, numerous reports have indicated that Spy CRISPR-Cas9 systems may have significant off-target cleavage of genomic DNA sequences differing from the intended on-target site. Here, we report the performance of the Neisseria meningitidis (Nme) CRISPR-Cas9 system that requires a longer protospacer-adjacent motif for site-specific cleavage, and present a comparison between the Spy and Nme CRISPR-Cas9 systems targeting the same protospacer sequence. The results with the native crRNA and tracrRNA as well as a chimeric single guide RNA for the Nme CRISPR-Cas9 system were also compared. Our results suggest that, compared with the Spy system, the Nme CRISPR-Cas9 system has similar or lower on-target cleavage activity but a reduced overall off-target effect on a genomic level when sites containing three or fewer mismatches are considered. Thus, the Nme CRISPR-Cas9 system may represent a safer alternative for precision genome engineering applications.

  15. How Type II CRISPR-Cas establish immunity through Cas1-Cas2 mediated spacer integration

    PubMed Central

    Xiao, Yibei; Ng, Sherwin; Nam, Ki Hyun; Ke, Ailong

    2017-01-01

    CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats) and the nearby cas (CRISPR-associated) operon establish an RNA-based adaptive immunity system in prokaryotes1–5. Molecular memory is created when a short foreign DNA-derived prespacer is integrated into the CRISPR array as a new spacer6–9. Whereas the RNA-guided CRISPR interference mechanism varies widely among CRISPR-Cas systems, the spacer integration mechanism is essentially identical7–9. The conserved Cas1 and Cas2 proteins form an integrase complex consisting two distal Cas1 dimers bridged by a Cas2 dimer in the middle6,10. The prespacer is bound by Cas1-Cas2 as a dual forked DNA, and the terminal 3′-OH of each 3′-overhang serves as an attacking nucleophile during integration11–14. Importantly, the prespacer is preferentially integrated into the leader-proximal region of the CRISPR array1,7,10,15, guided by the leader sequence and a pair of inverted repeats (IRs) inside the CRISPR repeat7,15–20. Spacer integration in the most well-studied Escherichia coli Type I-E CRISPR system further relies on the bacterial Integration Host Factor (IHF)21,22. In Type II-A CRISPR, however, Cas1-Cas2 alone integrates spacer efficiently in vitro18; other Cas proteins (Cas9 and Csn2) play accessory roles in prespacer biogenesis17,23. Focusing on the Enterococcus faecalis Type II-A system24, here we report four structure snapshots of Cas1-Cas2 during spacer integration. EfaCas1-Cas2 selectively binds to a splayed 30-bp prespacer bearing 4-nt 3′-overhangs. Three molecular events take place upon encountering a target: Cas1-Cas2/prespacer first searches for half-sites stochastically, then preferentially interacts with the leader-side CRISPR repeat and catalyzes a nucleophilic attack that connects one strand of the leader-proximal repeat to the prespacer 3′-overhang. Recognition of the spacer half-site requires DNA bending and leads to full integration. We derive a mechanistic framework explaining

  16. [Detection of CRSPR-Cas system in Streptococcus thermophiles].

    PubMed

    Li, Wan; Liang, Hongzhang; Zhang, Danqing; Wang, Nana; Tang, Yaru; Li, Bailiang; Huo, Guicheng

    2016-04-14

    We aimed to detect the CRSPR-Cas system of six Streptococcus thermophilus. Bioinformatics method was used to predict CRSPR-Cas system of nine S. thermophilus that published in National Center for Biotechnology Information. Four primers were designed according to the flanking sequences of standard strains and the CRISPR-Cas system of six S. thermophilus have been detected by PCR method. S. thermophilus S4 had a Cas9 gene, others all had Cas9 gene, Cas10 gene and Cas9* gene. In addition, 79 and KLDS3.0207 still had Cas3 gene. Signature genes amplification of CRSPR-Cas system could predict the type of CRSPR-Cas system in unsequenced strains, these findings will help establish the foundation for the study of CRSPR-Cas system in lactic acid bacteria.

  17. Physical model of the immune response of bacteria against bacteriophage through the adaptive CRISPR-Cas immune system

    NASA Astrophysics Data System (ADS)

    Han, Pu; Niestemski, Liang Ren; Barrick, Jeffrey E.; Deem, Michael W.

    2013-04-01

    Bacteria and archaea have evolved an adaptive, heritable immune system that recognizes and protects against viruses or plasmids. This system, known as the CRISPR-Cas system, allows the host to recognize and incorporate short foreign DNA or RNA sequences, called ‘spacers’ into its CRISPR system. Spacers in the CRISPR system provide a record of the history of bacteria and phage coevolution. We use a physical model to study the dynamics of this coevolution as it evolves stochastically over time. We focus on the impact of mutation and recombination on bacteria and phage evolution and evasion. We discuss the effect of different spacer deletion mechanisms on the coevolutionary dynamics. We make predictions about bacteria and phage population growth, spacer diversity within the CRISPR locus, and spacer protection against the phage population.

  18. CRISPR-Cas: From the Bacterial Adaptive Immune System to a Versatile Tool for Genome Engineering.

    PubMed

    Kirchner, Marion; Schneider, Sabine

    2015-11-09

    The field of biology has been revolutionized by the recent advancement of an adaptive bacterial immune system as a universal genome engineering tool. Bacteria and archaea use repetitive genomic elements termed clustered regularly interspaced short palindromic repeats (CRISPR) in combination with an RNA-guided nuclease (CRISPR-associated nuclease: Cas) to target and destroy invading DNA. By choosing the appropriate sequence of the guide RNA, this two-component system can be used to efficiently modify, target, and edit genomic loci of interest in plants, insects, fungi, mammalian cells, and whole organisms. This has opened up new frontiers in genome engineering, including the potential to treat or cure human genetic disorders. Now the potential risks as well as the ethical, social, and legal implications of this powerful new technique move into the limelight. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Artificial Virus Delivers CRISPR-Cas9 System for Genome Editing of Cells in Mice.

    PubMed

    Li, Ling; Song, Linjiang; Liu, Xiaowei; Yang, Xi; Li, Xia; He, Tao; Wang, Ning; Yang, Suleixin; Yu, Chuan; Yin, Tao; Wen, Yanzhu; He, Zhiyao; Wei, Xiawei; Su, Weijun; Wu, Qinjie; Yao, Shaohua; Gong, Changyang; Wei, Yuquan

    2017-01-24

    CRISPR-Cas9 has emerged as a versatile genome-editing platform. However, due to the large size of the commonly used CRISPR-Cas9 system, its effective delivery has been a challenge and limits its utility for basic research and therapeutic applications. Herein, a multifunctional nucleus-targeting "core-shell" artificial virus (RRPHC) was constructed for the delivery of CRISPR-Cas9 system. The artificial virus could efficiently load with the CRISPR-Cas9 system, accelerate the endosomal escape, and promote the penetration into the nucleus without additional nuclear-localization signal, thus enabling targeted gene disruption. Notably, the artificial virus is more efficient than SuperFect, Lipofectamine 2000, and Lipofectamine 3000. When loaded with a CRISPR-Cas9 plasmid, it induced higher targeted gene disruption efficacy than that of Lipofectamine 3000. Furthermore, the artificial virus effectively targets the ovarian cancer via dual-receptor-mediated endocytosis and had minimum side effects. When loaded with the Cas9-hMTH1 system targeting MTH1 gene, RRPHC showed effective disruption of MTH1 in vivo. This strategy could be adapted for delivering CRISPR-Cas9 plasmid or other functional nucleic acids in vivo.

  20. Phylogeny of Cas9 determines functional exchangeability of dual-RNA and Cas9 among orthologous type II CRISPR-Cas systems

    PubMed Central

    Fonfara, Ines; Le Rhun, Anaïs; Chylinski, Krzysztof; Makarova, Kira S.; Lécrivain, Anne-Laure; Bzdrenga, Janek; Koonin, Eugene V.; Charpentier, Emmanuelle

    2014-01-01

    The CRISPR-Cas-derived RNA-guided Cas9 endonuclease is the key element of an emerging promising technology for genome engineering in a broad range of cells and organisms. The DNA-targeting mechanism of the type II CRISPR-Cas system involves maturation of tracrRNA:crRNA duplex (dual-RNA), which directs Cas9 to cleave invading DNA in a sequence-specific manner, dependent on the presence of a Protospacer Adjacent Motif (PAM) on the target. We show that evolution of dual-RNA and Cas9 in bacteria produced remarkable sequence diversity. We selected eight representatives of phylogenetically defined type II CRISPR-Cas groups to analyze possible coevolution of Cas9 and dual-RNA. We demonstrate that these two components are interchangeable only between closely related type II systems when the PAM sequence is adjusted to the investigated Cas9 protein. Comparison of the taxonomy of bacterial species that harbor type II CRISPR-Cas systems with the Cas9 phylogeny corroborates horizontal transfer of the CRISPR-Cas loci. The reported collection of dual-RNA:Cas9 with associated PAMs expands the possibilities for multiplex genome editing and could provide means to improve the specificity of the RNA-programmable Cas9 tool. PMID:24270795

  1. CRISPR/Cas9 Platforms for Genome Editing in Plants: Developments and Applications.

    PubMed

    Ma, Xingliang; Zhu, Qinlong; Chen, Yuanling; Liu, Yao-Guang

    2016-07-06

    The clustered regularly interspaced short palindromic repeat (CRISPR)-associated protein9 (Cas9) genome editing system (CRISPR/Cas9) is adapted from the prokaryotic type II adaptive immunity system. The CRISPR/Cas9 tool surpasses other programmable nucleases, such as ZFNs and TALENs, for its simplicity and high efficiency. Various plant-specific CRISPR/Cas9 vector systems have been established for adaption of this technology to many plant species. In this review, we present an overview of current advances on applications of this technology in plants, emphasizing general considerations for establishment of CRISPR/Cas9 vector platforms, strategies for multiplex editing, methods for analyzing the induced mutations, factors affecting editing efficiency and specificity, and features of the induced mutations and applications of the CRISPR/Cas9 system in plants. In addition, we provide a perspective on the challenges of CRISPR/Cas9 technology and its significance for basic plant research and crop genetic improvement. Copyright © 2016 The Author. Published by Elsevier Inc. All rights reserved.

  2. Progress and Prospects of CRISPR/Cas Systems in Insects and Other Arthropods

    PubMed Central

    Sun, Dan; Guo, Zhaojiang; Liu, Yong; Zhang, Youjun

    2017-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) and the CRISPR-associated gene Cas9 represent an invaluable system for the precise editing of genes in diverse species. The CRISPR/Cas9 system is an adaptive mechanism that enables bacteria and archaeal species to resist invading viruses and phages or plasmids. Compared with zinc finger nucleases and transcription activator-like effector nucleases, the CRISPR/Cas9 system has the advantage of requiring less time and effort. This efficient technology has been used in many species, including diverse arthropods that are relevant to agriculture, forestry, fisheries, and public health; however, there is no review that systematically summarizes its successful application in the editing of both insect and non-insect arthropod genomes. Thus, this paper seeks to provide a comprehensive and impartial overview of the progress of the CRISPR/Cas9 system in different arthropods, reviewing not only fundamental studies related to gene function exploration and experimental optimization but also applied studies in areas such as insect modification and pest control. In addition, we also describe the latest research advances regarding two novel CRISPR/Cas systems (CRISPR/Cpf1 and CRISPR/C2c2) and discuss their future prospects for becoming crucial technologies in arthropods. PMID:28932198

  3. Progress and Prospects of CRISPR/Cas Systems in Insects and Other Arthropods.

    PubMed

    Sun, Dan; Guo, Zhaojiang; Liu, Yong; Zhang, Youjun

    2017-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) and the CRISPR-associated gene Cas9 represent an invaluable system for the precise editing of genes in diverse species. The CRISPR/Cas9 system is an adaptive mechanism that enables bacteria and archaeal species to resist invading viruses and phages or plasmids. Compared with zinc finger nucleases and transcription activator-like effector nucleases, the CRISPR/Cas9 system has the advantage of requiring less time and effort. This efficient technology has been used in many species, including diverse arthropods that are relevant to agriculture, forestry, fisheries, and public health; however, there is no review that systematically summarizes its successful application in the editing of both insect and non-insect arthropod genomes. Thus, this paper seeks to provide a comprehensive and impartial overview of the progress of the CRISPR/Cas9 system in different arthropods, reviewing not only fundamental studies related to gene function exploration and experimental optimization but also applied studies in areas such as insect modification and pest control. In addition, we also describe the latest research advances regarding two novel CRISPR/Cas systems (CRISPR/Cpf1 and CRISPR/C2c2) and discuss their future prospects for becoming crucial technologies in arthropods.

  4. Role of the Streptococcus mutans CRISPR-Cas Systems in Immunity and Cell Physiology

    PubMed Central

    Serbanescu, M. A.; Cordova, M.; Krastel, K.; Flick, R.; Beloglazova, N.; Latos, A.; Yakunin, A. F.; Senadheera, D. B.

    2014-01-01

    CRISPR-Cas systems provide adaptive microbial immunity against invading viruses and plasmids. The cariogenic bacterium Streptococcus mutans UA159 has two CRISPR-Cas systems: CRISPR1 (type II-A) and CRISPR2 (type I-C) with several spacers from both CRISPR cassettes matching sequences of phage M102 or genomic sequences of other S. mutans. The deletion of the cas genes of CRISPR1 (ΔC1S), CRISPR2 (ΔC2E), or both CRISPR1+2 (ΔC1SC2E) or the removal of spacers 2 and 3 (ΔCR1SP13E) in S. mutans UA159 did not affect phage sensitivity when challenged with virulent phage M102. Using plasmid transformation experiments, we demonstrated that the CRISPR1-Cas system inhibits transformation of S. mutans by the plasmids matching the spacers 2 and 3. Functional analysis of the cas deletion mutants revealed that in addition to a role in plasmid targeting, both CRISPR systems also contribute to the regulation of bacterial physiology in S. mutans. Compared to wild-type cells, the ΔC1S strain displayed diminished growth under cell membrane and oxidative stress, enhanced growth under low pH, and had reduced survival under heat shock and DNA-damaging conditions, whereas the ΔC2E strain exhibited increased sensitivity to heat shock. Transcriptional analysis revealed that the two-component signal transduction system VicR/K differentially modulates expression of cas genes within CRISPR-Cas systems, suggesting that VicR/K might coordinate the expression of two CRISPR-Cas systems. Collectively, we provide in vivo evidence that the type II-A CRISPR-Cas system of S. mutans may be targeted to manipulate its stress response and to influence the host to control the uptake and dissemination of antibiotic resistance genes. PMID:25488301

  5. Complex adaptive systems (CAS): an overview of key elements, characteristics and application to management theory.

    PubMed

    Ellis, Beverley; Herbert, Stuart Ian

    2011-01-01

    To identify key elements and characteristics of complex adaptive systems (CAS) relevant to implementing clinical governance, drawing on lessons from quality improvement programmes and the use of informatics in primary care. The research strategy includes a literature review to develop theoretical models of clinical governance of quality improvement in primary care organisations (PCOs) and a survey of PCOs. Complex adaptive system theories are a valuable tool to help make sense of natural phenomena, which include human responses to problem solving within the sampled PCOs. The research commenced with a survey; 76% (n16) of respondents preferred to support the implementation of clinical governance initiatives guided by outputs from general practice electronic health records. There was considerable variation in the way in which consultation data was captured, recorded and organised. Incentivised information sharing led to consensus on coding policies and models of data recording ahead of national contractual requirements. Informatics was acknowledged as a mechanism to link electronic health record outputs, quality improvement and resources. Investment in informatics was identified as a development priority in order to embed clinical governance principles in practice. Complex adaptive system theory usefully describes evolutionary change processes, providing insight into how the origins of quality assurance were predicated on rational reductionism and linearity. New forms of governance do not neutralise previous models, but add further dimensions to them. Clinical governance models have moved from deterministic and 'objective' factors to incorporate cultural aspects with feedback about quality enabled by informatics. The socio-technical lessons highlighted should inform healthcare management.

  6. Efficiently sampling conformations and pathways using the concurrent adaptive sampling (CAS) algorithm

    NASA Astrophysics Data System (ADS)

    Ahn, Surl-Hee; Grate, Jay W.; Darve, Eric F.

    2017-08-01

    Molecular dynamics simulations are useful in obtaining thermodynamic and kinetic properties of bio-molecules, but they are limited by the time scale barrier. That is, we may not obtain properties' efficiently because we need to run microseconds or longer simulations using femtosecond time steps. To overcome this time scale barrier, we can use the weighted ensemble (WE) method, a powerful enhanced sampling method that efficiently samples thermodynamic and kinetic properties. However, the WE method requires an appropriate partitioning of phase space into discrete macrostates, which can be problematic when we have a high-dimensional collective space or when little is known a priori about the molecular system. Hence, we developed a new WE-based method, called the "Concurrent Adaptive Sampling (CAS) algorithm," to tackle these issues. The CAS algorithm is not constrained to use only one or two collective variables, unlike most reaction coordinate-dependent methods. Instead, it can use a large number of collective variables and adaptive macrostates to enhance the sampling in the high-dimensional space. This is especially useful for systems in which we do not know what the right reaction coordinates are, in which case we can use many collective variables to sample conformations and pathways. In addition, a clustering technique based on the committor function is used to accelerate sampling the slowest process in the molecular system. In this paper, we introduce the new method and show results from two-dimensional models and bio-molecules, specifically penta-alanine and a triazine trimer.

  7. The role of CRISPR-Cas systems in virulence of pathogenic bacteria.

    PubMed

    Louwen, Rogier; Staals, Raymond H J; Endtz, Hubert P; van Baarlen, Peter; van der Oost, John

    2014-03-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) genes are present in many bacterial and archaeal genomes. Since the discovery of the typical CRISPR loci in the 1980s, well before their physiological role was revealed, their variable sequences have been used as a complementary typing tool in diagnostic, epidemiologic, and evolutionary analyses of prokaryotic strains. The discovery that CRISPR spacers are often identical to sequence fragments of mobile genetic elements was a major breakthrough that eventually led to the elucidation of CRISPR-Cas as an adaptive immunity system. Key elements of this unique prokaryotic defense system are small CRISPR RNAs that guide nucleases to complementary target nucleic acids of invading viruses and plasmids, generally followed by the degradation of the invader. In addition, several recent studies have pointed at direct links of CRISPR-Cas to regulation of a range of stress-related phenomena. An interesting example concerns a pathogenic bacterium that possesses a CRISPR-associated ribonucleoprotein complex that may play a dual role in defense and/or virulence. In this review, we describe recently reported cases of potential involvement of CRISPR-Cas systems in bacterial stress responses in general and bacterial virulence in particular.

  8. On complex adaptive systems and terrorism [rapid communication

    NASA Astrophysics Data System (ADS)

    Ahmed, E.; Elgazzar, A. S.; Hegazi, A. S.

    2005-03-01

    Complex adaptive systems (CAS) are ubiquitous in nature. They are basic in social sciences. An overview of CAS is given with emphasize on the occurrence of bad side effects to seemingly “wise” decisions. Hence application to terrorism is given. Some conclusions on how to deal with this phenomena are proposed.

  9. Design of a CRISPR-Cas system to increase resistance of Bacillus subtilis to bacteriophage SPP1.

    PubMed

    Jakutyte-Giraitiene, Lina; Gasiunas, Giedrius

    2016-08-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) together with CRISPR-associated (cas) genes form an adaptive prokaryotic immune system which provides acquired resistance against viruses and plasmids. Bacillus subtilis presently is the best-characterized laboratory model for Gram-positive bacteria and also widely used for industrial production of enzymes, vitamins and antibiotics. In this study, we show that type II-A CRISPR-Cas system from Streptococcus thermophilus can be transferred into B. subtilis and provides heterologous protection against phage infection. We engineered a heterologous host by cloning S. thermophilus Cas9 and a spacer targeting bacteriophage SPP1 into the chromosome of B. subtilis, which does not harbor its own CRISPR-Cas systems. We found that the heterologous CRISPR-Cas system is functionally active in B. subtilis and provides resistance against bacteriophage SPP1 infection. The high efficiency of the acquired immunity against phage could be useful in generation of biotechnologically important B. subtilis strains with engineered chromosomes.

  10. Complex Adaptive Systems as Metaphors for Organizational Management

    ERIC Educational Resources Information Center

    Palmberg, Klara

    2009-01-01

    Purpose: The purpose of this paper is to explore the concept of complex adaptive systems (CAS) from the perspective of managing organizations, to describe and explore the management principles in a case study of an organization with unconventional ways of management and to present a tentative model for managing organizations as CAS--system…

  11. Intrinsic sequence specificity of the Cas1 integrase directs new spacer acquisition

    PubMed Central

    Rollie, Clare; Schneider, Stefanie; Brinkmann, Anna Sophie; Bolt, Edward L; White, Malcolm F

    2015-01-01

    The adaptive prokaryotic immune system CRISPR-Cas provides RNA-mediated protection from invading genetic elements. The fundamental basis of the system is the ability to capture small pieces of foreign DNA for incorporation into the genome at the CRISPR locus, a process known as Adaptation, which is dependent on the Cas1 and Cas2 proteins. We demonstrate that Cas1 catalyses an efficient trans-esterification reaction on branched DNA substrates, which represents the reverse- or disintegration reaction. Cas1 from both Escherichia coli and Sulfolobus solfataricus display sequence specific activity, with a clear preference for the nucleotides flanking the integration site at the leader-repeat 1 boundary of the CRISPR locus. Cas2 is not required for this activity and does not influence the specificity. This suggests that the inherent sequence specificity of Cas1 is a major determinant of the adaptation process. DOI: http://dx.doi.org/10.7554/eLife.08716.001 PMID:26284603

  12. Survey of clustered regularly interspaced short palindromic repeats and their associated Cas proteins (CRISPR/Cas) systems in multiple sequenced strains of Klebsiella pneumoniae.

    PubMed

    Ostria-Hernández, Martha Lorena; Sánchez-Vallejo, Carlos Javier; Ibarra, J Antonio; Castro-Escarpulli, Graciela

    2015-08-04

    In recent years the emergence of multidrug resistant Klebsiella pneumoniae strains has been an increasingly common event. This opportunistic species is one of the five main bacterial pathogens that cause hospital infections worldwide and multidrug resistance has been associated with the presence of high molecular weight plasmids. Plasmids are generally acquired through horizontal transfer and therefore is possible that systems that prevent the entry of foreign genetic material are inactive or absent. One of these systems is CRISPR/Cas. However, little is known regarding the clustered regularly interspaced short palindromic repeats and their associated Cas proteins (CRISPR/Cas) system in K. pneumoniae. The adaptive immune system CRISPR/Cas has been shown to limit the entry of foreign genetic elements into bacterial organisms and in some bacteria it has been shown to be involved in regulation of virulence genes. Thus in this work we used bioinformatics tools to determine the presence or absence of CRISPR/Cas systems in available K. pneumoniae genomes. The complete CRISPR/Cas system was identified in two out of the eight complete K. pneumoniae genomes sequences and in four out of the 44 available draft genomes sequences. The cas genes in these strains comprises eight cas genes similar to those found in Escherichia coli, suggesting they belong to the type I-E group, although their arrangement is slightly different. As for the CRISPR sequences, the average lengths of the direct repeats and spacers were 29 and 33 bp, respectively. BLAST searches demonstrated that 38 of the 116 spacer sequences (33%) are significantly similar to either plasmid, phage or genome sequences, while the remaining 78 sequences (67%) showed no significant similarity to other sequences. The region where the CRISPR/Cas systems were located is the same in all the Klebsiella genomes containing it, it has a syntenic architecture, and is located among genes encoding for proteins likely involved in

  13. The Role of CRISPR-Cas Systems in Virulence of Pathogenic Bacteria

    PubMed Central

    Staals, Raymond H. J.; Endtz, Hubert P.; van Baarlen, Peter; van der Oost, John

    2014-01-01

    SUMMARY Clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) genes are present in many bacterial and archaeal genomes. Since the discovery of the typical CRISPR loci in the 1980s, well before their physiological role was revealed, their variable sequences have been used as a complementary typing tool in diagnostic, epidemiologic, and evolutionary analyses of prokaryotic strains. The discovery that CRISPR spacers are often identical to sequence fragments of mobile genetic elements was a major breakthrough that eventually led to the elucidation of CRISPR-Cas as an adaptive immunity system. Key elements of this unique prokaryotic defense system are small CRISPR RNAs that guide nucleases to complementary target nucleic acids of invading viruses and plasmids, generally followed by the degradation of the invader. In addition, several recent studies have pointed at direct links of CRISPR-Cas to regulation of a range of stress-related phenomena. An interesting example concerns a pathogenic bacterium that possesses a CRISPR-associated ribonucleoprotein complex that may play a dual role in defense and/or virulence. In this review, we describe recently reported cases of potential involvement of CRISPR-Cas systems in bacterial stress responses in general and bacterial virulence in particular. PMID:24600041

  14. Function of the CRISPR-Cas System of the Human Pathogen Clostridium difficile

    PubMed Central

    Boudry, Pierre; Semenova, Ekaterina; Monot, Marc; Datsenko, Kirill A.; Lopatina, Anna; Sekulovic, Ognjen; Ospina-Bedoya, Maicol; Fortier, Louis-Charles; Severinov, Konstantin; Dupuy, Bruno

    2015-01-01

    ABSTRACT Clostridium difficile is the cause of most frequently occurring nosocomial diarrhea worldwide. As an enteropathogen, C. difficile must be exposed to multiple exogenous genetic elements in bacteriophage-rich gut communities. CRISPR (clustered regularly interspaced short palindromic repeats)-Cas (CRISPR-associated) systems allow bacteria to adapt to foreign genetic invaders. Our recent data revealed active expression and processing of CRISPR RNAs from multiple type I-B CRISPR arrays in C. difficile reference strain 630. Here, we demonstrate active expression of CRISPR arrays in strain R20291, an epidemic C. difficile strain. Through genome sequencing and host range analysis of several new C. difficile phages and plasmid conjugation experiments, we provide evidence of defensive function of the CRISPR-Cas system in both C. difficile strains. We further demonstrate that C. difficile Cas proteins are capable of interference in a heterologous host, Escherichia coli. These data set the stage for mechanistic and physiological analyses of CRISPR-Cas-mediated interactions of important global human pathogen with its genetic parasites. PMID:26330515

  15. Application of Complex Adaptive Systems in Portfolio Management

    ERIC Educational Resources Information Center

    Su, Zheyuan

    2017-01-01

    Simulation-based methods are becoming a promising research tool in financial markets. A general Complex Adaptive System can be tailored to different application scenarios. Based on the current research, we built two models that would benefit portfolio management by utilizing Complex Adaptive Systems (CAS) in Agent-based Modeling (ABM) approach.…

  16. Chromosomal targeting by CRISPR-Cas systems can contribute to genome plasticity in bacteria

    PubMed Central

    Dy, Ron L; Pitman, Andrew R; Fineran, Peter C

    2013-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR) and their associated (Cas) proteins form adaptive immune systems in bacteria to combat phage and other foreign genetic elements. Typically, short spacer sequences are acquired from the invader DNA and incorporated into CRISPR arrays in the bacterial genome. Small RNAs are generated that contain these spacer sequences and enable sequence-specific destruction of the foreign nucleic acids. Occasionally, spacers are acquired from the chromosome, which instead leads to targeting of the host genome. Chromosomal targeting is highly toxic to the bacterium, providing a strong selective pressure for a variety of evolutionary routes that enable host cell survival. Mutations that inactivate the CRISPR-Cas functionality, such as within the cas genes, CRISPR repeat, protospacer adjacent motifs (PAM), and target sequence, mediate escape from toxicity. This self-targeting might provide some explanation for the incomplete distribution of CRISPR-Cas systems in less than half of sequenced bacterial genomes. More importantly, self-genome targeting can cause large-scale genomic alterations, including remodeling or deletion of pathogenicity islands and other non-mobile chromosomal regions. While control of horizontal gene transfer is perceived as their main function, our recent work illuminates an alternative role of CRISPR-Cas systems in causing host genomic changes and influencing bacterial evolution. PMID:24251073

  17. CRISPR-Cas: biology, mechanisms and relevance

    PubMed Central

    Hille, Frank

    2016-01-01

    Prokaryotes have evolved several defence mechanisms to protect themselves from viral predators. Clustered regularly interspaced short palindromic repeats (CRISPR) and their associated proteins (Cas) display a prokaryotic adaptive immune system that memorizes previous infections by integrating short sequences of invading genomes—termed spacers—into the CRISPR locus. The spacers interspaced with repeats are expressed as small guide CRISPR RNAs (crRNAs) that are employed by Cas proteins to target invaders sequence-specifically upon a reoccurring infection. The ability of the minimal CRISPR-Cas9 system to target DNA sequences using programmable RNAs has opened new avenues in genome editing in a broad range of cells and organisms with high potential in therapeutical applications. While numerous scientific studies have shed light on the biochemical processes behind CRISPR-Cas systems, several aspects of the immunity steps, however, still lack sufficient understanding. This review summarizes major discoveries in the CRISPR-Cas field, discusses the role of CRISPR-Cas in prokaryotic immunity and other physiological properties, and describes applications of the system as a DNA editing technology and antimicrobial agent. This article is part of the themed issue ‘The new bacteriology’. PMID:27672148

  18. CRISPR-Cas: biology, mechanisms and relevance.

    PubMed

    Hille, Frank; Charpentier, Emmanuelle

    2016-11-05

    Prokaryotes have evolved several defence mechanisms to protect themselves from viral predators. Clustered regularly interspaced short palindromic repeats (CRISPR) and their associated proteins (Cas) display a prokaryotic adaptive immune system that memorizes previous infections by integrating short sequences of invading genomes-termed spacers-into the CRISPR locus. The spacers interspaced with repeats are expressed as small guide CRISPR RNAs (crRNAs) that are employed by Cas proteins to target invaders sequence-specifically upon a reoccurring infection. The ability of the minimal CRISPR-Cas9 system to target DNA sequences using programmable RNAs has opened new avenues in genome editing in a broad range of cells and organisms with high potential in therapeutical applications. While numerous scientific studies have shed light on the biochemical processes behind CRISPR-Cas systems, several aspects of the immunity steps, however, still lack sufficient understanding. This review summarizes major discoveries in the CRISPR-Cas field, discusses the role of CRISPR-Cas in prokaryotic immunity and other physiological properties, and describes applications of the system as a DNA editing technology and antimicrobial agent.This article is part of the themed issue 'The new bacteriology'. © 2016 The Authors.

  19. Efficient gene editing in Corynebacterium glutamicum using the CRISPR/Cas9 system.

    PubMed

    Peng, Feng; Wang, Xinyue; Sun, Yang; Dong, Guibin; Yang, Yankun; Liu, Xiuxia; Bai, Zhonghu

    2017-11-14

    Corynebacterium glutamicum (C. glutamicum) has traditionally been used as a microbial cell factory for the industrial production of many amino acids and other industrially important commodities. C. glutamicum has recently been established as a host for recombinant protein expression; however, some intrinsic disadvantages could be improved by genetic modification. Gene editing techniques, such as deletion, insertion, or replacement, are important tools for modifying chromosomes. In this research, we report a CRISPR/Cas9 system in C. glutamicum for rapid and efficient genome editing, including gene deletion and insertion. The system consists of two plasmids: one containing a target-specific guide RNA and a homologous sequence to a target gene, the other expressing Cas9 protein. With high efficiency (up to 100%), this system was used to disrupt the porB, mepA, clpX and Ncgl0911 genes, which affect the ability to express proteins. The porB- and mepA-deletion strains had enhanced expression of green fluorescent protein, compared with the wild-type stain. This system can also be used to engineer point mutations and gene insertions. In this study, we adapted the CRISPR/Cas9 system from S. pyogens to gene deletion, point mutations and insertion in C. glutamicum. Compared with published genome modification methods, methods based on the CRISPR/Cas9 system can rapidly and efficiently achieve genome editing. Our research provides a powerful tool for facilitating the study of gene function, metabolic pathways, and enhanced productivity in C. glutamicum.

  20. Programmable RNA Cleavage and Recognition by a Natural CRISPR-Cas9 System from Neisseria meningitidis.

    PubMed

    Rousseau, Beth A; Hou, Zhonggang; Gramelspacher, Max J; Zhang, Yan

    2018-03-01

    The microbial CRISPR systems enable adaptive defense against mobile elements and also provide formidable tools for genome engineering. The Cas9 proteins are type II CRISPR-associated, RNA-guided DNA endonucleases that identify double-stranded DNA targets by sequence complementarity and protospacer adjacent motif (PAM) recognition. Here we report that the type II-C CRISPR-Cas9 from Neisseria meningitidis (Nme) is capable of programmable, RNA-guided, site-specific cleavage and recognition of single-stranded RNA targets and that this ribonuclease activity is independent of the PAM sequence. We define the mechanistic feature and specificity constraint for RNA cleavage by NmeCas9 and also show that nuclease null dNmeCas9 binds to RNA target complementary to CRISPR RNA. Finally, we demonstrate that NmeCas9-catalyzed RNA cleavage can be blocked by three families of type II-C anti-CRISPR proteins. These results fundamentally expand the targeting capacities of CRISPR-Cas9 and highlight the potential utility of NmeCas9 as a single platform to target both RNA and DNA. Copyright © 2018 Elsevier Inc. All rights reserved.

  1. The action of Escherichia coli CRISPR–Cas system on lytic bacteriophages with different lifestyles and development strategies

    PubMed Central

    Strotskaya, Alexandra; Savitskaya, Ekaterina; Metlitskaya, Anastasia; Morozova, Natalia; Datsenko, Kirill A.; Semenova, Ekaterina

    2017-01-01

    Abstract CRISPR–Cas systems provide prokaryotes with adaptive defense against bacteriophage infections. Given an enormous variety of strategies used by phages to overcome their hosts, one can expect that the efficiency of protective action of CRISPR–Cas systems against different viruses should vary. Here, we created a collection of Escherichia coli strains with type I-E CRISPR–Cas system targeting various positions in the genomes of bacteriophages λ, T5, T7, T4 and R1-37 and investigated the ability of these strains to resist the infection and acquire additional CRISPR spacers from the infecting phage. We find that the efficiency of CRISPR–Cas targeting by the host is determined by phage life style, the positions of the targeted protospacer within the genome, and the state of phage DNA. The results also suggest that during infection by lytic phages that are susceptible to CRISPR interference, CRISPR–Cas does not act as a true immunity system that saves the infected cell but rather enforces an abortive infection pathway leading to infected cell death with no phage progeny release. PMID:28130424

  2. A newly discovered Bordetella species carries a transcriptionally active CRISPR-Cas with a small Cas9 endonuclease.

    PubMed

    Ivanov, Yury V; Shariat, Nikki; Register, Karen B; Linz, Bodo; Rivera, Israel; Hu, Kai; Dudley, Edward G; Harvill, Eric T

    2015-10-26

    Clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated genes (cas) are widely distributed among bacteria. These systems provide adaptive immunity against mobile genetic elements specified by the spacer sequences stored within the CRISPR. The CRISPR-Cas system has been identified using Basic Local Alignment Search Tool (BLAST) against other sequenced and annotated genomes and confirmed via CRISPRfinder program. Using Polymerase Chain Reactions (PCR) and Sanger DNA sequencing, we discovered CRISPRs in additional bacterial isolates of the same species of Bordetella. Transcriptional activity and processing of the CRISPR have been assessed via RT-PCR. Here we describe a novel Type II-C CRISPR and its associated genes-cas1, cas2, and cas9-in several isolates of a newly discovered Bordetella species. The CRISPR-cas locus, which is absent in all other Bordetella species, has a significantly lower GC-content than the genome-wide average, suggesting acquisition of this locus via horizontal gene transfer from a currently unknown source. The CRISPR array is transcribed and processed into mature CRISPR RNAs (crRNA), some of which have homology to prophages found in closely related species B. hinzii. Expression of the CRISPR-Cas system and processing of crRNAs with perfect homology to prophages present in closely related species, but absent in that containing this CRISPR-Cas system, suggest it provides protection against phage predation. The 3,117-bp cas9 endonuclease gene from this novel CRISPR-Cas system is 990 bp smaller than that of Streptococcus pyogenes, the 4,017-bp allele currently used for genome editing, and which may make it a useful tool in various CRISPR-Cas technologies.

  3. The dynamics of health care reform--learning from a complex adaptive systems theoretical perspective.

    PubMed

    Sturmberg, Joachim P; Martin, Carmel M

    2010-10-01

    Health services demonstrate key features of complex adaptive systems (CAS), they are dynamic and unfold in unpredictable ways, and unfolding events are often unique. To better understand the complex adaptive nature of health systems around a core attractor we propose the metaphor of the health care vortex. We also suggest that in an ideal health care system the core attractor would be personal health attainment. Health care reforms around the world offer an opportunity to analyse health system change from a complex adaptive perspective. At large health care reforms have been pursued disregarding the complex adaptive nature of the health system. The paper details some recent reforms and outlines how to understand their strategies and outcomes, and what could be learnt for future efforts, utilising CAS principles. Current health systems show the inherent properties of a CAS driven by a core attractor of disease and cost containment. We content that more meaningful health systems reform requires the delicate task of shifting the core attractor from disease and cost containment towards health attainment.

  4. The Revolution Continues: Newly Discovered Systems Expand the CRISPR-Cas Toolkit.

    PubMed

    Murugan, Karthik; Babu, Kesavan; Sundaresan, Ramya; Rajan, Rakhi; Sashital, Dipali G

    2017-10-05

    CRISPR-Cas systems defend prokaryotes against bacteriophages and mobile genetic elements and serve as the basis for revolutionary tools for genetic engineering. Class 2 CRISPR-Cas systems use single Cas endonucleases paired with guide RNAs to cleave complementary nucleic acid targets, enabling programmable sequence-specific targeting with minimal machinery. Recent discoveries of previously unidentified CRISPR-Cas systems have uncovered a deep reservoir of potential biotechnological tools beyond the well-characterized Type II Cas9 systems. Here we review the current mechanistic understanding of newly discovered single-protein Cas endonucleases. Comparison of these Cas effectors reveals substantial mechanistic diversity, underscoring the phylogenetic divergence of related CRISPR-Cas systems. This diversity has enabled further expansion of CRISPR-Cas biotechnological toolkits, with wide-ranging applications from genome editing to diagnostic tools based on various Cas endonuclease activities. These advances highlight the exciting prospects for future tools based on the continually expanding set of CRISPR-Cas systems. Copyright © 2017 Elsevier Inc. All rights reserved.

  5. The CRISPR-Cas system in Enterobacteriaceae.

    PubMed

    Medina-Aparicio, Liliana; Dávila, Sonia; Rebollar-Flores, Javier E; Calva, Edmundo; Hernández-Lucas, Ismael

    2018-02-01

    In nature, microorganisms are constantly exposed to multiple viral infections and thus have developed many strategies to survive phage attack and invasion by foreign DNA. One of such strategies is the clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated proteins (Cas) bacterial immunological system. This defense mechanism is widespread in prokaryotes including several families such as Enterobacteriaceae. Much knowledge about the CRISPR-Cas system has been generated, including its biological functions, transcriptional regulation, distribution, utility as a molecular marker and as a tool for specific genome editing. This review focuses on these aspects and describes the state of the art of the CRISPR-Cas system in the Enterobacteriaceae bacterial family. © FEMS 2018. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  6. Functional Analysis of Porphyromonas gingivalis W83 CRISPR-Cas Systems.

    PubMed

    Burmistrz, Michał; Dudek, Bartosz; Staniec, Dominika; Rodriguez Martinez, Jose Ignacio; Bochtler, Matthias; Potempa, Jan; Pyrc, Krzysztof

    2015-08-01

    The CRISPR-Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated genes) system provides prokaryotic cells with an adaptive and heritable immune response to foreign genetic elements, such as viruses, plasmids, and transposons. It is present in the majority of Archaea and almost half of species of Bacteria. Porphyromonas gingivalis is an important human pathogen that has been proven to be an etiological agent of periodontitis and has been linked to systemic conditions, such as rheumatoid arthritis and cardiovascular disease. At least 95% of clinical strains of P. gingivalis carry CRISPR arrays, suggesting that these arrays play an important function in vivo. Here we show that all four CRISPR arrays present in the P. gingivalis W83 genome are transcribed. For one of the arrays, we demonstrate in vivo activity against double-stranded DNA constructs containing protospacer sequences accompanied at the 3' end by an NGG protospacer-adjacent motif (PAM). Most of the 44 spacers present in the genome of P. gingivalis W83 share no significant similarity with any known sequences, although 4 spacers are similar to sequences from bacteria found in the oral cavity and the gastrointestinal tract. Four spacers match genomic sequences of the host; however, none of these is flanked at its 3' terminus by the appropriate PAM element. The CRISPR-Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated genes) system is a unique system that provides prokaryotic cells with an adaptive and heritable immunity. In this report, we show that the CRISPR-Cas system of P. gingivalis, an important human pathogen associated with periodontitis and possibly also other conditions, such as rheumatoid arthritis and cardiovascular disease, is active and provides protection from foreign genetic elements. Importantly, the data presented here may be useful for better understanding the communication between cells in larger bacterial communities and

  7. Functional Analysis of Porphyromonas gingivalis W83 CRISPR-Cas Systems

    PubMed Central

    Burmistrz, Michał; Dudek, Bartosz; Staniec, Dominika; Rodriguez Martinez, Jose Ignacio; Bochtler, Matthias; Potempa, Jan

    2015-01-01

    ABSTRACT The CRISPR-Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated genes) system provides prokaryotic cells with an adaptive and heritable immune response to foreign genetic elements, such as viruses, plasmids, and transposons. It is present in the majority of Archaea and almost half of species of Bacteria. Porphyromonas gingivalis is an important human pathogen that has been proven to be an etiological agent of periodontitis and has been linked to systemic conditions, such as rheumatoid arthritis and cardiovascular disease. At least 95% of clinical strains of P. gingivalis carry CRISPR arrays, suggesting that these arrays play an important function in vivo. Here we show that all four CRISPR arrays present in the P. gingivalis W83 genome are transcribed. For one of the arrays, we demonstrate in vivo activity against double-stranded DNA constructs containing protospacer sequences accompanied at the 3′ end by an NGG protospacer-adjacent motif (PAM). Most of the 44 spacers present in the genome of P. gingivalis W83 share no significant similarity with any known sequences, although 4 spacers are similar to sequences from bacteria found in the oral cavity and the gastrointestinal tract. Four spacers match genomic sequences of the host; however, none of these is flanked at its 3′ terminus by the appropriate PAM element. IMPORTANCE The CRISPR-Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated genes) system is a unique system that provides prokaryotic cells with an adaptive and heritable immunity. In this report, we show that the CRISPR-Cas system of P. gingivalis, an important human pathogen associated with periodontitis and possibly also other conditions, such as rheumatoid arthritis and cardiovascular disease, is active and provides protection from foreign genetic elements. Importantly, the data presented here may be useful for better understanding the communication between cells in larger bacterial

  8. When 'solutions of yesterday become problems of today': crisis-ridden decision making in a complex adaptive system (CAS)--the Additional Duty Hours Allowance in Ghana.

    PubMed

    Agyepong, Irene Akua; Kodua, Augustina; Adjei, Sam; Adam, Taghreed

    2012-10-01

    Implementation of policies (decisions) in the health sector is sometimes defeated by the system's response to the policy itself. This can lead to counter-intuitive, unanticipated, or more modest effects than expected by those who designed the policy. The health sector fits the characteristics of complex adaptive systems (CAS) and complexity is at the heart of this phenomenon. Anticipating both positive and negative effects of policy decisions, understanding the interests, power and interaction between multiple actors; and planning for the delayed and distal impact of policy decisions are essential for effective decision making in CAS. Failure to appreciate these elements often leads to a series of reductionist approach interventions or 'fixes'. This in turn can initiate a series of negative feedback loops that further complicates the situation over time. In this paper we use a case study of the Additional Duty Hours Allowance (ADHA) policy in Ghana to illustrate these points. Using causal loop diagrams, we unpack the intended and unintended effects of the policy and how these effects evolved over time. The overall goal is to advance our understanding of decision making in complex adaptive systems; and through this process identify some essential elements in formulating, updating and implementing health policy that can help to improve attainment of desired outcomes and minimize negative unintended effects.

  9. The action of Escherichia coli CRISPR-Cas system on lytic bacteriophages with different lifestyles and development strategies.

    PubMed

    Strotskaya, Alexandra; Savitskaya, Ekaterina; Metlitskaya, Anastasia; Morozova, Natalia; Datsenko, Kirill A; Semenova, Ekaterina; Severinov, Konstantin

    2017-02-28

    CRISPR-Cas systems provide prokaryotes with adaptive defense against bacteriophage infections. Given an enormous variety of strategies used by phages to overcome their hosts, one can expect that the efficiency of protective action of CRISPR-Cas systems against different viruses should vary. Here, we created a collection of Escherichia coli strains with type I-E CRISPR-Cas system targeting various positions in the genomes of bacteriophages λ, T5, T7, T4 and R1-37 and investigated the ability of these strains to resist the infection and acquire additional CRISPR spacers from the infecting phage. We find that the efficiency of CRISPR-Cas targeting by the host is determined by phage life style, the positions of the targeted protospacer within the genome, and the state of phage DNA. The results also suggest that during infection by lytic phages that are susceptible to CRISPR interference, CRISPR-Cas does not act as a true immunity system that saves the infected cell but rather enforces an abortive infection pathway leading to infected cell death with no phage progeny release. © The Author(s) 2017. Published by Oxford University Press on behalf of Nucleic Acids Research.

  10. Not all predicted CRISPR-Cas systems are equal: isolated cas genes and classes of CRISPR like elements.

    PubMed

    Zhang, Quan; Ye, Yuzhen

    2017-02-06

    The CRISPR-Cas systems in prokaryotes are RNA-guided immune systems that target and deactivate foreign nucleic acids. A typical CRISPR-Cas system consists of a CRISPR array of repeat and spacer units, and a locus of cas genes. The CRISPR and the cas locus are often located next to each other in the genomes. However, there is no quantitative estimate of the co-location. In addition, ad-hoc studies have shown that some non-CRISPR genomic elements contain repeat-spacer-like structures and are mistaken as CRISPRs. Using available genome sequences, we observed that a significant number of genomes have isolated cas loci and/or CRISPRs. We found that 11%, 22% and 28% of the type I, II and III cas loci are isolated (without CRISPRs in the same genomes at all or with CRISPRs distant in the genomes), respectively. We identified a large number of genomic elements that superficially reassemble CRISPRs but don't contain diverse spacers and have no companion cas genes. We called these elements false-CRISPRs and further classified them into groups, including tandem repeats and Staphylococcus aureus repeat (STAR)-like elements. This is the first systematic study to collect and characterize false-CRISPR elements. We demonstrated that false-CRISPRs could be used to reduce the false annotation of CRISPRs, therefore showing them to be useful for improving the annotation of CRISPR-Cas systems.

  11. Direct CRISPR spacer acquisition from RNA by a natural reverse-transcriptase-Cas1 fusion protein

    PubMed Central

    Sidote, David J.; Markham, Laura M.; Sanchez-Amat, Antonio; Bhaya, Devaki; Lambowitz, Alan M.; Fire, Andrew Z.

    2016-01-01

    CRISPR (Clustered Regularly Interspaced Short Palindromic Repeat) systems mediate adaptive immunity in diverse prokaryotes. CRISPR-associated Cas1 and Cas2 proteins have been shown to enable adaptation to new threats in Type I and II CRISPR systems by the acquisition of short segments of DNA (“spacers”) from invasive elements. In several Type III CRISPR systems, Cas1 is naturally fused to a reverse transcriptase (RT). In the marine bacterium Marinomonas mediterranea (MMB-1), we show that an RT-Cas1 fusion enables the acquisition of RNA spacers in vivo in an RT-dependent manner. In vitro, the MMB-1 RT-Cas1 and Cas2 proteins catalyze ligation of RNA segments into the CRISPR array, followed by reverse transcription. These observations outline a host-mediated mechanism for reverse information flow from RNA to DNA. PMID:26917774

  12. Cas9-mediated targeting of viral RNA in eukaryotic cells.

    PubMed

    Price, Aryn A; Sampson, Timothy R; Ratner, Hannah K; Grakoui, Arash; Weiss, David S

    2015-05-12

    Clustered, regularly interspaced, short palindromic repeats-CRISPR associated (CRISPR-Cas) systems are prokaryotic RNA-directed endonuclease machineries that act as an adaptive immune system against foreign genetic elements. Using small CRISPR RNAs that provide specificity, Cas proteins recognize and degrade nucleic acids. Our previous work demonstrated that the Cas9 endonuclease from Francisella novicida (FnCas9) is capable of targeting endogenous bacterial RNA. Here, we show that FnCas9 can be directed by an engineered RNA-targeting guide RNA to target and inhibit a human +ssRNA virus, hepatitis C virus, within eukaryotic cells. This work reveals a versatile and portable RNA-targeting system that can effectively function in eukaryotic cells and be programmed as an antiviral defense.

  13. Cas9-mediated targeting of viral RNA in eukaryotic cells

    PubMed Central

    Price, Aryn A.; Sampson, Timothy R.; Ratner, Hannah K.; Grakoui, Arash; Weiss, David S.

    2015-01-01

    Clustered, regularly interspaced, short palindromic repeats–CRISPR associated (CRISPR-Cas) systems are prokaryotic RNA-directed endonuclease machineries that act as an adaptive immune system against foreign genetic elements. Using small CRISPR RNAs that provide specificity, Cas proteins recognize and degrade nucleic acids. Our previous work demonstrated that the Cas9 endonuclease from Francisella novicida (FnCas9) is capable of targeting endogenous bacterial RNA. Here, we show that FnCas9 can be directed by an engineered RNA-targeting guide RNA to target and inhibit a human +ssRNA virus, hepatitis C virus, within eukaryotic cells. This work reveals a versatile and portable RNA-targeting system that can effectively function in eukaryotic cells and be programmed as an antiviral defense. PMID:25918406

  14. Diversity and evolution of class 2 CRISPR–Cas systems

    PubMed Central

    Shmakov, Sergey; Smargon, Aaron; Scott, David; Cox, David; Pyzocha, Neena; Yan, Winston; Abudayyeh, Omar O.; Gootenberg, Jonathan S.; Makarova, Kira S.; Wolf, Yuri I.; Severinov, Konstantin; Zhang, Feng; Koonin, Eugene V.

    2018-01-01

    Class 2 CRISPR–Cas systems are characterized by effector modules that consist of a single multidomain protein, such as Cas9 or Cpf1. We designed a computational pipeline for the discovery of novel class 2 variants and used it to identify six new CRISPR–Cas subtypes. The diverse properties of these new systems provide potential for the development of versatile tools for genome editing and regulation. In this Analysis article, we present a comprehensive census of class 2 types and class 2 subtypes in complete and draft bacterial and archaeal genomes, outline evolutionary scenarios for the independent origin of different class 2 CRISPR–Cas systems from mobile genetic elements, and propose an amended classification and nomenclature of CRISPR–Cas. PMID:28111461

  15. CRISPR-Cas-Mediated Phage Resistance Enhances Horizontal Gene Transfer by Transduction.

    PubMed

    Watson, Bridget N J; Staals, Raymond H J; Fineran, Peter C

    2018-02-13

    A powerful contributor to prokaryotic evolution is horizontal gene transfer (HGT) through transformation, conjugation, and transduction, which can be advantageous, neutral, or detrimental to fitness. Bacteria and archaea control HGT and phage infection through CRISPR-Cas (clustered regularly interspaced short palindromic repeats-CRISPR-associated proteins) adaptive immunity. Although the benefits of resisting phage infection are evident, this can come at a cost of inhibiting the acquisition of other beneficial genes through HGT. Despite the ability of CRISPR-Cas to limit HGT through conjugation and transformation, its role in transduction is largely overlooked. Transduction is the phage-mediated transfer of bacterial DNA between cells and arguably has the greatest impact on HGT. We demonstrate that in Pectobacterium atrosepticum , CRISPR-Cas can inhibit the transduction of plasmids and chromosomal loci. In addition, we detected phage-mediated transfer of a large plant pathogenicity genomic island and show that CRISPR-Cas can inhibit its transduction. Despite these inhibitory effects of CRISPR-Cas on transduction, its more common role in phage resistance promotes rather than diminishes HGT via transduction by protecting bacteria from phage infection. This protective effect can also increase transduction of phage-sensitive members of mixed populations. CRISPR-Cas systems themselves display evidence of HGT, but little is known about their lateral dissemination between bacteria and whether transduction can contribute. We show that, through transduction, bacteria can acquire an entire chromosomal CRISPR-Cas system, including cas genes and phage-targeting spacers. We propose that the positive effect of CRISPR-Cas phage immunity on enhancing transduction surpasses the rarer cases where gene flow by transduction is restricted. IMPORTANCE The generation of genetic diversity through acquisition of DNA is a powerful contributor to microbial evolution and occurs through

  16. The role of Cas8 in type I CRISPR interference.

    PubMed

    Cass, Simon D B; Haas, Karina A; Stoll, Britta; Alkhnbashi, Omer S; Sharma, Kundan; Urlaub, Henning; Backofen, Rolf; Marchfelder, Anita; Bolt, Edward L

    2015-05-05

    CRISPR (clustered regularly interspaced short palindromic repeat) systems provide bacteria and archaea with adaptive immunity to repel invasive genetic elements. Type I systems use 'cascade' [CRISPR-associated (Cas) complex for antiviral defence] ribonucleoprotein complexes to target invader DNA, by base pairing CRISPR RNA (crRNA) to protospacers. Cascade identifies PAMs (protospacer adjacent motifs) on invader DNA, triggering R-loop formation and subsequent DNA degradation by Cas3. Cas8 is a candidate PAM recognition factor in some cascades. We analysed Cas8 homologues from type IB CRISPR systems in archaea Haloferax volcanii (Hvo) and Methanothermobacter thermautotrophicus (Mth). Cas8 was essential for CRISPR interference in Hvo and purified Mth Cas8 protein responded to PAM sequence when binding to nucleic acids. Cas8 interacted physically with Cas5-Cas7-crRNA complex, stimulating binding to PAM containing substrates. Mutation of conserved Cas8 amino acid residues abolished interference in vivo and altered catalytic activity of Cas8 protein in vitro. This is experimental evidence that Cas8 is important for targeting Cascade to invader DNA. © 2015 Authors.

  17. Analysis of the type II-A CRISPR-Cas system of Streptococcus agalactiae reveals distinctive features according to genetic lineages

    PubMed Central

    Lier, Clément; Baticle, Elodie; Horvath, Philippe; Haguenoer, Eve; Valentin, Anne-Sophie; Glaser, Philippe; Mereghetti, Laurent; Lanotte, Philippe

    2015-01-01

    CRISPR-Cas systems (clustered regularly interspaced short palindromic repeats/CRISPR-associated proteins) are found in 90% of archaea and about 40% of bacteria. In this original system, CRISPR arrays comprise short, almost unique sequences called spacers that are interspersed with conserved palindromic repeats. These systems play a role in adaptive immunity and participate to fight non-self DNA such as integrative and conjugative elements, plasmids, and phages. In Streptococcus agalactiae, a bacterium implicated in colonization and infections in humans since the 1960s, two CRISPR-Cas systems have been described. A type II-A system, characterized by proteins Cas9, Cas1, Cas2, and Csn2, is ubiquitous, and a type I–C system, with the Cas8c signature protein, is present in about 20% of the isolates. Unlike type I–C, which appears to be non-functional, type II-A appears fully functional. Here we studied type II-A CRISPR-cas loci from 126 human isolates of S. agalactiae belonging to different clonal complexes that represent the diversity of the species and that have been implicated in colonization or infection. The CRISPR-cas locus was analyzed both at spacer and repeat levels. Major distinctive features were identified according to the phylogenetic lineages previously defined by multilocus sequence typing, especially for the sequence type (ST) 17, which is considered hypervirulent. Among other idiosyncrasies, ST-17 shows a significantly lower number of spacers in comparison with other lineages. This characteristic could reflect the peculiar virulence or colonization specificities of this lineage. PMID:26124774

  18. Harnessing the Prokaryotic Adaptive Immune System as a Eukaryotic Antiviral Defense

    PubMed Central

    Price, Aryn A.; Grakoui, Arash; Weiss, David S.

    2016-01-01

    Clustered, regularly interspaced, short palindromic repeats - CRISPR associated (CRISPR-Cas) systems are sequence specific RNA-directed endonuclease complexes that bind and cleave nucleic acids. These systems evolved within prokaryotes as adaptive immune defenses to target and degrade nucleic acids derived from bacteriophages and other foreign genetic elements. The antiviral function of these systems has now been exploited to combat eukaryotic viruses throughout the viral life cycle. Here we discuss current advances in CRISPR-Cas9 technology as a eukaryotic antiviral defense. PMID:26852268

  19. Active and adaptive Legionella CRISPR-Cas reveals a recurrent challenge to the pathogen.

    PubMed

    Rao, Chitong; Guyard, Cyril; Pelaz, Carmen; Wasserscheid, Jessica; Bondy-Denomy, Joseph; Dewar, Ken; Ensminger, Alexander W

    2016-10-01

    Clustered regularly interspaced short palindromic repeats with CRISPR-associated gene (CRISPR-Cas) systems are widely recognized as critical genome defense systems that protect microbes from external threats such as bacteriophage infection. Several isolates of the intracellular pathogen Legionella pneumophila possess multiple CRISPR-Cas systems (type I-C, type I-F and type II-B), yet the targets of these systems remain unknown. With the recent observation that at least one of these systems (II-B) plays a non-canonical role in supporting intracellular replication, the possibility remained that these systems are vestigial genome defense systems co-opted for other purposes. Our data indicate that this is not the case. Using an established plasmid transformation assay, we demonstrate that type I-C, I-F and II-B CRISPR-Cas provide protection against spacer targets. We observe efficient laboratory acquisition of new spacers under 'priming' conditions, in which initially incomplete target elimination leads to the generation of new spacers and ultimate loss of the invasive DNA. Critically, we identify the first known target of L. pneumophila CRISPR-Cas: a 30 kb episome of unknown function whose interbacterial transfer is guarded against by CRISPR-Cas. We provide evidence that the element can subvert CRISPR-Cas by mutating its targeted sequences - but that primed spacer acquisition may limit this mechanism of escape. Rather than generally impinging on bacterial fitness, this element drives a host specialization event - with improved fitness in Acanthamoeba but a reduced ability to replicate in other hosts and conditions. These observations add to a growing body of evidence that host range restriction can serve as an existential threat to L. pneumophila in the wild. © 2016 The Authors Cellular Microbiology Published by John Wiley & Sons Ltd.

  20. A newly discovered Bordetella species carries a transcriptionally active CRISPR-Cas with a small Cas9 endonuclease

    USDA-ARS?s Scientific Manuscript database

    The Cas9 endonuclease of the Type II-a clustered regularly interspersed short palindromic repeats (CRISPR), of Streptococcus pyogenes (SpCas9) has been adapted as a widely used tool for genome editing and genome engineering. Herein, we describe a gene encoding a novel Cas9 ortholog (BpsuCas9) and th...

  1. Recruitment of CRISPR-Cas systems by Tn7-like transposons.

    PubMed

    Peters, Joseph E; Makarova, Kira S; Shmakov, Sergey; Koonin, Eugene V

    2017-08-29

    A survey of bacterial and archaeal genomes shows that many Tn7-like transposons contain minimal type I-F CRISPR-Cas systems that consist of fused cas8f and cas5f , cas7f , and cas6f genes and a short CRISPR array. Several small groups of Tn7-like transposons encompass similarly truncated type I-B CRISPR-Cas. This minimal gene complement of the transposon-associated CRISPR-Cas systems implies that they are competent for pre-CRISPR RNA (precrRNA) processing yielding mature crRNAs and target binding but not target cleavage that is required for interference. Phylogenetic analysis demonstrates that evolution of the CRISPR-Cas-containing transposons included a single, ancestral capture of a type I-F locus and two independent instances of type I-B loci capture. We show that the transposon-associated CRISPR arrays contain spacers homologous to plasmid and temperate phage sequences and, in some cases, chromosomal sequences adjacent to the transposon. We hypothesize that the transposon-encoded CRISPR-Cas systems generate displacement (R-loops) in the cognate DNA sites, targeting the transposon to these sites and thus facilitating their spread via plasmids and phages. These findings suggest the existence of RNA-guided transposition and fit the guns-for-hire concept whereby mobile genetic elements capture host defense systems and repurpose them for different stages in the life cycle of the element.

  2. CRISPR-Cas encoding of a digital movie into the genomes of a population of living bacteria.

    PubMed

    Shipman, Seth L; Nivala, Jeff; Macklis, Jeffrey D; Church, George M

    2017-07-20

    DNA is an excellent medium for archiving data. Recent efforts have illustrated the potential for information storage in DNA using synthesized oligonucleotides assembled in vitro. A relatively unexplored avenue of information storage in DNA is the ability to write information into the genome of a living cell by the addition of nucleotides over time. Using the Cas1-Cas2 integrase, the CRISPR-Cas microbial immune system stores the nucleotide content of invading viruses to confer adaptive immunity. When harnessed, this system has the potential to write arbitrary information into the genome. Here we use the CRISPR-Cas system to encode the pixel values of black and white images and a short movie into the genomes of a population of living bacteria. In doing so, we push the technical limits of this information storage system and optimize strategies to minimize those limitations. We also uncover underlying principles of the CRISPR-Cas adaptation system, including sequence determinants of spacer acquisition that are relevant for understanding both the basic biology of bacterial adaptation and its technological applications. This work demonstrates that this system can capture and stably store practical amounts of real data within the genomes of populations of living cells.

  3. Crystal Structure of the Minimal Cas9 from Campylobacter jejuni Reveals the Molecular Diversity in the CRISPR-Cas9 Systems.

    PubMed

    Yamada, Mari; Watanabe, Yuto; Gootenberg, Jonathan S; Hirano, Hisato; Ran, F Ann; Nakane, Takanori; Ishitani, Ryuichiro; Zhang, Feng; Nishimasu, Hiroshi; Nureki, Osamu

    2017-03-16

    The RNA-guided endonuclease Cas9 generates a double-strand break at DNA target sites complementary to the guide RNA and has been harnessed for the development of a variety of new technologies, such as genome editing. Here, we report the crystal structures of Campylobacter jejuni Cas9 (CjCas9), one of the smallest Cas9 orthologs, in complex with an sgRNA and its target DNA. The structures provided insights into a minimal Cas9 scaffold and revealed the remarkable mechanistic diversity of the CRISPR-Cas9 systems. The CjCas9 guide RNA contains a triple-helix structure, which is distinct from known RNA triple helices, thereby expanding the natural repertoire of RNA triple helices. Furthermore, unlike the other Cas9 orthologs, CjCas9 contacts the nucleotide sequences in both the target and non-target DNA strands and recognizes the 5'-NNNVRYM-3' as the protospacer-adjacent motif. Collectively, these findings improve our mechanistic understanding of the CRISPR-Cas9 systems and may facilitate Cas9 engineering. Copyright © 2017 Elsevier Inc. All rights reserved.

  4. Cas4-Dependent Prespacer Processing Ensures High-Fidelity Programming of CRISPR Arrays.

    PubMed

    Lee, Hayun; Zhou, Yi; Taylor, David W; Sashital, Dipali G

    2018-04-05

    CRISPR-Cas immune systems integrate short segments of foreign DNA as spacers into the host CRISPR locus to provide molecular memory of infection. Cas4 proteins are widespread in CRISPR-Cas systems and are thought to participate in spacer acquisition, although their exact function remains unknown. Here we show that Bacillus halodurans type I-C Cas4 is required for efficient prespacer processing prior to Cas1-Cas2-mediated integration. Cas4 interacts tightly with the Cas1 integrase, forming a heterohexameric complex containing two Cas1 dimers and two Cas4 subunits. In the presence of Cas1 and Cas2, Cas4 processes double-stranded substrates with long 3' overhangs through site-specific endonucleolytic cleavage. Cas4 recognizes PAM sequences within the prespacer and prevents integration of unprocessed prespacers, ensuring that only functional spacers will be integrated into the CRISPR array. Our results reveal the critical role of Cas4 in maintaining fidelity during CRISPR adaptation, providing a structural and mechanistic model for prespacer processing and integration. Copyright © 2018 Elsevier Inc. All rights reserved.

  5. The big bang of genome editing technology: development and application of the CRISPR/Cas9 system in disease animal models

    PubMed Central

    SHAO, Ming; XU, Tian-Rui; CHEN, Ce-Shi

    2016-01-01

    Targeted genome editing technology has been widely used in biomedical studies. The CRISPR-associated RNA-guided endonuclease Cas9 has become a versatile genome editing tool. The CRISPR/Cas9 system is useful for studying gene function through efficient knock-out, knock-in or chromatin modification of the targeted gene loci in various cell types and organisms. It can be applied in a number of fields, such as genetic breeding, disease treatment and gene functional investigation. In this review, we introduce the most recent developments and applications, the challenges, and future directions of Cas9 in generating disease animal model. Derived from the CRISPR adaptive immune system of bacteria, the development trend of Cas9 will inevitably fuel the vital applications from basic research to biotechnology and biomedicine. PMID:27469250

  6. The big bang of genome editing technology: development and application of the CRISPR/Cas9 system in disease animal models.

    PubMed

    Shao, Ming; Xu, Tian-Rui; Chen, Ce-Shi

    2016-07-18

    Targeted genome editing technology has been widely used in biomedical studies. The CRISPR-associated RNA-guided endonuclease Cas9 has become a versatile genome editing tool. The CRISPR/Cas9 system is useful for studying gene function through efficient knock-out, knock-in or chromatin modification of the targeted gene loci in various cell types and organisms. It can be applied in a number of fields, such as genetic breeding, disease treatment and gene functional investigation. In this review, we introduce the most recent developments and applications, the challenges, and future directions of Cas9 in generating disease animal model. Derived from the CRISPR adaptive immune system of bacteria, the development trend of Cas9 will inevitably fuel the vital applications from basic research to biotechnology and bio-medicine.

  7. The host-encoded RNase E endonuclease as the crRNA maturation enzyme in a CRISPR-Cas subtype III-Bv system.

    PubMed

    Behler, Juliane; Sharma, Kundan; Reimann, Viktoria; Wilde, Annegret; Urlaub, Henning; Hess, Wolfgang R

    2018-03-01

    Specialized RNA endonucleases for the maturation of clustered regularly interspaced short palindromic repeat (CRISPR)-derived RNAs (crRNAs) are critical in CRISPR-CRISPR-associated protein (Cas) defence mechanisms. The Cas6 and Cas5d enzymes are the RNA endonucleases in many class 1 CRISPR-Cas systems. In some class 2 systems, maturation and effector functions are combined within a single enzyme or maturation proceeds through the combined actions of RNase III and trans-activating CRISPR RNAs (tracrRNAs). Three separate CRISPR-Cas systems exist in the cyanobacterium Synechocystis sp. PCC 6803. Whereas Cas6-type enzymes act in two of these systems, the third, which is classified as subtype III-B variant (III-Bv), lacks cas6 homologues. Instead, the maturation of crRNAs proceeds through the activity of endoribonuclease E, leaving unusual 13- and 14-nucleotide-long 5'-handles. Overexpression of RNase E leads to overaccumulation and knock-down to the reduced accumulation of crRNAs in vivo, suggesting that RNase E is the limiting factor for CRISPR complex formation. Recognition by RNase E depends on a stem-loop in the CRISPR repeat, whereas base substitutions at the cleavage site trigger the appearance of secondary products, consistent with a two-step recognition and cleavage mechanism. These results suggest the adaptation of an otherwise very conserved housekeeping enzyme to accommodate new substrates and illuminate the impressive plasticity of CRISPR-Cas systems that enables them to function in particular genomic environments.

  8. Reframing the challenges to integrated care: a complex-adaptive systems perspective.

    PubMed

    Tsasis, Peter; Evans, Jenna M; Owen, Susan

    2012-01-01

    Despite over two decades of international experience and research on health systems integration, integrated care has not developed widely. We hypothesized that part of the problem may lie in how we conceptualize the integration process and the complex systems within which integrated care is enacted. This study aims to contribute to discourse regarding the relevance and utility of a complex-adaptive systems (CAS) perspective on integrated care. In the Canadian province of Ontario, government mandated the development of fourteen Local Health Integration Networks in 2006. Against the backdrop of these efforts to integrate care, we collected focus group data from a diverse sample of healthcare professionals in the Greater Toronto Area using convenience and snowball sampling. A semi-structured interview guide was used to elicit participant views and experiences of health systems integration. We use a CAS framework to describe and analyze the data, and to assess the theoretical fit of a CAS perspective with the dominant themes in participant responses. Our findings indicate that integration is challenged by system complexity, weak ties and poor alignment among professionals and organizations, a lack of funding incentives to support collaborative work, and a bureaucratic environment based on a command and control approach to management. Using a CAS framework, we identified several characteristics of CAS in our data, including diverse, interdependent and semi-autonomous actors; embedded co-evolutionary systems; emergent behaviours and non-linearity; and self-organizing capacity. One possible explanation for the lack of systems change towards integration is that we have failed to treat the healthcare system as complex-adaptive. The data suggest that future integration initiatives must be anchored in a CAS perspective, and focus on building the system's capacity to self-organize. We conclude that integrating care requires policies and management practices that promote system

  9. A lentivirus-free inducible CRISPR-Cas9 system for efficient targeting of human genes.

    PubMed

    Bisht, Kamlesh; Grill, Sherilyn; Graniel, Jacqueline; Nandakumar, Jayakrishnan

    2017-08-01

    CRISPR-Cas9 is a cutting-edge tool for modifying genomes. The efficacy with which Cas9 recognizes its target has revolutionized the engineering of knockouts. However this efficacy complicates the knocking out of important genes in cultured cells. Unedited cells holding a survival advantage within an edited population can confound the knockout phenotype. Here we develop a HeLa-based system that overcomes this limitation, incorporating several attractive features. First, we use Flp-recombinase to generate clones stably integrated for Cas9 and guide RNAs, eliminating the possibility of unedited cells. Second, Cas9 can be induced uniformly in the clonal cultures using doxycycline to measure the knockout phenotype. Third, two genes can be simultaneously knocked out using this approach. Finally, by not involving lentiviruses, our method is appealing to a broad research audience. Using this methodology we generated an inducible AGO2-knockout cell line showing normal RNA interference in the absence of doxycycline. Upon induction of Cas9, the AGO2 locus was cleaved, the AGO2 protein was depleted, and RNA interference was compromised. In addition to generating inducible knockouts, our technology can be adapted to improve other applications of Cas9, including transcriptional/epigenetic modulation and visualization of cellular DNA loci. Copyright © 2017 The Authors. Published by Elsevier Inc. All rights reserved.

  10. CRISPR-Cas Technologies and Applications in Food Bacteria.

    PubMed

    Stout, Emily; Klaenhammer, Todd; Barrangou, Rodolphe

    2017-02-28

    Clustered regularly interspaced short palindromic repeats (CRISPRs) and CRISPR-associated (Cas) proteins form adaptive immune systems that occur in many bacteria and most archaea. In addition to protecting bacteria from phages and other invasive mobile genetic elements, CRISPR-Cas molecular machines can be repurposed as tool kits for applications relevant to the food industry. A primary concern of the food industry has long been the proper management of food-related bacteria, with a focus on both enhancing the outcomes of beneficial microorganisms such as starter cultures and probiotics and limiting the presence of detrimental organisms such as pathogens and spoilage microorganisms. This review introduces CRISPR-Cas as a novel set of technologies to manage food bacteria and offers insights into CRISPR-Cas biology. It primarily focuses on the applications of CRISPR-Cas systems and tools in starter cultures and probiotics, encompassing strain-typing, phage resistance, plasmid vaccination, genome editing, and antimicrobial activity.

  11. Mathematical modelling of CRISPR-Cas system effects on biofilm formation.

    PubMed

    Ali, Qasim; Wahl, Lindi M

    2017-08-01

    Clustered regularly interspaced short palindromic repeats (CRISPR), linked with CRISPR associated (Cas) genes, can confer adaptive immunity to bacteria, against bacteriophage infections. Thus from a therapeutic standpoint, CRISPR immunity increases biofilm resistance to phage therapy. Recently, however, CRISPR-Cas genes have been implicated in reducing biofilm formation in lysogenized cells. Thus CRISPR immunity can have complex effects on phage-host-lysogen interactions, particularly in a biofilm. In this contribution, we develop and analyse a series of dynamical systems to elucidate and disentangle these interactions. Two competition models are used to study the effects of lysogens (first model) and CRISPR-immune bacteria (second model) in the biofilm. In the third model, the effect of delivering lysogens to a CRISPR-immune biofilm is investigated. Using standard analyses of equilibria, stability and bifurcations, our models predict that lysogens may be able to displace CRISPR-immune bacteria in a biofilm, and thus suggest strategies to eliminate phage-resistant biofilms.

  12. The CRISPR/Cas9 system: Their delivery, in vivo and ex vivo applications and clinical development by startups.

    PubMed

    Song, Minjung

    2017-07-01

    The CRISPR/Cas9 gene editing system was originally derived from the prokaryotic adaptive immune system mediated by Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) and CRISPR-associated proteins (Cas). The system has been successfully applied to genome editing in eukaryotes and has contributed to remarkable advances in the life sciences, in areas ranging from agriculture to genetic disease therapies. For efficient editing and extending the influence of this system, proper delivery of its components is crucial. Both viral and nonviral delivery methods are reviewed here, along with the advantages and disadvantages of each. In addition, we review ex vivo and in vivo CRISPR/Cas9 applications for disease therapies. Related remarkable studies are highlighted and relevant startup companies and their drug development pipelines are described. © 2017 American Institute of Chemical Engineers Biotechnol. Prog., 33:1035-1045, 2017. © 2017 American Institute of Chemical Engineers.

  13. Multiple mechanisms for CRISPR-Cas inhibition by anti-CRISPR proteins.

    PubMed

    Bondy-Denomy, Joseph; Garcia, Bianca; Strum, Scott; Du, Mingjian; Rollins, MaryClare F; Hidalgo-Reyes, Yurima; Wiedenheft, Blake; Maxwell, Karen L; Davidson, Alan R

    2015-10-01

    The battle for survival between bacteria and the viruses that infect them (phages) has led to the evolution of many bacterial defence systems and phage-encoded antagonists of these systems. Clustered regularly interspaced short palindromic repeats (CRISPR) and the CRISPR-associated (cas) genes comprise an adaptive immune system that is one of the most widespread means by which bacteria defend themselves against phages. We identified the first examples of proteins produced by phages that inhibit a CRISPR-Cas system. Here we performed biochemical and in vivo investigations of three of these anti-CRISPR proteins, and show that each inhibits CRISPR-Cas activity through a distinct mechanism. Two block the DNA-binding activity of the CRISPR-Cas complex, yet do this by interacting with different protein subunits, and using steric or non-steric modes of inhibition. The third anti-CRISPR protein operates by binding to the Cas3 helicase-nuclease and preventing its recruitment to the DNA-bound CRISPR-Cas complex. In vivo, this anti-CRISPR can convert the CRISPR-Cas system into a transcriptional repressor, providing the first example-to our knowledge-of modulation of CRISPR-Cas activity by a protein interactor. The diverse sequences and mechanisms of action of these anti-CRISPR proteins imply an independent evolution, and foreshadow the existence of other means by which proteins may alter CRISPR-Cas function.

  14. Primary processing of CRISPR RNA by the endonuclease Cas6 in Staphylococcus epidermidis.

    PubMed

    Wakefield, Noelle; Rajan, Rakhi; Sontheimer, Erik J

    2015-10-07

    In many bacteria and archaea, an adaptive immune system (CRISPR-Cas) provides immunity against foreign genetic elements. This system uses CRISPR RNAs (crRNAs) derived from the CRISPR array, along with CRISPR-associated (Cas) proteins, to target foreign nucleic acids. In most CRISPR systems, endonucleolytic processing of crRNA precursors (pre-crRNAs) is essential for the pathway. Here we study the Cas6 endonuclease responsible for crRNA processing in the Type III-A CRISPR-Cas system from Staphylococcus epidermidis RP62a, a model for Type III-A CRISPR-Cas systems, and define substrate requirements for SeCas6 activity. We find that SeCas6 is necessary and sufficient for full-length crRNA biogenesis in vitro, and that it relies on both sequence and stem-loop structure in the 3' half of the CRISPR repeat for recognition and processing. Copyright © 2015 Federation of European Biochemical Societies. Published by Elsevier B.V. All rights reserved.

  15. Function and regulation of clustered regularly interspaced short palindromic repeats (CRISPR) / CRISPR associated (Cas) systems.

    PubMed

    Richter, Corinna; Chang, James T; Fineran, Peter C

    2012-10-19

    Phages are the most abundant biological entities on earth and pose a constant challenge to their bacterial hosts. Thus, bacteria have evolved numerous 'innate' mechanisms of defense against phage, such as abortive infection or restriction/modification systems. In contrast, the clustered regularly interspaced short palindromic repeats (CRISPR) systems provide acquired, yet heritable, sequence-specific 'adaptive' immunity against phage and other horizontally-acquired elements, such as plasmids. Resistance is acquired following viral infection or plasmid uptake when a short sequence of the foreign genome is added to the CRISPR array. CRISPRs are then transcribed and processed, generally by CRISPR associated (Cas) proteins, into short interfering RNAs (crRNAs), which form part of a ribonucleoprotein complex. This complex guides the crRNA to the complementary invading nucleic acid and targets this for degradation. Recently, there have been rapid advances in our understanding of CRISPR/Cas systems. In this review, we will present the current model(s) of the molecular events involved in both the acquisition of immunity and interference stages and will also address recent progress in our knowledge of the regulation of CRISPR/Cas systems.

  16. [CRISPR/Cas system for genome editing in pluripotent stem cells].

    PubMed

    Vasil'eva, E A; Melino, D; Barlev, N A

    2015-01-01

    Genome editing systems based on site-specific nucleases became very popular for genome editing in modern bioengineering. Human pluripotent stem cells provide a unique platform for genes function study, disease modeling, and drugs testing. Consequently, technology for fast, accurate and well controlled genome manipulation is required. CRISPR/Cas (clustered regularly interspaced short palindromic repeat/CRISPR-associated) system could be employed for these purposes. This system is based on site-specific programmable nuclease Cas9. Numerous advantages of the CRISPR/Cas system and its successful application to human stem cells provide wide opportunities for genome therapy and regeneration medicine. In this publication, we describe and compare the main genome editing systems based on site-specific programmable nucleases and discuss opportunities and perspectives of the CRISPR/Cas system for application to pluripotent stem cells.

  17. [Using the CAS (computer-assisted surgery) system in arthroscopic cruciate ligament surgery--adaptation and application in clinical practice].

    PubMed

    Bernsmann, K; Rosenthal, A; Sati, M; Ansari, B; Wiese, M

    2001-01-01

    The anterior cruciate ligament (ACL) is of great importance for the knee joint function. In the case of a complete ligament injury there is hardly any chance for complete recovery. The clear advantages of an operative reconstruction by replacing the ACL has been shown in many trails. The accurate placement of the graft's insertions has a significant effect on the mid- and probably long-term outcome of this procedure. Reviewing the literature, there are poor long-term results of ACL replacement in 5 to 52% of all cases, depending on the score system. One of the main reasons for unacceptable results is graft misplacement. This led to the construction of a CAS system for ACL replacement. The system assists this surgical procedure by navigating the exact position of the drilling holes. The Potential deformation quantity of the transplant can be controlled by this system in real time. 40 computer-assisted ACL replacements have been performed under active use of the CAS system. The short-term results are encouraging, no special complications have been seen so far. Prospective long-term follow-up studies are ongoing. ACL reconstruction by manual devices has many sources of error. The CAS system is able to give the surgeon reasonable views that are unachieveable by conventional surgery. He is therefore able to control a source of error and to optimise the results. The feasibility of this device in clinical routine use has been proven.

  18. Complex adaptive systems and game theory: An unlikely union

    USGS Publications Warehouse

    Hadzikadic, M.; Carmichael, T.; Curtin, C.

    2010-01-01

    A Complex Adaptive System is a collection of autonomous, heterogeneous agents, whose behavior is defined with a limited number of rules. A Game Theory is a mathematical construct that assumes a small number of rational players who have a limited number of actions or strategies available to them. The CAS method has the potential to alleviate some of the shortcomings of GT. On the other hand, CAS researchers are always looking for a realistic way to define interactions among agents. GT offers an attractive option for defining the rules of such interactions in a way that is both potentially consistent with observed real-world behavior and subject to mathematical interpretation. This article reports on the results of an effort to build a CAS system that utilizes GT for determining the actions of individual agents. ?? 2009 Wiley Periodicals, Inc. Complexity, 16,24-42, 2010.

  19. Exploiting CRISPR/Cas: Interference Mechanisms and Applications

    PubMed Central

    Richter, Hagen; Randau, Lennart; Plagens, André

    2013-01-01

    The discovery of biological concepts can often provide a framework for the development of novel molecular tools, which can help us to further understand and manipulate life. One recent example is the elucidation of the prokaryotic adaptive immune system, clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) that protects bacteria and archaea against viruses or conjugative plasmids. The immunity is based on small RNA molecules that are incorporated into versatile multi-domain proteins or protein complexes and specifically target viral nucleic acids via base complementarity. CRISPR/Cas interference machines are utilized to develop novel genome editing tools for different organisms. Here, we will review the latest progress in the elucidation and application of prokaryotic CRISPR/Cas systems and discuss possible future approaches to exploit the potential of these interference machineries. PMID:23857052

  20. Exploiting CRISPR/Cas: interference mechanisms and applications.

    PubMed

    Richter, Hagen; Randau, Lennart; Plagens, André

    2013-07-12

    The discovery of biological concepts can often provide a framework for the development of novel molecular tools, which can help us to further understand and manipulate life. One recent example is the elucidation of the prokaryotic adaptive immune system, clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) that protects bacteria and archaea against viruses or conjugative plasmids. The immunity is based on small RNA molecules that are incorporated into versatile multi-domain proteins or protein complexes and specifically target viral nucleic acids via base complementarity. CRISPR/Cas interference machines are utilized to develop novel genome editing tools for different organisms. Here, we will review the latest progress in the elucidation and application of prokaryotic CRISPR/Cas systems and discuss possible future approaches to exploit the potential of these interference machineries.

  1. The application of CRISPR-Cas9 genome editing tool in cancer immunotherapy.

    PubMed

    Wu, Hong-Yan; Cao, Chun-Yu

    2018-03-22

    Clustered regularly interspaced short palindromic repeats (CRISPR)-associated protein 9 (CRISPR-Cas9) system was originally discovered in prokaryotes functioned as a part of the adaptive immune system. Because of its high efficiency and easy operability, CRISPR-Cas9 system has been developed to be a powerful and versatile gene editing tool shortly after its discovery. Given that multiple genetic alterations are the main factors that drive genesis and development of tumor, CRISPR-Cas9 system has been applied to correct cancer-causing gene mutations and deletions and to engineer immune cells, such as chimeric antigen receptor T (CAR T) cells, for cancer immunotherapeutic applications. Recently, CRISPR-Cas9-based CAR T-cell preparation has been an important breakthrough in antitumor therapy. Here, we summarize the mechanism, delivery and the application of CRISPR-Cas9 in gene editing, and discuss the challenges and future directions of CRISPR-Cas9 in cancer immunotherapy.

  2. Applications of the CRISPR-Cas9 system in kidney research.

    PubMed

    Higashijima, Yoshiki; Hirano, Seiichi; Nangaku, Masaomi; Nureki, Osamu

    2017-08-01

    The recently discovered clustered regularly interspaced short palindromic repeat (CRISPR)-CRISPR-associated protein 9 (Cas9) is an RNA-guided DNA nuclease, and has been harnessed for the development of simple, efficient, and relatively inexpensive technologies to precisely manipulate the genomic information in virtually all cell types and organisms. The CRIPSR-Cas9 systems have already been effectively used to disrupt multiple genes simultaneously, create conditional alleles, and generate reporter proteins, even in vivo. The ability of Cas9 to target a specific genomic region has also been exploited for various applications, such as transcriptional regulation, epigenetic control, and chromosome labeling. Here we first describe the molecular mechanism of the RNA-guided DNA targeting by the CRISPR-Cas9 system and then outline the current applications of this system as a genome-editing tool in mice and other species, to better model and study human diseases. We also discuss the practical and potential uses of the CRISPR-Cas9 system in kidney research and highlight the further applications of this technology beyond genome editing. Undoubtedly, the CRISPR-Cas9 system holds enormous potential for revolutionizing and accelerating kidney research and therapeutic applications in the future. Copyright © 2017 International Society of Nephrology. Published by Elsevier Inc. All rights reserved.

  3. Bacterial CRISPR/Cas DNA endonucleases: A revolutionary technology that could dramatically impact viral research and treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kennedy, Edward M.; Cullen, Bryan R., E-mail: bryan.cullen@duke.edu

    CRISPR/Cas systems mediate bacterial adaptive immune responses that evolved to protect bacteria from bacteriophage and other horizontally transmitted genetic elements. Several CRISPR/Cas systems exist but the simplest variant, referred to as Type II, has a single effector DNA endonuclease, called Cas9, which is guided to its viral DNA target by two small RNAs, the crRNA and the tracrRNA. Initial efforts to adapt the CRISPR/Cas system for DNA editing in mammalian cells, which focused on the Cas9 protein from Streptococcus pyogenes (Spy), demonstrated that Spy Cas9 can be directed to DNA targets in mammalian cells by tracrRNA:crRNA fusion transcripts called singlemore » guide RNAs (sgRNA). Upon binding, Cas9 induces DNA cleavage leading to mutagenesis as a result of error prone non-homologous end joining (NHEJ). Recently, the Spy Cas9 system has been adapted for high throughput screening of genes in human cells for their relevance to a particular phenotype and, more generally, for the targeted inactivation of specific genes, in cell lines and in vivo in a number of model organisms. The latter aim seems likely to be greatly enhanced by the recent development of Cas9 proteins from bacterial species such as Neisseria meningitidis and Staphyloccus aureus that are small enough to be expressed using adeno-associated (AAV)-based vectors that can be readily prepared at very high titers. The evolving Cas9-based DNA editing systems therefore appear likely to not only impact virology by allowing researchers to screen for human genes that affect the replication of pathogenic human viruses of all types but also to derive clonal human cell lines that lack individual gene products that either facilitate or restrict viral replication. Moreover, high titer AAV-based vectors offer the possibility of directly targeting DNA viruses that infect discrete sites in the human body, such as herpes simplex virus and hepatitis B virus, with the hope that the entire population of viral DNA

  4. CRISPR/Cas9 for cancer research and therapy.

    PubMed

    Zhan, Tianzuo; Rindtorff, Niklas; Betge, Johannes; Ebert, Matthias P; Boutros, Michael

    2018-04-16

    CRISPR/Cas9 has become a powerful method for making changes to the genome of many organisms. First discovered in bacteria as part of an adaptive immune system, CRISPR/Cas9 and modified versions have found a widespread use to engineer genomes and to activate or to repress the expression of genes. As such, CRISPR/Cas9 promises to accelerate cancer research by providing an efficient technology to dissect mechanisms of tumorigenesis, identify targets for drug development, and possibly arm cells for cell-based therapies. Here, we review current applications of the CRISPR/Cas9 technology for cancer research and therapy. We describe novel Cas9 variants and how they are used in functional genomics to discover novel cancer-specific vulnerabilities. Furthermore, we highlight the impact of CRISPR/Cas9 in generating organoid and mouse models of cancer. Finally, we provide an overview of the first clinical trials that apply CRISPR/Cas9 as a therapeutic approach against cancer. Copyright © 2018 The Authors. Published by Elsevier Ltd.. All rights reserved.

  5. Interference activity of a minimal Type I CRISPR–Cas system from Shewanella putrefaciens

    PubMed Central

    Dwarakanath, Srivatsa; Brenzinger, Susanne; Gleditzsch, Daniel; Plagens, André; Klingl, Andreas; Thormann, Kai; Randau, Lennart

    2015-01-01

    Type I CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats)–Cas (CRISPR-associated) systems exist in bacterial and archaeal organisms and provide immunity against foreign DNA. The Cas protein content of the DNA interference complexes (termed Cascade) varies between different CRISPR-Cas subtypes. A minimal variant of the Type I-F system was identified in proteobacterial species including Shewanella putrefaciens CN-32. This variant lacks a large subunit (Csy1), Csy2 and Csy3 and contains two unclassified cas genes. The genome of S. putrefaciens CN-32 contains only five Cas proteins (Cas1, Cas3, Cas6f, Cas1821 and Cas1822) and a single CRISPR array with 81 spacers. RNA-Seq analyses revealed the transcription of this array and the maturation of crRNAs (CRISPR RNAs). Interference assays based on plasmid conjugation demonstrated that this CRISPR-Cas system is active in vivo and that activity is dependent on the recognition of the dinucleotide GG PAM (Protospacer Adjacent Motif) sequence and crRNA abundance. The deletion of cas1821 and cas1822 reduced the cellular crRNA pool. Recombinant Cas1821 was shown to form helical filaments bound to RNA molecules, which suggests its role as the Cascade backbone protein. A Cascade complex was isolated which contained multiple Cas1821 copies, Cas1822, Cas6f and mature crRNAs. PMID:26350210

  6. CRISPR-Cas Targeting of Host Genes as an Antiviral Strategy.

    PubMed

    Chen, Shuliang; Yu, Xiao; Guo, Deyin

    2018-01-16

    Currently, a new gene editing tool-the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) associated (Cas) system-is becoming a promising approach for genetic manipulation at the genomic level. This simple method, originating from the adaptive immune defense system in prokaryotes, has been developed and applied to antiviral research in humans. Based on the characteristics of virus-host interactions and the basic rules of nucleic acid cleavage or gene activation of the CRISPR-Cas system, it can be used to target both the virus genome and host factors to clear viral reservoirs and prohibit virus infection or replication. Here, we summarize recent progress of the CRISPR-Cas technology in editing host genes as an antiviral strategy.

  7. Involvement of the CasK/R two-component system in optimal unsaturation of the Bacillus cereus fatty acids during low-temperature growth.

    PubMed

    Diomandé, Sara Esther; Nguyen-the, Christophe; Abee, Tjakko; Tempelaars, Marcel H; Broussolle, Véronique; Brillard, Julien

    2015-11-20

    Bacillus cereus sensu lato is composed of a set of ubiquitous strains including human pathogens that can survive a range of food processing conditions, grow in refrigerated food, and sometimes cause food poisoning. We previously identified the two-component system CasK/R that plays a key role in cold adaptation. To better understand the CasK/R-controlled mechanisms that support low-temperature adaptation, we performed a transcriptomic analysis on the ATCC 14579 strain and its isogenic ∆casK/R mutant grown at 12°C. Several genes involved in fatty acid (FA) metabolism were downregulated in the mutant, including desA and desB encoding FA acyl-lipid desaturases that catalyze the formation of a double-bond on the FA chain in positions ∆5 and ∆10, respectively. A lower proportion of FAs presumably unsaturated by DesA was observed in the ΔcasK/R strain compared to the parental strain while no difference was found for FAs presumably unsaturated by DesB. Addition of phospholipids from egg yolk lecithin rich in unsaturated FAs, to growth medium, abolished the cold-growth impairment of ΔcasK/R suggesting that exogenous unsaturated FAs can support membrane-level modifications and thus compensate for the decreased production of these FAs in the B. cereus ∆casK/R mutant during growth at low temperature. Our findings indicate that CasK/R is involved in the regulation of FA metabolism, and is necessary for cold adaptation of B. cereus unless an exogenous source of unsaturated FAs is available. Copyright © 2015 Elsevier B.V. All rights reserved.

  8. Phylogenetic Distribution of CRISPR-Cas Systems in Antibiotic-Resistant Pseudomonas aeruginosa

    PubMed Central

    van Belkum, Alex; Soriaga, Leah B.; LaFave, Matthew C.; Akella, Srividya; Veyrieras, Jean-Baptiste; Barbu, E. Magda; Shortridge, Dee; Blanc, Bernadette; Hannum, Gregory; Zambardi, Gilles; Miller, Kristofer; Enright, Mark C.; Mugnier, Nathalie; Brami, Daniel; Schicklin, Stéphane; Felderman, Martina; Schwartz, Ariel S.; Richardson, Toby H.; Peterson, Todd C.; Hubby, Bolyn

    2015-01-01

    ABSTRACT Pseudomonas aeruginosa is an antibiotic-refractory pathogen with a large genome and extensive genotypic diversity. Historically, P. aeruginosa has been a major model system for understanding the molecular mechanisms underlying type I clustered regularly interspaced short palindromic repeat (CRISPR) and CRISPR-associated protein (CRISPR-Cas)-based bacterial immune system function. However, little information on the phylogenetic distribution and potential role of these CRISPR-Cas systems in molding the P. aeruginosa accessory genome and antibiotic resistance elements is known. Computational approaches were used to identify and characterize CRISPR-Cas systems within 672 genomes, and in the process, we identified a previously unreported and putatively mobile type I-C P. aeruginosa CRISPR-Cas system. Furthermore, genomes harboring noninhibited type I-F and I-E CRISPR-Cas systems were on average ~300 kb smaller than those without a CRISPR-Cas system. In silico analysis demonstrated that the accessory genome (n = 22,036 genes) harbored the majority of identified CRISPR-Cas targets. We also assembled a global spacer library that aided the identification of difficult-to-characterize mobile genetic elements within next-generation sequencing (NGS) data and allowed CRISPR typing of a majority of P. aeruginosa strains. In summary, our analysis demonstrated that CRISPR-Cas systems play an important role in shaping the accessory genomes of globally distributed P. aeruginosa isolates. PMID:26604259

  9. The CRISPR-Cas system for plant genome editing: advances and opportunities.

    PubMed

    Kumar, Vinay; Jain, Mukesh

    2015-01-01

    Genome editing is an approach in which a specific target DNA sequence of the genome is altered by adding, removing, or replacing DNA bases. Artificially engineered hybrid enzymes, zinc-finger nucleases (ZFNs), and transcription activator-like effector nucleases (TALENs), and the CRISPR (clustered regularly interspaced short palindromic repeats)-Cas (CRISPR-associated protein) system are being used for genome editing in various organisms including plants. The CRISPR-Cas system has been developed most recently and seems to be more efficient and less time-consuming compared with ZFNs or TALENs. This system employs an RNA-guided nuclease, Cas9, to induce double-strand breaks. The Cas9-mediated breaks are repaired by cellular DNA repair mechanisms and mediate gene/genome modifications. Here, we provide a detailed overview of the CRISPR-Cas system and its adoption in different organisms, especially plants, for various applications. Important considerations and future opportunities for deployment of the CRISPR-Cas system in plants for numerous applications are also discussed. Recent investigations have revealed the implications of the CRISPR-Cas system as a promising tool for targeted genetic modifications in plants. This technology is likely to be more commonly adopted in plant functional genomics studies and crop improvement in the near future. © The Author 2014. Published by Oxford University Press on behalf of the Society for Experimental Biology. All rights reserved. For permissions, please email: journals.permissions@oup.com.

  10. Interference activity of a minimal Type I CRISPR-Cas system from Shewanella putrefaciens.

    PubMed

    Dwarakanath, Srivatsa; Brenzinger, Susanne; Gleditzsch, Daniel; Plagens, André; Klingl, Andreas; Thormann, Kai; Randau, Lennart

    2015-10-15

    Type I CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats)-Cas (CRISPR-associated) systems exist in bacterial and archaeal organisms and provide immunity against foreign DNA. The Cas protein content of the DNA interference complexes (termed Cascade) varies between different CRISPR-Cas subtypes. A minimal variant of the Type I-F system was identified in proteobacterial species including Shewanella putrefaciens CN-32. This variant lacks a large subunit (Csy1), Csy2 and Csy3 and contains two unclassified cas genes. The genome of S. putrefaciens CN-32 contains only five Cas proteins (Cas1, Cas3, Cas6f, Cas1821 and Cas1822) and a single CRISPR array with 81 spacers. RNA-Seq analyses revealed the transcription of this array and the maturation of crRNAs (CRISPR RNAs). Interference assays based on plasmid conjugation demonstrated that this CRISPR-Cas system is active in vivo and that activity is dependent on the recognition of the dinucleotide GG PAM (Protospacer Adjacent Motif) sequence and crRNA abundance. The deletion of cas1821 and cas1822 reduced the cellular crRNA pool. Recombinant Cas1821 was shown to form helical filaments bound to RNA molecules, which suggests its role as the Cascade backbone protein. A Cascade complex was isolated which contained multiple Cas1821 copies, Cas1822, Cas6f and mature crRNAs. © The Author(s) 2015. Published by Oxford University Press on behalf of Nucleic Acids Research.

  11. Phylogenetic Distribution of CRISPR-Cas Systems in Antibiotic-Resistant Pseudomonas aeruginosa.

    PubMed

    van Belkum, Alex; Soriaga, Leah B; LaFave, Matthew C; Akella, Srividya; Veyrieras, Jean-Baptiste; Barbu, E Magda; Shortridge, Dee; Blanc, Bernadette; Hannum, Gregory; Zambardi, Gilles; Miller, Kristofer; Enright, Mark C; Mugnier, Nathalie; Brami, Daniel; Schicklin, Stéphane; Felderman, Martina; Schwartz, Ariel S; Richardson, Toby H; Peterson, Todd C; Hubby, Bolyn; Cady, Kyle C

    2015-11-24

    Pseudomonas aeruginosa is an antibiotic-refractory pathogen with a large genome and extensive genotypic diversity. Historically, P. aeruginosa has been a major model system for understanding the molecular mechanisms underlying type I clustered regularly interspaced short palindromic repeat (CRISPR) and CRISPR-associated protein (CRISPR-Cas)-based bacterial immune system function. However, little information on the phylogenetic distribution and potential role of these CRISPR-Cas systems in molding the P. aeruginosa accessory genome and antibiotic resistance elements is known. Computational approaches were used to identify and characterize CRISPR-Cas systems within 672 genomes, and in the process, we identified a previously unreported and putatively mobile type I-C P. aeruginosa CRISPR-Cas system. Furthermore, genomes harboring noninhibited type I-F and I-E CRISPR-Cas systems were on average ~300 kb smaller than those without a CRISPR-Cas system. In silico analysis demonstrated that the accessory genome (n = 22,036 genes) harbored the majority of identified CRISPR-Cas targets. We also assembled a global spacer library that aided the identification of difficult-to-characterize mobile genetic elements within next-generation sequencing (NGS) data and allowed CRISPR typing of a majority of P. aeruginosa strains. In summary, our analysis demonstrated that CRISPR-Cas systems play an important role in shaping the accessory genomes of globally distributed P. aeruginosa isolates. P. aeruginosa is both an antibiotic-refractory pathogen and an important model system for type I CRISPR-Cas bacterial immune systems. By combining the genome sequences of 672 newly and previously sequenced genomes, we were able to provide a global view of the phylogenetic distribution, conservation, and potential targets of these systems. This analysis identified a new and putatively mobile P. aeruginosa CRISPR-Cas subtype, characterized the diverse distribution of known CRISPR-inhibiting genes, and

  12. Effects of Using a Computer Algebra System (CAS) on Junior College Students' Attitudes towards CAS and Achievement in Mathematics

    ERIC Educational Resources Information Center

    Leng, Ng Wee; Choo, Kwee Tiow; Soon, Lau Hock; Yi-Huak, Koh; Sun, Yap Yew

    2005-01-01

    This study examines the effects of using Texas Instruments' Voyage 200 calculator (V200), a graphing calculator with a built-in computer algebra system (CAS), on attitudes towards CAS and achievement in mathematics of junior college students (17 year olds). Students' attitudes towards CAS were examined using a 40-item Likert-type instrument…

  13. Differential Distribution of Type II CRISPR-Cas Systems in Agricultural and Nonagricultural Campylobacter coli and Campylobacter jejuni Isolates Correlates with Lack of Shared Environments

    PubMed Central

    Pearson, Bruce M.; Louwen, Rogier; van Baarlen, Peter; van Vliet, Arnoud H.M.

    2015-01-01

    CRISPR (clustered regularly interspaced palindromic repeats)-Cas (CRISPR-associated) systems are sequence-specific adaptive defenses against phages and plasmids which are widespread in prokaryotes. Here we have studied whether phylogenetic relatedness or sharing of environmental niches affects the distribution and dissemination of Type II CRISPR-Cas systems, first in 132 bacterial genomes from 15 phylogenetic classes, ranging from Proteobacteria to Actinobacteria. There was clustering of distinct Type II CRISPR-Cas systems in phylogenetically distinct genera with varying G+C%, which share environmental niches. The distribution of CRISPR-Cas within a genus was studied using a large collection of genome sequences of the closely related Campylobacter species Campylobacter jejuni (N = 3,746) and Campylobacter coli (N = 486). The Cas gene cas9 and CRISPR-repeat are almost universally present in C. jejuni genomes (98.0% positive) but relatively rare in C. coli genomes (9.6% positive). Campylobacter jejuni and agricultural C. coli isolates share the C. jejuni CRISPR-Cas system, which is closely related to, but distinct from the C. coli CRISPR-Cas system found in C. coli isolates from nonagricultural sources. Analysis of the genomic position of CRISPR-Cas insertion suggests that the C. jejuni-type CRISPR-Cas has been transferred to agricultural C. coli. Conversely, the absence of the C. coli-type CRISPR-Cas in agricultural C. coli isolates may be due to these isolates not sharing the same environmental niche, and may be affected by farm hygiene and biosecurity practices in the agricultural sector. Finally, many CRISPR spacer alleles were linked with specific multilocus sequence types, suggesting that these can assist molecular epidemiology applications for C. jejuni and C. coli. PMID:26338188

  14. Genome Editing by CRISPR/Cas9: a Game Change in the Genetic Manipulation of Protists

    PubMed Central

    Lander, Noelia; Chiurillo, Miguel A.; Docampo, Roberto

    2016-01-01

    Genome editing by CRISPR (clustered regularly interspaced short palindromic repeats)/Cas9 (CRISPR-associated gene 9) system has been transformative in biology. Originally discovered as an adaptive prokaryotic immune system, CRISPR/Cas9 has been repurposed for genome editing in a broad range of model organisms, from yeast to mammalian cells. Protist parasites are unicellular organisms producing important human diseases that affect millions of people around the world. For many of these diseases, such as malaria, Chagas disease, leishmaniasis and cryptosporidiosis, there are no effective treatments or vaccines available. The recent adaptation of the CRISPR/Cas9 technology to several protist models will be playing a key role in the functional study of their proteins, in the characterization of their metabolic pathways, and in the understanding of their biology, and will facilitate the search for new chemotherapeutic targets. In this work we review recent studies where the CRISPR/Cas9 system was adapted to protist parasites, particularly to Apicomplexans and trypanosomatids, emphasizing the different molecular strategies used for genome editing of each organism, as well as their advantages. We also discuss the potential usefulness of this technology in the green alga Chlamydomonas reinhardtii. PMID:27315329

  15. Non-viral and viral delivery systems for CRISPR-Cas9 technology in the biomedical field.

    PubMed

    He, Zhi-Yao; Men, Ke; Qin, Zhou; Yang, Yang; Xu, Ting; Wei, Yu-Quan

    2017-05-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)-associated protein 9 (CRISPR-Cas9) system provides a novel genome editing technology that can precisely target a genomic site to disrupt or repair a specific gene. Some CRISPR-Cas9 systems from different bacteria or artificial variants have been discovered or constructed by biologists, and Cas9 nucleases and single guide RNAs (sgRNA) are the major components of the CRISPR-Cas9 system. These Cas9 systems have been extensively applied for identifying therapeutic targets, identifying gene functions, generating animal models, and developing gene therapies. Moreover, CRISPR-Cas9 systems have been used to partially or completely alleviate disease symptoms by mutating or correcting related genes. However, the efficient transfer of CRISPR-Cas9 system into cells and target organs remains a challenge that affects the robust and precise genome editing activity. The current review focuses on delivery systems for Cas9 mRNA, Cas9 protein, or vectors encoding the Cas9 gene and corresponding sgRNA. Non-viral delivery of Cas9 appears to help Cas9 maintain its on-target effect and reduce off-target effects, and viral vectors for sgRNA and donor template can improve the efficacy of genome editing and homology-directed repair. Safe, efficient, and producible delivery systems will promote the application of CRISPR-Cas9 technology in human gene therapy.

  16. CRISPR-Cas Targeting of Host Genes as an Antiviral Strategy

    PubMed Central

    Chen, Shuliang; Yu, Xiao; Guo, Deyin

    2018-01-01

    Currently, a new gene editing tool—the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) associated (Cas) system—is becoming a promising approach for genetic manipulation at the genomic level. This simple method, originating from the adaptive immune defense system in prokaryotes, has been developed and applied to antiviral research in humans. Based on the characteristics of virus-host interactions and the basic rules of nucleic acid cleavage or gene activation of the CRISPR-Cas system, it can be used to target both the virus genome and host factors to clear viral reservoirs and prohibit virus infection or replication. Here, we summarize recent progress of the CRISPR-Cas technology in editing host genes as an antiviral strategy. PMID:29337866

  17. Rapid and tunable method to temporally control gene editing based on conditional Cas9 stabilization. | Office of Cancer Genomics

    Cancer.gov

    The CRISPR/Cas9 system is a powerful tool for studying gene function. Here, we describe a method that allows temporal control of CRISPR/Cas9 activity based on conditional Cas9 destabilization. We demonstrate that fusing an FKBP12-derived destabilizing domain to Cas9 (DD-Cas9) enables conditional Cas9 expression and temporal control of gene editing in the presence of an FKBP12 synthetic ligand. This system can be easily adapted to co-express, from the same promoter, DD-Cas9 with any other gene of interest without co-modulation of the latter.

  18. Probing the structural dynamics of the CRISPR-Cas9 RNA-guided DNA-cleavage system by coarse-grained modeling.

    PubMed

    Zheng, Wenjun

    2017-02-01

    In the adaptive immune systems of many bacteria and archaea, the Cas9 endonuclease forms a complex with specific guide/scaffold RNA to identify and cleave complementary target sequences in foreign DNA. This DNA targeting machinery has been exploited in numerous applications of genome editing and transcription control. However, the molecular mechanism of the Cas9 system is still obscure. Recently, high-resolution structures have been solved for Cas9 in different structural forms (e.g., unbound forms, RNA-bound binary complexes, and RNA-DNA-bound tertiary complexes, corresponding to an inactive state, a pre-target-bound state, and a cleavage-competent or product state), which offered key structural insights to the Cas9 mechanism. To further probe the structural dynamics of Cas9 interacting with RNA and DNA at the amino-acid level of details, we have performed systematic coarse-grained modeling using an elastic network model and related analyses. Our normal mode analysis predicted a few key modes of collective motions that capture the observed conformational changes featuring large domain motions triggered by binding of RNA and DNA. Our flexibility analysis identified specific regions with high or low flexibility that coincide with key functional sites (such as DNA/RNA-binding sites, nuclease cleavage sites, and key hinges). We also identified a small set of hotspot residues that control the energetics of functional motions, which overlap with known functional sites and offer promising targets for future mutagenesis efforts to improve the specificity of Cas9. Finally, we modeled the conformational transitions of Cas9 from the unbound form to the binary complex and then the tertiary complex, and predicted a distinct sequence of domain motions. In sum, our findings have offered rich structural and dynamic details relevant to the Cas9 machinery, and will guide future investigation and engineering of the Cas9 systems. Proteins 2017; 85:342-353. © 2016 Wiley Periodicals

  19. Therapeutic applications of CRISPR/Cas9 system in gene therapy.

    PubMed

    Mollanoori, Hasan; Teimourian, Shahram

    2018-06-01

    Gene therapy is based on the principle of the genetic manipulation of DNA or RNA for treating and preventing human diseases. The clustered regularly interspaced short palindromic repeats/CRISPR associated nuclease9 (CRISPR/Cas9) system, derived from the acquired immune system in bacteria and archaea, has provided a new tool for accurate manipulation of genomic sequence to attain a therapeutic result. The advantage of CRISPR which made it an easy and flexible tool for diverse genome editing purposes is that a single protein (Cas9) complex with 2 short RNA sequences, function as a site-specific endonuclease. Recently, application of CRISPR/Cas9 system has become popular for therapeutic aims such as gene therapy. In this article, we review the fundamental mechanisms of CRISPR-Cas9 function and summarize preclinical CRISPR-mediated gene therapy reports on a wide variety of disorders.

  20. CRISPR-Cas9 gene editing: Delivery aspects and therapeutic potential.

    PubMed

    Oude Blenke, Erik; Evers, Martijn J W; Mastrobattista, Enrico; van der Oost, John

    2016-12-28

    The CRISPR-Cas9 gene editing system has taken the biomedical science field by storm, initiating rumors about future Nobel Prizes and heating up a fierce patent war, but also making significant scientific impact. The Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR), together with CRISPR-associated proteins (Cas) are a part of the prokaryotic adaptive immune system and have successfully been repurposed for genome editing in mammalian cells. The CRISPR-Cas9 system has been used to correct genetic mutations and for replacing entire genes, opening up a world of possibilities for the treatment of genetic diseases. In addition, recently some new CRISPR-Cas systems have been discovered with interesting mechanistic variations. Despite these promising developments, many challenges have to be overcome before the system can be applied therapeutically in human patients and enabling delivery technology is one of the key challenges. Furthermore, the relatively high off-target effect of the system in its current form prevents it from being safely applied directly in the human body. In this review, the transformation of the CRISPR-Cas gene editing systems into a therapeutic modality will be discussed and the currently most realistic in vivo applications will be highlighted. Copyright © 2016 Elsevier B.V. All rights reserved.

  1. CRISPR-Cas Systems in Bacteroides fragilis, an Important Pathobiont in the Human Gut Microbiome.

    PubMed

    Tajkarimi, Mehrdad; Wexler, Hannah M

    2017-01-01

    Background: While CRISPR-Cas systems have been identified in bacteria from a wide variety of ecological niches, there are no studies to describe CRISPR-Cas elements in Bacteroides species, the most prevalent anaerobic bacteria in the lower intestinal tract. Microbes of the genus Bacteroides make up ~25% of the total gut microbiome. Bacteroides fragilis comprises only 2% of the total Bacteroides in the gut, yet causes of >70% of Bacteroides infections. The factors causing it to transition from benign resident of the gut microbiome to virulent pathogen are not well understood, but a combination of horizontal gene transfer (HGT) of virulence genes and differential transcription of endogenous genes are clearly involved. The CRISPR-Cas system is a multi-functional system described in prokaryotes that may be involved in control both of HGT and of gene regulation. Results: Clustered regularly interspaced short palindromic repeats (CRISPR) elements in all strains of B. fragilis ( n = 109) with publically available genomes were identified. Three different CRISPR-Cas types, corresponding most closely to Type IB, Type IIIB, and Type IIC, were identified. Thirty-five strains had two CRISPR-Cas types, and three strains included all three CRISPR-Cas types in their respective genomes. The cas1 gene in the Type IIIB system encoded a reverse-transcriptase/Cas1 fusion protein rarely found in prokaryotes. We identified a short CRISPR (3 DR) with no associated cas genes present in most of the isolates; these CRISPRs were found immediately upstream of a hipA/hipB operon and we speculate that this element may be involved in regulation of this operon related to formation of persister cells during antimicrobial exposure. Also, blood isolates of B. fragilis did not have Type IIC CRISPR-Cas systems and had atypical Type IIIB CRISPR-Cas systems that were lacking adjacent cas genes. Conclusions: This is the first systematic report of CRISPR-Cas systems in a wide range of B. fragilis strains

  2. CRISPR-Cas Systems in Bacteroides fragilis, an Important Pathobiont in the Human Gut Microbiome

    PubMed Central

    Tajkarimi, Mehrdad; Wexler, Hannah M.

    2017-01-01

    Background: While CRISPR-Cas systems have been identified in bacteria from a wide variety of ecological niches, there are no studies to describe CRISPR-Cas elements in Bacteroides species, the most prevalent anaerobic bacteria in the lower intestinal tract. Microbes of the genus Bacteroides make up ~25% of the total gut microbiome. Bacteroides fragilis comprises only 2% of the total Bacteroides in the gut, yet causes of >70% of Bacteroides infections. The factors causing it to transition from benign resident of the gut microbiome to virulent pathogen are not well understood, but a combination of horizontal gene transfer (HGT) of virulence genes and differential transcription of endogenous genes are clearly involved. The CRISPR-Cas system is a multi-functional system described in prokaryotes that may be involved in control both of HGT and of gene regulation. Results: Clustered regularly interspaced short palindromic repeats (CRISPR) elements in all strains of B. fragilis (n = 109) with publically available genomes were identified. Three different CRISPR-Cas types, corresponding most closely to Type IB, Type IIIB, and Type IIC, were identified. Thirty-five strains had two CRISPR-Cas types, and three strains included all three CRISPR-Cas types in their respective genomes. The cas1 gene in the Type IIIB system encoded a reverse-transcriptase/Cas1 fusion protein rarely found in prokaryotes. We identified a short CRISPR (3 DR) with no associated cas genes present in most of the isolates; these CRISPRs were found immediately upstream of a hipA/hipB operon and we speculate that this element may be involved in regulation of this operon related to formation of persister cells during antimicrobial exposure. Also, blood isolates of B. fragilis did not have Type IIC CRISPR-Cas systems and had atypical Type IIIB CRISPR-Cas systems that were lacking adjacent cas genes. Conclusions: This is the first systematic report of CRISPR-Cas systems in a wide range of B. fragilis strains

  3. Doing Away with the "Native Speaker": A Complex Adaptive Systems Approach to L2 Phonological Attainment

    ERIC Educational Resources Information Center

    Aslan, Erhan

    2017-01-01

    Employing the complex adaptive systems (CAS) model, the present case study provides a self-report description of the attitudes, perceptions and experiences of an advanced adult L2 English learner with respect to his L2 phonological attainment. CAS is predicated on the notion that an individual's cognitive processes are intricately related to his…

  4. Differential Distribution of Type II CRISPR-Cas Systems in Agricultural and Nonagricultural Campylobacter coli and Campylobacter jejuni Isolates Correlates with Lack of Shared Environments.

    PubMed

    Pearson, Bruce M; Louwen, Rogier; van Baarlen, Peter; van Vliet, Arnoud H M

    2015-09-02

    CRISPR (clustered regularly interspaced palindromic repeats)-Cas (CRISPR-associated) systems are sequence-specific adaptive defenses against phages and plasmids which are widespread in prokaryotes. Here we have studied whether phylogenetic relatedness or sharing of environmental niches affects the distribution and dissemination of Type II CRISPR-Cas systems, first in 132 bacterial genomes from 15 phylogenetic classes, ranging from Proteobacteria to Actinobacteria. There was clustering of distinct Type II CRISPR-Cas systems in phylogenetically distinct genera with varying G+C%, which share environmental niches. The distribution of CRISPR-Cas within a genus was studied using a large collection of genome sequences of the closely related Campylobacter species Campylobacter jejuni (N = 3,746) and Campylobacter coli (N = 486). The Cas gene cas9 and CRISPR-repeat are almost universally present in C. jejuni genomes (98.0% positive) but relatively rare in C. coli genomes (9.6% positive). Campylobacter jejuni and agricultural C. coli isolates share the C. jejuni CRISPR-Cas system, which is closely related to, but distinct from the C. coli CRISPR-Cas system found in C. coli isolates from nonagricultural sources. Analysis of the genomic position of CRISPR-Cas insertion suggests that the C. jejuni-type CRISPR-Cas has been transferred to agricultural C. coli. Conversely, the absence of the C. coli-type CRISPR-Cas in agricultural C. coli isolates may be due to these isolates not sharing the same environmental niche, and may be affected by farm hygiene and biosecurity practices in the agricultural sector. Finally, many CRISPR spacer alleles were linked with specific multilocus sequence types, suggesting that these can assist molecular epidemiology applications for C. jejuni and C. coli. © The Author(s) 2015. Published by Oxford University Press on behalf of the Society for Molecular Biology and Evolution.

  5. Harnessing CRISPR-Cas systems for bacterial genome editing.

    PubMed

    Selle, Kurt; Barrangou, Rodolphe

    2015-04-01

    Manipulation of genomic sequences facilitates the identification and characterization of key genetic determinants in the investigation of biological processes. Genome editing via clustered regularly interspaced short palindromic repeats (CRISPR)-CRISPR-associated (Cas) constitutes a next-generation method for programmable and high-throughput functional genomics. CRISPR-Cas systems are readily reprogrammed to induce sequence-specific DNA breaks at target loci, resulting in fixed mutations via host-dependent DNA repair mechanisms. Although bacterial genome editing is a relatively unexplored and underrepresented application of CRISPR-Cas systems, recent studies provide valuable insights for the widespread future implementation of this technology. This review summarizes recent progress in bacterial genome editing and identifies fundamental genetic and phenotypic outcomes of CRISPR targeting in bacteria, in the context of tool development, genome homeostasis, and DNA repair. Copyright © 2015 Elsevier Ltd. All rights reserved.

  6. Examination of CRISPR/Cas9 design tools and the effect of target site accessibility on Cas9 activity.

    PubMed

    Lee, Ciaran M; Davis, Timothy H; Bao, Gang

    2018-04-01

    What is the topic of this review? In this review, we analyse the performance of recently described tools for CRISPR/Cas9 guide RNA design, in particular, design tools that predict CRISPR/Cas9 activity. What advances does it highlight? Recently, many tools designed to predict CRISPR/Cas9 activity have been reported. However, the majority of these tools lack experimental validation. Our analyses indicate that these tools have poor predictive power. Our preliminary results suggest that target site accessibility should be considered in order to develop better guide RNA design tools with improved predictive power. The recent adaptation of the clustered regulatory interspaced short palindromic repeats (CRISPR)/CRISPR-associated protein 9 (Cas9) system for targeted genome engineering has led to its widespread application in many fields worldwide. In order to gain a better understanding of the design rules of CRISPR/Cas9 systems, several groups have carried out large library-based screens leading to some insight into sequence preferences among highly active target sites. To facilitate CRISPR/Cas9 design, these studies have spawned a plethora of guide RNA (gRNA) design tools with algorithms based solely on direct or indirect sequence features. Here, we demonstrate that the predictive power of these tools is poor, suggesting that sequence features alone cannot accurately inform the cutting efficiency of a particular CRISPR/Cas9 gRNA design. Furthermore, we demonstrate that DNA target site accessibility influences the activity of CRISPR/Cas9. With further optimization, we hypothesize that it will be possible to increase the predictive power of gRNA design tools by including both sequence and target site accessibility metrics. © 2017 The Authors. Experimental Physiology © 2017 The Physiological Society.

  7. A non-inheritable maternal Cas9-based multiple-gene editing system in mice.

    PubMed

    Sakurai, Takayuki; Kamiyoshi, Akiko; Kawate, Hisaka; Mori, Chie; Watanabe, Satoshi; Tanaka, Megumu; Uetake, Ryuichi; Sato, Masahiro; Shindo, Takayuki

    2016-01-28

    The CRISPR/Cas9 system is capable of editing multiple genes through one-step zygote injection. The preexisting method is largely based on the co-injection of Cas9 DNA (or mRNA) and guide RNAs (gRNAs); however, it is unclear how many genes can be simultaneously edited by this method, and a reliable means to generate transgenic (Tg) animals with multiple gene editing has yet to be developed. Here, we employed non-inheritable maternal Cas9 (maCas9) protein derived from Tg mice with systemic Cas9 overexpression (Cas9 mice). The maCas9 protein in zygotes derived from mating or in vitro fertilization of Tg/+ oocytes and +/+ sperm could successfully edit the target genome. The efficiency of such maCas9-based genome editing was comparable to that of zygote microinjection-based genome editing widely used at present. Furthermore, we demonstrated a novel approach to create "Cas9 transgene-free" gene-modified mice using non-Tg (+/+) zygotes carrying maCas9. The maCas9 protein in mouse zygotes edited nine target loci simultaneously after injection with nine different gRNAs alone. Cas9 mouse-derived zygotes have the potential to facilitate the creation of genetically modified animals carrying the Cas9 transgene, enabling repeatable genome engineering and the production of Cas9 transgene-free mice.

  8. [Advances in CRISPR-Cas-mediated genome editing system in plants].

    PubMed

    Wang, Chun; Wang, Kejian

    2017-10-25

    Targeted genome editing technology is an important tool to study the function of genes and to modify organisms at the genetic level. Recently, CRISPR-Cas (clustered regularly interspaced short palindromic repeats and CRISPR-associated proteins) system has emerged as an efficient tool for specific genome editing in animals and plants. CRISPR-Cas system uses CRISPR-associated endonuclease and a guide RNA to generate double-strand breaks at the target DNA site, subsequently leading to genetic modifications. CRISPR-Cas system has received widespread attention for manipulating the genomes with simple, easy and high specificity. This review summarizes recent advances of diverse applications of the CRISPR-Cas toolkit in plant research and crop breeding, including expanding the range of genome editing, precise editing of a target base, and efficient DNA-free genome editing technology. This review also discusses the potential challenges and application prospect in the future, and provides a useful reference for researchers who are interested in this field.

  9. Editing Citrus Genome via SaCas9/sgRNA System

    PubMed Central

    Jia, Hongge; Xu, Jin; Orbović, Vladimir; Zhang, Yunzeng; Wang, Nian

    2017-01-01

    SaCas9/sgRNA, derived from Staphylococcus aureus, is an alternative system for genome editing to Streptococcus pyogenes SpCas9/sgRNA. The smaller SaCas9 recognizes a different protospacer adjacent motif (PAM) sequence from SpCas9. SaCas9/sgRNA has been employed to edit the genomes of Arabidopsis, tobacco and rice. In this study, we aimed to test its potential in genome editing of citrus. Transient expression of SaCas9/sgRNA in Duncan grapefruit via Xcc-facilitated agroinfiltration showed it can successfully modify CsPDS and Cs2g12470. Subsequently, binary vector GFP-p1380N-SaCas9/35S-sgRNA1:AtU6-sgRNA2 was developed to edit two target sites of Cs7g03360 in transgenic Carrizo citrange. Twelve GFP-positive Carrizo transformants were successfully established, designated as #Cz1 to #Cz12. Based on targeted next generation sequencing results, the mutation rates for the two targets ranged from 15.55 to 39.13% for sgRNA1 and 49.01 to 79.67% for sgRNA2. Therefore, SaCas9/sgRNA can be used as an alternative tool to SpCas9/sgRNA for citrus genome editing. PMID:29312390

  10. Disabling Cas9 by an anti-CRISPR DNA mimic.

    PubMed

    Shin, Jiyung; Jiang, Fuguo; Liu, Jun-Jie; Bray, Nicolas L; Rauch, Benjamin J; Baik, Seung Hyun; Nogales, Eva; Bondy-Denomy, Joseph; Corn, Jacob E; Doudna, Jennifer A

    2017-07-01

    CRISPR (clustered regularly interspaced short palindromic repeats)-Cas9 gene editing technology is derived from a microbial adaptive immune system, where bacteriophages are often the intended target. Natural inhibitors of CRISPR-Cas9 enable phages to evade immunity and show promise in controlling Cas9-mediated gene editing in human cells. However, the mechanism of CRISPR-Cas9 inhibition is not known, and the potential applications for Cas9 inhibitor proteins in mammalian cells have not been fully established. We show that the anti-CRISPR protein AcrIIA4 binds only to assembled Cas9-single-guide RNA (sgRNA) complexes and not to Cas9 protein alone. A 3.9 Å resolution cryo-electron microscopy structure of the Cas9-sgRNA-AcrIIA4 complex revealed that the surface of AcrIIA4 is highly acidic and binds with a 1:1 stoichiometry to a region of Cas9 that normally engages the DNA protospacer adjacent motif. Consistent with this binding mode, order-of-addition experiments showed that AcrIIA4 interferes with DNA recognition but has no effect on preformed Cas9-sgRNA-DNA complexes. Timed delivery of AcrIIA4 into human cells as either protein or expression plasmid allows on-target Cas9-mediated gene editing while reducing off-target edits. These results provide a mechanistic understanding of AcrIIA4 function and demonstrate that inhibitors can modulate the extent and outcomes of Cas9-mediated gene editing.

  11. Genome Editing by CRISPR/Cas9: A Game Change in the Genetic Manipulation of Protists.

    PubMed

    Lander, Noelia; Chiurillo, Miguel A; Docampo, Roberto

    2016-09-01

    Genome editing by CRISPR (clustered regularly interspaced short palindromic repeats)/Cas9 (CRISPR-associated gene 9) system has been transformative in biology. Originally discovered as an adaptive prokaryotic immune system, CRISPR/Cas9 has been repurposed for genome editing in a broad range of model organisms, from yeast to mammalian cells. Protist parasites are unicellular organisms producing important human diseases that affect millions of people around the world. For many of these diseases, such as malaria, Chagas disease, leishmaniasis and cryptosporidiosis, there are no effective treatments or vaccines available. The recent adaptation of the CRISPR/Cas9 technology to several protist models will be playing a key role in the functional study of their proteins, in the characterization of their metabolic pathways, and in the understanding of their biology, and will facilitate the search for new chemotherapeutic targets. In this work we review recent studies where the CRISPR/Cas9 system was adapted to protist parasites, particularly to Apicomplexans and trypanosomatids, emphasizing the different molecular strategies used for genome editing of each organism, as well as their advantages. We also discuss the potential usefulness of this technology in the green alga Chlamydomonas reinhardtii. © 2016 The Author(s) Journal of Eukaryotic Microbiology © 2016 International Society of Protistologists.

  12. Non-viral delivery systems for CRISPR/Cas9-based genome editing: Challenges and opportunities.

    PubMed

    Li, Ling; Hu, Shuo; Chen, Xiaoyuan

    2018-07-01

    In recent years, CRISPR (clustered regularly interspaced short palindromic repeat)/Cas (CRISPR-associated) genome editing systems have become one of the most robust platforms in basic biomedical research and therapeutic applications. To date, efficient in vivo delivery of the CRISPR/Cas9 system to the targeted cells remains a challenge. Although viral vectors have been widely used in the delivery of the CRISPR/Cas9 system in vitro and in vivo, their fundamental shortcomings, such as the risk of carcinogenesis, limited insertion size, immune responses and difficulty in large-scale production, severely limit their further applications. Alternative non-viral delivery systems for CRISPR/Cas9 are urgently needed. With the rapid development of non-viral vectors, lipid- or polymer-based nanocarriers have shown great potential for CRISPR/Cas9 delivery. In this review, we analyze the pros and cons of delivering CRISPR/Cas9 systems in the form of plasmid, mRNA, or protein and then discuss the limitations and challenges of CRISPR/Cas9-based genome editing. Furthermore, current non-viral vectors that have been applied for CRISPR/Cas9 delivery in vitro and in vivo are outlined in details. Finally, critical obstacles for non-viral delivery of CRISPR/Cas9 system are highlighted and promising strategies to overcome these barriers are proposed. Published by Elsevier Ltd.

  13. CRISPR/Cas9 Inhibits Multiple Steps of HIV-1 Infection.

    PubMed

    Yin, Lijuan; Hu, Siqi; Mei, Shan; Sun, Hong; Xu, Fengwen; Li, Jian; Zhu, Weijun; Liu, Xiaoman; Zhao, Fei; Zhang, Di; Cen, Shan; Liang, Chen; Guo, Fei

    2018-05-09

    CRISPR/Cas9 is an adaptive immune system where bacteria and archaea have evolved to resist the invading viruses and plasmid DNA by creating site-specific double-strand breaks in DNA. This study tested this gene editing system in inhibiting human immunodeficiency virus type 1 (HIV-1) infection by targeting the viral long terminal repeat and the gene coding sequences. Strong inhibition of HIV-1 infection by Cas9/gRNA was observed, which resulted not only from insertions and deletions (indels) that were introduced into viral DNA due to Cas9 cleavage, but also from the marked decrease in the levels of the late viral DNA products and the integrated viral DNA. This latter defect might have reflected the degradation of viral DNA that has not been immediately repaired after Cas9 cleavage. It was further observed that Cas9, when solely located in the cytoplasm, inhibits HIV-1 as strongly as the nuclear Cas9, except that the cytoplasmic Cas9 does not act on the integrated HIV-1 DNA and thus cannot be used to excise the latent provirus. Together, the results suggest that Cas9/gRNA is able to target and edit HIV-1 DNA both in the cytoplasm and in the nucleus. The inhibitory effect of Cas9 on HIV-1 is attributed to both the indels in viral DNA and the reduction in the levels of viral DNA.

  14. The Development of a Viral Mediated CRISPR/Cas9 System with Doxycycline Dependent gRNA Expression for Inducible In vitro and In vivo Genome Editing

    PubMed Central

    de Solis, Christopher A.; Ho, Anthony; Holehonnur, Roopashri; Ploski, Jonathan E.

    2016-01-01

    The RNA-guided Cas9 nuclease, from the type II prokaryotic Clustered Regularly Interspersed Short Palindromic Repeats (CRISPR) adaptive immune system, has been adapted and utilized by scientists to edit the genomes of eukaryotic cells. Here, we report the development of a viral mediated CRISPR/Cas9 system that can be rendered inducible utilizing doxycycline (Dox) and can be delivered to cells in vitro and in vivo utilizing adeno-associated virus (AAV). Specifically, we developed an inducible gRNA (gRNAi) AAV vector that is designed to express the gRNA from a H1/TO promoter. This AAV vector is also designed to express the Tet repressor (TetR) to regulate the expression of the gRNAi in a Dox dependent manner. We show that H1/TO promoters of varying length and a U6/TO promoter can edit DNA with similar efficiency in vitro, in a Dox dependent manner. We also demonstrate that our inducible gRNAi vector can be used to edit the genomes of neurons in vivo within the mouse brain in a Dox dependent manner. Genome editing can be induced in vivo with this system by supplying animals Dox containing food for as little as 1 day. This system might be cross compatible with many existing S. pyogenes Cas9 systems (i.e., Cas9 mouse, CRISPRi, etc.), and therefore it likely can be used to render these systems inducible as well. PMID:27587996

  15. SD-CAS: Spin Dynamics by Computer Algebra System.

    PubMed

    Filip, Xenia; Filip, Claudiu

    2010-11-01

    A computer algebra tool for describing the Liouville-space quantum evolution of nuclear 1/2-spins is introduced and implemented within a computational framework named Spin Dynamics by Computer Algebra System (SD-CAS). A distinctive feature compared with numerical and previous computer algebra approaches to solving spin dynamics problems results from the fact that no matrix representation for spin operators is used in SD-CAS, which determines a full symbolic character to the performed computations. Spin correlations are stored in SD-CAS as four-entry nested lists of which size increases linearly with the number of spins into the system and are easily mapped into analytical expressions in terms of spin operator products. For the so defined SD-CAS spin correlations a set of specialized functions and procedures is introduced that are essential for implementing basic spin algebra operations, such as the spin operator products, commutators, and scalar products. They provide results in an abstract algebraic form: specific procedures to quantitatively evaluate such symbolic expressions with respect to the involved spin interaction parameters and experimental conditions are also discussed. Although the main focus in the present work is on laying the foundation for spin dynamics symbolic computation in NMR based on a non-matrix formalism, practical aspects are also considered throughout the theoretical development process. In particular, specific SD-CAS routines have been implemented using the YACAS computer algebra package (http://yacas.sourceforge.net), and their functionality was demonstrated on a few illustrative examples. Copyright © 2010 Elsevier Inc. All rights reserved.

  16. Guide-bound structures of an RNA-targeting A-cleaving CRISPR-Cas13a enzyme

    PubMed Central

    Knott, Gavin J.; East-Seletsky, Alexandra; Cofsky, Joshua C.; Holton, James M.; Charles, Emeric; O’Connell, Mitchell R.; Doudna, Jennifer A.

    2018-01-01

    CRISPR adaptive immune systems protect bacteria from infections by deploying CRISPR RNA (crRNA)-guided enzymes to recognize and cut foreign nucleic acids. Type VI-A CRISPR-Cas systems include the Cas13a enzyme, an RNA-activated ribonuclease (RNase) capable of crRNA processing and single-stranded RNA degradation upon target transcript binding. Here we present the 2.0 Å resolution crystal structure of a crRNA-bound L. bacterium Cas13a (LbaCas13a), representing a recently discovered Cas13a enzyme subtype. This structure and accompanying biochemical experiments define for the first time the Cas13a catalytic residues that are directly responsible for crRNA maturation. In addition, the orientation of the foreign-derived target RNA-specifying sequence in the protein interior explains the conformational gating of Cas13a nuclease activation. These results describe how Cas13a enzymes generate functional crRNAs and how catalytic activity is blocked prior to target RNA recognition, with implications for both bacterial immunity and diagnostic applications. PMID:28892041

  17. CRISPR/Cas9 delivery with one single adenoviral vector devoid of all viral genes.

    PubMed

    Ehrke-Schulz, Eric; Schiwon, Maren; Leitner, Theo; Dávid, Stephan; Bergmann, Thorsten; Liu, Jing; Ehrhardt, Anja

    2017-12-07

    The Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)/Cas9 system revolutionized the field of gene editing but viral delivery of the CRISPR/Cas9 system has not been fully explored. Here we adapted clinically relevant high-capacity adenoviral vectors (HCAdV) devoid of all viral genes for the delivery of the CRISPR/Cas9 machinery using a single viral vector. We present a platform enabling fast transfer of the Cas9 gene and gRNA expression units into the HCAdV genome including the option to choose between constitutive or inducible Cas9 expression and gRNA multiplexing. Efficacy and versatility of this pipeline was exemplified by producing different CRISPR/Cas9-HCAdV targeting the human papillomavirus (HPV) 18 oncogene E6, the dystrophin gene causing Duchenne muscular dystrophy (DMD) and the HIV co-receptor C-C chemokine receptor type 5 (CCR5). All CRISPR/Cas9-HCAdV proved to be efficient to deliver the respective CRISPR/Cas9 expression units and to introduce the desired DNA double strand breaks at their intended target sites in immortalized and primary cells.

  18. Guide-bound structures of an RNA-targeting A-cleaving CRISPR–Cas13a enzyme

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Knott, Gavin J.; East-Seletsky, Alexandra; Cofsky, Joshua C.

    CRISPR adaptive immune systems protect bacteria from infections by deploying CRISPR RNA (crRNA)-guided enzymes to recognize and cut foreign nucleic acids. Type VI-A CRISPR–Cas systems include the Cas13a enzyme, an RNA-activated RNase capable of crRNA processing and single-stranded RNA degradation upon target-transcript binding. Here we present the 2.0-Å resolution crystal structure of a crRNA-bound Lachnospiraceae bacterium Cas13a (LbaCas13a), representing a recently discovered Cas13a enzyme subtype. This structure and accompanying biochemical experiments define the Cas13a catalytic residues that are directly responsible for crRNA maturation. In addition, the orientation of the foreign-derived target-RNA-specifying sequence in the protein interior explains the conformational gatingmore » of Cas13a nuclease activation. These results describe how Cas13a enzymes generate functional crRNAs and how catalytic activity is blocked before target-RNA recognition, with implications for both bacterial immunity and diagnostic applications.« less

  19. Guide-bound structures of an RNA-targeting A-cleaving CRISPR–Cas13a enzyme

    DOE PAGES

    Knott, Gavin J.; East-Seletsky, Alexandra; Cofsky, Joshua C.; ...

    2017-09-11

    CRISPR adaptive immune systems protect bacteria from infections by deploying CRISPR RNA (crRNA)-guided enzymes to recognize and cut foreign nucleic acids. Type VI-A CRISPR–Cas systems include the Cas13a enzyme, an RNA-activated RNase capable of crRNA processing and single-stranded RNA degradation upon target-transcript binding. Here we present the 2.0-Å resolution crystal structure of a crRNA-bound Lachnospiraceae bacterium Cas13a (LbaCas13a), representing a recently discovered Cas13a enzyme subtype. This structure and accompanying biochemical experiments define the Cas13a catalytic residues that are directly responsible for crRNA maturation. In addition, the orientation of the foreign-derived target-RNA-specifying sequence in the protein interior explains the conformational gatingmore » of Cas13a nuclease activation. These results describe how Cas13a enzymes generate functional crRNAs and how catalytic activity is blocked before target-RNA recognition, with implications for both bacterial immunity and diagnostic applications.« less

  20. The CAS Classroom

    ERIC Educational Resources Information Center

    Garner, Sue

    2004-01-01

    The Victorian Curriculum and Assessment Authority (VCAA) Computer Algebra System (CAS)Pilot study (2001-2005) is monitoring the use of CAS in senior secondary mathematics. This article explores the author's experiences in the CAS classroom and delineates changes in teaching style, as a result of the introduction of CAS into the senior mathematics…

  1. CRISPR/Cas9: the Jedi against the dark empire of diseases.

    PubMed

    Khan, Sehrish; Mahmood, Muhammad Shahid; Rahman, Sajjad Ur; Zafar, Hassan; Habibullah, Sultan; Khan, Zulqarnain; Ahmad, Aftab

    2018-03-28

    Advances in Clustered Regularly Interspaced Short Palindromic Repeats/CRISPR associated system (CRISPR/Cas9) has dramatically reshaped our ability to edit genomes. The scientific community is using CRISPR/Cas9 for various biotechnological and medical purposes. One of its most important uses is developing potential therapeutic strategies against diseases. CRISPR/Cas9 based approaches have been increasingly applied to the treatment of human diseases like cancer, genetic, immunological and neurological disorders and viral diseases. These strategies using CRISPR/Cas9 are not only therapy oriented but can also be used for disease modeling as well, which in turn can lead to the improved understanding of mechanisms of various infectious and genetic diseases. In addition, CRISPR/Cas9 system can also be used as programmable antibiotics to kill the bacteria sequence specifically and therefore can bypass multidrug resistance. Furthermore, CRISPR/Cas9 based gene drive may also hold the potential to limit the spread of vector borne diseases. This bacterial and archaeal adaptive immune system might be a therapeutic answer to previous incurable diseases, of course rigorous testing is required to corroborate these claims. In this review, we provide an insight about the recent developments using CRISPR/Cas9 against various diseases with respect to disease modeling and treatment, and what future perspectives should be noted while using this technology.

  2. The CRISPR-Cas system - from bacterial immunity to genome engineering.

    PubMed

    Czarnek, Maria; Bereta, Joanna

    2016-09-01

    Precise and efficient genome modifications present a great value in attempts to comprehend the roles of particular genes and other genetic elements in biological processes as well as in various pathologies. In recent years novel methods of genome modification known as genome editing, which utilize so called "programmable" nucleases, came into use. A true revolution in genome editing has been brought about by the introduction of the CRISP-Cas (clustered regularly interspaced short palindromic repeats-CRISPR associated) system, in which one of such nucleases, i.e. Cas9, plays a major role. This system is based on the elements of the bacterial and archaeal mechanism responsible for acquired immunity against phage infections and transfer of foreign genetic material. Microorganisms incorporate fragments of foreign DNA into CRISPR loci present in their genomes, which enables fast recognition and elimination of future infections. There are several types of CRISPR-Cas systems among prokaryotes but only elements of CRISPR type II are employed in genome engineering. CRISPR-Cas type II utilizes small RNA molecules (crRNA and tracrRNA) to precisely direct the effector nuclease - Cas9 - to a specific site in the genome, i.e. to the sequence complementary to crRNA. Cas9 may be used to: (i) introduce stable changes into genomes e.g. in the process of generation of knock-out and knock-in animals and cell lines, (ii) activate or silence the expression of a gene of interest, and (iii) visualize specific sites in genomes of living cells. The CRISPR-Cas-based tools have been successfully employed for generation of animal and cell models of a number of diseases, e.g. specific types of cancer. In the future, the genome editing by programmable nucleases may find wide application in medicine e.g. in the therapies of certain diseases of genetic origin and in the therapy of HIV-infected patients.

  3. Understanding pathways for scaling up health services through the lens of complex adaptive systems.

    PubMed

    Paina, Ligia; Peters, David H

    2012-08-01

    Despite increased prominence and funding of global health initiatives, efforts to scale up health services in developing countries are falling short of the expectations of the Millennium Development Goals. Arguing that the dominant assumptions for scaling up are inadequate, we propose that interpreting change in health systems through the lens of complex adaptive systems (CAS) provides better models of pathways for scaling up. Based on an understanding of CAS behaviours, we describe how phenomena such as path dependence, feedback loops, scale-free networks, emergent behaviour and phase transitions can uncover relevant lessons for the design and implementation of health policy and programmes in the context of scaling up health services. The implications include paying more attention to local context, incentives and institutions, as well as anticipating certain types of unintended consequences that can undermine scaling up efforts, and developing and implementing programmes that engage key actors through transparent use of data for ongoing problem-solving and adaptation. We propose that future efforts to scale up should adapt and apply the models and methodologies which have been used in other fields that study CAS, yet are underused in public health. This can help policy makers, planners, implementers and researchers to explore different and innovative approaches for reaching populations in need with effective, equitable and efficient health services. The old assumptions have led to disappointed expectations about how to scale up health services, and offer little insight on how to scale up effective interventions in the future. The alternative perspectives offered by CAS may better reflect the complex and changing nature of health systems, and create new opportunities for understanding and scaling up health services.

  4. Effects of Computer Algebra System (CAS) with Metacognitive Training on Mathematical Reasoning.

    ERIC Educational Resources Information Center

    Kramarski, Bracha; Hirsch, Chaya

    2003-01-01

    Describes a study that investigated the differential effects of Computer Algebra Systems (CAS) and metacognitive training (META) on mathematical reasoning. Participants were 83 Israeli eighth-grade students. Results showed that CAS embedded within META significantly outperformed the META and CAS alone conditions, which in turn significantly…

  5. Investigating CRISPR-Cas systems in Clostridium botulinum via bioinformatics tools.

    PubMed

    Negahdaripour, Manica; Nezafat, Navid; Hajighahramani, Nasim; Rahmatabadi, Seyyed Soheil; Ghasemi, Younes

    2017-10-01

    The Clustered regularly interspaced short palindromic repeats (CRISPR) systems are a type of innate immunity found in some prokaryotes, which protect them against alien genetic elements by targeting foreign nucleic acids. Some other functions are also attributed to these systems. Clostridium botulinum bacteria produce botulinum neurotoxins (BoNT), one of the deadliest known toxins for humans and some animals. Food poisoning due to these bacteria is still a challenge in food industries. On the other hand, BoNT has been widely investigated for therapeutic applications including different muscle disorders. Bont genes may be located on bacterial chromosomes, plasmids, or even prophages. Generally, the genomes of Cl. botulinum show a high level of plasticity. In order to investigate the presence and characteristics of CRISPRs in these anaerobe bacteria, an in silico study on 113 CRISPR arrays identified in 38 Cl. botulinum strains was performed. A high occurrence of CRISPR arrays (80%) were found, with a remarkable frequency on plasmids. Several (CRISPR-associated) Cas proteins from different types were recognized in the studied strains, which were mostly Cas6. The CRISPR-Cas systems were identified as type I or III, but no type II. The spacers showed more homology with bacterial plasmids than phages. Active CRISPR-Cas systems can prevent the transfer of foreign genes, which may also include bont genes. This study provides the first insight into the probable roles of CRISPR-Cas systems in Cl. botulinum strains such as toxigenicity. Copyright © 2017 Elsevier B.V. All rights reserved.

  6. Transforming plant biology and breeding with CRISPR/Cas9, Cas12 and Cas13.

    PubMed

    Schindele, Patrick; Wolter, Felix; Puchta, Holger

    2018-04-30

    Currently, biology is revolutionized by ever growing applications of the CRISPR/Cas system. As discussed in this Review, new avenues have opened up for plant research and breeding by the use of the sequence-specific DNases Cas9 and Cas12 (formerly named Cpf1) and, more recently, the RNase Cas13 (formerly named C2c2). Although double strand break-induced gene editing based on error-prone nonhomologous end joining has been applied to obtain new traits, such as powdery mildew resistance in wheat or improved pathogen resistance and increased yield in tomato, improved technologies based on CRISPR/Cas for programmed change in plant genomes via homologous recombination have recently been developed. Cas9- and Cas12- mediated DNA binding is used to develop tools for many useful applications, such as transcriptional regulation or fluorescence-based imaging of specific chromosomal loci in plant genomes. Cas13 has recently been applied to degrade mRNAs and combat viral RNA replication. By the possibility to address multiple sequences with different guide RNAs and by the simultaneous use of different Cas proteins in a single cell, we should soon be able to achieve complex changes of plant metabolism in a controlled way. © 2018 Federation of European Biochemical Societies.

  7. New applications of CRISPR/Cas9 system on mutant DNA detection.

    PubMed

    Jia, Chenqiang; Huai, Cong; Ding, Jiaqi; Hu, Lingna; Su, Bo; Chen, Hongyan; Lu, Daru

    2018-01-30

    The detection of mutant DNA is critical for precision medicine, but low-frequency DNA mutation is very hard to be determined. CRISPR/Cas9 is a robust tool for in vivo gene editing, and shows the potential for precise in vitro DNA cleavage. Here we developed a DNA mutation detection system based on CRISPR/Cas9 that can detect gene mutation efficiently even in a low-frequency condition. The system of CRISPR/Cas9 cleavage in vitro showed a high accuracy similar to traditional T7 endonuclease I (T7E1) assay in estimating mutant DNA proportion in the condition of normal frequency. The technology was further used for low-frequency mutant DNA detection of EGFR and HBB somatic mutations. To the end, Cas9 was employed to cleave the wild-type (WT) DNA and to enrich the mutant DNA. Using amplified fragment length polymorphism analysis (AFLPA) and Sanger sequencing, we assessed the sensitivity of CRISPR/Cas9 cleavage-based PCR, in which mutations at 1%-10% could be enriched and detected. When combined with blocker PCR, its sensitivity reached up to 0.1%. Our results suggested that this new application of CRISPR/Cas9 system is a robust and potential method for heterogeneous specimens in the clinical diagnosis and treatment management. Copyright © 2017 Elsevier B.V. All rights reserved.

  8. CRISPR-Cas9: a promising genetic engineering approach in cancer research.

    PubMed

    Ratan, Zubair Ahmed; Son, Young-Jin; Haidere, Mohammad Faisal; Uddin, Bhuiyan Mohammad Mahtab; Yusuf, Md Abdullah; Zaman, Sojib Bin; Kim, Jong-Hoon; Banu, Laila Anjuman; Cho, Jae Youl

    2018-01-01

    Bacteria and archaea possess adaptive immunity against foreign genetic materials through clustered regularly interspaced short palindromic repeat (CRISPR) systems. The discovery of this intriguing bacterial system heralded a revolutionary change in the field of medical science. The CRISPR and CRISPR-associated protein 9 (Cas9) based molecular mechanism has been applied to genome editing. This CRISPR-Cas9 technique is now able to mediate precise genetic corrections or disruptions in in vitro and in vivo environments. The accuracy and versatility of CRISPR-Cas have been capitalized upon in biological and medical research and bring new hope to cancer research. Cancer involves complex alterations and multiple mutations, translocations and chromosomal losses and gains. The ability to identify and correct such mutations is an important goal in cancer treatment. In the context of this complex cancer genomic landscape, there is a need for a simple and flexible genetic tool that can easily identify functional cancer driver genes within a comparatively short time. The CRISPR-Cas system shows promising potential for modeling, repairing and correcting genetic events in different types of cancer. This article reviews the concept of CRISPR-Cas, its application and related advantages in oncology.

  9. High-throughput screens in mammalian cells using the CRISPR-Cas9 system.

    PubMed

    Peng, Jingyu; Zhou, Yuexin; Zhu, Shiyou; Wei, Wensheng

    2015-06-01

    As a powerful genome-editing tool, the clustered regularly interspaced short palindromic repeats (CRISPR)-clustered regularly interspaced short palindromic repeats-associated protein 9 (Cas9) system has been quickly developed into a large-scale function-based screening strategy in mammalian cells. This new type of genetic library is constructed through the lentiviral delivery of single-guide RNA collections that direct Cas9 or inactive dead Cas9 fused with effectors to interrogate gene function or regulate gene transcription in targeted cells. Compared with RNA interference screening, the CRISPR-Cas9 system demonstrates much higher levels of effectiveness and reliability with respect to both loss-of-function and gain-of-function screening. Unlike the RNA interference strategy, a CRISPR-Cas9 library can target both protein-coding sequences and regulatory elements, including promoters, enhancers and elements transcribing microRNAs and long noncoding RNAs. This powerful genetic tool will undoubtedly accelerate the mechanistic discovery of various biological processes. In this mini review, we summarize the general procedure of CRISPR-Cas9 library mediated functional screening, system optimization strategies and applications of this new genetic toolkit. © 2015 FEBS.

  10. A CRISPR-Cas system enhances envelope integrity mediating antibiotic resistance and inflammasome evasion

    PubMed Central

    Sampson, Timothy R.; Napier, Brooke A.; Schroeder, Max R.; Louwen, Rogier; Zhao, Jinshi; Chin, Chui-Yoke; Ratner, Hannah K.; Llewellyn, Anna C.; Jones, Crystal L.; Laroui, Hamed; Merlin, Didier; Zhou, Pei; Endtz, Hubert P.; Weiss, David S.

    2014-01-01

    Clustered, regularly interspaced, short palindromic repeats–CRISPR associated (CRISPR-Cas) systems defend bacteria against foreign nucleic acids, such as during bacteriophage infection and transformation, processes which cause envelope stress. It is unclear if these machineries enhance membrane integrity to combat this stress. Here, we show that the Cas9-dependent CRISPR-Cas system of the intracellular bacterial pathogen Francisella novicida is involved in enhancing envelope integrity through the regulation of a bacterial lipoprotein. This action ultimately provides increased resistance to numerous membrane stressors, including antibiotics. We further find that this previously unappreciated function of Cas9 is critical during infection, as it promotes evasion of the host innate immune absent in melanoma 2/apoptosis associated speck-like protein containing a CARD (AIM2/ASC) inflammasome. Interestingly, the attenuation of the cas9 mutant is complemented only in mice lacking both the AIM2/ASC inflammasome and the bacterial lipoprotein sensor Toll-like receptor 2, but not in single knockout mice, demonstrating that Cas9 is essential for evasion of both pathways. These data represent a paradigm shift in our understanding of the function of CRISPR-Cas systems as regulators of bacterial physiology and provide a framework with which to investigate the roles of these systems in myriad bacteria, including pathogens and commensals. PMID:25024199

  11. A CRISPR-Cas system enhances envelope integrity mediating antibiotic resistance and inflammasome evasion.

    PubMed

    Sampson, Timothy R; Napier, Brooke A; Schroeder, Max R; Louwen, Rogier; Zhao, Jinshi; Chin, Chui-Yoke; Ratner, Hannah K; Llewellyn, Anna C; Jones, Crystal L; Laroui, Hamed; Merlin, Didier; Zhou, Pei; Endtz, Hubert P; Weiss, David S

    2014-07-29

    Clustered, regularly interspaced, short palindromic repeats-CRISPR associated (CRISPR-Cas) systems defend bacteria against foreign nucleic acids, such as during bacteriophage infection and transformation, processes which cause envelope stress. It is unclear if these machineries enhance membrane integrity to combat this stress. Here, we show that the Cas9-dependent CRISPR-Cas system of the intracellular bacterial pathogen Francisella novicida is involved in enhancing envelope integrity through the regulation of a bacterial lipoprotein. This action ultimately provides increased resistance to numerous membrane stressors, including antibiotics. We further find that this previously unappreciated function of Cas9 is critical during infection, as it promotes evasion of the host innate immune absent in melanoma 2/apoptosis associated speck-like protein containing a CARD (AIM2/ASC) inflammasome. Interestingly, the attenuation of the cas9 mutant is complemented only in mice lacking both the AIM2/ASC inflammasome and the bacterial lipoprotein sensor Toll-like receptor 2, but not in single knockout mice, demonstrating that Cas9 is essential for evasion of both pathways. These data represent a paradigm shift in our understanding of the function of CRISPR-Cas systems as regulators of bacterial physiology and provide a framework with which to investigate the roles of these systems in myriad bacteria, including pathogens and commensals.

  12. Genome Editing in Clostridium saccharoperbutylacetonicum N1-4 with the CRISPR-Cas9 System.

    PubMed

    Wang, Shaohua; Dong, Sheng; Wang, Pixiang; Tao, Yong; Wang, Yi

    2017-05-15

    Clostridium saccharoperbutylacetonicum N1-4 is well known as a hyper-butanol-producing strain. However, the lack of genetic engineering tools hinders further elucidation of its solvent production mechanism and development of more robust strains. In this study, we set out to develop an efficient genome engineering system for this microorganism based on the clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated 9 (CRISPR-Cas9) system. First, the functionality of the CRISPR-Cas9 system previously customized for Clostridium beijerinckii was evaluated in C. saccharoperbutylacetonicum by targeting pta and buk , two essential genes for acetate and butyrate production, respectively. pta and buk single and double deletion mutants were successfully obtained based on this system. However, the genome engineering efficiency was rather low (the mutation rate is <20%). Therefore, the efficiency was further optimized by evaluating various promoters for guide RNA (gRNA) expression. With promoter P J23119 , we achieved a mutation rate of 75% for pta deletion without serial subculturing as suggested previously for C. beijerinckii Thus, this developed CRISPR-Cas9 system is highly desirable for efficient genome editing in C. saccharoperbutylacetonicum Batch fermentation results revealed that both the acid and solvent production profiles were altered due to the disruption of acid production pathways; however, neither acetate nor butyrate production was eliminated with the deletion of the corresponding gene. The butanol production, yield, and selectivity were improved in mutants, depending on the fermentation medium. In the pta buk double deletion mutant, the butanol production in P2 medium reached 19.0 g/liter, which is one of the highest levels ever reported from batch fermentations. IMPORTANCE An efficient CRISPR-Cas9 genome engineering system was developed for C. saccharoperbutylacetonicum N1-4. This paves the way for elucidating the solvent

  13. Resilience through adaptation

    PubMed Central

    van Voorn, George A. K.; Ligtenberg, Arend; Molenaar, Jaap

    2017-01-01

    Adaptation of agents through learning or evolution is an important component of the resilience of Complex Adaptive Systems (CAS). Without adaptation, the flexibility of such systems to cope with outside pressures would be much lower. To study the capabilities of CAS to adapt, social simulations with agent-based models (ABMs) provide a helpful tool. However, the value of ABMs for studying adaptation depends on the availability of methodologies for sensitivity analysis that can quantify resilience and adaptation in ABMs. In this paper we propose a sensitivity analysis methodology that is based on comparing time-dependent probability density functions of output of ABMs with and without agent adaptation. The differences between the probability density functions are quantified by the so-called earth-mover’s distance. We use this sensitivity analysis methodology to quantify the probability of occurrence of critical transitions and other long-term effects of agent adaptation. To test the potential of this new approach, it is used to analyse the resilience of an ABM of adaptive agents competing for a common-pool resource. Adaptation is shown to contribute positively to the resilience of this ABM. If adaptation proceeds sufficiently fast, it may delay or avert the collapse of this system. PMID:28196372

  14. Resilience through adaptation.

    PubMed

    Ten Broeke, Guus A; van Voorn, George A K; Ligtenberg, Arend; Molenaar, Jaap

    2017-01-01

    Adaptation of agents through learning or evolution is an important component of the resilience of Complex Adaptive Systems (CAS). Without adaptation, the flexibility of such systems to cope with outside pressures would be much lower. To study the capabilities of CAS to adapt, social simulations with agent-based models (ABMs) provide a helpful tool. However, the value of ABMs for studying adaptation depends on the availability of methodologies for sensitivity analysis that can quantify resilience and adaptation in ABMs. In this paper we propose a sensitivity analysis methodology that is based on comparing time-dependent probability density functions of output of ABMs with and without agent adaptation. The differences between the probability density functions are quantified by the so-called earth-mover's distance. We use this sensitivity analysis methodology to quantify the probability of occurrence of critical transitions and other long-term effects of agent adaptation. To test the potential of this new approach, it is used to analyse the resilience of an ABM of adaptive agents competing for a common-pool resource. Adaptation is shown to contribute positively to the resilience of this ABM. If adaptation proceeds sufficiently fast, it may delay or avert the collapse of this system.

  15. Sensitizing pathogens to antibiotics using the CRISPR-Cas system.

    PubMed

    Goren, Moran; Yosef, Ido; Qimron, Udi

    2017-01-01

    The extensive use of antibiotics over the last century has resulted in a significant artificial selection pressure for antibiotic-resistant pathogens to evolve. Various strategies to fight these pathogens have been introduced including new antibiotics, naturally-derived enzymes/peptides that specifically target pathogens and bacteriophages that lyse these pathogens. A new tool has recently been introduced in the fight against drug-resistant pathogens-the prokaryotic defense mechanism-clustered regularly interspaced short palindromic repeats-CRISPR associated (CRISPR-Cas) system. The CRISPR-Cas system acts as a nuclease that can be guided to cleave any target DNA, allowing sophisticated, yet feasible, manipulations of pathogens. Here, we review pioneering studies that use the CRISPR-Cas system to specifically edit bacterial populations, eliminate their resistance genes and combine these two strategies in order to produce an artificial selection pressure for antibiotic-sensitive pathogens. We suggest that intelligent design of this system, along with efficient delivery tools into pathogens, may significantly reduce the threat of antibiotic-resistant pathogens. Copyright © 2016 Elsevier Ltd. All rights reserved.

  16. Viral Diversity Threshold for Adaptive Immunity in Prokaryotes

    PubMed Central

    Weinberger, Ariel D.; Wolf, Yuri I.; Lobkovsky, Alexander E.; Gilmore, Michael S.; Koonin, Eugene V.

    2012-01-01

    ABSTRACT Bacteria and archaea face continual onslaughts of rapidly diversifying viruses and plasmids. Many prokaryotes maintain adaptive immune systems known as clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated genes (Cas). CRISPR-Cas systems are genomic sensors that serially acquire viral and plasmid DNA fragments (spacers) that are utilized to target and cleave matching viral and plasmid DNA in subsequent genomic invasions, offering critical immunological memory. Only 50% of sequenced bacteria possess CRISPR-Cas immunity, in contrast to over 90% of sequenced archaea. To probe why half of bacteria lack CRISPR-Cas immunity, we combined comparative genomics and mathematical modeling. Analysis of hundreds of diverse prokaryotic genomes shows that CRISPR-Cas systems are substantially more prevalent in thermophiles than in mesophiles. With sequenced bacteria disproportionately mesophilic and sequenced archaea mostly thermophilic, the presence of CRISPR-Cas appears to depend more on environmental temperature than on bacterial-archaeal taxonomy. Mutation rates are typically severalfold higher in mesophilic prokaryotes than in thermophilic prokaryotes. To quantitatively test whether accelerated viral mutation leads microbes to lose CRISPR-Cas systems, we developed a stochastic model of virus-CRISPR coevolution. The model competes CRISPR-Cas-positive (CRISPR-Cas+) prokaryotes against CRISPR-Cas-negative (CRISPR-Cas−) prokaryotes, continually weighing the antiviral benefits conferred by CRISPR-Cas immunity against its fitness costs. Tracking this cost-benefit analysis across parameter space reveals viral mutation rate thresholds beyond which CRISPR-Cas cannot provide sufficient immunity and is purged from host populations. These results offer a simple, testable viral diversity hypothesis to explain why mesophilic bacteria disproportionately lack CRISPR-Cas immunity. More generally, fundamental limits on the adaptability of biological

  17. Induced mutation and epigenetics modification in plants for crop improvement by targeting CRISPR/Cas9 technology.

    PubMed

    Khan, Muhammad Hafeez Ullah; Khan, Shahid U; Muhammad, Ali; Hu, Limin; Yang, Yang; Fan, Chuchuan

    2018-06-01

    Clustered regularly interspaced palindromic repeats associated protein Cas9 (CRISPR-Cas9), originally an adaptive immunity system of prokaryotes, is revolutionizing genome editing technologies with minimal off-targets in the present era. The CRISPR/Cas9 is now highly emergent, advanced, and highly specific tool for genome engineering. The technology is widely used to animal and plant genomes to achieve desirable results. The present review will encompass how CRISPR-Cas9 is revealing its beneficial role in characterizing plant genetic functions, genomic rearrangement, how it advances the site-specific mutagenesis, and epigenetics modification in plants to improve the yield of field crops with minimal side-effects. The possible pitfalls of using and designing CRISPR-Cas9 for plant genome editing are also discussed for its more appropriate applications in plant biology. Therefore, CRISPR/Cas9 system has multiple benefits that mostly scientists select for genome editing in several biological systems. © 2017 Wiley Periodicals, Inc.

  18. Double-stranded endonuclease activity in Bacillus halodurans clustered regularly interspaced short palindromic repeats (CRISPR)-associated Cas2 protein.

    PubMed

    Nam, Ki Hyun; Ding, Fran; Haitjema, Charles; Huang, Qingqiu; DeLisa, Matthew P; Ke, Ailong

    2012-10-19

    The CRISPR (clustered regularly interspaced short palindromic repeats) system is a prokaryotic RNA-based adaptive immune system against extrachromosomal genetic elements. Cas2 is a universally conserved core CRISPR-associated protein required for the acquisition of new spacers for CRISPR adaptation. It was previously characterized as an endoribonuclease with preference for single-stranded (ss)RNA. Here, we show using crystallography, mutagenesis, and isothermal titration calorimetry that the Bacillus halodurans Cas2 (Bha_Cas2) from the subtype I-C/Dvulg CRISPR instead possesses metal-dependent endonuclease activity against double-stranded (ds)DNA. This activity is consistent with its putative function in producing new spacers for insertion into the 5'-end of the CRISPR locus. Mutagenesis and isothermal titration calorimetry studies revealed that a single divalent metal ion (Mg(2+) or Mn(2+)), coordinated by a symmetric Asp pair in the Bha_Cas2 dimer, is involved in the catalysis. We envision that a pH-dependent conformational change switches Cas2 into a metal-binding competent conformation for catalysis. We further propose that the distinct substrate preferences among Cas2 proteins may be determined by the sequence and structure in the β1-α1 loop.

  19. Comparison of CRISPR/Cas9 expression constructs for efficient targeted mutagenesis in rice.

    PubMed

    Mikami, Masafumi; Toki, Seiichi; Endo, Masaki

    2015-08-01

    The CRISPR/Cas9 system is an efficient tool used for genome editing in a variety of organisms. Despite several recent reports of successful targeted mutagenesis using the CRISPR/Cas9 system in plants, in each case the target gene of interest, the Cas9 expression system and guide-RNA (gRNA) used, and the tissues used for transformation and subsequent mutagenesis differed, hence the reported frequencies of targeted mutagenesis cannot be compared directly. Here, we evaluated mutation frequency in rice using different Cas9 and/or gRNA expression cassettes under standardized experimental conditions. We introduced Cas9 and gRNA expression cassettes separately or sequentially into rice calli, and assessed the frequency of mutagenesis at the same endogenous targeted sequences. Mutation frequencies differed significantly depending on the Cas9 expression cassette used. In addition, a gRNA driven by the OsU6 promoter was superior to one driven by the OsU3 promoter. Using an all-in-one expression vector harboring the best combined Cas9/gRNA expression cassette resulted in a much improved frequency of targeted mutagenesis in rice calli, and bi-allelic mutant plants were produced in the T0 generation. The approach presented here could be adapted to optimize the construction of Cas9/gRNA cassettes for genome editing in a variety of plants.

  20. Multiplex conditional mutagenesis in zebrafish using the CRISPR/Cas system.

    PubMed

    Yin, L; Maddison, L A; Chen, W

    2016-01-01

    The clustered regularly interspaced short palindromic repeat (CRISPR)/CRISPR-associated protein (Cas) system is a powerful tool for genome editing in numerous organisms. However, the system is typically used for gene editing throughout the entire organism. Tissue and temporal specific mutagenesis is often desirable to determine gene function in a specific stage or tissue and to bypass undesired consequences of global mutations. We have developed the CRISPR/Cas system for conditional mutagenesis in transgenic zebrafish using tissue-specific and/or inducible expression of Cas9 and U6-driven expression of sgRNA. To allow mutagenesis of multiple targets, we have isolated four distinct U6 promoters and designed Golden Gate vectors to easily assemble transgenes with multiple sgRNAs. We provide experimental details on the reagents and applications for multiplex conditional mutagenesis in zebrafish. Copyright © 2016 Elsevier Inc. All rights reserved.

  1. Cas5d Protein Processes Pre-crRNA and Assembles into a Cascade-like Interference Complex in Subtype I-C/Dvulg CRISPR-Cas System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nam, Ki Hyun; Haitjema, Charles; Liu, Xueqi

    Clustered regularly interspaced short palindromic repeats (CRISPRs), together with an operon of CRISPR-associated (Cas) proteins, form an RNA-based prokaryotic immune system against exogenous genetic elements. Cas5 family proteins are found in several type I CRISPR-Cas systems. Here, we report the molecular function of subtype I-C/Dvulg Cas5d from Bacillus halodurans. We show that Cas5d cleaves pre-crRNA into unit length by recognizing both the hairpin structure and the 3 single stranded sequence in the CRISPR repeat region. Cas5d structure reveals a ferredoxin domain-based architecture and a catalytic triad formed by Y46, K116, and H117 residues. We further show that after pre-crRNA processing,more » Cas5d assembles with crRNA, Csd1, and Csd2 proteins to form a multi-sub-unit interference complex similar to Escherichia coli Cascade (CRISPR-associated complex for antiviral defense) in architecture. Our results suggest that formation of a crRNA-presenting Cascade-like complex is likely a common theme among type I CRISPR subtypes.« less

  2. Development of CRISPR/Cas9 mediated virus resistance in agriculturally important crops.

    PubMed

    Khatodia, Surender; Bhatotia, Kirti; Tuteja, Narendra

    2017-05-04

    Clustered regulatory interspaced short palindromic repeats (CRISPR)/CRISPR associated nuclease 9 (Cas9) system of targeted genome editing has already revolutionized the plant science research. This is a RNA guided programmable endonuclease based system composed of 2 components, the Cas9 nuclease and an engineered guide RNA targeting any DNA sequence of the form N20-NGG for novel genome editing applications. The CRISPR/Cas9 technology of targeted genome editing has been recently applied for imparting virus resistance in plants. The robustness, wide adaptability, and easy engineering of this system has proved its potential as an antiviral tool for plants. Novel DNA free genome editing by using the preassembled Cas9/gRNA ribonucleoprotein complex for development of virus resistance in any plant species have been prospected for the future. Also, in this review we have discussed the reports of CRISPR/Cas9 mediated virus resistance strategy against geminiviruses by targeting the viral genome and transgene free strategy against RNA viruses by targeting the host plant factors. In conclusion, CRISPR/Cas9 technology will provide a more durable and broad spectrum viral resistance in agriculturally important crops which will eventually lead to public acceptance and commercialization in the near future.

  3. FDA Regulation of Clinical Applications of CRISPR-CAS Gene-Editing Technology.

    PubMed

    Grant, Evita V

    Scientists have repurposed an adaptive immune system of single cell organisms to create a new type of gene-editing tool: CRISPR (clustered regularly interspaced short palindromic repeats)-Cas technology. Scientists in China have reported its use in the genome modification of non-viable human embryos. This has ignited a spirited debate about the moral, ethical, scientific, and social implications of human germline genome engineering. There have also been calls for regulations; however, FDA has yet to formally announce its oversight of clinical applications of CRISPR-Cas systems. This paper reviews FDA regulation of previously controversial biotechnology breakthroughs, recombinant DNA and human cloning. It then shows that FDA is well positioned to regulate CRISPR-Cas clinical applications, due to its legislative mandates, its existing regulatory frameworks for gene therapies and assisted reproductive technologies, and other considerations.

  4. Efficient engineering of a bacteriophage genome using the type I-E CRISPR-Cas system.

    PubMed

    Kiro, Ruth; Shitrit, Dror; Qimron, Udi

    2014-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)-CRISPR-associated (Cas) system has recently been used to engineer genomes of various organisms, but surprisingly, not those of bacteriophages (phages). Here we present a method to genetically engineer the Escherichia coli phage T7 using the type I-E CRISPR-Cas system. T7 phage genome is edited by homologous recombination with a DNA sequence flanked by sequences homologous to the desired location. Non-edited genomes are targeted by the CRISPR-Cas system, thus enabling isolation of the desired recombinant phages. This method broadens CRISPR Cas-based editing to phages and uses a CRISPR-Cas type other than type II. The method may be adjusted to genetically engineer any bacteriophage genome.

  5. CRISPR-Cas9 systems: versatile cancer modelling platforms and promising therapeutic strategies.

    PubMed

    Wen, Wan-Shun; Yuan, Zhi-Min; Ma, Shi-Jie; Xu, Jiang; Yuan, Dong-Tang

    2016-03-15

    The RNA-guided nuclease CRISPR-Cas9 (clustered regularly interspaced short palindromic repeats-CRISPR associated nuclease 9) and its variants such as nickase Cas9, dead Cas9, guide RNA scaffolds and RNA-targeting Cas9 are convenient and versatile platforms for site-specific genome editing and epigenome modulation. They are easy-to-use, simple-to-design and capable of targeting multiple loci simultaneously. Given that cancer develops from cumulative genetic and epigenetic alterations, CRISPR-Cas9 and its variants (hereafter referred to as CRISPR-Cas9 systems) hold extensive application potentials in cancer modeling and therapy. To date, they have already been applied to model oncogenic mutations in cell lines (e.g., Choi and Meyerson, Nat Commun 2014;5:3728) and in adult animals (e.g., Xue et al., Nature 2014;514:380-4), as well as to combat cancer by disabling oncogenic viruses (e.g., Hu et al., Biomed Res Int 2014;2014:612823) or by manipulating cancer genome (e.g., Liu et al., Nat Commun 2014;5:5393). Given the importance of epigenome and transcriptome in tumourigenesis, manipulation of cancer epigenome and transcriptome for cancer modeling and therapy is a promising area in the future. Whereas (epi)genetic modifications of cancer microenvironment with CRISPR-Cas9 systems for therapeutic purposes represent another promising area in cancer research. Herein, we introduce the functions and mechanisms of CRISPR-Cas9 systems in genome editing and epigenome modulation, retrospect their applications in cancer modelling and therapy, discuss limitations and possible solutions and propose future directions, in hope of providing concise and enlightening information for readers interested in this area. © 2015 UICC.

  6. The Self-Inactivating KamiCas9 System for the Editing of CNS Disease Genes.

    PubMed

    Merienne, Nicolas; Vachey, Gabriel; de Longprez, Lucie; Meunier, Cécile; Zimmer, Virginie; Perriard, Guillaume; Canales, Mathieu; Mathias, Amandine; Herrgott, Lucas; Beltraminelli, Tim; Maulet, Axelle; Dequesne, Thomas; Pythoud, Catherine; Rey, Maria; Pellerin, Luc; Brouillet, Emmanuel; Perrier, Anselme L; du Pasquier, Renaud; Déglon, Nicole

    2017-09-19

    Neurodegenerative disorders are a major public health problem because of the high frequency of these diseases. Genome editing with the CRISPR/Cas9 system is making it possible to modify the sequence of genes linked to these disorders. We designed the KamiCas9 self-inactivating editing system to achieve transient expression of the Cas9 protein and high editing efficiency. In the first application, the gene responsible for Huntington's disease (HD) was targeted in adult mouse neuronal and glial cells. Mutant huntingtin (HTT) was efficiently inactivated in mouse models of HD, leading to an improvement in key markers of the disease. Sequencing of potential off-targets with the constitutive Cas9 system in differentiated human iPSC revealed a very low incidence with only one site above background level. This off-target frequency was significantly reduced with the KamiCas9 system. These results demonstrate the potential of the self-inactivating CRISPR/Cas9 editing for applications in the context of neurodegenerative diseases. Copyright © 2017 The Author(s). Published by Elsevier Inc. All rights reserved.

  7. High-temperature protein G is essential for activity of the Escherichia coli clustered regularly interspaced short palindromic repeats (CRISPR)/Cas system.

    PubMed

    Yosef, Ido; Goren, Moran G; Kiro, Ruth; Edgar, Rotem; Qimron, Udi

    2011-12-13

    Prokaryotic DNA arrays arranged as clustered regularly interspaced short palindromic repeats (CRISPR), along with their associated proteins, provide prokaryotes with adaptive immunity by RNA-mediated targeting of alien DNA or RNA matching the sequences between the repeats. Here, we present a thorough screening system for the identification of bacterial proteins participating in immunity conferred by the Escherichia coli CRISPR system. We describe the identification of one such protein, high-temperature protein G (HtpG), a homolog of the eukaryotic chaperone heat-shock protein 90. We demonstrate that in the absence of htpG, the E. coli CRISPR system loses its suicidal activity against λ prophage and its ability to provide immunity from lysogenization. Transcomplementation of htpG restores CRISPR activity. We further show that inactivity of the CRISPR system attributable to htpG deficiency can be suppressed by expression of Cas3, a protein that is essential for its activity. Accordingly, we also find that the steady-state level of overexpressed Cas3 is significantly enhanced following HtpG expression. We conclude that HtpG is a newly identified positive modulator of the CRISPR system that is essential for maintaining functional levels of Cas3.

  8. High-temperature protein G is essential for activity of the Escherichia coli clustered regularly interspaced short palindromic repeats (CRISPR)/Cas system

    PubMed Central

    Yosef, Ido; Goren, Moran G.; Kiro, Ruth; Edgar, Rotem; Qimron, Udi

    2011-01-01

    Prokaryotic DNA arrays arranged as clustered regularly interspaced short palindromic repeats (CRISPR), along with their associated proteins, provide prokaryotes with adaptive immunity by RNA-mediated targeting of alien DNA or RNA matching the sequences between the repeats. Here, we present a thorough screening system for the identification of bacterial proteins participating in immunity conferred by the Escherichia coli CRISPR system. We describe the identification of one such protein, high-temperature protein G (HtpG), a homolog of the eukaryotic chaperone heat-shock protein 90. We demonstrate that in the absence of htpG, the E. coli CRISPR system loses its suicidal activity against λ prophage and its ability to provide immunity from lysogenization. Transcomplementation of htpG restores CRISPR activity. We further show that inactivity of the CRISPR system attributable to htpG deficiency can be suppressed by expression of Cas3, a protein that is essential for its activity. Accordingly, we also find that the steady-state level of overexpressed Cas3 is significantly enhanced following HtpG expression. We conclude that HtpG is a newly identified positive modulator of the CRISPR system that is essential for maintaining functional levels of Cas3. PMID:22114197

  9. Establishment of a highly efficient virus-inducible CRISPR/Cas9 system in insect cells.

    PubMed

    Dong, Zhan-Qi; Chen, Ting-Ting; Zhang, Jun; Hu, Nan; Cao, Ming-Ya; Dong, Fei-Fan; Jiang, Ya-Ming; Chen, Peng; Lu, Cheng; Pan, Min-Hui

    2016-06-01

    Although current antiviral strategies can inhibit baculovirus infection and decrease viral DNA replication to a certain extent, novel tools are required for specific and accurate elimination of baculovirus genomes from infected insects. Using the newly developed clustered regularly interspaced short palindromic repeats/associated protein 9 nuclease (CRISPR/Cas9) technology, we disrupted a viral genome in infected insect cells in vitro as a defense against viral infection. We optimized the CRISPR/Cas9 system to edit foreign and viral genome in insect cells. Using Bombyx mori nucleopolyhedrovirus (BmNPV) as a model, we found that the CRISPR/Cas9 system was capable of cleaving the replication key factor ie-1 in BmNPV thus effectively inhibiting virus proliferation. Furthermore, we constructed a virus-inducible CRISPR/Cas9 editing system, which minimized the probability of off-target effects and was rapidly activated after viral infection. This is the first report describing the application of the CRISPR/Cas9 system in insect antiviral research. Establishment of a highly efficient virus-inducible CRISPR/Cas9 system in insect cells provides insights to produce virus-resistant transgenic strains for future. Copyright © 2016 Elsevier B.V. All rights reserved.

  10. CRISPR/Cas9-Advancing Orthopoxvirus Genome Editing for Vaccine and Vector Development.

    PubMed

    Okoli, Arinze; Okeke, Malachy I; Tryland, Morten; Moens, Ugo

    2018-01-22

    The clustered regularly interspaced short palindromic repeat (CRISPR)/associated protein 9 (Cas9) technology is revolutionizing genome editing approaches. Its high efficiency, specificity, versatility, flexibility, simplicity and low cost have made the CRISPR/Cas9 system preferable to other guided site-specific nuclease-based systems such as TALENs (Transcription Activator-like Effector Nucleases) and ZFNs (Zinc Finger Nucleases) in genome editing of viruses. CRISPR/Cas9 is presently being applied in constructing viral mutants, preventing virus infections, eradicating proviral DNA, and inhibiting viral replication in infected cells. The successful adaptation of CRISPR/Cas9 to editing the genome of Vaccinia virus paves the way for its application in editing other vaccine/vector-relevant orthopoxvirus (OPXV) strains. Thus, CRISPR/Cas9 can be used to resolve some of the major hindrances to the development of OPXV-based recombinant vaccines and vectors, including sub-optimal immunogenicity; transgene and genome instability; reversion of attenuation; potential of spread of transgenes to wildtype strains and close contacts, which are important biosafety and risk assessment considerations. In this article, we review the published literature on the application of CRISPR/Cas9 in virus genome editing and discuss the potentials of CRISPR/Cas9 in advancing OPXV-based recombinant vaccines and vectors. We also discuss the application of CRISPR/Cas9 in combating viruses of clinical relevance, the limitations of CRISPR/Cas9 and the current strategies to overcome them.

  11. System-level perturbations of cell metabolism using CRISPR/Cas9

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jakočiūnas, Tadas; Jensen, Michael K.; Keasling, Jay D.

    CRISPR/Cas9 (clustered regularly interspaced palindromic repeats and the associated protein Cas9) techniques have made genome engineering and transcriptional reprogramming studies much more advanced and cost-effective. For metabolic engineering purposes, the CRISPR-based tools have been applied to single and multiplex pathway modifications and transcriptional regulations. The effectiveness of these tools allows researchers to implement genome-wide perturbations, test model-guided genome editing strategies, and perform transcriptional reprogramming perturbations in a more advanced manner than previously possible. In this mini-review we highlight recent studies adopting CRISPR/Cas9 for systems-level perturbations and model-guided metabolic engineering.

  12. Fragmentation of the CRISPR-Cas Type I-B signature protein Cas8b.

    PubMed

    Richter, Hagen; Rompf, Judith; Wiegel, Julia; Rau, Kristina; Randau, Lennart

    2017-11-01

    CRISPR arrays are transcribed into long precursor RNA species, which are further processed into mature CRISPR RNAs (crRNAs). Cas proteins utilize these crRNAs, which contain spacer sequences that can be derived from mobile genetic elements, to mediate immunity during a reoccurring virus infection. Type I CRISPR-Cas systems are defined by the presence of different Cascade interference complexes containing large and small subunits that play major roles during target DNA selection. Here, we produce the protein and crRNA components of the Type I-B CRISPR-Cas complex of Clostridium thermocellum and Methanococcus maripaludis. The C. thermocellum Cascade complexes were reconstituted and analyzed via size-exclusion chromatography. Activity of the heterologous M. maripaludis CRISPR-Cas system was followed using phage lambda plaques assays. The reconstituted Type-I-B Cascade complex contains Cas7, Cas5, Cas6b and the large subunit Cas8b. Cas6b can be omitted from the reconstitution protocol. The large subunit Cas8b was found to be represented by two tightly associated protein fragments and a small C-terminal Cas8b segment was identified in recombinant complexes and C. thermocellum cell lysate. Production of Cas8b generates a small C-terminal fragment, which is suggested to fulfill the role of the missing small subunit. A heterologous, synthetic M. maripaludis Type I-B system is active in E. coli against phage lambda, highlighting a potential for genome editing using endogenous Type-I-B CRISPR-Cas machineries. This article is part of a Special Issue entitled "Biochemistry of Synthetic Biology - Recent Developments" Guest Editor: Dr. Ilka Heinemann and Dr. Patrick O'Donoghue. Copyright © 2017 Elsevier B.V. All rights reserved.

  13. Disabling a Type I-E CRISPR-Cas Nuclease with a Bacteriophage-Encoded Anti-CRISPR Protein.

    PubMed

    Pawluk, April; Shah, Megha; Mejdani, Marios; Calmettes, Charles; Moraes, Trevor F; Davidson, Alan R; Maxwell, Karen L

    2017-12-12

    CRISPR (clustered regularly interspaced short palindromic repeat)-Cas adaptive immune systems are prevalent defense mechanisms in bacteria and archaea. They provide sequence-specific detection and neutralization of foreign nucleic acids such as bacteriophages and plasmids. One mechanism by which phages and other mobile genetic elements are able to overcome the CRISPR-Cas system is through the expression of anti-CRISPR proteins. Over 20 different families of anti-CRISPR proteins have been described, each of which inhibits a particular type of CRISPR-Cas system. In this work, we determined the structure of type I-E anti-CRISPR protein AcrE1 by X-ray crystallography. We show that AcrE1 binds to the CRISPR-associated helicase/nuclease Cas3 and that the C-terminal region of the anti-CRISPR protein is important for its inhibitory activity. We further show that AcrE1 can convert the endogenous type I-E CRISPR system into a programmable transcriptional repressor. IMPORTANCE The CRISPR-Cas immune system provides bacteria with resistance to invasion by potentially harmful viruses, plasmids, and other foreign mobile genetic elements. This study presents the first structural and mechanistic insight into a phage-encoded protein that inactivates the type I-E CRISPR-Cas system in Pseudomonas aeruginosa The interaction of this anti-CRISPR protein with the CRISPR-associated helicase/nuclease proteins Cas3 shuts down the CRISPR-Cas system and protects phages carrying this gene from destruction. This interaction also allows the repurposing of the endogenous type I-E CRISPR system into a programmable transcriptional repressor, providing a new biotechnological tool for genetic studies of bacteria encoding this type I-E CRISPR-Cas system. Copyright © 2017 Pawluk et al.

  14. Fundamental CRISPR-Cas9 tools and current applications in microbial systems.

    PubMed

    Tian, Pingfang; Wang, Jia; Shen, Xiaolin; Rey, Justin Forrest; Yuan, Qipeng; Yan, Yajun

    2017-09-01

    Derived from the bacterial adaptive immune system, CRISPR technology has revolutionized conventional genetic engineering methods and unprecedentedly facilitated strain engineering. In this review, we outline the fundamental CRISPR tools that have been employed for strain optimization. These tools include CRISPR editing, CRISPR interference, CRISPR activation and protein imaging. To further characterize the CRISPR technology, we present current applications of these tools in microbial systems, including model- and non-model industrial microorganisms. Specially, we point out the major challenges of the CRISPR tools when utilized for multiplex genome editing and sophisticated expression regulation. To address these challenges, we came up with strategies that place emphasis on the amelioration of DNA repair efficiency through CRISPR-Cas9-assisted recombineering. Lastly, multiple promising research directions were proposed, mainly focusing on CRISPR-based construction of microbial ecosystems toward high production of desired chemicals.

  15. The Impact of Chromatin Dynamics on Cas9-Mediated Genome Editing in Human Cells.

    PubMed

    Daer, René M; Cutts, Josh P; Brafman, David A; Haynes, Karmella A

    2017-03-17

    In order to efficiently edit eukaryotic genomes, it is critical to test the impact of chromatin dynamics on CRISPR/Cas9 function and develop strategies to adapt the system to eukaryotic contexts. So far, research has extensively characterized the relationship between the CRISPR endonuclease Cas9 and the composition of the RNA-DNA duplex that mediates the system's precision. Evidence suggests that chromatin modifications and DNA packaging can block eukaryotic genome editing by custom-built DNA endonucleases like Cas9; however, the underlying mechanism of Cas9 inhibition is unclear. Here, we demonstrate that closed, gene-silencing-associated chromatin is a mechanism for the interference of Cas9-mediated DNA editing. Our assays use a transgenic cell line with a drug-inducible switch to control chromatin states (open and closed) at a single genomic locus. We show that closed chromatin inhibits binding and editing at specific target sites and that artificial reversal of the silenced state restores editing efficiency. These results provide new insights to improve Cas9-mediated editing in human and other mammalian cells.

  16. Application of CRISPR/Cas9 system in breeding of new antiviral plant germplasm.

    PubMed

    Zhang, Dao-wei; Zhang, Chao-fan; Dong, Fang; Huang, Yan-lan; Zhang, Ya; Zhou, Hong

    2016-09-01

    With the development and improvement of CRISPR/Cas9 system in genomic editing technology, the system has been applied to the prevention and control of animal viral infectious diseases, which has made considerable achievements. It has also been applied to the study of highly efficient gene targeting editing in plant virus genomes. The CRISPR/Cas9-mediated targeted gene modification has not only achieved the genome editing of plant DNA virus, but also showed the genome editing potential of plant RNA virus. In addition, the CRISPR/Cas9 system functions at the gene transcriptional and post-transcriptional level, indicating that the system could regulate the replication of plant viruses through different ways. Compared with other plant viral disease control strategies, this system is more accurate in genome editing, more stable in gene expression regulation, and has broader spectrum of resistance to virus disease. In this review, we summarized the advantages, main problems and development tendency of CRISPR/cas9 system in breeding of new antiviral plant germplasms.

  17. Repurposing endogenous type I CRISPR-Cas systems for programmable gene repression

    PubMed Central

    Luo, Michelle L.; Mullis, Adam S.; Leenay, Ryan T.; Beisel, Chase L.

    2015-01-01

    CRISPR-Cas systems have shown tremendous promise as heterologous tools for genome editing and transcriptional regulation. Because these RNA-directed immune systems are found in most prokaryotes, an opportunity exists to harness the endogenous systems as convenient tools in these organisms. Here, we report that the Type I-E CRISPR-Cas system in Escherichia coli can be co-opted for programmable transcriptional repression. We found that deletion of the signature cas3 gene converted this immune system into a programmable gene regulator capable of reversible gene silencing of heterologous and endogenous genes. Targeting promoter regions yielded the strongest repression, whereas targeting coding regions showed consistent strand bias. Furthermore, multi-targeting CRISPR arrays could generate complex phenotypes. This strategy offers a simple approach to convert many endogenous Type I systems into transcriptional regulators, thereby expanding the available toolkit for CRISPR-mediated genetic control while creating new opportunities for genome-wide screens and pathway engineering. PMID:25326321

  18. Application of CRISPR/Cas9 genome editing to the study and treatment of disease.

    PubMed

    Pellagatti, Andrea; Dolatshad, Hamid; Valletta, Simona; Boultwood, Jacqueline

    2015-07-01

    CRISPR/Cas is a microbial adaptive immune system that uses RNA-guided nucleases to cleave foreign genetic elements. The CRISPR/Cas9 method has been engineered from the type II prokaryotic CRISPR system and uses a single-guide RNA to target the Cas9 nuclease to a specific genomic sequence. Cas9 induces double-stranded DNA breaks which are repaired either by imperfect non-homologous end joining to generate insertions or deletions (indels) or, if a repair template is provided, by homology-directed repair. Due to its specificity, simplicity and versatility, the CRISPR/Cas9 system has recently emerged as a powerful tool for genome engineering in various species. This technology can be used to investigate the function of a gene of interest or to correct gene mutations in cells via genome editing, paving the way for future gene therapy approaches. Improvements to the efficiency of CRISPR repair, in particular to increase the rate of gene correction and to reduce undesired off-target effects, and the development of more effective delivery methods will be required for its broad therapeutic application.

  19. Targeted activation of diverse CRISPR-Cas systems for mammalian genome editing via proximal CRISPR targeting.

    PubMed

    Chen, Fuqiang; Ding, Xiao; Feng, Yongmei; Seebeck, Timothy; Jiang, Yanfang; Davis, Gregory D

    2017-04-07

    Bacterial CRISPR-Cas systems comprise diverse effector endonucleases with different targeting ranges, specificities and enzymatic properties, but many of them are inactive in mammalian cells and are thus precluded from genome-editing applications. Here we show that the type II-B FnCas9 from Francisella novicida possesses novel properties, but its nuclease function is frequently inhibited at many genomic loci in living human cells. Moreover, we develop a proximal CRISPR (termed proxy-CRISPR) targeting method that restores FnCas9 nuclease activity in a target-specific manner. We further demonstrate that this proxy-CRISPR strategy is applicable to diverse CRISPR-Cas systems, including type II-C Cas9 and type V Cpf1 systems, and can facilitate precise gene editing even between identical genomic sites within the same genome. Our findings provide a novel strategy to enable use of diverse otherwise inactive CRISPR-Cas systems for genome-editing applications and a potential path to modulate the impact of chromatin microenvironments on genome modification.

  20. Targeted activation of diverse CRISPR-Cas systems for mammalian genome editing via proximal CRISPR targeting

    PubMed Central

    Chen, Fuqiang; Ding, Xiao; Feng, Yongmei; Seebeck, Timothy; Jiang, Yanfang; Davis, Gregory D.

    2017-01-01

    Bacterial CRISPR–Cas systems comprise diverse effector endonucleases with different targeting ranges, specificities and enzymatic properties, but many of them are inactive in mammalian cells and are thus precluded from genome-editing applications. Here we show that the type II-B FnCas9 from Francisella novicida possesses novel properties, but its nuclease function is frequently inhibited at many genomic loci in living human cells. Moreover, we develop a proximal CRISPR (termed proxy-CRISPR) targeting method that restores FnCas9 nuclease activity in a target-specific manner. We further demonstrate that this proxy-CRISPR strategy is applicable to diverse CRISPR–Cas systems, including type II-C Cas9 and type V Cpf1 systems, and can facilitate precise gene editing even between identical genomic sites within the same genome. Our findings provide a novel strategy to enable use of diverse otherwise inactive CRISPR–Cas systems for genome-editing applications and a potential path to modulate the impact of chromatin microenvironments on genome modification. PMID:28387220

  1. Advances in Engineering the Fly Genome with the CRISPR-Cas System

    PubMed Central

    Bier, Ethan; Harrison, Melissa M.; O’Connor-Giles, Kate M.; Wildonger, Jill

    2018-01-01

    Drosophila has long been a premier model for the development and application of cutting-edge genetic approaches. The CRISPR-Cas system now adds the ability to manipulate the genome with ease and precision, providing a rich toolbox to interrogate relationships between genotype and phenotype, to delineate and visualize how the genome is organized, to illuminate and manipulate RNA, and to pioneer new gene drive technologies. Myriad transformative approaches have already originated from the CRISPR-Cas system, which will likely continue to spark the creation of tools with diverse applications. Here, we provide an overview of how CRISPR-Cas gene editing has revolutionized genetic analysis in Drosophila and highlight key areas for future advances. PMID:29301946

  2. RNA virus interference via CRISPR/Cas13a system in plants.

    PubMed

    Aman, Rashid; Ali, Zahir; Butt, Haroon; Mahas, Ahmed; Aljedaani, Fatimah; Khan, Muhammad Zuhaib; Ding, Shouwei; Mahfouz, Magdy

    2018-01-04

    CRISPR/Cas systems confer immunity against invading nucleic acids and phages in bacteria and archaea. CRISPR/Cas13a (known previously as C2c2) is a class 2 type VI-A ribonuclease capable of targeting and cleaving single-stranded RNA (ssRNA) molecules of the phage genome. Here, we employ CRISPR/Cas13a to engineer interference with an RNA virus, Turnip Mosaic Virus (TuMV), in plants. CRISPR/Cas13a produces interference against green fluorescent protein (GFP)-expressing TuMV in transient assays and stable overexpression lines of Nicotiana benthamiana. CRISPR RNA (crRNAs) targeting the HC-Pro and GFP sequences exhibit better interference than those targeting other regions such as coat protein (CP) sequence. Cas13a can also process pre-crRNAs into functional crRNAs. Our data indicate that CRISPR/Cas13a can be used for engineering interference against RNA viruses, providing a potential novel mechanism for RNA-guided immunity against RNA viruses and for other RNA manipulations in plants.

  3. Integrated care in the emergency department: a complex adaptive systems perspective.

    PubMed

    Nugus, Peter; Carroll, Katherine; Hewett, David G; Short, Alison; Forero, Roberto; Braithwaite, Jeffrey

    2010-12-01

    Emergency clinicians undertake boundary-work as they facilitate patient trajectories through the Emergency Department (ED). Emergency clinicians must manage the constantly-changing dynamics at the boundaries of the ED and other hospital departments and organizations whose services emergency clinicians seek to integrate. Integrating the care that differing clinical groups provide, the services EDs offer, and patients' needs across this journey is challenging. The journey is usually accounted for in a linear way - as a "continuity of care" problem. In this paper, we instead conceptualize integrated care in the ED using a complex adaptive systems (CAS) perspective. A CAS perspective accounts for the degree to which other departments and units outside of the ED are integrated, and appropriately described, using CAS concepts and language. One year of ethnographic research was conducted, combining observation and semi-structured interviews, in the EDs of two tertiary referral hospitals in Sydney, Australia. We found the CAS approach to be salient to analyzing integrated care in the ED because the processes of categorization, diagnosis and discharge are primarily about the linkages between services, and the communication and negotiation required to enact those linkages, however imperfectly they occur in practice. Emergency clinicians rapidly process large numbers of high-need patients, in a relatively efficient system of care inadequately explained by linear models. A CAS perspective exposes integrated care as management of the patient trajectory within porous, shifting and negotiable boundaries. Copyright © 2010 Elsevier Ltd. All rights reserved.

  4. Double-stranded Endonuclease Activity in Bacillus halodurans Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-associated Cas2 Protein*

    PubMed Central

    Nam, Ki Hyun; Ding, Fran; Haitjema, Charles; Huang, Qingqiu; DeLisa, Matthew P.; Ke, Ailong

    2012-01-01

    The CRISPR (clustered regularly interspaced short palindromic repeats) system is a prokaryotic RNA-based adaptive immune system against extrachromosomal genetic elements. Cas2 is a universally conserved core CRISPR-associated protein required for the acquisition of new spacers for CRISPR adaptation. It was previously characterized as an endoribonuclease with preference for single-stranded (ss)RNA. Here, we show using crystallography, mutagenesis, and isothermal titration calorimetry that the Bacillus halodurans Cas2 (Bha_Cas2) from the subtype I-C/Dvulg CRISPR instead possesses metal-dependent endonuclease activity against double-stranded (ds)DNA. This activity is consistent with its putative function in producing new spacers for insertion into the 5′-end of the CRISPR locus. Mutagenesis and isothermal titration calorimetry studies revealed that a single divalent metal ion (Mg2+ or Mn2+), coordinated by a symmetric Asp pair in the Bha_Cas2 dimer, is involved in the catalysis. We envision that a pH-dependent conformational change switches Cas2 into a metal-binding competent conformation for catalysis. We further propose that the distinct substrate preferences among Cas2 proteins may be determined by the sequence and structure in the β1–α1 loop. PMID:22942283

  5. Targeted mutagenesis in Zea mays using TALENs and the CRISPR/Cas system.

    PubMed

    Liang, Zhen; Zhang, Kang; Chen, Kunling; Gao, Caixia

    2014-02-20

    Transcription activator-like effector nucleases (TALENs) and clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) systems have emerged as powerful tools for genome editing in a variety of species. Here, we report, for the first time, targeted mutagenesis in Zea mays using TALENs and the CRISPR/Cas system. We designed five TALENs targeting 4 genes, namely ZmPDS, ZmIPK1A, ZmIPK, ZmMRP4, and obtained targeting efficiencies of up to 23.1% in protoplasts, and about 13.3% to 39.1% of the transgenic plants were somatic mutations. Also, we constructed two gRNAs targeting the ZmIPK gene in maize protoplasts, at frequencies of 16.4% and 19.1%, respectively. In addition, the CRISPR/Cas system induced targeted mutations in Z. mays protoplasts with efficiencies (13.1%) similar to those obtained with TALENs (9.1%). Our results show that both TALENs and the CRISPR/Cas system can be used for genome modification in maize. Copyright © 2013. Published by Elsevier Ltd.

  6. Multiple homologous genes knockout (KO) by CRISPR/Cas9 system in rabbit.

    PubMed

    Liu, Huan; Sui, Tingting; Liu, Di; Liu, Tingjun; Chen, Mao; Deng, Jichao; Xu, Yuanyuan; Li, Zhanjun

    2018-03-20

    The CRISPR/Cas9 system is a highly efficient and convenient genome editing tool, which has been widely used for single or multiple gene mutation in a variety of organisms. Disruption of multiple homologous genes, which have similar DNA sequences and gene function, is required for the study of the desired phenotype. In this study, to test whether the CRISPR/Cas9 system works on the mutation of multiple homologous genes, a single guide RNA (sgRNA) targeting three fucosyltransferases encoding genes (FUT1, FUT2 and SEC1) was designed. As expected, triple gene mutation of FUT1, FUT2 and SEC1 could be achieved simultaneously via a sgRNA mediated CRISPR/Cas9 system. Besides, significantly reduced serum fucosyltransferases enzymes activity was also determined in those triple gene mutation rabbits. Thus, we provide the first evidence that multiple homologous genes knockout (KO) could be achieved efficiently by a sgRNA mediated CRISPR/Cas9 system in mammals, which could facilitate the genotype to phenotype studies of homologous genes in future. Copyright © 2018 Elsevier B.V. All rights reserved.

  7. Fitting CRISPR-associated Cas3 into the helicase family tree.

    PubMed

    Jackson, Ryan N; Lavin, Matthew; Carter, Joshua; Wiedenheft, Blake

    2014-02-01

    Helicases utilize NTPs to modulate their binding to nucleic acids and many of these enzymes also unwind DNA or RNA duplexes in an NTP-dependent fashion. These proteins are phylogenetically related but functionally diverse, with essential roles in virtually all aspects of nucleic acid metabolism. A new class of helicases associated with RNA-guided adaptive immune systems in bacteria and archaea has recently been identified. Prokaryotes acquire resistance to invading genetic parasites by integrating short fragments of foreign nucleic acids into repetitive loci in the host chromosome known as CRISPRs (Clustered Regularly Interspaced Short Palindromic Repeats). CRISPR-associated gene 3 (cas3) encodes a conserved helicase protein that is essential for phage defense. Here we review recent advances in Cas3 biology, and provide a new phylogenetic framework that positions Cas3 in the helicase family tree. We anticipate that this Cas3 phylogeny will guide future biochemical and structural studies. Copyright © 2014. Published by Elsevier Ltd.

  8. CRISPR-Cas9-Mediated Genome Editing and Transcriptional Control in Yarrowia lipolytica.

    PubMed

    Schwartz, Cory; Wheeldon, Ian

    2018-01-01

    The discovery and adaptation of RNA-guided nucleases has resulted in the rapid development of efficient, scalable, and easily accessible synthetic biology tools for targeted genome editing and transcriptional control. In these systems, for example CRISPR-Cas9 from Streptococcus pyogenes, a protein with nuclease activity is targeted to a specific nucleotide sequence by a short RNA molecule, whereupon binding it cleaves the targeted nucleotide strand. To extend this genome-editing ability to the industrially important oleaginous yeast Yarrowia lipolytica, we developed a set of easily usable and effective CRISPR-Cas9 episomal vectors. In this protocols chapter, we first present a method by which arbitrary protein-coding genes can be disrupted via indel formation after CRISPR-Cas9 targeting. A second method demonstrates how the same CRISPR-Cas9 system can be used to induce markerless gene cassette integration into the genome by inducing homologous recombination after DNA cleavage by Cas9. Finally, we describe how a catalytically inactive form of Cas9 fused to a transcriptional repressor can be used to control transcription of native genes in Y. lipolytica. The CRISPR-Cas9 tools and strategies described here greatly increase the types of genome editing and transcriptional control that can be achieved in Y. lipolytica, and promise to facilitate more advanced engineering of this important oleaginous host.

  9. Disabling a Type I-E CRISPR-Cas Nuclease with a Bacteriophage-Encoded Anti-CRISPR Protein

    PubMed Central

    Shah, Megha; Mejdani, Marios; Calmettes, Charles; Moraes, Trevor F.

    2017-01-01

    ABSTRACT CRISPR (clustered regularly interspaced short palindromic repeat)-Cas adaptive immune systems are prevalent defense mechanisms in bacteria and archaea. They provide sequence-specific detection and neutralization of foreign nucleic acids such as bacteriophages and plasmids. One mechanism by which phages and other mobile genetic elements are able to overcome the CRISPR-Cas system is through the expression of anti-CRISPR proteins. Over 20 different families of anti-CRISPR proteins have been described, each of which inhibits a particular type of CRISPR-Cas system. In this work, we determined the structure of type I-E anti-CRISPR protein AcrE1 by X-ray crystallography. We show that AcrE1 binds to the CRISPR-associated helicase/nuclease Cas3 and that the C-terminal region of the anti-CRISPR protein is important for its inhibitory activity. We further show that AcrE1 can convert the endogenous type I-E CRISPR system into a programmable transcriptional repressor. PMID:29233895

  10. Diverse Class 2 CRISPR-Cas Effector Proteins for Genome Engineering Applications.

    PubMed

    Pyzocha, Neena K; Chen, Sidi

    2018-02-16

    CRISPR-Cas genome editing technologies have revolutionized modern molecular biology by making targeted DNA edits simple and scalable. These technologies are developed by domesticating naturally occurring microbial adaptive immune systems that display wide diversity of functionality for targeted nucleic acid cleavage. Several CRISPR-Cas single effector enzymes have been characterized and engineered for use in mammalian cells. The unique properties of the single effector enzymes can make a critical difference in experimental use or targeting specificity. This review describes known single effector enzymes and discusses their use in genome engineering applications.

  11. CRISPR/Cas9—Advancing Orthopoxvirus Genome Editing for Vaccine and Vector Development

    PubMed Central

    Okoli, Arinze; Okeke, Malachy I.; Tryland, Morten; Moens, Ugo

    2018-01-01

    The clustered regularly interspaced short palindromic repeat (CRISPR)/associated protein 9 (Cas9) technology is revolutionizing genome editing approaches. Its high efficiency, specificity, versatility, flexibility, simplicity and low cost have made the CRISPR/Cas9 system preferable to other guided site-specific nuclease-based systems such as TALENs (Transcription Activator-like Effector Nucleases) and ZFNs (Zinc Finger Nucleases) in genome editing of viruses. CRISPR/Cas9 is presently being applied in constructing viral mutants, preventing virus infections, eradicating proviral DNA, and inhibiting viral replication in infected cells. The successful adaptation of CRISPR/Cas9 to editing the genome of Vaccinia virus paves the way for its application in editing other vaccine/vector-relevant orthopoxvirus (OPXV) strains. Thus, CRISPR/Cas9 can be used to resolve some of the major hindrances to the development of OPXV-based recombinant vaccines and vectors, including sub-optimal immunogenicity; transgene and genome instability; reversion of attenuation; potential of spread of transgenes to wildtype strains and close contacts, which are important biosafety and risk assessment considerations. In this article, we review the published literature on the application of CRISPR/Cas9 in virus genome editing and discuss the potentials of CRISPR/Cas9 in advancing OPXV-based recombinant vaccines and vectors. We also discuss the application of CRISPR/Cas9 in combating viruses of clinical relevance, the limitations of CRISPR/Cas9 and the current strategies to overcome them. PMID:29361752

  12. Genome-scale CRISPR-Cas9 knockout and transcriptional activation screening.

    PubMed

    Joung, Julia; Konermann, Silvana; Gootenberg, Jonathan S; Abudayyeh, Omar O; Platt, Randall J; Brigham, Mark D; Sanjana, Neville E; Zhang, Feng

    2017-04-01

    Forward genetic screens are powerful tools for the unbiased discovery and functional characterization of specific genetic elements associated with a phenotype of interest. Recently, the RNA-guided endonuclease Cas9 from the microbial CRISPR (clustered regularly interspaced short palindromic repeats) immune system has been adapted for genome-scale screening by combining Cas9 with pooled guide RNA libraries. Here we describe a protocol for genome-scale knockout and transcriptional activation screening using the CRISPR-Cas9 system. Custom- or ready-made guide RNA libraries are constructed and packaged into lentiviral vectors for delivery into cells for screening. As each screen is unique, we provide guidelines for determining screening parameters and maintaining sufficient coverage. To validate candidate genes identified by the screen, we further describe strategies for confirming the screening phenotype, as well as genetic perturbation, through analysis of indel rate and transcriptional activation. Beginning with library design, a genome-scale screen can be completed in 9-15 weeks, followed by 4-5 weeks of validation.

  13. Structural insights into the inactivation of CRISPR-Cas systems by diverse anti-CRISPR proteins.

    PubMed

    Zhu, Yuwei; Zhang, Fan; Huang, Zhiwei

    2018-03-19

    A molecular arms race is progressively being unveiled between prokaryotes and viruses. Prokaryotes utilize CRISPR-mediated adaptive immune systems to kill the invading phages and mobile genetic elements, and in turn, the viruses evolve diverse anti-CRISPR proteins to fight back. The structures of several anti-CRISPR proteins have now been reported, and here we discuss their structural features, with a particular emphasis on topology, to discover their similarities and differences. We summarize the CRISPR-Cas inhibition mechanisms of these anti-CRISPR proteins in their structural context. Considering anti-CRISPRs in this way will provide important clues for studying their origin and evolution.

  14. The CRISPR/Cas9 system sheds new lights on the biology of protozoan parasites.

    PubMed

    Grzybek, Maciej; Golonko, Aleksandra; Górska, Aleksandra; Szczepaniak, Klaudiusz; Strachecka, Aneta; Lass, Anna; Lisowski, Paweł

    2018-06-01

    The CRISPR/Cas9 system, a natural defence system of bacterial organisms, has recently been used to modify genomes of the most important protozoa parasites. Successful genome manipulations with the CRISPR/Cas9 system are changing the present view of genetics in parasitology. The application of this system offers a major chance to overcome the current restriction in culturing, maintaining and analysing protozoan parasites, and allows dynamic analysis of parasite genes functions, leading to a better understanding of pathogenesis. CRISPR/Cas9 system will have a significant influence on the process of developing novel drugs and treatment strategies against protozoa parasites.

  15. CRISPR/Cas9 in insects: Applications, best practices and biosafety concerns.

    PubMed

    Taning, Clauvis Nji Tizi; Van Eynde, Benigna; Yu, Na; Ma, Sanyuan; Smagghe, Guy

    2017-04-01

    Discovered as a bacterial adaptive immune system, CRISPR/Cas9 (clustered, regularly interspaced, short palindromic repeat/CRISPR associated) is being developed as an attractive tool in genome editing. Due to its high specificity and applicability, CRISPR/Cas9-mediated gene editing has been employed in a multitude of organisms and cells, including insects, for not only fundamental research such as gene function studies, but also applied research such as modification of organisms of economic importance. Despite the rapid increase in the use of CRISPR in insect genome editing, results still differ from each study, principally due to existing differences in experimental parameters, such as the Cas9 and guide RNA form, the delivery method, the target gene and off-target effects. Here, we review current reports on the successes of CRISPR/Cas9 applications in diverse insects and insect cells. We furthermore summarize several best practices to give a useful checklist of CRISPR/Cas9 experimental setup in insects for beginners. Lastly, we discuss the biosafety concerns related to the release of CRISPR/Cas9-edited insects into the environment. Copyright © 2017 Elsevier Ltd. All rights reserved.

  16. Multimode drug inducible CRISPR/Cas9 devices for transcriptional activation and genome editing

    PubMed Central

    Lu, Jia; Zhao, Chen; Zhao, Yingze; Zhang, Jingfang; Zhang, Yue; Chen, Li; Han, Qiyuan; Ying, Yue; Peng, Shuai; Ai, Runna; Wang, Yu

    2018-01-01

    Abstract Precise investigation and manipulation of dynamic biological processes often requires molecular modulation in a controlled inducible manner. The clustered, regularly interspaced, short palindromic repeats (CRISPR)/CRISPR associated protein 9 (Cas9) has emerged as a versatile tool for targeted gene editing and transcriptional programming. Here, we designed and vigorously optimized a series of Hybrid drug Inducible CRISPR/Cas9 Technologies (HIT) for transcriptional activation by grafting a mutated human estrogen receptor (ERT2) to multiple CRISPR/Cas9 systems, which renders them 4-hydroxytamoxifen (4-OHT) inducible for the access of genome. Further, extra functionality of simultaneous genome editing was achieved with one device we named HIT2. Optimized terminal devices herein delivered advantageous performances in comparison with several existing designs. They exerted selective, titratable, rapid and reversible response to drug induction. In addition, these designs were successfully adapted to an orthogonal Cas9. HIT systems developed in this study can be applied for controlled modulation of potentially any genomic loci in multiple modes. PMID:29237052

  17. A novel sgRNA selection system for CRISPR-Cas9 in mammalian cells.

    PubMed

    Zhang, Haiwei; Zhang, Xixi; Fan, Cunxian; Xie, Qun; Xu, Chengxian; Zhao, Qun; Liu, Yongbo; Wu, Xiaoxia; Zhang, Haibing

    2016-03-18

    CRISPR-Cas9 mediated genome editing system has been developed as a powerful tool for elucidating the function of genes through genetic engineering in multiple cells and organisms. This system takes advantage of a single guide RNA (sgRNA) to direct the Cas9 endonuclease to a specific DNA site to generate mutant alleles. Since the targeting efficiency of sgRNAs to distinct DNA loci can vary widely, there remains a need for a rapid, simple and efficient sgRNA selection method to overcome this limitation of the CRISPR-Cas9 system. Here we report a novel system to select sgRNA with high efficacy for DNA sequence modification by a luciferase assay. Using this sgRNAs selection system, we further demonstrated successful examples of one sgRNA for generating one gene knockout cell lines where the targeted genes are shown to be functionally defective. This system provides a potential application to optimize the sgRNAs in different species and to generate a powerful CRISPR-Cas9 genome-wide screening system with minimum amounts of sgRNAs. Copyright © 2016 Elsevier Inc. All rights reserved.

  18. No evidence of inhibition of horizontal gene transfer by CRISPR-Cas on evolutionary timescales.

    PubMed

    Gophna, Uri; Kristensen, David M; Wolf, Yuri I; Popa, Ovidiu; Drevet, Christine; Koonin, Eugene V

    2015-09-01

    The CRISPR (clustered, regularly, interspaced, short, palindromic repeats)-Cas (CRISPR-associated genes) systems of archaea and bacteria provide adaptive immunity against viruses and other selfish elements and are believed to curtail horizontal gene transfer (HGT). Limiting acquisition of new genetic material could be one of the sources of the fitness cost of CRISPR-Cas maintenance and one of the causes of the patchy distribution of CRISPR-Cas among bacteria, and across environments. We sought to test the hypothesis that the activity of CRISPR-Cas in microbes is negatively correlated with the extent of recent HGT. Using three independent measures of HGT, we found no significant dependence between the length of CRISPR arrays, which reflects the activity of the immune system, and the estimated number of recent HGT events. In contrast, we observed a significant negative dependence between the estimated extent of HGT and growth temperature of microbes, which could be explained by the lower genetic diversity in hotter environments. We hypothesize that the relevant events in the evolution of resistance to mobile elements and proclivity for HGT, to which CRISPR-Cas systems seem to substantially contribute, occur on the population scale rather than on the timescale of species evolution.

  19. An Attenuated CRISPR-Cas System in Enterococcus faecalis Permits DNA Acquisition

    PubMed Central

    Hullahalli, Karthik; Rodrigues, Marinelle; Nguyen, Uyen Thy

    2018-01-01

    ABSTRACT Antibiotic-resistant bacteria are critical public health concerns. Among the prime causative factors for the spread of antibiotic resistance is horizontal gene transfer (HGT). A useful model organism for investigating the relationship between HGT and antibiotic resistance is the opportunistic pathogen Enterococcus faecalis, since the species possesses highly conjugative plasmids that readily disseminate antibiotic resistance genes and virulence factors in nature. Unlike many commensal E. faecalis strains, the genomes of multidrug-resistant (MDR) E. faecalis clinical isolates are enriched for mobile genetic elements (MGEs) and lack clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated protein (Cas) genome defense systems. CRISPR-Cas systems cleave foreign DNA in a programmable, sequence-specific manner and are disadvantageous for MGE-derived genome expansion. An unexplored facet of CRISPR biology in E. faecalis is that MGEs that are targeted by native CRISPR-Cas systems can be maintained transiently. Here, we investigate the basis for this “CRISPR tolerance.” We observe that E. faecalis can maintain self-targeting constructs that direct Cas9 to cleave the chromosome, but at a fitness cost. Interestingly, DNA repair genes were not upregulated during self-targeting, but integrated prophages were strongly induced. We determined that low cas9 expression contributes to this transient nonlethality and used this knowledge to develop a robust CRISPR-assisted genome-editing scheme. Our results suggest that E. faecalis has maximized the potential for DNA acquisition by attenuating its CRISPR machinery, thereby facilitating the acquisition of potentially beneficial MGEs that may otherwise be restricted by genome defense. PMID:29717009

  20. A complex adaptive systems perspective of health information technology implementation.

    PubMed

    Keshavjee, Karim; Kuziemsky, Craig; Vassanji, Karim; Ghany, Ahmad

    2013-01-01

    Implementing health information technology (HIT) is a challenge because of the complexity and multiple interactions that define HIT implementation. Much of the research on HIT implementation is descriptive in nature and has focused on distinct processes such as order entry or decision support. These studies fail to take into account the underlying complexity of the processes, people and settings that are typical of HIT implementations. Complex adaptive systems (CAS) is a promising field that could elucidate the complexity and non-linear interacting issues that are typical in HIT implementation. Initially we sought new models that would enable us to better understand the complex nature of HIT implementation, to proactively identify problem issues that could be a precursor to unintended consequences and to develop new models and new approaches to successful HIT implementations. Our investigation demonstrates that CAS does not provide prediction, but forces us to rethink our HIT implementation paradigms and question what we think we know. CAS provides new ways to conceptualize HIT implementation and suggests new approaches to increasing HIT implementation successes.

  1. Type III CRISPR-Cas systems can provide redundancy to counteract viral escape from type I systems.

    PubMed

    Silas, Sukrit; Lucas-Elio, Patricia; Jackson, Simon A; Aroca-Crevillén, Alejandra; Hansen, Loren L; Fineran, Peter C; Fire, Andrew Z; Sánchez-Amat, Antonio

    2017-08-17

    CRISPR-Cas-mediated defense utilizes information stored as spacers in CRISPR arrays to defend against genetic invaders. We define the mode of target interference and role in antiviral defense for two CRISPR-Cas systems in Marinomonas mediterranea . One system (type I-F) targets DNA. A second system (type III-B) is broadly capable of acquiring spacers in either orientation from RNA and DNA, and exhibits transcription-dependent DNA interference. Examining resistance to phages isolated from Mediterranean seagrass meadows, we found that the type III-B machinery co-opts type I-F CRISPR-RNAs. Sequencing and infectivity assessments of related bacterial and phage strains suggests an 'arms race' in which phage escape from the type I-F system can be overcome through use of type I-F spacers by a horizontally-acquired type III-B system. We propose that the phage-host arms race can drive selection for horizontal uptake and maintenance of promiscuous type III interference modules that supplement existing host type I CRISPR-Cas systems.

  2. Naturally occurring off-switches for CRISPR-Cas9

    PubMed Central

    Pawluk, April; Amrani, Nadia; Zhang, Yan; Garcia, Bianca; Hidalgo-Reyes, Yurima; Lee, Jooyoung; Edraki, Alireza; Shah, Megha; Sontheimer, Erik J.; Maxwell, Karen L.; Davidson, Alan R.

    2017-01-01

    Summary CRISPR-Cas9 technology would be enhanced by the ability to inhibit Cas9 function spatially, temporally, or conditionally. Previously, we discovered small proteins encoded by bacteriophages that inhibit the CRISPR-Cas systems of their host bacteria. These “anti-CRISPRs” were specific to type I CRISPR-Cas systems that do not employ the Cas9 protein. We posited that nature would also yield Cas9 inhibitors in response to the evolutionary arms race between bacteriophages and their hosts. Here, we report the discovery of three distinct families of anti-CRISPRs that specifically inhibit the CRISPR-Cas9 system of Neisseria meningitidis. We show that these proteins bind directly to N. meningitidis Cas9 (NmeCas9), and can be used as potent inhibitors of genome editing by this system in human cells. These anti-CRISPR proteins now enable “off-switches” for CRISPR-Cas9 activity, and provide a genetically-encodable means to inhibit CRISPR-Cas9 genome editing in eukaryotes. PMID:27984730

  3. Adapting CRISPR/Cas9 for functional genomics screens.

    PubMed

    Malina, Abba; Katigbak, Alexandra; Cencic, Regina; Maïga, Rayelle Itoua; Robert, Francis; Miura, Hisashi; Pelletier, Jerry

    2014-01-01

    The use of CRISPR/Cas9 (clustered regularly interspaced short palindromic repeats/CRISPR-associated protein) for targeted genome editing has been widely adopted and is considered a "game changing" technology. The ease and rapidity by which this approach can be used to modify endogenous loci in a wide spectrum of cell types and organisms makes it a powerful tool for customizable genetic modifications as well as for large-scale functional genomics. The development of retrovirus-based expression platforms to simultaneously deliver the Cas9 nuclease and single guide (sg) RNAs provides unique opportunities by which to ensure stable and reproducible expression of the editing tools and a broad cell targeting spectrum, while remaining compatible with in vivo genetic screens. Here, we describe methods and highlight considerations for designing and generating sgRNA libraries in all-in-one retroviral vectors for such applications.

  4. Nucleic Acid-Dependent Conformational Changes in CRISPR-Cas9 Revealed by Site-Directed Spin Labeling.

    PubMed

    Vazquez Reyes, Carolina; Tangprasertchai, Narin S; Yogesha, S D; Nguyen, Richard H; Zhang, Xiaojun; Rajan, Rakhi; Qin, Peter Z

    2017-06-01

    In a type II clustered regularly interspaced short palindromic repeats (CRISPR) system, RNAs that are encoded at the CRISPR locus complex with the CRISPR-associated (Cas) protein Cas9 to form an RNA-guided nuclease that cleaves double-stranded DNAs at specific sites. In recent years, the CRISPR-Cas9 system has been successfully adapted for genome engineering in a wide range of organisms. Studies have indicated that a series of conformational changes in Cas9, coordinated by the RNA and the target DNA, direct the protein into its active conformation, yet details on these conformational changes, as well as their roles in the mechanism of function of Cas9, remain to be elucidated. Here, nucleic acid-dependent conformational changes in Streptococcus pyogenes Cas9 (SpyCas9) were investigated using the method of site-directed spin labeling (SDSL). Single nitroxide spin labels were attached, one at a time, at one of the two native cysteine residues (Cys80 and Cys574) of SpyCas9, and the spin-labeled proteins were shown to maintain their function. X-band continuous-wave electron paramagnetic resonance spectra of the nitroxide attached at Cys80 revealed conformational changes of SpyCas9 that are consistent with a large-scale domain re-arrangement upon binding to its RNA partner. The results demonstrate the use of SDSL to monitor conformational changes in CRISPR-Cas9, which will provide key information for understanding the mechanism of CRISPR function.

  5. Development of a genome-editing CRISPR/Cas9 system in thermophilic fungal Myceliophthora species and its application to hyper-cellulase production strain engineering.

    PubMed

    Liu, Qian; Gao, Ranran; Li, Jingen; Lin, Liangcai; Zhao, Junqi; Sun, Wenliang; Tian, Chaoguang

    2017-01-01

    Over the past 3 years, the CRISPR/Cas9 system has revolutionized the field of genome engineering. However, its application has not yet been validated in thermophilic fungi. Myceliophthora thermophila , an important thermophilic biomass-degrading fungus, has attracted industrial interest for the production of efficient thermostable enzymes. Genetic manipulation of Myceliophthora is crucial for metabolic engineering and to unravel the mechanism of lignocellulose deconstruction. The lack of a powerful, versatile genome-editing tool has impeded the broader exploitation of M. thermophila in biotechnology. In this study, a CRISPR/Cas9 system for efficient multiplexed genome engineering was successfully developed in the thermophilic species M. thermophila and M. heterothallica . This CRISPR/Cas9 system could efficiently mutate the imported amdS gene in the genome via NHEJ-mediated events. As a proof of principle, the genes of the cellulase production pathway, including cre - 1 , res - 1 , gh1 - 1, and alp - 1 , were chosen as editing targets. Simultaneous multigene disruptions of up to four of these different loci were accomplished with neomycin selection marker integration via a single transformation using the CRISPR/Cas9 system. Using this genome-engineering tool, multiple strains exhibiting pronounced hyper-cellulase production were generated, in which the extracellular secreted protein and lignocellulase activities were significantly increased (up to 5- and 13-fold, respectively) compared with the parental strain. A genome-wide engineering system for thermophilic fungi was established based on CRISPR/Cas9. Successful expansion of this system without modification to M. heterothallica indicates it has wide adaptability and flexibility for use in other Myceliophthora species. This system could greatly accelerate strain engineering of thermophilic fungi for production of industrial enzymes, such as cellulases as shown in this study and possibly bio-based fuels and

  6. [Chromosomal large fragment deletion induced by CRISPR/Cas9 gene editing system].

    PubMed

    Cheng, L H; Liu, Y; Niu, T

    2017-05-14

    Objective: Using CRISPR-Cas9 gene editing technology to achieve a number of genes co-deletion on the same chromosome. Methods: CRISPR-Cas9 lentiviral plasmid that could induce deletion of Aloxe3-Alox12b-Alox8 cluster genes located on mouse 11B3 chromosome was constructed via molecular clone. HEK293T cells were transfected to package lentivirus of CRISPR or Cas9 cDNA, then mouse NIH3T3 cells were infected by lentivirus and genomic DNA of these cells was extracted. The deleted fragment was amplified by PCR, TA clone, Sanger sequencing and other techniques were used to confirm the deletion of Aloxe3-Alox12b-Alox8 cluster genes. Results: The CRISPR-Cas9 lentiviral plasmid, which could induce deletion of Aloxe3-Alox12b-Alox8 cluster genes, was successfully constructed. Deletion of target chromosome fragment (Aloxe3-Alox12b-Alox8 cluster genes) was verified by PCR. The deletion of Aloxe3-Alox12b-Alox8 cluster genes was affirmed by TA clone, Sanger sequencing, and the breakpoint junctions of the CRISPR-Cas9 system mediate cutting events were accurately recombined, insertion mutation did not occur between two cleavage sites at all. Conclusion: Large fragment deletion of Aloxe3-Alox12b-Alox8 cluster genes located on mouse chromosome 11B3 was successfully induced by CRISPR-Cas9 gene editing system.

  7. RNA-dependent RNA targeting by CRISPR-Cas9

    PubMed Central

    Strutt, Steven C; Torrez, Rachel M; Kaya, Emine; Negrete, Oscar A

    2018-01-01

    Double-stranded DNA (dsDNA) binding and cleavage by Cas9 is a hallmark of type II CRISPR-Cas bacterial adaptive immunity. All known Cas9 enzymes are thought to recognize DNA exclusively as a natural substrate, providing protection against DNA phage and plasmids. Here, we show that Cas9 enzymes from both subtypes II-A and II-C can recognize and cleave single-stranded RNA (ssRNA) by an RNA-guided mechanism that is independent of a protospacer-adjacent motif (PAM) sequence in the target RNA. RNA-guided RNA cleavage is programmable and site-specific, and we find that this activity can be exploited to reduce infection by single-stranded RNA phage in vivo. We also demonstrate that Cas9 can direct PAM-independent repression of gene expression in bacteria. These results indicate that a subset of Cas9 enzymes have the ability to act on both DNA and RNA target sequences, and suggest the potential for use in programmable RNA targeting applications. PMID:29303478

  8. Therapeutic genome engineering via CRISPR-Cas systems.

    PubMed

    Moreno, Ana M; Mali, Prashant

    2017-07-01

    Differences in genomes underlie most organismal diversity, and aberrations in genomes underlie many disease states. With the growing knowledge of the genetic and pathogenic basis of human disease, development of safe and efficient platforms for genome and epigenome engineering will transform our ability to therapeutically target human diseases and also potentially engineer disease resistance. In this regard, the recent advent of clustered regularly interspaced short palindromic repeats (CRISPR)-CRISPR-associated (Cas) RNA-guided nuclease systems have transformed our ability to target nucleic acids. Here we review therapeutic genome engineering applications with a specific focus on the CRISPR-Cas toolsets. We summarize past and current work, and also outline key challenges and future directions. WIREs Syst Biol Med 2017, 9:e1380. doi: 10.1002/wsbm.1380 For further resources related to this article, please visit the WIREs website. © 2017 Wiley Periodicals, Inc.

  9. Chromosomal Targeting by the Type III-A CRISPR-Cas System Can Reshape Genomes in Staphylococcus aureus

    PubMed Central

    Guan, Jing; Wang, Wanying

    2017-01-01

    ABSTRACT CRISPR-Cas (clustered regularly interspaced short palindromic repeat [CRISPR]-CRISPR-associated protein [Cas]) systems can provide protection against invading genetic elements by using CRISPR RNAs (crRNAs) as a guide to locate and degrade the target DNA. CRISPR-Cas systems have been classified into two classes and five types according to the content of cas genes. Previous studies have indicated that CRISPR-Cas systems can avoid viral infection and block plasmid transfer. Here we show that chromosomal targeting by the Staphylococcus aureus type III-A CRISPR-Cas system can drive large-scale genome deletion and alteration within integrated staphylococcal cassette chromosome mec (SCCmec). The targeting activity of the CRISPR-Cas system is associated with the complementarity between crRNAs and protospacers, and 10- to 13-nucleotide truncations of spacers partially block CRISPR attack and more than 13-nucleotide truncation can fully abolish targeting, suggesting that a minimal length is required to license cleavage. Avoiding base pairings in the upstream region of protospacers is also necessary for CRISPR targeting. Successive trinucleotide complementarity between the 5′ tag of crRNAs and protospacers can disrupt targeting. Our findings reveal that type III-A CRISPR-Cas systems can modulate bacterial genome stability and may serve as a high-efficiency tool for deleting resistance or virulence genes in bacteria. IMPORTANCE Staphylococcus aureus is a pathogen that can cause a wide range of infections in humans. Studies have suggested that CRISPR-Cas systems can drive the loss of integrated mobile genetic elements (MGEs) by chromosomal targeting. Here we demonstrate that CRISPR-mediated cleavage contributes to the partial deletion of integrated SCCmec in methicillin-resistant S. aureus (MRSA), which provides a strategy for the treatment of MRSA infections. The spacer within artificial CRISPR arrays should contain more than 25 nucleotides for immunity, and

  10. Chromosomal Targeting by the Type III-A CRISPR-Cas System Can Reshape Genomes in Staphylococcus aureus.

    PubMed

    Guan, Jing; Wang, Wanying; Sun, Baolin

    2017-01-01

    CRISPR-Cas (clustered regularly interspaced short palindromic repeat [CRISPR]-CRISPR-associated protein [Cas]) systems can provide protection against invading genetic elements by using CRISPR RNAs (crRNAs) as a guide to locate and degrade the target DNA. CRISPR-Cas systems have been classified into two classes and five types according to the content of cas genes. Previous studies have indicated that CRISPR-Cas systems can avoid viral infection and block plasmid transfer. Here we show that chromosomal targeting by the Staphylococcus aureus type III-A CRISPR-Cas system can drive large-scale genome deletion and alteration within integrated staphylococcal cassette chromosome mec (SCC mec ). The targeting activity of the CRISPR-Cas system is associated with the complementarity between crRNAs and protospacers, and 10- to 13-nucleotide truncations of spacers partially block CRISPR attack and more than 13-nucleotide truncation can fully abolish targeting, suggesting that a minimal length is required to license cleavage. Avoiding base pairings in the upstream region of protospacers is also necessary for CRISPR targeting. Successive trinucleotide complementarity between the 5' tag of crRNAs and protospacers can disrupt targeting. Our findings reveal that type III-A CRISPR-Cas systems can modulate bacterial genome stability and may serve as a high-efficiency tool for deleting resistance or virulence genes in bacteria. IMPORTANCE Staphylococcus aureus is a pathogen that can cause a wide range of infections in humans. Studies have suggested that CRISPR-Cas systems can drive the loss of integrated mobile genetic elements (MGEs) by chromosomal targeting. Here we demonstrate that CRISPR-mediated cleavage contributes to the partial deletion of integrated SCC mec in methicillin-resistant S. aureus (MRSA), which provides a strategy for the treatment of MRSA infections. The spacer within artificial CRISPR arrays should contain more than 25 nucleotides for immunity, and consecutive

  11. Cas9-Guide RNA Directed Genome Editing in Soybean[OPEN

    PubMed Central

    Li, Zhongsen; Liu, Zhan-Bin; Xing, Aiqiu; Moon, Bryan P.; Koellhoffer, Jessica P.; Huang, Lingxia; Ward, R. Timothy; Clifton, Elizabeth; Falco, S. Carl; Cigan, A. Mark

    2015-01-01

    Recently discovered bacteria and archaea adaptive immune system consisting of clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) endonuclease has been explored in targeted genome editing in different species. Streptococcus pyogenes Cas9-guide RNA (gRNA) was successfully applied to generate targeted mutagenesis, gene integration, and gene editing in soybean (Glycine max). Two genomic sites, DD20 and DD43 on chromosome 4, were mutagenized with frequencies of 59% and 76%, respectively. Sequencing randomly selected transgenic events confirmed that the genome modifications were specific to the Cas9-gRNA cleavage sites and consisted of small deletions or insertions. Targeted gene integrations through homology-directed recombination were detected by border-specific polymerase chain reaction analysis for both sites at callus stage, and one DD43 homology-directed recombination event was transmitted to T1 generation. T1 progenies of the integration event segregated according to Mendelian laws and clean homozygous T1 plants with the donor gene precisely inserted at the DD43 target site were obtained. The Cas9-gRNA system was also successfully applied to make a directed P178S mutation of acetolactate synthase1 gene through in planta gene editing. PMID:26294043

  12. Naturally Occurring Off-Switches for CRISPR-Cas9.

    PubMed

    Pawluk, April; Amrani, Nadia; Zhang, Yan; Garcia, Bianca; Hidalgo-Reyes, Yurima; Lee, Jooyoung; Edraki, Alireza; Shah, Megha; Sontheimer, Erik J; Maxwell, Karen L; Davidson, Alan R

    2016-12-15

    CRISPR-Cas9 technology would be enhanced by the ability to inhibit Cas9 function spatially, temporally, or conditionally. Previously, we discovered small proteins encoded by bacteriophages that inhibit the CRISPR-Cas systems of their host bacteria. These "anti-CRISPRs" were specific to type I CRISPR-Cas systems that do not employ the Cas9 protein. We posited that nature would also yield Cas9 inhibitors in response to the evolutionary arms race between bacteriophages and their hosts. Here, we report the discovery of three distinct families of anti-CRISPRs that specifically inhibit the CRISPR-Cas9 system of Neisseria meningitidis. We show that these proteins bind directly to N. meningitidis Cas9 (NmeCas9) and can be used as potent inhibitors of genome editing by this system in human cells. These anti-CRISPR proteins now enable "off-switches" for CRISPR-Cas9 activity and provide a genetically encodable means to inhibit CRISPR-Cas9 genome editing in eukaryotes. VIDEO ABSTRACT. Copyright © 2016 Elsevier Inc. All rights reserved.

  13. Genome-scale CRISPR-Cas9 Knockout and Transcriptional Activation Screening

    PubMed Central

    Joung, Julia; Konermann, Silvana; Gootenberg, Jonathan S.; Abudayyeh, Omar O.; Platt, Randall J.; Brigham, Mark D.; Sanjana, Neville E.; Zhang, Feng

    2017-01-01

    Forward genetic screens are powerful tools for the unbiased discovery and functional characterization of specific genetic elements associated with a phenotype of interest. Recently, the RNA-guided endonuclease Cas9 from the microbial CRISPR (clustered regularly interspaced short palindromic repeats) immune system has been adapted for genome-scale screening by combining Cas9 with pooled guide RNA libraries. Here we describe a protocol for genome-scale knockout and transcriptional activation screening using the CRISPR-Cas9 system. Custom- or ready-made guide RNA libraries are constructed and packaged into lentiviral vectors for delivery into cells for screening. As each screen is unique, we provide guidelines for determining screening parameters and maintaining sufficient coverage. To validate candidate genes identified from the screen, we further describe strategies for confirming the screening phenotype as well as genetic perturbation through analysis of indel rate and transcriptional activation. Beginning with library design, a genome-scale screen can be completed in 9–15 weeks followed by 4–5 weeks of validation. PMID:28333914

  14. Gene Editing and Crop Improvement Using CRISPR-Cas9 System

    PubMed Central

    Arora, Leena; Narula, Alka

    2017-01-01

    Advancements in Genome editing technologies have revolutionized the fields of functional genomics and crop improvement. CRISPR/Cas9 (clustered regularly interspaced short palindromic repeat)-Cas9 is a multipurpose technology for genetic engineering that relies on the complementarity of the guideRNA (gRNA) to a specific sequence and the Cas9 endonuclease activity. It has broadened the agricultural research area, bringing in new opportunities to develop novel plant varieties with deletion of detrimental traits or addition of significant characters. This RNA guided genome editing technology is turning out to be a groundbreaking innovation in distinct branches of plant biology. CRISPR technology is constantly advancing including options for various genetic manipulations like generating knockouts; making precise modifications, multiplex genome engineering, and activation and repression of target genes. The review highlights the progression throughout the CRISPR legacy. We have studied the rapid evolution of CRISPR/Cas9 tools with myriad functionalities, capabilities, and specialized applications. Among varied diligences, plant nutritional improvement, enhancement of plant disease resistance and production of drought tolerant plants are reviewed. The review also includes some information on traditional delivery methods of Cas9-gRNA complexes into plant cells and incorporates the advent of CRISPR ribonucleoproteins (RNPs) that came up as a solution to various limitations that prevailed with plasmid-based CRISPR system. PMID:29167680

  15. Gene Editing and Crop Improvement Using CRISPR-Cas9 System.

    PubMed

    Arora, Leena; Narula, Alka

    2017-01-01

    Advancements in Genome editing technologies have revolutionized the fields of functional genomics and crop improvement. CRISPR/Cas9 (clustered regularly interspaced short palindromic repeat)-Cas9 is a multipurpose technology for genetic engineering that relies on the complementarity of the guideRNA (gRNA) to a specific sequence and the Cas9 endonuclease activity. It has broadened the agricultural research area, bringing in new opportunities to develop novel plant varieties with deletion of detrimental traits or addition of significant characters. This RNA guided genome editing technology is turning out to be a groundbreaking innovation in distinct branches of plant biology. CRISPR technology is constantly advancing including options for various genetic manipulations like generating knockouts; making precise modifications, multiplex genome engineering, and activation and repression of target genes. The review highlights the progression throughout the CRISPR legacy. We have studied the rapid evolution of CRISPR/Cas9 tools with myriad functionalities, capabilities, and specialized applications. Among varied diligences, plant nutritional improvement, enhancement of plant disease resistance and production of drought tolerant plants are reviewed. The review also includes some information on traditional delivery methods of Cas9-gRNA complexes into plant cells and incorporates the advent of CRISPR ribonucleoproteins (RNPs) that came up as a solution to various limitations that prevailed with plasmid-based CRISPR system.

  16. Multiplex gene editing of the Yarrowia lipolytica genome using the CRISPR-Cas9 system.

    PubMed

    Gao, Shuliang; Tong, Yangyang; Wen, Zhiqiang; Zhu, Li; Ge, Mei; Chen, Daijie; Jiang, Yu; Yang, Sheng

    2016-08-01

    Yarrowia lipolytica is categorized as a generally recognized as safe (GRAS) organism and is a heavily documented, unconventional yeast that has been widely incorporated into multiple industrial fields to produce valuable biochemicals. This study describes the construction of a CRISPR-Cas9 system for genome editing in Y. lipolytica using a single plasmid (pCAS1yl or pCAS2yl) to transport Cas9 and relevant guide RNA expression cassettes, with or without donor DNA, to target genes. Two Cas9 target genes, TRP1 and PEX10, were repaired by non-homologous end-joining (NHEJ) or homologous recombination, with maximal efficiencies in Y. lipolytica of 85.6 % for the wild-type strain and 94.1 % for the ku70/ku80 double-deficient strain, within 4 days. Simultaneous double and triple multigene editing was achieved with pCAS1yl by NHEJ, with efficiencies of 36.7 or 19.3 %, respectively, and the pCASyl system was successfully expanded to different Y. lipolytica breeding strains. This timesaving method will enable and improve synthetic biology, metabolic engineering and functional genomic studies of Y. lipolytica.

  17. A ‘suicide’ CRISPR-Cas9 system to promote gene deletion and restoration by electroporation in Cryptococcus neoformans

    PubMed Central

    Wang, Yu; Wei, Dongsheng; Zhu, Xiangyang; Pan, Jiao; Zhang, Ping; Huo, Liang; Zhu, Xudong

    2016-01-01

    Loss-of-function mutagenesis is an important tool used to characterize gene functions, and the CRISPR-Cas9 system is a powerful method for performing targeted mutagenesis in organisms that present low recombination frequencies, such as the serotype D strains of Cryptococcus neoformans. However, when the CRISPR-Cas9 system persists in the host cells, off-target effects and Cas9 cytotoxicity may occur, which might block subsequent genetic manipulation. Here, we report a method of spontaneously eliminating the CRISPR-Cas9 system without impairing its robust editing function. We successfully expressed single guide RNA under the driver of an endogenous U6 promoter and the human codon-optimized Cas9 endonuclease with an ACT1 promoter. This system can effectively generate an indel mutation and efficiently perform targeted gene disruption via homology-directed repair by electroporation in yeast. We then demonstrated the spontaneous elimination of the system via a cis arrangement of the CRISPR-Cas9 expression cassettes to the recombination construct. After a system-mediated double crossover, the CRISPR-Cas9 cassettes were cleaved and degraded, which was validated by Southern blotting. This ‘suicide’ CRISPR-Cas9 system enables the validation of gene functions by subsequent complementation and has the potential to minimize off-target effects. Thus, this technique has the potential for use in functional genomics studies of C. neoformans. PMID:27503169

  18. CRISPR-Cas9 Toolkit for Actinomycete Genome Editing.

    PubMed

    Tong, Yaojun; Robertsen, Helene Lunde; Blin, Kai; Weber, Tilmann; Lee, Sang Yup

    2018-01-01

    Bacteria of the order Actinomycetales are one of the most important sources of bioactive natural products, which are the source of many drugs. However, many of them still lack efficient genome editing methods, some strains even cannot be manipulated at all. This restricts systematic metabolic engineering approaches for boosting known and discovering novel natural products. In order to facilitate the genome editing for actinomycetes, we developed a CRISPR-Cas9 toolkit with high efficiency for actinomyces genome editing. This basic toolkit includes a software for spacer (sgRNA) identification, a system for in-frame gene/gene cluster knockout, a system for gene loss-of-function study, a system for generating a random size deletion library, and a system for gene knockdown. For the latter, a uracil-specific excision reagent (USER) cloning technology was adapted to simplify the CRISPR vector construction process. The application of this toolkit was successfully demonstrated by perturbation of genomes of Streptomyces coelicolor A3(2) and Streptomyces collinus Tü 365. The CRISPR-Cas9 toolkit and related protocol described here can be widely used for metabolic engineering of actinomycetes.

  19. RNA and DNA Targeting by a Reconstituted Thermus thermophilus Type III-A CRISPR-Cas System.

    PubMed

    Liu, Tina Y; Iavarone, Anthony T; Doudna, Jennifer A

    2017-01-01

    CRISPR-Cas (clustered regularly interspaced short palindromic repeats-CRISPR-associated) systems are RNA-guided adaptive immunity pathways used by bacteria and archaea to defend against phages and plasmids. Type III-A systems use a multisubunit interference complex called Csm, containing Cas proteins and a CRISPR RNA (crRNA) to target cognate nucleic acids. The Csm complex is intriguing in that it mediates RNA-guided targeting of both RNA and transcriptionally active DNA, but the mechanism is not well understood. Here, we overexpressed the five components of the Thermus thermophilus (T. thermophilus) Type III-A Csm complex (TthCsm) with a defined crRNA sequence, and purified intact TthCsm complexes from E. coli cells. The complexes were thermophilic, targeting complementary ssRNA more efficiently at 65°C than at 37°C. Sequence-independent, endonucleolytic cleavage of single-stranded DNA (ssDNA) by TthCsm was triggered by recognition of a complementary ssRNA, and required a lack of complementarity between the first 8 nucleotides (5' tag) of the crRNA and the 3' flanking region of the ssRNA. Mutation of the histidine-aspartate (HD) nuclease domain of the TthCsm subunit, Cas10/Csm1, abolished DNA cleavage. Activation of DNA cleavage was dependent on RNA binding but not cleavage. This leads to a model in which binding of an ssRNA target to the Csm complex would stimulate cleavage of exposed ssDNA in the cell, such as could occur when the RNA polymerase unwinds double-stranded DNA (dsDNA) during transcription. Our findings establish an amenable, thermostable system for more in-depth investigation of the targeting mechanism using structural biology methods, such as cryo-electron microscopy and x-ray crystallography.

  20. Major bacterial lineages are essentially devoid of CRISPR-Cas viral defence systems

    DOE PAGES

    Burstein, David; Sun, Christine L.; Brown, Christopher T.; ...

    2016-02-03

    Here, current understanding of microorganism–virus interactions, which shape the evolution and functioning of Earth’s ecosystems, is based primarily on cultivated organisms. Here we investigate thousands of viral and microbial genomes recovered using a cultivation independent approach to study the frequency, variety and taxonomic distribution of viral defence mechanisms. CRISPR-Cas systems that confer microorganisms with immunity to viruses are present in only 10% of 1,724 sampled microorganisms, compared with previous reports of 40% occurrence in bacteria and 81% in archaea. We attribute this large difference to the lack of CRISPR-Cas systems across major bacterial lineages that have no cultivated representatives. Wemore » correlate absence of CRISPR-Cas with lack of nucleotide biosynthesis capacity and a symbiotic lifestyle. Restriction systems are well represented in these lineages and might provide both non-specific viral defence and access to nucleotides.« less

  1. Major bacterial lineages are essentially devoid of CRISPR-Cas viral defence systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Burstein, David; Sun, Christine L.; Brown, Christopher T.

    Here, current understanding of microorganism–virus interactions, which shape the evolution and functioning of Earth’s ecosystems, is based primarily on cultivated organisms. Here we investigate thousands of viral and microbial genomes recovered using a cultivation independent approach to study the frequency, variety and taxonomic distribution of viral defence mechanisms. CRISPR-Cas systems that confer microorganisms with immunity to viruses are present in only 10% of 1,724 sampled microorganisms, compared with previous reports of 40% occurrence in bacteria and 81% in archaea. We attribute this large difference to the lack of CRISPR-Cas systems across major bacterial lineages that have no cultivated representatives. Wemore » correlate absence of CRISPR-Cas with lack of nucleotide biosynthesis capacity and a symbiotic lifestyle. Restriction systems are well represented in these lineages and might provide both non-specific viral defence and access to nucleotides.« less

  2. Inhibition Mechanism of an Anti-CRISPR Suppressor AcrIIA4 Targeting SpyCas9.

    PubMed

    Yang, Hui; Patel, Dinshaw J

    2017-07-06

    Prokaryotic CRISPR-Cas adaptive immune systems utilize sequence-specific RNA-guided endonucleases to defend against infection by viruses, bacteriophages, and mobile elements, while these foreign genetic elements evolve diverse anti-CRISPR proteins to overcome the CRISPR-Cas-mediated defense of the host. Recently, AcrIIA2 and AcrIIA4, encoded by Listeria monocytogene prophages, were shown to block the endonuclease activity of type II-A Streptococcus pyogene Cas9 (SpyCas9). We now report the crystal structure of AcrIIA4 in complex with single-guide RNA-bound SpyCas9, thereby establishing that AcrIIA4 preferentially targets critical residues essential for PAM duplex recognition, as well as blocks target DNA access to key catalytic residues lining the RuvC pocket. These structural insights, validated by biochemical assays on key mutants, demonstrate that AcrIIA4 competitively occupies both PAM-interacting and non-target DNA strand cleavage catalytic pockets. Our studies provide insights into anti-CRISPR-mediated suppression mechanisms for inactivating SpyCas9, thereby broadening the applicability of CRISPR-Cas regulatory tools for genome editing. Published by Elsevier Inc.

  3. Type III CRISPR-Cas systems can provide redundancy to counteract viral escape from type I systems

    PubMed Central

    Silas, Sukrit; Lucas-Elio, Patricia; Jackson, Simon A; Aroca-Crevillén, Alejandra; Hansen, Loren L; Fineran, Peter C

    2017-01-01

    CRISPR-Cas-mediated defense utilizes information stored as spacers in CRISPR arrays to defend against genetic invaders. We define the mode of target interference and role in antiviral defense for two CRISPR-Cas systems in Marinomonas mediterranea. One system (type I-F) targets DNA. A second system (type III-B) is broadly capable of acquiring spacers in either orientation from RNA and DNA, and exhibits transcription-dependent DNA interference. Examining resistance to phages isolated from Mediterranean seagrass meadows, we found that the type III-B machinery co-opts type I-F CRISPR-RNAs. Sequencing and infectivity assessments of related bacterial and phage strains suggests an ‘arms race’ in which phage escape from the type I-F system can be overcome through use of type I-F spacers by a horizontally-acquired type III-B system. We propose that the phage-host arms race can drive selection for horizontal uptake and maintenance of promiscuous type III interference modules that supplement existing host type I CRISPR-Cas systems. PMID:28826484

  4. Subtyping of the Legionella pneumophila "Ulm" outbreak strain using the CRISPR-Cas system.

    PubMed

    Lück, Christian; Brzuszkiewicz, Elzbieta; Rydzewski, Kerstin; Koshkolda, Tetyana; Sarnow, Katharina; Essig, Andreas; Heuner, Klaus

    2015-12-01

    In 2009/2010 an outbreak of Legionnaires' disease with 64 cases including four fatalities took place in the city of Ulm/Neu-Ulm in Germany. L. pneumophila serogroup 1, mAb type Knoxville, sequence type (ST) 62 was identified as the epidemic strain. This strain was isolated from eight patients and from a cooling tower in the city of Ulm. Based on whole genome sequencing data from one patient strain, we identified an Lvh type IV secretion system containing a CRISPR-Cas system. The CRISPR sequence contains 38 spacer DNA sequences. We used these variable DNA spacers to further subtype the outbreak strain as well as six epidemiologically unrelated strains of CRISPR-Cas positive ST62 strains isolated at various regions in Germany. The first 12 spacer DNAs of eight patient isolates and three environmental isolates from the suspected source of infection were analyzed and found to be identical. Spacer DNAs were identified in further six epidemiologically unrelated patient isolates of L. pneumophila of ST62 in addition to the 12 "core" spacers. The presence of new spacer DNAs at the 5' site downstream of the first repeat indicates that these CRISPR-Cas systems seem to be functional. PCR analysis revealed that not all L. pneumophila sg1 ST62 strains investigated exhibited a CRISPR-Cas system. In addition, we could demonstrate that the CRISPR-Cas system is localized on a genomic island (LpuGI-Lvh) which can be excised from the chromosome and therefore may be transferable horizontally to other L. pneumophila strains. Copyright © 2015 Elsevier GmbH. All rights reserved.

  5. Generation and comparison of CRISPR-Cas9 and Cre-mediated genetically engineered mouse models of sarcoma

    PubMed Central

    Huang, Jianguo; Chen, Mark; Whitley, Melodi Javid; Kuo, Hsuan-Cheng; Xu, Eric S.; Walens, Andrea; Mowery, Yvonne M.; Van Mater, David; Eward, William C.; Cardona, Diana M.; Luo, Lixia; Ma, Yan; Lopez, Omar M.; Nelson, Christopher E.; Robinson-Hamm, Jacqueline N.; Reddy, Anupama; Dave, Sandeep S.; Gersbach, Charles A.; Dodd, Rebecca D.; Kirsch, David G.

    2017-01-01

    Genetically engineered mouse models that employ site-specific recombinase technology are important tools for cancer research but can be costly and time-consuming. The CRISPR-Cas9 system has been adapted to generate autochthonous tumours in mice, but how these tumours compare to tumours generated by conventional recombinase technology remains to be fully explored. Here we use CRISPR-Cas9 to generate multiple subtypes of primary sarcomas efficiently in wild type and genetically engineered mice. These data demonstrate that CRISPR-Cas9 can be used to generate multiple subtypes of soft tissue sarcomas in mice. Primary sarcomas generated with CRISPR-Cas9 and Cre recombinase technology had similar histology, growth kinetics, copy number variation and mutational load as assessed by whole exome sequencing. These results show that sarcomas generated with CRISPR-Cas9 technology are similar to sarcomas generated with conventional modelling techniques and suggest that CRISPR-Cas9 can be used to more rapidly generate genotypically and phenotypically similar cancers. PMID:28691711

  6. An Attenuated CRISPR-Cas System in Enterococcus faecalis Permits DNA Acquisition.

    PubMed

    Hullahalli, Karthik; Rodrigues, Marinelle; Nguyen, Uyen Thy; Palmer, Kelli

    2018-05-01

    Antibiotic-resistant bacteria are critical public health concerns. Among the prime causative factors for the spread of antibiotic resistance is horizontal gene transfer (HGT). A useful model organism for investigating the relationship between HGT and antibiotic resistance is the opportunistic pathogen Enterococcus faecalis , since the species possesses highly conjugative plasmids that readily disseminate antibiotic resistance genes and virulence factors in nature. Unlike many commensal E. faecalis strains, the genomes of multidrug-resistant (MDR) E. faecalis clinical isolates are enriched for mobile genetic elements (MGEs) and lack c lustered r egularly i nterspaced s hort p alindromic r epeats (CRISPR) and C RISPR- as sociated protein (Cas) genome defense systems. CRISPR-Cas systems cleave foreign DNA in a programmable, sequence-specific manner and are disadvantageous for MGE-derived genome expansion. An unexplored facet of CRISPR biology in E. faecalis is that MGEs that are targeted by native CRISPR-Cas systems can be maintained transiently. Here, we investigate the basis for this "CRISPR tolerance." We observe that E. faecalis can maintain self-targeting constructs that direct Cas9 to cleave the chromosome, but at a fitness cost. Interestingly, DNA repair genes were not upregulated during self-targeting, but integrated prophages were strongly induced. We determined that low cas9 expression contributes to this transient nonlethality and used this knowledge to develop a robust CRISPR-assisted genome-editing scheme. Our results suggest that E. faecalis has maximized the potential for DNA acquisition by attenuating its CRISPR machinery, thereby facilitating the acquisition of potentially beneficial MGEs that may otherwise be restricted by genome defense. IMPORTANCE CRISPR-Cas has provided a powerful toolkit to manipulate bacteria, resulting in improved genetic manipulations and novel antimicrobials. These powerful applications rely on the premise that CRISPR-Cas

  7. AAV-CRISPR/Cas9-Mediated Depletion of VEGFR2 Blocks Angiogenesis In Vitro.

    PubMed

    Wu, Wenyi; Duan, Yajian; Ma, Gaoen; Zhou, Guohong; Park-Windhol, Cindy; D'Amore, Patricia A; Lei, Hetian

    2017-12-01

    Pathologic angiogenesis is a component of many diseases, including neovascular age-related macular degeneration, proliferation diabetic retinopathy, as well as tumor growth and metastasis. The purpose of this project was to examine whether the system of adeno-associated viral (AAV)-mediated CRISPR (clustered regularly interspaced short palindromic repeats)-associated endonuclease (Cas)9 can be used to deplete expression of VEGF receptor 2 (VEGFR2) in human vascular endothelial cells in vitro and thus suppress its downstream signaling events. The dual AAV system of CRISPR/Cas9 from Streptococcus pyogenes (AAV-SpGuide and -SpCas9) was adapted to edit genomic VEGFR2 in primary human retinal microvascular endothelial cells (HRECs). In this system, the endothelial-specific promoter for intercellular adhesion molecule 2 (ICAM2) was cloned into the dual AAV vectors of SpGuide and SpCas9 for driving expression of green fluorescence protein (GFP) and SpCas9, respectively. These two AAV vectors were applied to production of recombinant AAV serotype 5 (rAAV5), which were used to infect HRECs for depletion of VEGFR2. Protein expression was determined by Western blot; and cell proliferation, migration, as well as tube formation were examined. AAV5 effectively infected vascular endothelial cells (ECs) and retinal pigment epithelial (RPE) cells; the ICAM2 promoter drove expression of GFP and SpCas9 in HRECs, but not in RPE cells. The results showed that the rAAV5-CRISPR/Cas9 depleted VEGFR2 by 80% and completely blocked VEGF-induced activation of Akt, and proliferation, migration as well as tube formation of HRECs. AAV-CRISRP/Cas9-mediated depletion of VEGFR2 is a potential therapeutic strategy for pathologic angiogenesis.

  8. Using the CRISPR/Cas9 system to eliminate native plasmids of Zymomonas mobilis ZM4.

    PubMed

    Cao, Qing-Hua; Shao, Huan-Huan; Qiu, Hui; Li, Tao; Zhang, Yi-Zheng; Tan, Xue-Mei

    2017-03-01

    The CRISPR/Cas system can be used to simply and efficiently edit the genomes of various species, including animals, plants, and microbes. Zymomonas mobilis ZM4 is a highly efficient, ethanol-producing bacterium that contains five native plasmids. Here, we constructed the pSUZM2a-Cas9 plasmid and a single-guide RNA expression plasmid. The pSUZM2a-Cas9 plasmid was used to express the Cas9 gene cloned from Streptococcus pyogenes CICC 10464. The single-guide RNA expression plasmid pUC-T7sgRNA, with a T7 promoter, can be used for the in vitro synthesis of single-guide RNAs. This system was successfully employed to knockout the upp gene of Escherichia coli and the replicase genes of native Z. mobilis plasmids. This is the first study to apply the CRISPR/Cas9 system of S. pyogenes to eliminate native plasmids in Z. mobilis. It provides a new method for plasmid curing and paves the way for the genomic engineering of Z. mobilis.

  9. RNA-dependent RNA targeting by CRISPR-Cas9

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Strutt, Steven C.; Torrez, Rachel M.; Kaya, Emine

    Double-stranded DNA (dsDNA) binding and cleavage by Cas9 is a hallmark of type II CRISPR-Cas bacterial adaptive immunity. All known Cas9 enzymes are thought to recognize DNA exclusively as a natural substrate, providing protection against DNA phage and plasmids. Here, we show that Cas9 enzymes from both subtypes II-A and II-C can recognize and cleave single-stranded RNA (ssRNA) by an RNA-guided mechanism that is independent of a protospacer-adjacent motif (PAM) sequence in the target RNA. RNA-guided RNA cleavage is programmable and site-specific, and we find that this activity can be exploited to reduce infection by single-stranded RNA phage in vivo.more » We also demonstrate that Cas9 can direct PAM-independent repression of gene expression in bacteria. In conclusion, these results indicate that a subset of Cas9 enzymes have the ability to act on both DNA and RNA target sequences, and suggest the potential for use in programmable RNA targeting applications.« less

  10. RNA-dependent RNA targeting by CRISPR-Cas9

    DOE PAGES

    Strutt, Steven C.; Torrez, Rachel M.; Kaya, Emine; ...

    2018-01-05

    Double-stranded DNA (dsDNA) binding and cleavage by Cas9 is a hallmark of type II CRISPR-Cas bacterial adaptive immunity. All known Cas9 enzymes are thought to recognize DNA exclusively as a natural substrate, providing protection against DNA phage and plasmids. Here, we show that Cas9 enzymes from both subtypes II-A and II-C can recognize and cleave single-stranded RNA (ssRNA) by an RNA-guided mechanism that is independent of a protospacer-adjacent motif (PAM) sequence in the target RNA. RNA-guided RNA cleavage is programmable and site-specific, and we find that this activity can be exploited to reduce infection by single-stranded RNA phage in vivo.more » We also demonstrate that Cas9 can direct PAM-independent repression of gene expression in bacteria. In conclusion, these results indicate that a subset of Cas9 enzymes have the ability to act on both DNA and RNA target sequences, and suggest the potential for use in programmable RNA targeting applications.« less

  11. Applications of the CRISPR-Cas9 system in cancer biology

    PubMed Central

    Sánchez-Rivera, Francisco J.; Jacks, Tyler

    2015-01-01

    Preface The prokaryotic type II clustered regularly interspaced short palindromic repeats (CRISPR)-Cas9 system is rapidly revolutionizing the field of genetic engineering, allowing researchers to alter the genomes of a large variety of organisms with relative ease. Experimental approaches based on this versatile technology have the potential to transform the field of cancer genetics. Here we review current approaches based on CRISPR-Cas9 for functional studies of cancer genes, with emphasis on its applicability for the development of the next-generation models of human cancer. PMID:26040603

  12. Primary Airway Epithelial Cell Gene Editing Using CRISPR-Cas9.

    PubMed

    Everman, Jamie L; Rios, Cydney; Seibold, Max A

    2018-01-01

    The adaptation of the clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR associated endonuclease 9 (CRISPR-Cas9) machinery from prokaryotic organisms has resulted in a gene editing system that is highly versatile, easily constructed, and can be leveraged to generate human cells knocked out (KO) for a specific gene. While standard transfection techniques can be used for the introduction of CRISPR-Cas9 expression cassettes to many cell types, delivery by this method is not efficient in many primary cell types, including primary human airway epithelial cells (AECs). More efficient delivery in AECs can be achieved through lentiviral-mediated transduction, allowing the CRISPR-Cas9 system to be integrated into the genome of the cell, resulting in stable expression of the nuclease machinery and increasing editing rates. In parallel, advancements have been made in the culture, expansion, selection, and differentiation of AECs, which allow the robust generation of a bulk edited AEC population from transduced cells. Applying these methods, we detail here our latest protocol to generate mucociliary epithelial cultures knocked out for a specific gene from donor-isolated primary human basal airway epithelial cells. This protocol includes methods to: (1) design and generate lentivirus which targets a specific gene for KO with CRISPR-Cas9 machinery, (2) efficiently transduce AECs, (3) culture and select for a bulk edited AEC population, (4) molecularly screen AECs for Cas9 cutting and specific sequence edits, and (5) further expand and differentiate edited cells to a mucociliary airway epithelial culture. The AEC knockouts generated using this protocol provide an excellent primary cell model system with which to characterize the function of genes involved in airway dysfunction and disease.

  13. CRISPRCasFinder, an update of CRISRFinder, includes a portable version, enhanced performance and integrates search for Cas proteins.

    PubMed

    Couvin, David; Bernheim, Aude; Toffano-Nioche, Claire; Touchon, Marie; Michalik, Juraj; Néron, Bertrand; C Rocha, Eduardo P; Vergnaud, Gilles; Gautheret, Daniel; Pourcel, Christine

    2018-05-22

    CRISPR (clustered regularly interspaced short palindromic repeats) arrays and their associated (Cas) proteins confer bacteria and archaea adaptive immunity against exogenous mobile genetic elements, such as phages or plasmids. CRISPRCasFinder allows the identification of both CRISPR arrays and Cas proteins. The program includes: (i) an improved CRISPR array detection tool facilitating expert validation based on a rating system, (ii) prediction of CRISPR orientation and (iii) a Cas protein detection and typing tool updated to match the latest classification scheme of these systems. CRISPRCasFinder can either be used online or as a standalone tool compatible with Linux operating system. All third-party software packages employed by the program are freely available. CRISPRCasFinder is available at https://crisprcas.i2bc.paris-saclay.fr.

  14. RNA Editing with CRISPR-Cas13

    PubMed Central

    Cox, David B.T.; Gootenberg, Jonathan S.; Abudayyeh, Omar O.; Franklin, Brian; Kellner, Max J.; Joung, Julia; Zhang, Feng

    2017-01-01

    Nucleic acid editing holds promise for treating genetic disease, particularly at the RNA level, where disease-relevant sequences can be rescued to yield functional protein products. Type VI CRISPR-Cas systems contain the programmable single-effector RNA-guided RNases Cas13. Here, we profile Type VI systems to engineer a Cas13 ortholog capable of robust knockdown and demonstrate RNA editing by using catalytically-inactive Cas13 (dCas13) to direct adenosine to inosine deaminase activity by ADAR2 to transcripts in mammalian cells. This system, referred to as RNA Editing for Programmable A to I Replacement (REPAIR), has no strict sequence constraints, can be used to edit full-length transcripts containing pathogenic mutations. We further engineer this system to create a high specificity variant, REPAIRv2, that is 919 times more specific than REPAIRv1 as well as minimize the system to ease viral delivery. REPAIR presents a promising RNA editing platform with broad applicability for research, therapeutics, and biotechnology. PMID:29070703

  15. Harm reduction as a complex adaptive system: A dynamic framework for analyzing Tanzanian policies concerning heroin use

    PubMed Central

    Ratliff, Eric A.; Kaduri, Pamela; Masao, Frank; Mbwambo, Jessie K.K.; McCurdy, Sheryl A.

    2016-01-01

    Contrary to popular belief, policies on drug use are not always based on scientific evidence or composed in a rational manner. Rather, decisions concerning drug policies reflect the negotiation of actors’ ambitions, values, and facts as they organize in different ways around the perceived problems associated with illicit drug use. Drug policy is thus best represented as a complex adaptive system (CAS) that is dynamic, self-organizing, and coevolving. In this analysis, we use a CAS framework to examine how harm reduction emerged around heroin trafficking and use in Tanzania over the past thirty years (1985-present). This account is an organizational ethnography based on of the observant participation of the authors as actors within this system. We review the dynamic history and self-organizing nature of harm reduction, noting how interactions among system actors and components have coevolved with patterns of heroin us, policing, and treatment activities over time. Using a CAS framework, we describe harm reduction as a complex process where ambitions, values, facts, and technologies interact in the Tanzanian socio-political environment. We review the dynamic history and self-organizing nature of heroin policies, noting how the interactions within and between competing prohibitionist and harm reduction policies have changed with patterns of heroin use, policing, and treatment activities over time. Actors learn from their experiences to organize with other actors, align their values and facts, and implement new policies. Using a CAS approach provides researchers and policy actors a better understanding of patterns and intricacies in drug policy. This knowledge of how the system works can help improve the policy process through adaptive action to introduce new actors, different ideas, and avenues for communication into the system. PMID:26790689

  16. Harm reduction as a complex adaptive system: A dynamic framework for analyzing Tanzanian policies concerning heroin use.

    PubMed

    Ratliff, Eric A; Kaduri, Pamela; Masao, Frank; Mbwambo, Jessie K K; McCurdy, Sheryl A

    2016-04-01

    Contrary to popular belief, policies on drug use are not always based on scientific evidence or composed in a rational manner. Rather, decisions concerning drug policies reflect the negotiation of actors' ambitions, values, and facts as they organize in different ways around the perceived problems associated with illicit drug use. Drug policy is thus best represented as a complex adaptive system (CAS) that is dynamic, self-organizing, and coevolving. In this analysis, we use a CAS framework to examine how harm reduction emerged around heroin trafficking and use in Tanzania over the past thirty years (1985-present). This account is an organizational ethnography based on of the observant participation of the authors as actors within this system. We review the dynamic history and self-organizing nature of harm reduction, noting how interactions among system actors and components have coevolved with patterns of heroin us, policing, and treatment activities over time. Using a CAS framework, we describe harm reduction as a complex process where ambitions, values, facts, and technologies interact in the Tanzanian sociopolitical environment. We review the dynamic history and self-organizing nature of heroin policies, noting how the interactions within and between competing prohibitionist and harm reduction policies have changed with patterns of heroin use, policing, and treatment activities over time. Actors learn from their experiences to organize with other actors, align their values and facts, and implement new policies. Using a CAS approach provides researchers and policy actors a better understanding of patterns and intricacies in drug policy. This knowledge of how the system works can help improve the policy process through adaptive action to introduce new actors, different ideas, and avenues for communication into the system. Copyright © 2015 Elsevier B.V. All rights reserved.

  17. Exploiting the CRISPR/Cas9 System for Targeted Genome Mutagenesis in Petunia.

    PubMed

    Zhang, Bin; Yang, Xia; Yang, Chunping; Li, Mingyang; Guo, Yulong

    2016-02-03

    Recently, CRISPR/Cas9 technology has emerged as a powerful approach for targeted genome modification in eukaryotic organisms from yeast to human cell lines. Its successful application in several plant species promises enormous potential for basic and applied plant research. However, extensive studies are still needed to assess this system in other important plant species, to broaden its fields of application and to improve methods. Here we showed that the CRISPR/Cas9 system is efficient in petunia (Petunia hybrid), an important ornamental plant and a model for comparative research. When PDS was used as target gene, transgenic shoot lines with albino phenotype accounted for 55.6%-87.5% of the total regenerated T0 Basta-resistant lines. A homozygous deletion close to 1 kb in length can be readily generated and identified in the first generation. A sequential transformation strategy--introducing Cas9 and sgRNA expression cassettes sequentially into petunia--can be used to make targeted mutations with short indels or chromosomal fragment deletions. Our results present a new plant species amenable to CRIPR/Cas9 technology and provide an alternative procedure for its exploitation.

  18. Exploiting the CRISPR/Cas9 System for Targeted Genome Mutagenesis in Petunia

    PubMed Central

    Zhang, Bin; Yang, Xia; Yang, Chunping; Li, Mingyang; Guo, Yulong

    2016-01-01

    Recently, CRISPR/Cas9 technology has emerged as a powerful approach for targeted genome modification in eukaryotic organisms from yeast to human cell lines. Its successful application in several plant species promises enormous potential for basic and applied plant research. However, extensive studies are still needed to assess this system in other important plant species, to broaden its fields of application and to improve methods. Here we showed that the CRISPR/Cas9 system is efficient in petunia (Petunia hybrid), an important ornamental plant and a model for comparative research. When PDS was used as target gene, transgenic shoot lines with albino phenotype accounted for 55.6%–87.5% of the total regenerated T0 Basta-resistant lines. A homozygous deletion close to 1 kb in length can be readily generated and identified in the first generation. A sequential transformation strategy—introducing Cas9 and sgRNA expression cassettes sequentially into petunia—can be used to make targeted mutations with short indels or chromosomal fragment deletions. Our results present a new plant species amenable to CRIPR/Cas9 technology and provide an alternative procedure for its exploitation. PMID:26837606

  19. 48 CFR 30.201-1 - CAS applicability.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 48 Federal Acquisition Regulations System 1 2011-10-01 2011-10-01 false CAS applicability. 30.201-1 Section 30.201-1 Federal Acquisition Regulations System FEDERAL ACQUISITION REGULATION GENERAL CONTRACTING REQUIREMENTS COST ACCOUNTING STANDARDS ADMINISTRATION CAS Program Requirements 30.201-1 CAS...

  20. Understanding the dynamics of the Seguro Popular de Salud policy implementation in Mexico from a complex adaptive systems perspective.

    PubMed

    Nigenda, Gustavo; González-Robledo, Luz María; Juárez-Ramírez, Clara; Adam, Taghreed

    2016-05-13

    In 2003, Mexico's Seguro Popular de Salud (SPS), was launched as an innovative financial mechanism implemented to channel new funds to provide health insurance to 50 million Mexicans and to reduce systemic financial inequities. The objective of this article is to understand the complexity and dynamics that contributed to the adaptation of the policy in the implementation stage, how these changes occurred, and why, from a complex and adaptive systems perspective. A complex adaptive systems (CAS) framework was used to carry out a secondary analysis of data obtained from four SPS's implementation evaluations. We first identified key actors, their roles, incentives and power, and their responses to the policy and guidelines. We then developed a causal loop diagram to disentangle the feedback dynamics associated with the modifications of the policy implementation which we then analyzed using a CAS perspective. Implementation variations were identified in seven core design features during the first 10 years of implementation period, and in each case, the SPS's central coordination introduced modifications in response to the reactions of the different actors. We identified several CAS phenomena associated with these changes including phase transitions, network emergence, resistance to change, history dependence, and feedback loops. Our findings generate valuable lessons to policy implementation processes, especially those involving a monetary component, where the emergence of coping mechanisms and other CAS phenomena inevitably lead to modifications of policies and their interpretation by those who implement them. These include the difficulty of implementing strategies that aim to pool funds through solidarity among beneficiaries where the rich support the poor when there are no incentives for the rich to do so. Also, how resistance to change and history dependence can pose significant challenges to implementing changes, where the local actors use their significant power

  1. Inhibition of CRISPR-Cas9 with Bacteriophage Proteins.

    PubMed

    Rauch, Benjamin J; Silvis, Melanie R; Hultquist, Judd F; Waters, Christopher S; McGregor, Michael J; Krogan, Nevan J; Bondy-Denomy, Joseph

    2017-01-12

    Bacterial CRISPR-Cas systems utilize sequence-specific RNA-guided nucleases to defend against bacteriophage infection. As a countermeasure, numerous phages are known that produce proteins to block the function of class 1 CRISPR-Cas systems. However, currently no proteins are known to inhibit the widely used class 2 CRISPR-Cas9 system. To find these inhibitors, we searched cas9-containing bacterial genomes for the co-existence of a CRISPR spacer and its target, a potential indicator for CRISPR inhibition. This analysis led to the discovery of four unique type II-A CRISPR-Cas9 inhibitor proteins encoded by Listeria monocytogenes prophages. More than half of L. monocytogenes strains with cas9 contain at least one prophage-encoded inhibitor, suggesting widespread CRISPR-Cas9 inactivation. Two of these inhibitors also blocked the widely used Streptococcus pyogenes Cas9 when assayed in Escherichia coli and human cells. These natural Cas9-specific "anti-CRISPRs" present tools that can be used to regulate the genome engineering activities of CRISPR-Cas9. Copyright © 2017 Elsevier Inc. All rights reserved.

  2. The CRISPR/Cas revolution reaches the RNA world: Cas13, a new Swiss Army knife for plant biologists.

    PubMed

    Wolter, Felix; Puchta, Holger

    2018-06-01

    Application of the bacterial CRISPR/Cas systems to eukaryotes is revolutionizing biology. Cas9 and Cas12 (previously called Cpf1) are widely used as DNA nucleases for inducing site-specific DNA breaks for different kinds of genome engineering applications, and in their mutated forms as DNA-binding proteins to modify gene expression. Moreover, histone modifications, as well as cytosine methylation or base editing, were achieved with these systems in plants. Recently, with the discovery of the nuclease Cas13a (previously called C2c2), molecular biologists have obtained a system that enables sequence-specific cleavage of single-stranded RNA molecules. The latest experiments with this and also the alternative Cas13b system demonstrate that these proteins can be used in a similar manner in eukaryotes for RNA manipulation as Cas9 and Cas12 for DNA manipulations. The first application of Cas13a for post-transcriptional regulation of gene expression in plants has been reported. Recent results show that the system is also applicable for combating viral infection in plants. As single-stranded RNA viruses are by far the most abundant class of viruses in plants, the application of this system is of special promise for crops. More interesting applications are imminent for plant biologists, with nuclease dead versions of Cas13 enabling the ability to visualize RNA molecules in vivo, as well as to edit different kinds of RNA molecules at specific bases by deamination or to modify them by conjugation. Moreover, by combining DNA- and RNA-directed systems, the most complex of changes in plant metabolism might be achievable. © 2018 The Authors The Plant Journal © 2018 John Wiley & Sons Ltd.

  3. Genetic engineering of a temperate phage-based delivery system for CRISPR/Cas9 antimicrobials against Staphylococcus aureus

    PubMed Central

    Park, Joo Youn; Moon, Bo Youn; Park, Juw Won; Thornton, Justin A.; Park, Yong Ho; Seo, Keun Seok

    2017-01-01

    Discovery of clustered, regularly interspaced, short palindromic repeats and the Cas9 RNA-guided nuclease (CRISPR/Cas9) system provides a new opportunity to create programmable gene-specific antimicrobials that are far less likely to drive resistance than conventional antibiotics. However, the practical therapeutic use of CRISPR/Cas9 is still questionable due to current shortcomings in phage-based delivery systems such as inefficient delivery, narrow host range, and potential transfer of virulence genes by generalized transduction. In this study, we demonstrate genetic engineering strategies to overcome these shortcomings by integrating CRISPR/Cas9 system into a temperate phage genome, removing major virulence genes from the host chromosome, and expanding host specificity of the phage by complementing tail fiber protein. This significantly improved the efficacy and safety of CRISPR/Cas9 antimicrobials to therapeutic levels in both in vitro and in vivo assays. The genetic engineering tools and resources established in this study are expected to provide an efficacious and safe CRISPR/Cas9 antimicrobial, broadly applicable to Staphylococcus aureus. PMID:28322317

  4. Genetic engineering of a temperate phage-based delivery system for CRISPR/Cas9 antimicrobials against Staphylococcus aureus.

    PubMed

    Park, Joo Youn; Moon, Bo Youn; Park, Juw Won; Thornton, Justin A; Park, Yong Ho; Seo, Keun Seok

    2017-03-21

    Discovery of clustered, regularly interspaced, short palindromic repeats and the Cas9 RNA-guided nuclease (CRISPR/Cas9) system provides a new opportunity to create programmable gene-specific antimicrobials that are far less likely to drive resistance than conventional antibiotics. However, the practical therapeutic use of CRISPR/Cas9 is still questionable due to current shortcomings in phage-based delivery systems such as inefficient delivery, narrow host range, and potential transfer of virulence genes by generalized transduction. In this study, we demonstrate genetic engineering strategies to overcome these shortcomings by integrating CRISPR/Cas9 system into a temperate phage genome, removing major virulence genes from the host chromosome, and expanding host specificity of the phage by complementing tail fiber protein. This significantly improved the efficacy and safety of CRISPR/Cas9 antimicrobials to therapeutic levels in both in vitro and in vivo assays. The genetic engineering tools and resources established in this study are expected to provide an efficacious and safe CRISPR/Cas9 antimicrobial, broadly applicable to Staphylococcus aureus.

  5. Efficiently sampling conformations and pathways using the concurrent adaptive sampling (CAS) algorithm

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahn, Surl-Hee; Grate, Jay W.; Darve, Eric F.

    Molecular dynamics (MD) simulations are useful in obtaining thermodynamic and kinetic properties of bio-molecules but are limited by the timescale barrier, i.e., we may be unable to efficiently obtain properties because we need to run microseconds or longer simulations using femtoseconds time steps. While there are several existing methods to overcome this timescale barrier and efficiently sample thermodynamic and/or kinetic properties, problems remain in regard to being able to sample un- known systems, deal with high-dimensional space of collective variables, and focus the computational effort on slow timescales. Hence, a new sampling method, called the “Concurrent Adaptive Sampling (CAS) algorithm,”more » has been developed to tackle these three issues and efficiently obtain conformations and pathways. The method is not constrained to use only one or two collective variables, unlike most reaction coordinate-dependent methods. Instead, it can use a large number of collective vari- ables and uses macrostates (a partition of the collective variable space) to enhance the sampling. The exploration is done by running a large number of short simula- tions, and a clustering technique is used to accelerate the sampling. In this paper, we introduce the new methodology and show results from two-dimensional models and bio-molecules, such as penta-alanine and triazine polymer« less

  6. Elimination of the cryptic plasmid in Leuconostoc citreum by CRISPR/Cas9 system.

    PubMed

    Jang, Ye-Ji; Seo, Seung-Oh; Kim, Seul-Ah; Li, Ling; Kim, Tae-Jip; Kim, Sun Chang; Jin, Yong-Su; Han, Nam Soo

    2017-06-10

    Leuconostoc spp. are important lactic acid bacteria for the fermentation of foods. In particular, L. citreum strains isolated from various foods have been used as host strains for genetic and metabolic engineering studies. In order to develop a food-grade genetic engineering system, L. citreum CB2567 was isolated from Kimchi. However, the isolated bacterium contained a cryptic plasmid which was difficult to eliminate. As the existence of the plasmid might hinder strain engineering, we eliminated the plasmid using an RNA-guided DNA endonuclease CRISPR/Cas9 system. We demonstrated that a plasmid-free L. citreum CB2567 host strain could be efficiently constructed through a two-step procedure: 1) transformation of the "killer" plasmid expressing Cas9 endonuclease and a guide RNA (gRNA) targeting for a specific sequence in the cryptic plasmid, and 2) serial subculture without antibiotics for curing the killer plasmid. When the crude extract of L. citreum expressing Cas9 and the guide RNA was incubated with a PCR fragment containing the specific sequence recognized by the guide RNA, the PCR fragment was cleaved. Also, the cryptic plasmid pCB42 was successfully eliminated from the host strain after transforming the plasmid harboring Cas9 and the guide RNA. The Cas9 and gRNA expression plasmid used in this study can be applied for genome engineering purposes by additionally introducing an editing DNA template to repair the double strand DNA breakage caused by Cas9 in the genome of L. citreum. This study demonstrates the feasibility of developing CRISPR/Cas9-based genetic engineering tools to develop a safe host strain and construct food-grade lactic acid bacteria without residual antibiotic markers. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. RNA editing with CRISPR-Cas13.

    PubMed

    Cox, David B T; Gootenberg, Jonathan S; Abudayyeh, Omar O; Franklin, Brian; Kellner, Max J; Joung, Julia; Zhang, Feng

    2017-11-24

    Nucleic acid editing holds promise for treating genetic disease, particularly at the RNA level, where disease-relevant sequences can be rescued to yield functional protein products. Type VI CRISPR-Cas systems contain the programmable single-effector RNA-guided ribonuclease Cas13. We profiled type VI systems in order to engineer a Cas13 ortholog capable of robust knockdown and demonstrated RNA editing by using catalytically inactive Cas13 (dCas13) to direct adenosine-to-inosine deaminase activity by ADAR2 (adenosine deaminase acting on RNA type 2) to transcripts in mammalian cells. This system, referred to as RNA Editing for Programmable A to I Replacement (REPAIR), which has no strict sequence constraints, can be used to edit full-length transcripts containing pathogenic mutations. We further engineered this system to create a high-specificity variant and minimized the system to facilitate viral delivery. REPAIR presents a promising RNA-editing platform with broad applicability for research, therapeutics, and biotechnology. Copyright © 2017, American Association for the Advancement of Science.

  8. CRISPR/Cas9 system and its applications in human hematopoietic cells.

    PubMed

    Hu, Xiaotang

    2016-11-01

    Since 2012, the CRISPR-Cas9 system has been quickly and successfully tested in a broad range of organisms and cells including hematopoietic cells. The application of CRISPR-Cas9 in human hematopoietic cells mainly involves the genes responsible for HIV infection, β-thalassemia and sickle cell disease (SCD). The successful disruption of CCR5 and CXCR4 genes in T cells by CRISPR-Cas9 promotes the prospect of the technology in the functional cure of HIV. More recently, eliminating CCR5 and CXCR4 in induced pluripotent stem cells (iPSCs) derived from patients and targeting the HIV genome have been successfully carried out in several laboratories. The outcome from these approaches bring us closer to the goal of eradicating HIV infection. For hemoglobinopathies the ability to produce iPSC-derived from patients with the correction of hemoglobin (HBB) mutations by CRISPR-Cas9 has been tested in a number of laboratories. These corrected iPSCs also show the potential to differentiate into mature erythrocytes expressing high-level and normal HBB. In light of the initial success of CRESPR-Cas9 in target mutated gene(s) in the iPSCs, a combination of genomic editing and autogenetic stem cell transplantation would be the best strategy for root treatment of the diseases, which could replace traditional allogeneic stem cell transplantation. Copyright © 2016 Elsevier Inc. All rights reserved.

  9. On the Integration of Computer Algebra Systems (CAS) by Canadian Mathematicians: Results of a National Survey

    ERIC Educational Resources Information Center

    Buteau, Chantal; Jarvis, Daniel H.; Lavicza, Zsolt

    2014-01-01

    In this article, we outline the findings of a Canadian survey study (N = 302) that focused on the extent of computer algebra systems (CAS)-based technology use in postsecondary mathematics instruction. Results suggest that a considerable number of Canadian mathematicians use CAS in research and teaching. CAS use in research was found to be the…

  10. Targeted mutagenesis in cotton (Gossypium hirsutum L.) using the CRISPR/Cas9 system.

    PubMed

    Chen, Xiugui; Lu, Xuke; Shu, Na; Wang, Shuai; Wang, Junjuan; Wang, Delong; Guo, Lixue; Ye, Wuwei

    2017-03-13

    The CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats)/Cas9 system has been widely used for genome editing in various plants because of its simplicity, high efficiency and design flexibility. However, to our knowledge, there is no report on the application of CRISPR/Cas9-mediated targeted mutagenesis in cotton. Here, we report the genome editing and targeted mutagenesis in upland cotton (Gossypium hirsutum L., hereafter cotton) using the CRISPR/Cas9 system. We designed two guide RNAs to target distinct sites of the cotton Cloroplastos alterados 1 (GhCLA1) and vacuolar H + -pyrophosphatase (GhVP) genes. Mutations in these two genes were detected in cotton protoplasts. Most of the mutations were nucleotide substitutions, with one nucleotide insertion and one substitution found in GhCLA1 and one deletion found in GhVP in cotton protoplasts. Subsequently, the two vectors were transformed into cotton shoot apexes through Agrobacterium-mediated transformation, resulting in efficient target gene editing. Most of the mutations were nucleotide deletions, and the mutation efficiencies were 47.6-81.8% in transgenic cotton plants. Evaluation using restriction-enzyme-PCR assay and sequence analysis detected no off-target mutations. Our results indicated that the CRISPR/Cas9 system was an efficient and specific tool for targeted mutagenesis of the cotton genome.

  11. Analysing a Chinese Regional Integrated Healthcare Organisation Reform Failure using a Complex Adaptive System Approach

    PubMed Central

    Wei, Lai; Zhang, Liang

    2017-01-01

    Introduction: China’s organised health system has remained outdated for decades. Current health systems in many less market-oriented countries still adhere to traditional administrative-based directives and linear planning. Furthermore, they neglect the responsiveness and feedback of institutions and professionals, which often results in reform failure in integrated care. Complex adaptive system theory (CAS) provides a new perspective and methodology for analysing the health system and policy implementation. Methods: We observed the typical case of Qianjiang’s Integrated Health Organization Reform (IHO) for 2 years to analyse integrated care reforms using CAS theory. Via questionnaires and interviews, we observed 32 medical institutions and 344 professionals. We compared their cooperative behaviours from both organisational and inter-professional levels between 2013 and 2015, and further investigated potential reasons for why medical institutions and professionals did not form an effective IHO. We discovered how interested parties in the policy implementation process influenced reform outcome, and by theoretical induction, proposed a new semi-organised system and corresponding policy analysis flowchart that potentially suits the actual realisation of CAS. Results: The reform did not achieve its desired effect. The Qianjiang IHO was loosely integrated rather than closely integrated, and the cooperation levels between organisations and professionals were low. This disappointing result was due to low mutual trust among IHO members, with the main contributing factors being insufficient financial incentives and the lack of a common vision. Discussion and Conclusions: The traditional organised health system is old-fashioned. Rather than being completely organised or adaptive, the health system is currently more similar to a semi-organised system. Medical institutions and professionals operate in a middle ground between complete adherence to administrative orders from

  12. Structure and activity of the Cas3 HD nuclease MJ0384, an effector enzyme of the CRISPR interference

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beloglazova, Natalia; Petit, Pierre; Flick, Robert

    Clustered regularly interspaced short palindromic repeats (CRISPRs) and Cas proteins represent an adaptive microbial immunity system against viruses and plasmids. Cas3 proteins have been proposed to play a key role in the CRISPR mechanism through the direct cleavage of invasive DNA. Here, we show that the Cas3 HD domain protein MJ0384 from Methanocaldococcus jannaschii cleaves endonucleolytically and exonucleolytically (3'-5') single-stranded DNAs and RNAs, as well as 3'-flaps, splayed arms, and R-loops. The degradation of branched DNA substrates by MJ0384 is stimulated by the Cas3 helicase MJ0383 and ATP. The crystal structure of MJ0384 revealed the active site with two boundmore » metal cations and together with site-directed mutagenesis suggested a catalytic mechanism. Our studies suggest that the Cas3 HD nucleases working together with the Cas3 helicases can completely degrade invasive DNAs through the combination of endo- and exonuclease activities.« less

  13. Generation of a conditional analog-sensitive kinase in human cells using CRISPR/Cas9-mediated genome engineering.

    PubMed

    Moyer, Tyler C; Holland, Andrew J

    2015-01-01

    The ability to rapidly and specifically modify the genome of mammalian cells has been a long-term goal of biomedical researchers. Recently, the clustered, regularly interspaced, short palindromic repeats (CRISPR)/Cas9 system from bacteria has been exploited for genome engineering in human cells. The CRISPR system directs the RNA-guided Cas9 nuclease to a specific genomic locus to induce a DNA double-strand break that may be subsequently repaired by homology-directed repair using an exogenous DNA repair template. Here we describe a protocol using CRISPR/Cas9 to achieve bi-allelic insertion of a point mutation in human cells. Using this method, homozygous clonal cell lines can be constructed in 5-6 weeks. This method can also be adapted to insert larger DNA elements, such as fluorescent proteins and degrons, at defined genomic locations. CRISPR/Cas9 genome engineering offers exciting applications in both basic science and translational research. Copyright © 2015 Elsevier Inc. All rights reserved.

  14. Functional Insights Revealed by the Kinetic Mechanism of CRISPR/Cas9.

    PubMed

    Raper, Austin T; Stephenson, Anthony A; Suo, Zucai

    2018-02-28

    The discovery of prokaryotic adaptive immunity prompted widespread use of the RNA-guided clustered regularly interspaced short palindromic repeat (CRISPR)-associated (Cas) endonuclease Cas9 for genetic engineering. However, its kinetic mechanism remains undefined, and details of DNA cleavage are poorly characterized. Here, we establish a kinetic mechanism of Streptococcus pyogenes Cas9 from guide-RNA binding through DNA cleavage and product release. Association of DNA to the binary complex of Cas9 and guide-RNA is rate-limiting during the first catalytic turnover, while DNA cleavage from a pre-formed ternary complex of Cas9, guide-RNA, and DNA is rapid. Moreover, an extremely slow release of DNA products essentially restricts Cas9 to be a single-turnover enzyme. By simultaneously measuring the contributions of the HNH and RuvC nuclease activities of Cas9 to DNA cleavage, we also uncovered the kinetic basis by which HNH conformationally regulates the RuvC cleavage activity. Together, our results provide crucial kinetic and functional details regarding Cas9 which will inform gene-editing experiments, guide future research to understand off-target DNA cleavage by Cas9, and aid in the continued development of Cas9 as a biotechnological tool.

  15. [Clustered regularly interspaced short palindromic repeat associated protein genes cas1 and cas2 in Shigella].

    PubMed

    Xue, Zerun; Wang, Yingfang; Duan, Guangcai; Wang, Pengfei; Wang, Linlin; Guo, Xiangjiao; Xi, Yuanlin

    2014-05-01

    To detect the distribution of clustered regularly interspaced short palindromic repeat (CRISPR) associated protein genes cas1 and cas2 in Shigella and to understand the characteristics of CRISPR with relationship between CRISPR and related characteristics on drug resistance. CRISPR associated protein genes cas1 and cas2 in Shigella were detected by PCR, with its products sequenced and compared. The CRISPR-associated protein genes cas1 and cas2 were found in all the 196 Shigella isolates which were isolated at different times and locations in China. Consistencies showed through related sequencing appeared as follows: cas2, cas1 (a) and cas1 (b) were 96.44%, 97.61% and 96.97%, respectively. There were two mutations including 3177129 site(C→G)and 3177126 site (G→C) of cas1 (b) gene in 2003135 strain which were not found in the corresponding sites of Z23 and 2008113. showed that in terms of both susceptibility and antibiotic-resistance, strain 2003135 was stronger than Z23 and 2008113. CRISPR system widely existed in Shigella, with the level of drug resistance in cas1 (b) gene mutant strains higher than in wild strains. Cas1 (b) gene mutation might be one of the reasons causing the different levels of resistance.

  16. The effect of Mycobacterium tuberculosis CRISPR-associated Cas2 (Rv2816c) on stress response genes expression, morphology and macrophage survival of Mycobacterium smegmatis.

    PubMed

    Huang, Qinqin; Luo, Hongping; Liu, Minqiang; Zeng, Jie; Abdalla, Abualgasim Elgaili; Duan, Xiangke; Li, Qiming; Xie, Jianping

    2016-06-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) are present in the genome of 40% bacteria and 90% archaea. CRISPR and accompanying Cas proteins constitute an adaptive immune system against disruptive mobile genetic elements. Two CRISPRs and 9 genes encoding CRISPR-associated proteins have been found in the genome of Mycobacterium tuberculosis. The CRISPR-associated Cas2 is an endoribonuclease required for the acquisition of new spacers. In this study, Cas2 encoded by Rv2816c was expressed in Mycobacterium smegmatis lacking CRISPR-Cas system and its role in stress responses of M. smegmatis in vitro and within macrophages was studied. We found that Cas2 mediated M. smegmatis stress response changes were associated with the altered expression of sigma factors which involved in mycobacterial stress response and virulence. We also found that Cas2 decreased the survival of M. smegmatis within macrophages. This study provides new insights on the role of Cas2. Copyright © 2015 Elsevier B.V. All rights reserved.

  17. Generation of muscular dystrophy model rats with a CRISPR/Cas system.

    PubMed

    Nakamura, Katsuyuki; Fujii, Wataru; Tsuboi, Masaya; Tanihata, Jun; Teramoto, Naomi; Takeuchi, Shiho; Naito, Kunihiko; Yamanouchi, Keitaro; Nishihara, Masugi

    2014-07-09

    Duchenne muscular dystrophy (DMD) is an X-linked lethal muscle disorder caused by mutations in the Dmd gene encoding Dystrophin. DMD model animals, such as mdx mice and canine X-linked muscular dystrophy dogs, have been widely utilized in the development of a treatment for DMD. Here, we demonstrate the generation of Dmd-mutated rats using a clustered interspaced short palindromic repeats (CRISPR)/Cas system, an RNA-based genome engineering technique that is also adaptive to rats. We simultaneously targeted two exons in the rat Dmd gene, which resulted in the absence of Dystrophin expression in the F0 generation. Dmd-mutated rats exhibited a decline in muscle strength, and the emergence of degenerative/regenerative phenotypes in the skeletal muscle, heart, and diaphragm. These mutations were heritable by the next generation, and F1 male rats exhibited similar phenotypes in their skeletal muscles. These model rats should prove to be useful for developing therapeutic methods to treat DMD.

  18. Analysing a Chinese Regional Integrated Healthcare Organisation Reform Failure using a Complex Adaptive System Approach.

    PubMed

    Tang, Wenxi; Wei, Lai; Zhang, Liang

    2017-06-19

    China's organised health system has remained outdated for decades. Current health systems in many less market-oriented countries still adhere to traditional administrative-based directives and linear planning. Furthermore, they neglect the responsiveness and feedback of institutions and professionals, which often results in reform failure in integrated care. Complex adaptive system theory (CAS) provides a new perspective and methodology for analysing the health system and policy implementation. We observed the typical case of Qianjiang's Integrated Health Organization Reform (IHO) for 2 years to analyse integrated care reforms using CAS theory. Via questionnaires and interviews, we observed 32 medical institutions and 344 professionals. We compared their cooperative behaviours from both organisational and inter-professional levels between 2013 and 2015, and further investigated potential reasons for why medical institutions and professionals did not form an effective IHO. We discovered how interested parties in the policy implementation process influenced reform outcome, and by theoretical induction, proposed a new semi-organised system and corresponding policy analysis flowchart that potentially suits the actual realisation of CAS. The reform did not achieve its desired effect. The Qianjiang IHO was loosely integrated rather than closely integrated, and the cooperation levels between organisations and professionals were low. This disappointing result was due to low mutual trust among IHO members, with the main contributing factors being insufficient financial incentives and the lack of a common vision. The traditional organised health system is old-fashioned. Rather than being completely organised or adaptive, the health system is currently more similar to a semi-organised syste m. Medical institutions and professionals operate in a middle ground between complete adherence to administrative orders from state-run health systems and completely adapting to the market

  19. Mental health services conceptualised as complex adaptive systems: what can be learned?

    PubMed

    Ellis, Louise A; Churruca, Kate; Braithwaite, Jeffrey

    2017-01-01

    Despite many attempts at promoting systems integration, seamless care, and partnerships among service providers and users, mental health services internationally continue to be fragmented and piecemeal. We exploit recent ideas from complexity science to conceptualise mental health services as complex adaptive systems (CASs). The core features of CASs are described and Australia's headspace initiative is used as an example of the kinds of problems currently being faced. We argue that adopting a CAS lens can transform services, creating more connected care for service users with mental health conditions.

  20. CRISPR/Cas9-mediated gene editing in human zygotes using Cas9 protein.

    PubMed

    Tang, Lichun; Zeng, Yanting; Du, Hongzi; Gong, Mengmeng; Peng, Jin; Zhang, Buxi; Lei, Ming; Zhao, Fang; Wang, Weihua; Li, Xiaowei; Liu, Jianqiao

    2017-06-01

    Previous works using human tripronuclear zygotes suggested that the clustered regularly interspaced short palindromic repeat (CRISPR)/Cas9 system could be a tool in correcting disease-causing mutations. However, whether this system was applicable in normal human (dual pronuclear, 2PN) zygotes was unclear. Here we demonstrate that CRISPR/Cas9 is also effective as a gene-editing tool in human 2PN zygotes. By injection of Cas9 protein complexed with the appropriate sgRNAs and homology donors into one-cell human embryos, we demonstrated efficient homologous recombination-mediated correction of point mutations in HBB and G6PD. However, our results also reveal limitations of this correction procedure and highlight the need for further research.

  1. What rheumatologists need to know about CRISPR/Cas9.

    PubMed

    Gibson, Gary J; Yang, Maozhou

    2017-04-01

    CRISPR/Cas9 genome editing technology has taken the research world by storm since its use in eukaryotes was first proposed in 2012. Publications describing advances in technology and new applications have continued at an unrelenting pace since that time. In this Review, we discuss the application of CRISPR/Cas9 for creating gene mutations - the application that initiated the current avalanche of interest - and new developments that have largely answered initial concerns about its specificity and ability to introduce new gene sequences. We discuss the new, diverse and rapidly growing adaptations of the CRISPR/Cas9 technique that enable activation, repression, multiplexing and gene screening. These developments have enabled researchers to create sophisticated tools for dissecting the function and inter-relatedness of genes, as well as noncoding regions of the genome, and to identify gene networks and noncoding regions that promote disease or confer disease susceptibility. These approaches are beginning to be used to interrogate complex and multilayered biological systems and to produce complex animal models of disease. CRISPR/Cas9 technology has enabled the application of new therapeutic approaches to treating disease in animal models, some of which are beginning to be seen in the first human clinical trials. We discuss the direct application of these techniques to rheumatic diseases, which are currently limited but are sure to increase rapidly in the near future.

  2. Cytotoxic chromosomal targeting by CRISPR/Cas systems can reshape bacterial genomes and expel or remodel pathogenicity islands.

    PubMed

    Vercoe, Reuben B; Chang, James T; Dy, Ron L; Taylor, Corinda; Gristwood, Tamzin; Clulow, James S; Richter, Corinna; Przybilski, Rita; Pitman, Andrew R; Fineran, Peter C

    2013-04-01

    In prokaryotes, clustered regularly interspaced short palindromic repeats (CRISPRs) and their associated (Cas) proteins constitute a defence system against bacteriophages and plasmids. CRISPR/Cas systems acquire short spacer sequences from foreign genetic elements and incorporate these into their CRISPR arrays, generating a memory of past invaders. Defence is provided by short non-coding RNAs that guide Cas proteins to cleave complementary nucleic acids. While most spacers are acquired from phages and plasmids, there are examples of spacers that match genes elsewhere in the host bacterial chromosome. In Pectobacterium atrosepticum the type I-F CRISPR/Cas system has acquired a self-complementary spacer that perfectly matches a protospacer target in a horizontally acquired island (HAI2) involved in plant pathogenicity. Given the paucity of experimental data about CRISPR/Cas-mediated chromosomal targeting, we examined this process by developing a tightly controlled system. Chromosomal targeting was highly toxic via targeting of DNA and resulted in growth inhibition and cellular filamentation. The toxic phenotype was avoided by mutations in the cas operon, the CRISPR repeats, the protospacer target, and protospacer-adjacent motif (PAM) beside the target. Indeed, the natural self-targeting spacer was non-toxic due to a single nucleotide mutation adjacent to the target in the PAM sequence. Furthermore, we show that chromosomal targeting can result in large-scale genomic alterations, including the remodelling or deletion of entire pre-existing pathogenicity islands. These features can be engineered for the targeted deletion of large regions of bacterial chromosomes. In conclusion, in DNA-targeting CRISPR/Cas systems, chromosomal interference is deleterious by causing DNA damage and providing a strong selective pressure for genome alterations, which may have consequences for bacterial evolution and pathogenicity.

  3. Targeted mutagenesis in cotton (Gossypium hirsutum L.) using the CRISPR/Cas9 system

    PubMed Central

    Chen, Xiugui; Lu, Xuke; Shu, Na; Wang, Shuai; Wang, Junjuan; Wang, Delong; Guo, Lixue; Ye, Wuwei

    2017-01-01

    The CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats)/Cas9 system has been widely used for genome editing in various plants because of its simplicity, high efficiency and design flexibility. However, to our knowledge, there is no report on the application of CRISPR/Cas9-mediated targeted mutagenesis in cotton. Here, we report the genome editing and targeted mutagenesis in upland cotton (Gossypium hirsutum L., hereafter cotton) using the CRISPR/Cas9 system. We designed two guide RNAs to target distinct sites of the cotton Cloroplastos alterados 1 (GhCLA1) and vacuolar H+-pyrophosphatase (GhVP) genes. Mutations in these two genes were detected in cotton protoplasts. Most of the mutations were nucleotide substitutions, with one nucleotide insertion and one substitution found in GhCLA1 and one deletion found in GhVP in cotton protoplasts. Subsequently, the two vectors were transformed into cotton shoot apexes through Agrobacterium-mediated transformation, resulting in efficient target gene editing. Most of the mutations were nucleotide deletions, and the mutation efficiencies were 47.6–81.8% in transgenic cotton plants. Evaluation using restriction-enzyme-PCR assay and sequence analysis detected no off-target mutations. Our results indicated that the CRISPR/Cas9 system was an efficient and specific tool for targeted mutagenesis of the cotton genome. PMID:28287154

  4. What Does the Cognitive Assessment System (CAS) Measure? Joint Confirmatory Factor Analysis of the CAS and the Woodcock-Johnson Tests of Cognitive Ability (3rd Edition).

    ERIC Educational Resources Information Center

    Keith, Timothy Z.; Kranzler, John H.; Flanagan, Dawn P.

    2001-01-01

    Reports the results of the first joint confirmatory factor analysis (CFA) of the Cognitive Assessment System (CAS) and the Woodcock-Johnson Tests of Cognitive Abilities-3rd Edition (WJ III). Results of these analyses do not support the construct validity of the CAS as a measure of the PASS (planning, attention, simultaneous, and sequential)…

  5. Targeting CDK11 in osteosarcoma cells using the CRISPR-Cas9 system.

    PubMed

    Feng, Yong; Sassi, Slim; Shen, Jacson K; Yang, Xiaoqian; Gao, Yan; Osaka, Eiji; Zhang, Jianming; Yang, Shuhua; Yang, Cao; Mankin, Henry J; Hornicek, Francis J; Duan, Zhenfeng

    2015-02-01

    Osteosarcoma is the most common type primary malignant tumor of bone. Patients with regional osteosarcoma are routinely treated with surgery and chemotherapy. In addition, many patients with metastatic or recurrent osteosarcoma show poor prognosis with current chemotherapy agents. Therefore, it is important to improve the general condition and the overall survival rate of patients with osteosarcoma by identifying novel therapeutic strategies. Recent studies have revealed that CDK11 is essential in osteosarcoma cell growth and survival by inhibiting CDK11 mRNA expression with RNAi. Here, we apply the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-Cas9 system, a robust and highly efficient novel genome editing tool, to determine the effect of targeting endogenous CDK11 gene at the DNA level in osteosarcoma cell lines. We show that CDK11 can be efficiently silenced by CRISPR-Cas9. Inhibition of CDK11 is associated with decreased cell proliferation and viability, and induces cell death in osteosarcoma cell lines KHOS and U-2OS. Furthermore, the migration and invasion activities are also markedly reduced by CDK11 knockout. These results demonstrate that CRISPR-Cas9 system is a useful tool for the modification of endogenous CDK11 gene expression, and CRISPR-Cas9 targeted CDK11 knockout may be a promising therapeutic regimen for the treatment of osteosarcoma. © 2014 Orthopaedic Research Society. Published by Wiley Periodicals, Inc.

  6. CAS-Induced Difficulties in Learning Mathematics?

    ERIC Educational Resources Information Center

    Jankvist, Uffe Thomas; Misfeldt, Morten

    2015-01-01

    In recent years computer algebra systems (CAS) have become an integrated part of the upper secondary school mathematics program. Despite the many positive possibilities of CAS, there also seems to be a flip side of the coin in relation to actual difficulties in learning mathematics, not least because a strong dependence on CAS for mathematical…

  7. People at the centre of complex adaptive health systems reform.

    PubMed

    Sturmberg, Joachim P; O'Halloran, Diana M; Martin, Carmel M

    2010-10-18

    Health systems are increasingly recognised to be complex adaptive systems (CASs), functionally characterised by their continuing and dynamic adaptation in response to core system drivers, or attractors. The core driver for our health system (and for the health reform strategies intended to achieve it) should clearly be the improvement of people's health - the personal experience of health, regardless of organic abnormalities; we contend that a patient-centred health system requires flexible localised decision making and resource use. The prevailing trend is to use disease protocols, financial management strategies and centralised control of siloed programs to manage our health system. This strategy is suggested to be fatally flawed, as: people's health and health experience as core system drivers are inevitably pre-empted by centralised and standardised strategies; the context specificity of personal experience and the capacity of local systems are overlooked; and in line with CAS patterns and characteristics, these strategies will lead to "unintended" consequences on all parts of the system. In Australia, there is still the time and opportunity for health system redesign that truly places people and their health at the core of the system.

  8. Scarless Cas9 Assisted Recombineering (no-SCAR) in Escherichia coli, an Easy-to-Use System for Genome Editing.

    PubMed

    Reisch, Christopher R; Prather, Kristala L J

    2017-01-05

    The discovery and development of genome editing systems that leverage the site-specific DNA endonuclease system CRISPR/Cas9 has fundamentally changed the ease and speed of genome editing in many organisms. In eukaryotes, the CRISPR/Cas9 system utilizes a "guide" RNA to enable the Cas9 nuclease to make a double-strand break at a particular genome locus, which is repaired by non-homologous end joining (NHEJ) repair enzymes, often generating random mutations in the process. A specific alteration of the target genome can also be generated by supplying a DNA template in vivo with a desired mutation, which is incorporated by homology-directed repair. However, E. coli lacks robust systems for double-strand break repair. Thus, in contrast to eukaryotes, targeting E. coli chromosomal DNA with Cas9 causes cell death. However, Cas9-mediated killing of bacteria can be exploited to select against cells with a specified genotype within a mixed population. In combination with the well described λ-Red system for recombination in E. coli, we created a highly efficient system for marker-free and scarless genome editing. © 2017 by John Wiley & Sons, Inc. Copyright © 2017 John Wiley & Sons, Inc.

  9. A Hospital Is Not Just a Factory, but a Complex Adaptive System-Implications for Perioperative Care.

    PubMed

    Mahajan, Aman; Islam, Salim D; Schwartz, Michael J; Cannesson, Maxime

    2017-07-01

    Many methods used to improve hospital and perioperative services productivity and quality of care have assumed that the hospital is essentially a factory, and therefore, that industrial engineering and manufacturing-derived redesign approaches such as Six Sigma and Lean can be applied to hospitals and perioperative services just as they have been applied in factories. However, a hospital is not merely a factory but also a complex adaptive system (CAS). The hospital CAS has many subsystems, with perioperative care being an important one for which concepts of factory redesign are frequently advocated. In this article, we argue that applying only factory approaches such as lean methodologies or process standardization to complex systems such as perioperative care could account for difficulties and/or failures in improving performance in care delivery. Within perioperative services, only noncomplex/low-variance surgical episodes are amenable to manufacturing-based redesign. On the other hand, complex surgery/high-variance cases and preoperative segmentation (the process of distinguishing between normal and complex cases) can be viewed as CAS-like. These systems tend to self-organize, often resist or react unpredictably to attempts at control, and therefore require application of CAS principles to modify system behavior. We describe 2 examples of perioperative redesign to illustrate the concepts outlined above. These examples present complementary and contrasting cases from 2 leading delivery systems. The Mayo Clinic example illustrates the application of manufacturing-based redesign principles to a factory-like (high-volume, low-risk, and mature practice) clinical program, while the Kaiser Permanente example illustrates the application of both manufacturing-based and self-organization-based approaches to programs and processes that are not factory-like but CAS-like. In this article, we describe how factory-like processes and CAS can coexist within a hospital and how

  10. Presence of Type I-F CRISPR/Cas systems is associated with antimicrobial susceptibility in Escherichia coli.

    PubMed

    Aydin, Seyid; Personne, Yoann; Newire, Enas; Laverick, Rebecca; Russell, Oliver; Roberts, Adam P; Enne, Virve I

    2017-08-01

    Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) and their associated cas genes are sequence-specific DNA nuclease systems found in bacteria and archaea. CRISPR/Cas systems use RNA transcripts of previously acquired DNA (spacers) to target invading genetic elements with the same sequence, including plasmids. In this research we studied the relationship between CRISPR/Cas systems and multidrug resistance in Escherichia coli . The presence of Type I-E and Type I-F CRISPR systems was investigated among 82 antimicrobial-susceptible and 96 MDR clinical E. coli isolates by PCR and DNA sequencing. Phylogrouping and MLST were performed to determine relatedness of isolates. RT-PCR was performed to ascertain the expression of associated cas genes. Type I-F CRISPR was associated with the B2 phylogroup and was significantly overrepresented in the susceptible group (22.0%) compared with the MDR group (2.1%). The majority of CRISPR I-F-containing isolates had spacer sequences that matched IncF and IncI plasmids. RT-PCR demonstrated that Type I-F cas genes were expressed and therefore potentially functional. The CRISPR I-F system is more likely to be found in antimicrobial-susceptible E. coli . Given that the Type I-F system is expressed in WT isolates, we suggest that this difference could be due to the CRISPR system potentially interfering with the acquisition of antimicrobial resistance plasmids, maintaining susceptibility in these isolates. © The Author 2017. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  11. Highly efficient targeted mutagenesis in one-cell mouse embryos mediated by the TALEN and CRISPR/Cas systems.

    PubMed

    Yasue, Akihiro; Mitsui, Silvia Naomi; Watanabe, Takahito; Sakuma, Tetsushi; Oyadomari, Seiichi; Yamamoto, Takashi; Noji, Sumihare; Mito, Taro; Tanaka, Eiji

    2014-07-16

    Since the establishment of embryonic stem (ES) cell lines, the combined use of gene targeting with homologous recombination has aided in elucidating the functions of various genes. However, the ES cell technique is inefficient and time-consuming. Recently, two new gene-targeting technologies have been developed: the transcription activator-like effector nuclease (TALEN) system, and the clustered regularly interspaced short palindromic repeat (CRISPR)/CRISPR-associated protein (Cas) system. In addition to aiding researchers in solving conventional problems, these technologies can be used to induce site-specific mutations in various species for which ES cells have not been established. Here, by targeting the Fgf10 gene through RNA microinjection in one-cell mouse embryos with the TALEN and CRISPR/Cas systems, we produced the known limb-defect phenotypes of Fgf10-deficient embryos at the F0 generation. Compared to the TALEN system, the CRISPR/Cas system induced the limb-defect phenotypes with a strikingly higher efficiency. Our results demonstrate that although both gene-targeting technologies are useful, the CRISPR/Cas system more effectively elicits single-step biallelic mutations in mice.

  12. Chronic arsenic intoxication diagnostic score (CAsIDS).

    PubMed

    Dani, Sergio Ulhoa; Walter, Gerhard Franz

    2018-01-01

    Arsenic and its compounds are well-established, potent, environmentally widespread and persistent toxicants with metabolic, genotoxic, mutagenic, teratogenic, epigenetic and carcinogenic effects. Arsenic occurs naturally in the Earth's crust, but anthropogenic arsenic emissions have surmounted the emissions from important natural sources such as volcanism. Inorganic arsenicals exhibit acute and chronic toxicities in virtually all cell types and tissues, and hence arsenic intoxication affects multiple systems. Whereas acute arsenic intoxication is rare and relatively easy to diagnose, chronic arsenic intoxication (CAsI) is common but goes often misdiagnosed. Based on a review of the literature as well as our own clinical experience, we propose a chronic arsenic intoxication diagnostic score (CAsIDS). A distinctive feature of CAsIDS is the use of bone arsenic load as an essential criterion for the individual risk assessment of chronic arsenic intoxication, combined with a systemic clinical assessment. We present clinical examples where CAsIDS is applied for the diagnosis of CAsI, review the main topics of the toxicity of arsenic in different cell and organ systems and discuss the therapy and prevention of disease caused or aggravated by chronic arsenic intoxication. CAsIDS can help physicians establish the diagnosis of CAsI and associated conditions. Copyright © 2017 John Wiley & Sons, Ltd.

  13. CRISPR-Cas and Restriction-Modification Act Additively against Conjugative Antibiotic Resistance Plasmid Transfer in Enterococcus faecalis.

    PubMed

    Price, Valerie J; Huo, Wenwen; Sharifi, Ardalan; Palmer, Kelli L

    2016-01-01

    Enterococcus faecalis is a bacterium that normally inhabits the gastrointestinal tracts of humans and other animals. Although these bacteria are members of our native gut flora, they can cause life-threatening infections in hospitalized patients. Antibiotic resistance genes appear to be readily shared among high-risk E. faecalis strains, and multidrug resistance in these bacteria limits treatment options for infections. Here, we find that CRISPR-Cas and restriction-modification systems, which function as adaptive and innate immune systems in bacteria, significantly impact the spread of antibiotic resistance genes in E. faecalis populations. The loss of these systems in high-risk E. faecalis suggests that they are immunocompromised, a tradeoff that allows them to readily acquire new genes and adapt to new antibiotics.

  14. The Impact of CRISPR/Cas9 Technology on Cardiac Research: From Disease Modelling to Therapeutic Approaches

    PubMed Central

    Pramstaller, Peter P.; Hicks, Andrew A.; Rossini, Alessandra

    2017-01-01

    Genome-editing technology has emerged as a powerful method that enables the generation of genetically modified cells and organisms necessary to elucidate gene function and mechanisms of human diseases. The clustered regularly interspaced short palindromic repeats- (CRISPR-) associated 9 (Cas9) system has rapidly become one of the most popular approaches for genome editing in basic biomedical research over recent years because of its simplicity and adaptability. CRISPR/Cas9 genome editing has been used to correct DNA mutations ranging from a single base pair to large deletions in both in vitro and in vivo model systems. CRISPR/Cas9 has been used to increase the understanding of many aspects of cardiovascular disorders, including lipid metabolism, electrophysiology and genetic inheritance. The CRISPR/Cas9 technology has been proven to be effective in creating gene knockout (KO) or knockin in human cells and is particularly useful for editing induced pluripotent stem cells (iPSCs). Despite these progresses, some biological, technical, and ethical issues are limiting the therapeutic potential of genome editing in cardiovascular diseases. This review will focus on various applications of CRISPR/Cas9 genome editing in the cardiovascular field, for both disease research and the prospect of in vivo genome-editing therapies in the future. PMID:29434642

  15. Excision of Nucleopolyhedrovirus Form Transgenic Silkworm Using the CRISPR/Cas9 System.

    PubMed

    Dong, Zhanqi; Dong, Feifan; Yu, Xinbo; Huang, Liang; Jiang, Yaming; Hu, Zhigang; Chen, Peng; Lu, Cheng; Pan, Minhui

    2018-01-01

    The CRISPR/Cas9-mediated genome engineering has been shown to efficiently suppress infection by disrupting genes of the pathogen. We recently constructed transgenic lines expressing CRISPR/Cas9 and the double sgRNA target Bombyx mori nucleopolyhedrovirus (BmNPV) immediate early-1 ( ie-1 ) gene in the silkworm, respectively, and obtained four transgenic hybrid lines by G1 generation hybridization: Cas9(-)/sgRNA(-), Cas9(+)/sgRNA(-), Cas9(-)/sgRNA(+), and Cas9(+)/sgRNA(+). We demonstrated that the Cas9(+)/sgRNA(+) transgenic lines effectively edited the target site of the BmNPV genome, and large fragment deletion was observed after BmNPV infection. Further antiviral analysis of the Cas9(+)/sgRNA(+) transgenic lines shows that the median lethal dose (LD50) is 1,000-fold higher than the normal lines after inoculation with occlusion bodies. The analysis of economic characters and off-target efficiency of Cas9(+)/sgRNA(+) transgenic hybrid line showed no significant difference compared with the normal lines. Our findings indicate that CRISPR/Cas9-mediated genome engineering more effectively targets the BmNPV genomes and could be utilized as an insect antiviral treatment.

  16. Excision of Nucleopolyhedrovirus Form Transgenic Silkworm Using the CRISPR/Cas9 System

    PubMed Central

    Dong, Zhanqi; Dong, Feifan; Yu, Xinbo; Huang, Liang; Jiang, Yaming; Hu, Zhigang; Chen, Peng; Lu, Cheng; Pan, Minhui

    2018-01-01

    The CRISPR/Cas9-mediated genome engineering has been shown to efficiently suppress infection by disrupting genes of the pathogen. We recently constructed transgenic lines expressing CRISPR/Cas9 and the double sgRNA target Bombyx mori nucleopolyhedrovirus (BmNPV) immediate early-1 (ie-1) gene in the silkworm, respectively, and obtained four transgenic hybrid lines by G1 generation hybridization: Cas9(-)/sgRNA(-), Cas9(+)/sgRNA(-), Cas9(-)/sgRNA(+), and Cas9(+)/sgRNA(+). We demonstrated that the Cas9(+)/sgRNA(+) transgenic lines effectively edited the target site of the BmNPV genome, and large fragment deletion was observed after BmNPV infection. Further antiviral analysis of the Cas9(+)/sgRNA(+) transgenic lines shows that the median lethal dose (LD50) is 1,000-fold higher than the normal lines after inoculation with occlusion bodies. The analysis of economic characters and off-target efficiency of Cas9(+)/sgRNA(+) transgenic hybrid line showed no significant difference compared with the normal lines. Our findings indicate that CRISPR/Cas9-mediated genome engineering more effectively targets the BmNPV genomes and could be utilized as an insect antiviral treatment. PMID:29503634

  17. Editing plants for virus resistance using CRISPR-Cas.

    PubMed

    Green, J C; Hu, J S

    This minireview summarizes recent advancements using the clustered regularly interspaced palindromic repeats-associated nuclease systems (CRISPR-Cas) derived from prokaryotes to breed plants resistant to DNA and RNA viruses. The CRISPR-Cas system represents a powerful tool able to edit and insert novel traits into plants precisely at chosen loci offering enormous advantages to classical breeding. Approaches to engineering plant virus resistance in both transgenic and non-transgenic plants are discussed. Iterations of the CRISPR-Cas system, FnCas9 and C2c2 capable of editing RNA in eukaryotic cells offer a particular advantage for providing resistance to RNA viruses which represent the great majority of known plant viruses. Scientists have obtained conflicting results using gene silencing technology to produce transgenic plants resistant to geminiviruses. CRISPR-Cas systems engineered in plants to target geminiviruses have consistently reduced virus accumulation providing increased resistance to virus infection. CRISPR-Cas may provide novel and reliable approaches to control geminiviruses and other ssDNA viruses such as Banana bunchy top virus (BBTV).

  18. Recent Advances in Genome Editing Using CRISPR/Cas9.

    PubMed

    Ding, Yuduan; Li, Hong; Chen, Ling-Ling; Xie, Kabin

    2016-01-01

    The CRISPR (clustered regularly interspaced short palindromic repeat)-Cas9 (CRISPR-associated nuclease 9) system is a versatile tool for genome engineering that uses a guide RNA (gRNA) to target Cas9 to a specific sequence. This simple RNA-guided genome-editing technology has become a revolutionary tool in biology and has many innovative applications in different fields. In this review, we briefly introduce the Cas9-mediated genome-editing method, summarize the recent advances in CRISPR/Cas9 technology, and discuss their implications for plant research. To date, targeted gene knockout using the Cas9/gRNA system has been established in many plant species, and the targeting efficiency and capacity of Cas9 has been improved by optimizing its expression and that of its gRNA. The CRISPR/Cas9 system can also be used for sequence-specific mutagenesis/integration and transcriptional control of target genes. We also discuss off-target effects and the constraint that the protospacer-adjacent motif (PAM) puts on CRISPR/Cas9 genome engineering. To address these problems, a number of bioinformatic tools are available to help design specific gRNAs, and new Cas9 variants and orthologs with high fidelity and alternative PAM specificities have been engineered. Owing to these recent efforts, the CRISPR/Cas9 system is becoming a revolutionary and flexible tool for genome engineering. Adoption of the CRISPR/Cas9 technology in plant research would enable the investigation of plant biology at an unprecedented depth and create innovative applications in precise crop breeding.

  19. Recent Advances in Genome Editing Using CRISPR/Cas9

    PubMed Central

    Ding, Yuduan; Li, Hong; Chen, Ling-Ling; Xie, Kabin

    2016-01-01

    The CRISPR (clustered regularly interspaced short palindromic repeat)-Cas9 (CRISPR-associated nuclease 9) system is a versatile tool for genome engineering that uses a guide RNA (gRNA) to target Cas9 to a specific sequence. This simple RNA-guided genome-editing technology has become a revolutionary tool in biology and has many innovative applications in different fields. In this review, we briefly introduce the Cas9-mediated genome-editing method, summarize the recent advances in CRISPR/Cas9 technology, and discuss their implications for plant research. To date, targeted gene knockout using the Cas9/gRNA system has been established in many plant species, and the targeting efficiency and capacity of Cas9 has been improved by optimizing its expression and that of its gRNA. The CRISPR/Cas9 system can also be used for sequence-specific mutagenesis/integration and transcriptional control of target genes. We also discuss off-target effects and the constraint that the protospacer-adjacent motif (PAM) puts on CRISPR/Cas9 genome engineering. To address these problems, a number of bioinformatic tools are available to help design specific gRNAs, and new Cas9 variants and orthologs with high fidelity and alternative PAM specificities have been engineered. Owing to these recent efforts, the CRISPR/Cas9 system is becoming a revolutionary and flexible tool for genome engineering. Adoption of the CRISPR/Cas9 technology in plant research would enable the investigation of plant biology at an unprecedented depth and create innovative applications in precise crop breeding. PMID:27252719

  20. A CRISPR-Cas9 sex-ratio distortion system for genetic control

    PubMed Central

    Galizi, Roberto; Hammond, Andrew; Kyrou, Kyros; Taxiarchi, Chrysanthi; Bernardini, Federica; O’Loughlin, Samantha M.; Papathanos, Philippos-Aris; Nolan, Tony; Windbichler, Nikolai; Crisanti, Andrea

    2016-01-01

    Genetic control aims to reduce the ability of insect pest populations to cause harm via the release of modified insects. One strategy is to bias the reproductive sex ratio towards males so that a population decreases in size or is eliminated altogether due to a lack of females. We have shown previously that sex ratio distortion can be generated synthetically in the main human malaria vector Anopheles gambiae, by selectively destroying the X-chromosome during spermatogenesis, through the activity of a naturally-occurring endonuclease that targets a repetitive rDNA sequence highly-conserved in a wide range of organisms. Here we describe a CRISPR-Cas9 sex distortion system that targets ribosomal sequences restricted to the member species of the Anopheles gambiae complex. Expression of Cas9 during spermatogenesis resulted in RNA-guided shredding of the X-chromosome during male meiosis and produced extreme male bias among progeny in the absence of any significant reduction in fertility. The flexibility of CRISPR-Cas9 combined with the availability of genomic data for a range of insects renders this strategy broadly applicable for the species-specific control of any pest or vector species with an XY sex-determination system by targeting sequences exclusive to the female sex chromosome. PMID:27484623

  1. Engineered CRISPR/Cas9 system for multiplex genome engineering of polyploid industrial yeast strains

    DOE PAGES

    Lian, Jiazhang; Bao, Zehua; Hu, Sumeng; ...

    2018-02-20

    The CRISPR/Cas9 system has been widely used for multiplex genome engineering of Saccharomyces cerevisiae. Furthermore, its application in manipulating industrial yeast strains is less successful, probably due to the genome complexity and low copy numbers of gRNA expression plasmids. Here we developed an efficient CRISPR/Cas9 system for industrial yeast strain engineering by using our previously engineered plasmids with increased copy numbers. Four genes in both a diploid strain (Ethanol Red, 8 alleles in total) and a triploid strain (ATCC 4124, 12 alleles in total) were knocked out in a single step with 100% efficiency. This system was used to constructmore » xylose-fermenting, lactate-producing industrial yeast strains, in which ALD6, PHO13, LEU2, and URA3 were disrupted in a single step followed by the introduction of a xylose utilization pathway and a lactate biosynthetic pathway on auxotrophic marker plasmids. The optimized CRISPR/Cas9 system provides a powerful tool for the development of industrial yeast based microbial cell factories.« less

  2. Engineered CRISPR/Cas9 system for multiplex genome engineering of polyploid industrial yeast strains

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lian, Jiazhang; Bao, Zehua; Hu, Sumeng

    The CRISPR/Cas9 system has been widely used for multiplex genome engineering of Saccharomyces cerevisiae. Furthermore, its application in manipulating industrial yeast strains is less successful, probably due to the genome complexity and low copy numbers of gRNA expression plasmids. Here we developed an efficient CRISPR/Cas9 system for industrial yeast strain engineering by using our previously engineered plasmids with increased copy numbers. Four genes in both a diploid strain (Ethanol Red, 8 alleles in total) and a triploid strain (ATCC 4124, 12 alleles in total) were knocked out in a single step with 100% efficiency. This system was used to constructmore » xylose-fermenting, lactate-producing industrial yeast strains, in which ALD6, PHO13, LEU2, and URA3 were disrupted in a single step followed by the introduction of a xylose utilization pathway and a lactate biosynthetic pathway on auxotrophic marker plasmids. The optimized CRISPR/Cas9 system provides a powerful tool for the development of industrial yeast based microbial cell factories.« less

  3. Engineered CRISPR/Cas9 system for multiplex genome engineering of polyploid industrial yeast strains.

    PubMed

    Lian, Jiazhang; Bao, Zehua; Hu, Sumeng; Zhao, Huimin

    2018-06-01

    The CRISPR/Cas9 system has been widely used for multiplex genome engineering of Saccharomyces cerevisiae. However, its application in manipulating industrial yeast strains is less successful, probably due to the genome complexity and low copy numbers of gRNA expression plasmids. Here we developed an efficient CRISPR/Cas9 system for industrial yeast strain engineering by using our previously engineered plasmids with increased copy numbers. Four genes in both a diploid strain (Ethanol Red, 8 alleles in total) and a triploid strain (ATCC 4124, 12 alleles in total) were knocked out in a single step with 100% efficiency. This system was used to construct xylose-fermenting, lactate-producing industrial yeast strains, in which ALD6, PHO13, LEU2, and URA3 were disrupted in a single step followed by the introduction of a xylose utilization pathway and a lactate biosynthetic pathway on auxotrophic marker plasmids. The optimized CRISPR/Cas9 system provides a powerful tool for the development of industrial yeast based microbial cell factories. © 2018 Wiley Periodicals, Inc.

  4. RNA-dependent DNA endonuclease Cas9 of the CRISPR system: Holy Grail of genome editing?

    PubMed

    Gasiunas, Giedrius; Siksnys, Virginijus

    2013-11-01

    Tailor-made nucleases for precise genome modification, such as zinc finger or TALE nucleases, currently represent the state-of-the-art for genome editing. These nucleases combine a programmable protein module which guides the enzyme to the target site with a nuclease domain which cuts DNA at the addressed site. Reprogramming of these nucleases to cut genomes at specific locations requires major protein engineering efforts. RNA-guided DNA endonuclease Cas9 of the type II (clustered regularly interspaced short palindromic repeat) CRISPR-Cas system uses CRISPR RNA (crRNA) as a guide to locate the DNA target and the Cas9 protein to cut DNA. Easy programmability of the Cas9 endonuclease using customizable RNAs brings unprecedented flexibility and versatility for targeted genome modification. We highlight the potential of the Cas9 RNA-guided DNA endonuclease as a novel tool for genome surgery, and discuss possible constraints and future prospects. Copyright © 2013 Elsevier Ltd. All rights reserved.

  5. CRISPR-Cas Defense System and Potential Prophages in Cyanobacteria Associated with the Coral Black Band Disease

    PubMed Central

    Buerger, Patrick; Wood-Charlson, Elisha M.; Weynberg, Karen D.; Willis, Bette L.; van Oppen, Madeleine J. H.

    2016-01-01

    Understanding how pathogens maintain their virulence is critical to developing tools to mitigate disease in animal populations. We sequenced and assembled the first draft genome of Roseofilum reptotaenium AO1, the dominant cyanobacterium underlying pathogenicity of the virulent coral black band disease (BBD), and analyzed parts of the BBD-associated Geitlerinema sp. BBD_1991 genome in silico. Both cyanobacteria are equipped with an adaptive, heritable clustered regularly interspaced short palindromic repeats (CRISPR)-Cas defense system type I-D and have potential virulence genes located within several prophage regions. The defense system helps to prevent infection by viruses and mobile genetic elements via identification of short fingerprints of the intruding DNA, which are stored as templates in the bacterial genome, in so-called “CRISPRs.” Analysis of CRISPR target sequences (protospacers) revealed an unusually high number of self-targeting spacers in R. reptotaenium AO1 and extraordinary long CRIPSR arrays of up to 260 spacers in Geitlerinema sp. BBD_1991. The self-targeting spacers are unlikely to be a form of autoimmunity; instead these target an incomplete lysogenic bacteriophage. Lysogenic virus induction experiments with mitomycin C and UV light did not reveal an actively replicating virus population in R. reptotaenium AO1 cultures, suggesting that phage functionality is compromised or excision could be blocked by the CRISPR-Cas system. Potential prophages were identified in three regions of R. reptotaenium AO1 and five regions of Geitlerinema sp. BBD_1991, containing putative BBD relevant virulence genes, such as an NAD-dependent epimerase/dehydratase (a homolog in terms of functionality to the third and fourth most expressed gene in BBD), lysozyme/metalloendopeptidases and other lipopolysaccharide modification genes. To date, viruses have not been considered to be a component of the BBD consortium or a contributor to the virulence of R. reptotaenium

  6. CRISPR-Cas Defense System and Potential Prophages in Cyanobacteria Associated with the Coral Black Band Disease.

    PubMed

    Buerger, Patrick; Wood-Charlson, Elisha M; Weynberg, Karen D; Willis, Bette L; van Oppen, Madeleine J H

    2016-01-01

    Understanding how pathogens maintain their virulence is critical to developing tools to mitigate disease in animal populations. We sequenced and assembled the first draft genome of Roseofilum reptotaenium AO1, the dominant cyanobacterium underlying pathogenicity of the virulent coral black band disease (BBD), and analyzed parts of the BBD-associated Geitlerinema sp. BBD_1991 genome in silico . Both cyanobacteria are equipped with an adaptive, heritable clustered regularly interspaced short palindromic repeats (CRISPR)-Cas defense system type I-D and have potential virulence genes located within several prophage regions. The defense system helps to prevent infection by viruses and mobile genetic elements via identification of short fingerprints of the intruding DNA, which are stored as templates in the bacterial genome, in so-called "CRISPRs." Analysis of CRISPR target sequences (protospacers) revealed an unusually high number of self-targeting spacers in R. reptotaenium AO1 and extraordinary long CRIPSR arrays of up to 260 spacers in Geitlerinema sp. BBD_1991. The self-targeting spacers are unlikely to be a form of autoimmunity; instead these target an incomplete lysogenic bacteriophage. Lysogenic virus induction experiments with mitomycin C and UV light did not reveal an actively replicating virus population in R. reptotaenium AO1 cultures, suggesting that phage functionality is compromised or excision could be blocked by the CRISPR-Cas system. Potential prophages were identified in three regions of R. reptotaenium AO1 and five regions of Geitlerinema sp. BBD_1991, containing putative BBD relevant virulence genes, such as an NAD-dependent epimerase/dehydratase (a homolog in terms of functionality to the third and fourth most expressed gene in BBD), lysozyme/metalloendopeptidases and other lipopolysaccharide modification genes. To date, viruses have not been considered to be a component of the BBD consortium or a contributor to the virulence of R. reptotaenium AO1

  7. All-in-One CRISPR-Cas9/FokI-dCas9 Vector-Mediated Multiplex Genome Engineering in Cultured Cells.

    PubMed

    Sakuma, Tetsushi; Sakamoto, Takuya; Yamamoto, Takashi

    2017-01-01

    CRISPR-Cas9 enables highly convenient multiplex genome engineering in cultured cells, because it utilizes generic Cas9 nuclease and an easily customizable single-guide RNA (sgRNA) for site-specific DNA double-strand break induction. We previously established a multiplex CRISPR-Cas9 assembly system for constructing an all-in-one vector simultaneously expressing multiple sgRNAs and Cas9 nuclease or other Cas9 variants including FokI-dCas9, which supersedes the wild-type Cas9 with regard to high specificity. In this chapter, we describe a streamlined protocol to design and construct multiplex CRISPR-Cas9 or FokI-dCas9 vectors, to introduce them into cultured cells by lipofection or electroporation, to enrich the genomically edited cells with a transient puromycin selection, to validate the mutation efficiency by Surveyor nuclease assay, and to perform off-target analyses. We show that our protocol enables highly efficient multiplex genome engineering even in hard-to-transfect HepG2 cells.

  8. Comparison of Various Nuclear Localization Signal-Fused Cas9 Proteins and Cas9 mRNA for Genome Editing in Zebrafish.

    PubMed

    Hu, Peinan; Zhao, Xueying; Zhang, Qinghua; Li, Weiming; Zu, Yao

    2018-03-02

    The clustered regularly interspaced short palindromic repeats (CRISPR)/Cas9 system has been proven to be an efficient and precise genome editing technology in various organisms. However, the gene editing efficiencies of Cas9 proteins with a nuclear localization signal (NLS) fused to different termini and Cas9 mRNA have not been systematically compared. Here, we compared the ability of Cas9 proteins with NLS fused to the N-, C-, or both the N- and C-termini and N-NLS-Cas9-NLS-C mRNA to target two sites in the tyr gene and two sites in the gol gene related to pigmentation in zebrafish. Phenotypic analysis revealed that all types of Cas9 led to hypopigmentation in similar proportions of injected embryos. Genome analysis by T7 Endonuclease I (T7E1) assays demonstrated that all types of Cas9 similarly induced mutagenesis in four target sites. Sequencing results further confirmed that a high frequency of indels occurred in the target sites ( tyr1 > 66%, tyr2 > 73%, gol1 > 50%, and gol2 > 35%), as well as various types (more than six) of indel mutations observed in all four types of Cas9-injected embryos. Furthermore, all types of Cas9 showed efficient targeted mutagenesis on multiplex genome editing, resulting in multiple phenotypes simultaneously. Collectively, we conclude that various NLS-fused Cas9 proteins and Cas9 mRNAs have similar genome editing efficiencies on targeting single or multiple genes, suggesting that the efficiency of CRISPR/Cas9 genome editing is highly dependent on guide RNAs (gRNAs) and gene loci. These findings may help to simplify the selection of Cas9 for gene editing using the CRISPR/Cas9 system. Copyright © 2018 Hu et al.

  9. Comparison of Various Nuclear Localization Signal-Fused Cas9 Proteins and Cas9 mRNA for Genome Editing in Zebrafish

    PubMed Central

    Hu, Peinan; Zhao, Xueying; Zhang, Qinghua; Li, Weiming; Zu, Yao

    2018-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)/Cas9 system has been proven to be an efficient and precise genome editing technology in various organisms. However, the gene editing efficiencies of Cas9 proteins with a nuclear localization signal (NLS) fused to different termini and Cas9 mRNA have not been systematically compared. Here, we compared the ability of Cas9 proteins with NLS fused to the N-, C-, or both the N- and C-termini and N-NLS-Cas9-NLS-C mRNA to target two sites in the tyr gene and two sites in the gol gene related to pigmentation in zebrafish. Phenotypic analysis revealed that all types of Cas9 led to hypopigmentation in similar proportions of injected embryos. Genome analysis by T7 Endonuclease I (T7E1) assays demonstrated that all types of Cas9 similarly induced mutagenesis in four target sites. Sequencing results further confirmed that a high frequency of indels occurred in the target sites (tyr1 > 66%, tyr2 > 73%, gol1 > 50%, and gol2 > 35%), as well as various types (more than six) of indel mutations observed in all four types of Cas9-injected embryos. Furthermore, all types of Cas9 showed efficient targeted mutagenesis on multiplex genome editing, resulting in multiple phenotypes simultaneously. Collectively, we conclude that various NLS-fused Cas9 proteins and Cas9 mRNAs have similar genome editing efficiencies on targeting single or multiple genes, suggesting that the efficiency of CRISPR/Cas9 genome editing is highly dependent on guide RNAs (gRNAs) and gene loci. These findings may help to simplify the selection of Cas9 for gene editing using the CRISPR/Cas9 system. PMID:29295818

  10. Contrarian behavior in a complex adaptive system

    NASA Astrophysics Data System (ADS)

    Liang, Y.; An, K. N.; Yang, G.; Huang, J. P.

    2013-01-01

    Contrarian behavior is a kind of self-organization in complex adaptive systems (CASs). Here we report the existence of a transition point in a model resource-allocation CAS with contrarian behavior by using human experiments, computer simulations, and theoretical analysis. The resource ratio and system predictability serve as the tuning parameter and order parameter, respectively. The transition point helps to reveal the positive or negative role of contrarian behavior. This finding is in contrast to the common belief that contrarian behavior always has a positive role in resource allocation, say, stabilizing resource allocation by shrinking the redundancy or the lack of resources. It is further shown that resource allocation can be optimized at the transition point by adding an appropriate size of contrarians. This work is also expected to be of value to some other fields ranging from management and social science to ecology and evolution.

  11. Rational Design of Mini-Cas9 for Transcriptional Activation.

    PubMed

    Ma, Dacheng; Peng, Shuguang; Huang, Weiren; Cai, Zhiming; Xie, Zhen

    2018-04-20

    Nuclease dead Cas9 (dCas9) has been widely used for modulating gene expression by fusing with different activation or repression domains. However, delivery of the CRISPR/Cas system fused with various effector domains in a single adeno-associated virus (AAV) remains challenging due to the payload limit. Here, we engineered a set of downsized variants of Cas9 including Staphylococcus aureus Cas9 (SaCas9) that retained DNA binding activity by deleting conserved functional domains. We demonstrated that fusing FokI nuclease domain to the N-terminal of the minimal SaCas9 (mini-SaCas9) or to the middle of the split mini-SaCas9 can trigger efficient DNA cleavage. In addition, we constructed a set of compact transactivation domains based on the tripartite VPR activation domain and self-assembled arrays of split SpyTag:SpyCatch peptides, which are suitable for fusing to the mini-SaCas9. Lastly, we produced a single AAV containing the mini-SaCas9 fused with a downsized transactivation domain along with an optimized gRNA expression cassette, which showed efficient transactivation activity. Our results highlighted a practical approach to generate down-sized CRISPR/Cas9 and gene activation systems for in vivo applications.

  12. The genome editing revolution: A CRISPR-Cas TALE off-target story.

    PubMed

    Stella, Stefano; Montoya, Guillermo

    2016-07-01

    In the last 10 years, we have witnessed a blooming of targeted genome editing systems and applications. The area was revolutionized by the discovery and characterization of the transcription activator-like effector proteins, which are easier to engineer to target new DNA sequences than the previously available DNA binding templates, zinc fingers and meganucleases. Recently, the area experimented a quantum leap because of the introduction of the clustered regularly interspaced short palindromic repeats (CRISPR)-associated protein (Cas) system (clustered regularly interspaced short palindromic sequence). This ribonucleoprotein complex protects bacteria from invading DNAs, and it was adapted to be used in genome editing. The CRISPR ribonucleic acid (RNA) molecule guides to the specific DNA site the Cas9 nuclease to cleave the DNA target. Two years and more than 1000 publications later, the CRISPR-Cas system has become the main tool for genome editing in many laboratories. Currently the targeted genome editing technology has been used in many fields and may be a possible approach for human gene therapy. Furthermore, it can also be used to modifying the genomes of model organisms for studying human pathways or to improve key organisms for biotechnological applications, such as plants, livestock genome as well as yeasts and bacterial strains. © 2016 The Authors. BioEssays published by WILEY Periodicals, Inc.

  13. CRISPR/Cas9-mediated correction of human genetic disease.

    PubMed

    Men, Ke; Duan, Xingmei; He, Zhiyao; Yang, Yang; Yao, Shaohua; Wei, Yuquan

    2017-05-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) protein 9 system (CRISPR/Cas9) provides a powerful tool for targeted genetic editing. Directed by programmable sequence-specific RNAs, this system introduces cleavage and double-stranded breaks at target sites precisely. Compared to previously developed targeted nucleases, the CRISPR/Cas9 system demonstrates several promising advantages, including simplicity, high specificity, and efficiency. Several broad genome-editing studies with the CRISPR/Cas9 system in different species in vivo and ex vivo have indicated its strong potential, raising hopes for therapeutic genome editing in clinical settings. Taking advantage of non-homologous end-joining (NHEJ) and homology directed repair (HDR)-mediated DNA repair, several studies have recently reported the use of CRISPR/Cas9 to successfully correct disease-causing alleles ranging from single base mutations to large insertions. In this review, we summarize and discuss recent preclinical studies involving the CRISPR/Cas9-mediated correction of human genetic diseases.

  14. Construction of an easy-to-use CRISPR-Cas9 system by patching a newly designed EXIT circuit.

    PubMed

    Tang, Qiang; Lou, Chunbo; Liu, Shuang-Jiang

    2017-01-01

    Plasmid-borne genetic editing tools, including the widely used CRISPR-Cas9 system, have greatly facilitated bacterial programming to obtain novel functionalities. However, the lack of effective post-editing plasmid elimination methods impedes follow-up genetic manipulation or application. Conventional strategies including exposure to physical and chemical treatments, or exploiting temperature-sensitive replication origins have several drawbacks (e.g., they are limited for efficiency and are time-consuming). Therefore, the demand is apparent for easy and rapid elimination of the tool plasmids from their bacterial hosts after genetic manipulation. To bridge this gap, we designed a novel EXIT circuit with the homing endonuclease, which can be exploited for rapid and efficient elimination of various plasmids with diverse replication origins. As a proof of concept, we validated the EXIT circuit in Escherichia coli by harnessing homing endonuclease I- Sce I and its cleavage site. When integrated into multiple plasmids with different origins, the EXIT circuit allowed them to be eliminated from the host cells, simultaneously. By combining the widely used plasmid-borne CRISPR-Cas9 system and the EXIT circuit, we constructed an easy-to-use CRISPR-Cas9 system that eliminated the Cas9- and the single-guide RNA (sgRNA)-encoding plasmids in one-step. Within 3 days, we successfully constructed an atrazine-degrading E. coli strain, thus further demonstrating the advantage of this new CRISPR-Cas9 system for bacterial genome editing. Our novel EXIT circuit, which exploits the homing endonuclease I- Sce I, enables plasmid(s) with different replication origins to be eliminated from their host cells rapidly and efficiently. We also developed an easy-to-use CRISPR-Cas9 system with the EXIT circuit, and this new system can be widely applied to bacterial genome editing.

  15. H-NS Mutation-Mediated CRISPR-Cas Activation Inhibits Phage Release and Toxin Production of Escherichia coli Stx2 Phage Lysogen.

    PubMed

    Fu, Qiang; Li, Shiyu; Wang, Zhaofei; Shan, Wenya; Ma, Jingjiao; Cheng, Yuqiang; Wang, Hengan; Yan, Yaxian; Sun, Jianhe

    2017-01-01

    Shiga toxin-converting bacteriophages (Stx phages) carry the stx gene and convert nonpathogenic bacterial strains into Shiga toxin-producing bacteria. There is limited understanding of the effect that an Escherichia coli ( E. coli ) clustered regularly interspaced short palindromic repeats (CRISPR)-Cas adaptive immune system has on Stx phage lysogen. We investigated heat-stable nucleoid-structuring (H-NS) mutation-mediated CRISPR-Cas activation and its effect on E. coli Stx2 phage lysogen. The Δ hns mutant (MG1655Δ hns ) of the E. coli K-12 strain MG1655 was obtained. The Δ hns mutant lysogen that was generated after Stx phage lysogenic infection had a repressed growth status and showed subdued group behavior, including biofilm formation and swarming motility, in comparison to the wild-type strain. The de-repression effect of the H-NS mutation on CRISPR-Cas activity was then verified. The results showed that cas gene expression was upregulated and the transformation efficiency of the wild-type CRISPR plasmids was decreased, which may indicate activation of the CRISPR-Cas system. Furthermore, the function of CRISPR-Cas on Stx2 phage lysogen was investigated by activating the CRISPR-Cas system, which contains an insertion of the protospacer regions of the Stx2 phage Min27. The phage release and toxin production of four lysogens harboring the engineered CRISPRs were investigated. Notably, in the supernatant of the Δ hns mutant lysogen harboring the Min27 spacer, both the progeny phage release and the toxin production were inhibited after mitomycin C induction. These observations demonstrate that the H-NS mutation-activated CRISPR-Cas system plays a role in modifying the effects of the Stx2 phage lysogen. Our findings indicated that H-NS mutation-mediated CRISPR-Cas activation in E. coli protects bacteria against Stx2 phage lysogeny by inhibiting the phage release and toxin production of the lysogen.

  16. Structure Basis for Directional R-loop Formation and Substrate Handover Mechanisms in Type I CRISPR-Cas System.

    PubMed

    Xiao, Yibei; Luo, Min; Hayes, Robert P; Kim, Jonathan; Ng, Sherwin; Ding, Fang; Liao, Maofu; Ke, Ailong

    2017-06-29

    Type I CRISPR systems feature a sequential dsDNA target searching and degradation process, by crRNA-displaying Cascade and nuclease-helicase fusion enzyme Cas3, respectively. Here we present two cryo-EM snapshots of the Thermobifida fusca type I-E Cascade: (1) unwinding 11 bp of dsDNA at the seed-sequence region to scout for sequence complementarity, and (2) further unwinding of the entire protospacer to form a full R-loop. These structures provide the much-needed temporal and spatial resolution to resolve key mechanistic steps leading to Cas3 recruitment. In the early steps, PAM recognition causes severe DNA bending, leading to spontaneous DNA unwinding to form a seed-bubble. The full R-loop formation triggers conformational changes in Cascade, licensing Cas3 to bind. The same process also generates a bulge in the non-target DNA strand, enabling its handover to Cas3 for cleavage. The combination of both negative and positive checkpoints ensures stringent yet efficient target degradation in type I CRISPR-Cas systems. Copyright © 2017 Elsevier Inc. All rights reserved.

  17. A Broad-Spectrum Inhibitor of CRISPR-Cas9.

    PubMed

    Harrington, Lucas B; Doxzen, Kevin W; Ma, Enbo; Liu, Jun-Jie; Knott, Gavin J; Edraki, Alireza; Garcia, Bianca; Amrani, Nadia; Chen, Janice S; Cofsky, Joshua C; Kranzusch, Philip J; Sontheimer, Erik J; Davidson, Alan R; Maxwell, Karen L; Doudna, Jennifer A

    2017-09-07

    CRISPR-Cas9 proteins function within bacterial immune systems to target and destroy invasive DNA and have been harnessed as a robust technology for genome editing. Small bacteriophage-encoded anti-CRISPR proteins (Acrs) can inactivate Cas9, providing an efficient off switch for Cas9-based applications. Here, we show that two Acrs, AcrIIC1 and AcrIIC3, inhibit Cas9 by distinct strategies. AcrIIC1 is a broad-spectrum Cas9 inhibitor that prevents DNA cutting by multiple divergent Cas9 orthologs through direct binding to the conserved HNH catalytic domain of Cas9. A crystal structure of an AcrIIC1-Cas9 HNH domain complex shows how AcrIIC1 traps Cas9 in a DNA-bound but catalytically inactive state. By contrast, AcrIIC3 blocks activity of a single Cas9 ortholog and induces Cas9 dimerization while preventing binding to the target DNA. These two orthogonal mechanisms allow for separate control of Cas9 target binding and cleavage and suggest applications to allow DNA binding while preventing DNA cutting by Cas9. Copyright © 2017 Elsevier Inc. All rights reserved.

  18. Targeted genome editing in a quail cell line using a customized CRISPR/Cas9 system.

    PubMed

    Ahn, Jinsoo; Lee, Joonbum; Park, Ju Yeon; Oh, Keon Bong; Hwang, Seongsoo; Lee, Chang-Won; Lee, Kichoon

    2017-05-01

    Soon after RNA-guided Cas9 (CRISPR-associated protein 9) endonuclease opened a new era of targeted genome editing, the CRISPR/Cas9 platform began to be extensively used to modify genes in various types of cells and organisms. However, successful CRISPR/Cas9-mediated insertion/deletion (indel) mutation remains to be demonstrated in avian cell lines. The objective of this study was to design a poultry-specific CRISPR/Cas9 system to efficiently introduce targeted deletion mutation in chromosomes of the quail muscle clone 7 (QM7) cell line using a customized quail CRISPR vector. In this study, two avian-specific promoters, quail 7SK (q7SK) promoter and CBh promoter, the hybrid form of cytomegalovirus and chicken β-actin promoters, were cloned into a CRISPR vector for the expression of guide RNA and Cas9 protein, respectively. Then, guide RNA, which was designed to target 20-base pair (bp) nucleotides in the quail melanophilin (MLPH) locus, was ligated to the modified CRISPR vector and transfected to QM7 cells. Our results showed multiple indel mutations in the quail MLPH locus in nearly half of the alleles being tested, suggesting the high efficiency of the system for targeted gene modification. The new CRISPR vector developed from this study has the potential application to generate knockout avian cell lines and knockout poultry. © 2016 Poultry Science Association Inc.

  19. Cytotoxic Chromosomal Targeting by CRISPR/Cas Systems Can Reshape Bacterial Genomes and Expel or Remodel Pathogenicity Islands

    PubMed Central

    Vercoe, Reuben B.; Chang, James T.; Dy, Ron L.; Taylor, Corinda; Gristwood, Tamzin; Clulow, James S.; Richter, Corinna; Przybilski, Rita; Pitman, Andrew R.; Fineran, Peter C.

    2013-01-01

    In prokaryotes, clustered regularly interspaced short palindromic repeats (CRISPRs) and their associated (Cas) proteins constitute a defence system against bacteriophages and plasmids. CRISPR/Cas systems acquire short spacer sequences from foreign genetic elements and incorporate these into their CRISPR arrays, generating a memory of past invaders. Defence is provided by short non-coding RNAs that guide Cas proteins to cleave complementary nucleic acids. While most spacers are acquired from phages and plasmids, there are examples of spacers that match genes elsewhere in the host bacterial chromosome. In Pectobacterium atrosepticum the type I-F CRISPR/Cas system has acquired a self-complementary spacer that perfectly matches a protospacer target in a horizontally acquired island (HAI2) involved in plant pathogenicity. Given the paucity of experimental data about CRISPR/Cas–mediated chromosomal targeting, we examined this process by developing a tightly controlled system. Chromosomal targeting was highly toxic via targeting of DNA and resulted in growth inhibition and cellular filamentation. The toxic phenotype was avoided by mutations in the cas operon, the CRISPR repeats, the protospacer target, and protospacer-adjacent motif (PAM) beside the target. Indeed, the natural self-targeting spacer was non-toxic due to a single nucleotide mutation adjacent to the target in the PAM sequence. Furthermore, we show that chromosomal targeting can result in large-scale genomic alterations, including the remodelling or deletion of entire pre-existing pathogenicity islands. These features can be engineered for the targeted deletion of large regions of bacterial chromosomes. In conclusion, in DNA–targeting CRISPR/Cas systems, chromosomal interference is deleterious by causing DNA damage and providing a strong selective pressure for genome alterations, which may have consequences for bacterial evolution and pathogenicity. PMID:23637624

  20. An Agrobacterium-delivered CRISPR/Cas9 system for high-frequency targeted mutagenesis in maize.

    PubMed

    Char, Si Nian; Neelakandan, Anjanasree K; Nahampun, Hartinio; Frame, Bronwyn; Main, Marcy; Spalding, Martin H; Becraft, Philip W; Meyers, Blake C; Walbot, Virginia; Wang, Kan; Yang, Bing

    2017-02-01

    CRISPR/Cas9 is a powerful genome editing tool in many organisms, including a number of monocots and dicots. Although the design and application of CRISPR/Cas9 is simpler compared to other nuclease-based genome editing tools, optimization requires the consideration of the DNA delivery and tissue regeneration methods for a particular species to achieve accuracy and efficiency. Here, we describe a public sector system, ISU Maize CRISPR, utilizing Agrobacterium-delivered CRISPR/Cas9 for high-frequency targeted mutagenesis in maize. This system consists of an Escherichia coli cloning vector and an Agrobacterium binary vector. It can be used to clone up to four guide RNAs for single or multiplex gene targeting. We evaluated this system for its mutagenesis frequency and heritability using four maize genes in two duplicated pairs: Argonaute 18 (ZmAgo18a and ZmAgo18b) and dihydroflavonol 4-reductase or anthocyaninless genes (a1 and a4). T 0 transgenic events carrying mono- or diallelic mutations of one locus and various combinations of allelic mutations of two loci occurred at rates over 70% mutants per transgenic events in both Hi-II and B104 genotypes. Through genetic segregation, null segregants carrying only the desired mutant alleles without the CRISPR transgene could be generated in T 1 progeny. Inheritance of an active CRISPR/Cas9 transgene leads to additional target-specific mutations in subsequent generations. Duplex infection of immature embryos by mixing two individual Agrobacterium strains harbouring different Cas9/gRNA modules can be performed for improved cost efficiency. Together, the findings demonstrate that the ISU Maize CRISPR platform is an effective and robust tool to targeted mutagenesis in maize. © 2016 The Authors. Plant Biotechnology Journal published by Society for Experimental Biology and The Association of Applied Biologists and John Wiley & Sons Ltd.

  1. Enhancing Targeted Genomic DNA Editing in Chicken Cells Using the CRISPR/Cas9 System

    PubMed Central

    Wang, Ling; Yang, Likai; Guo, Yijie; Du, Weili; Yin, Yajun; Zhang, Tao; Lu, Hongzhao

    2017-01-01

    The CRISPR/Cas9 system has enabled highly efficient genome targeted editing for various organisms. However, few studies have focused on CRISPR/Cas9 nuclease-mediated chicken genome editing compared with mammalian genomes. The current study combined CRISPR with yeast Rad52 (yRad52) to enhance targeted genomic DNA editing in chicken DF-1 cells. The efficiency of CRISPR/Cas9 nuclease-induced targeted mutations in the chicken genome was increased to 41.9% via the enrichment of the dual-reporter surrogate system. In addition, the combined effect of CRISPR nuclease and yRad52 dramatically increased the efficiency of the targeted substitution in the myostatin gene using 50-mer oligodeoxynucleotides (ssODN) as the donor DNA, resulting in a 36.7% editing efficiency after puromycin selection. Furthermore, based on the effect of yRad52, the frequency of exogenous gene integration in the chicken genome was more than 3-fold higher than that without yRad52. Collectively, these results suggest that ssODN is an ideal donor DNA for targeted substitution and that CRISPR/Cas9 combined with yRad52 significantly enhances chicken genome editing. These findings could be extensively applied in other organisms. PMID:28068387

  2. Editing of mouse and human immunoglobulin genes by CRISPR-Cas9 system.

    PubMed

    Cheong, Taek-Chin; Compagno, Mara; Chiarle, Roberto

    2016-03-09

    Applications of the CRISPR-Cas9 system to edit the genome have widely expanded to include DNA gene knock-out, deletions, chromosomal rearrangements, RNA editing and genome-wide screenings. Here we show the application of CRISPR-Cas9 technology to edit the mouse and human immunoglobulin (Ig) genes. By delivering Cas9 and guide-RNA (gRNA) with retro- or lenti-virus to IgM(+) mouse B cells and hybridomas, we induce class-switch recombination (CSR) of the IgH chain to the desired subclass. Similarly, we induce CSR in all human B cell lines tested with high efficiency to targeted IgH subclass. Finally, we engineer mouse hybridomas to secrete Fab' fragments instead of the whole Ig. Our results indicate that Ig genes in mouse and human cells can be edited to obtain any desired IgH switching helpful to study the biology of normal and lymphoma B cells. We also propose applications that could transform the technology of antibody production.

  3. Crystallization and preliminary X-ray diffraction analysis of the CRISPR-Cas RNA-silencing Cmr complex.

    PubMed

    Osawa, Takuo; Inanaga, Hideko; Numata, Tomoyuki

    2015-06-01

    Clustered regularly interspaced short palindromic repeat (CRISPR)-derived RNA (crRNA) and CRISPR-associated (Cas) proteins constitute a prokaryotic adaptive immune system (CRISPR-Cas system) that targets and degrades invading genetic elements. The type III-B CRISPR-Cas Cmr complex, composed of the six Cas proteins (Cmr1-Cmr6) and a crRNA, captures and cleaves RNA complementary to the crRNA guide sequence. Here, a Cmr1-deficient functional Cmr (CmrΔ1) complex composed of Pyrococcus furiosus Cmr2-Cmr3, Archaeoglobus fulgidus Cmr4-Cmr5-Cmr6 and the 39-mer P. furiosus 7.01-crRNA was prepared. The CmrΔ1 complex was cocrystallized with single-stranded DNA (ssDNA) complementary to the crRNA guide by the vapour-diffusion method. The crystals diffracted to 2.1 Å resolution using synchrotron radiation at the Photon Factory. The crystals belonged to the triclinic space group P1, with unit-cell parameters a = 75.5, b = 76.2, c = 139.2 Å, α = 90.3, β = 104.8, γ = 118.6°. The asymmetric unit of the crystals is expected to contain one CmrΔ1-ssDNA complex, with a Matthews coefficient of 2.03 Å(3) Da(-1) and a solvent content of 39.5%.

  4. Multigene knockout utilizing off-target mutations of the CRISPR/Cas9 system in rice.

    PubMed

    Endo, Masaki; Mikami, Masafumi; Toki, Seiichi

    2015-01-01

    The clustered regularly interspaced short palindromic repeat (CRISPR)-associated endonuclease 9 (CRISPR/Cas9) system has been demonstrated to be a robust genome engineering tool in a variety of organisms including plants. However, it has been shown that the CRISPR/Cas9 system cleaves genomic DNA sequences containing mismatches to the guide RNA strand. We expected that this low specificity could be exploited to induce multihomeologous and multiparalogous gene knockouts. In the case of polyploid plants, simultaneous modification of multiple homeologous genes, i.e. genes with similar but not identical DNA sequences, is often needed to obtain a desired phenotype. Even in diploid plants, disruption of multiparalogous genes, which have functional redundancy, is often needed. To validate the applicability of the CRISPR/Cas9 system to target mutagenesis of paralogous genes in rice, we designed a single-guide RNA (sgRNA) that recognized 20 bp sequences of cyclin-dependent kinase B2 (CDKB2) as an on-target locus. These 20 bp possess similarity to other rice CDK genes (CDKA1, CDKA2 and CDKB1) with different numbers of mismatches. We analyzed mutations in these four CDK genes in plants regenerated from Cas9/sgRNA-transformed calli and revealed that single, double and triple mutants of CDKA2, CDKB1 and CDKB2 can be created by a single sgRNA. © The Author 2014. Published by Oxford University Press on behalf of Japanese Society of Plant Physiologists.

  5. Conservation and variability in the structure and function of the Cas5d endoribonuclease in the CRISPR-mediated microbial immune system.

    PubMed

    Koo, Yoon; Ka, Donghyun; Kim, Eun-Jin; Suh, Nayoung; Bae, Euiyoung

    2013-10-23

    Clustered regularly interspaced short palindromic repeats (CRISPRs) and CRISPR-associated (Cas) proteins form an RNA-mediated microbial immune system against invading foreign genetic elements. Cas5 proteins constitute one of the most prevalent Cas protein families in CRISPR-Cas systems and are predicted to have RNA recognition motif (RRM) domains. Cas5d is a subtype I-C-specific Cas5 protein that can be divided into two distinct subgroups, one of which has extra C-terminal residues while the other contains a longer insertion in the middle of its N-terminal RRM domain. Here, we report crystal structures of Cas5d from Streptococcus pyogenes and Xanthomonas oryzae, which respectively represent the two Cas5d subgroups. Despite a common domain architecture consisting of an N-terminal RRM domain and a C-terminal β-sheet domain, the structural differences between the two Cas5d proteins are highlighted by the presence of a unique extended helical region protruding from the N-terminal RRM domain of X. oryzae Cas5d. We also demonstrate that Cas5d proteins possess not only specific endoribonuclease activity for CRISPR RNAs but also nonspecific double-stranded DNA binding affinity. These findings suggest that Cas5d may play multiple roles in CRISPR-mediated immunity. Furthermore, the specific RNA processing was also observed between S. pyogenes Cas5d protein and X. oryzae CRISPR RNA and vice versa. This cross-species activity of Cas5d provides a special opportunity for elucidating conserved features of the CRISPR RNA processing event. Copyright © 2013 Elsevier Ltd. All rights reserved.

  6. CRISPR-spacer integration reporter plasmids reveal distinct genuine acquisition specificities among CRISPR-Cas I-E variants of Escherichia coli

    PubMed Central

    Díez-Villaseñor, César; Guzmán, Noemí M.; Almendros, Cristóbal; García-Martínez, Jesús; Mojica, Francisco J.M.

    2013-01-01

    Prokaryotes immunize themselves against transmissible genetic elements by the integration (acquisition) in clustered regularly interspaced short palindromic repeats (CRISPR) loci of spacers homologous to invader nucleic acids, defined as protospacers. Following acquisition, mono-spacer CRISPR RNAs (termed crRNAs) guide CRISPR-associated (Cas) proteins to degrade (interference) protospacers flanked by an adjacent motif in extrachomosomal DNA. During acquisition, selection of spacer-precursors adjoining the protospacer motif and proper orientation of the integrated fragment with respect to the leader (sequence leading transcription of the flanking CRISPR array) grant efficient interference by at least some CRISPR-Cas systems. This adaptive stage of the CRISPR action is poorly characterized, mainly due to the lack of appropriate genetic strategies to address its study and, at least in Escherichia coli, the need of Cas overproduction for insertion detection. In this work, we describe the development and application in Escherichia coli strains of an interference-independent assay based on engineered selectable CRISPR-spacer integration reporter plasmids. By using this tool without the constraint of interference or cas overexpression, we confirmed fundamental aspects of this process such as the critical requirement of Cas1 and Cas2 and the identity of the CTT protospacer motif for the E. coli K12 system. In addition, we defined the CWT motif for a non-K12 CRISPR-Cas variant, and obtained data supporting the implication of the leader in spacer orientation, the preferred acquisition from plasmids harboring cas genes and the occurrence of a sequential cleavage at the insertion site by a ruler mechanism. PMID:23445770

  7. CRISPR-spacer integration reporter plasmids reveal distinct genuine acquisition specificities among CRISPR-Cas I-E variants of Escherichia coli.

    PubMed

    Díez-Villaseñor, César; Guzmán, Noemí M; Almendros, Cristóbal; García-Martínez, Jesús; Mojica, Francisco J M

    2013-05-01

    Prokaryotes immunize themselves against transmissible genetic elements by the integration (acquisition) in clustered regularly interspaced short palindromic repeats (CRISPR) loci of spacers homologous to invader nucleic acids, defined as protospacers. Following acquisition, mono-spacer CRISPR RNAs (termed crRNAs) guide CRISPR-associated (Cas) proteins to degrade (interference) protospacers flanked by an adjacent motif in extrachomosomal DNA. During acquisition, selection of spacer-precursors adjoining the protospacer motif and proper orientation of the integrated fragment with respect to the leader (sequence leading transcription of the flanking CRISPR array) grant efficient interference by at least some CRISPR-Cas systems. This adaptive stage of the CRISPR action is poorly characterized, mainly due to the lack of appropriate genetic strategies to address its study and, at least in Escherichia coli, the need of Cas overproduction for insertion detection. In this work, we describe the development and application in Escherichia coli strains of an interference-independent assay based on engineered selectable CRISPR-spacer integration reporter plasmids. By using this tool without the constraint of interference or cas overexpression, we confirmed fundamental aspects of this process such as the critical requirement of Cas1 and Cas2 and the identity of the CTT protospacer motif for the E. coli K12 system. In addition, we defined the CWT motif for a non-K12 CRISPR-Cas variant, and obtained data supporting the implication of the leader in spacer orientation, the preferred acquisition from plasmids harboring cas genes and the occurrence of a sequential cleavage at the insertion site by a ruler mechanism.

  8. Assisting Students' Cognitive Strategies with the Use of CAS

    ERIC Educational Resources Information Center

    Sarvari, Csaba; Lavicza, Zsolt; Klincsik, Mihaly

    2010-01-01

    This paper examines various cognitive strategies applied while CAS (Computer Algebra System) are used in undergraduate-level engineering mathematics teaching and learning. We posed some questions in relation to such CAS use: What kind of tools can CAS offer to enhance different cognitive strategies of students? How can the use of CAS widen the…

  9. Sequence features associated with the cleavage efficiency of CRISPR/Cas9 system.

    PubMed

    Liu, Xiaoxi; Homma, Ayaka; Sayadi, Jamasb; Yang, Shu; Ohashi, Jun; Takumi, Toru

    2016-01-27

    The CRISPR-Cas9 system has recently emerged as a versatile tool for biological and medical research. In this system, a single guide RNA (sgRNA) directs the endonuclease Cas9 to a targeted DNA sequence for site-specific manipulation. In addition to this targeting function, the sgRNA has also been shown to play a role in activating the endonuclease activity of Cas9. This dual function of the sgRNA likely underlies observations that different sgRNAs have varying on-target activities. Currently, our understanding of the relationship between sequence features of sgRNAs and their on-target cleavage efficiencies remains limited, largely due to difficulties in assessing the cleavage capacity of a large number of sgRNAs. In this study, we evaluated the cleavage activities of 218 sgRNAs using in vitro Surveyor assays. We found that nucleotides at both PAM-distal and PAM-proximal regions of the sgRNA are significantly correlated with on-target efficiency. Furthermore, we also demonstrated that the genomic context of the targeted DNA, the GC percentage, and the secondary structure of sgRNA are critical factors contributing to cleavage efficiency. In summary, our study reveals important parameters for the design of sgRNAs with high on-target efficiencies, especially in the context of high throughput applications.

  10. Nucleosome breathing and remodeling constrain CRISPR-Cas9 function

    PubMed Central

    Isaac, R Stefan; Jiang, Fuguo; Doudna, Jennifer A; Lim, Wendell A; Narlikar, Geeta J; Almeida, Ricardo

    2016-01-01

    The CRISPR-Cas9 bacterial surveillance system has become a versatile tool for genome editing and gene regulation in eukaryotic cells, yet how CRISPR-Cas9 contends with the barriers presented by eukaryotic chromatin is poorly understood. Here we investigate how the smallest unit of chromatin, a nucleosome, constrains the activity of the CRISPR-Cas9 system. We find that nucleosomes assembled on native DNA sequences are permissive to Cas9 action. However, the accessibility of nucleosomal DNA to Cas9 is variable over several orders of magnitude depending on dynamic properties of the DNA sequence and the distance of the PAM site from the nucleosome dyad. We further find that chromatin remodeling enzymes stimulate Cas9 activity on nucleosomal templates. Our findings imply that the spontaneous breathing of nucleosomal DNA together with the action of chromatin remodelers allow Cas9 to effectively act on chromatin in vivo. DOI: http://dx.doi.org/10.7554/eLife.13450.001 PMID:27130520

  11. Efficient CRISPR/Cas9-based gene knockout in watermelon.

    PubMed

    Tian, Shouwei; Jiang, Linjian; Gao, Qiang; Zhang, Jie; Zong, Mei; Zhang, Haiying; Ren, Yi; Guo, Shaogui; Gong, Guoyi; Liu, Fan; Xu, Yong

    2017-03-01

    CRISPR/Cas9 system can precisely edit genomic sequence and effectively create knockout mutations in T0 generation watermelon plants. Genome editing offers great advantage to reveal gene function and generate agronomically important mutations to crops. Recently, RNA-guided genome editing system using the type II clustered regularly interspaced short palindromic repeats (CRISPR)-associated protein 9 (Cas9) has been applied to several plant species, achieving successful targeted mutagenesis. Here, we report the genome of watermelon, an important fruit crop, can also be precisely edited by CRISPR/Cas9 system. ClPDS, phytoene desaturase in watermelon, was selected as the target gene because its mutant bears evident albino phenotype. CRISPR/Cas9 system performed genome editing, such as insertions or deletions at the expected position, in transfected watermelon protoplast cells. More importantly, all transgenic watermelon plants harbored ClPDS mutations and showed clear or mosaic albino phenotype, indicating that CRISPR/Cas9 system has technically 100% of genome editing efficiency in transgenic watermelon lines. Furthermore, there were very likely no off-target mutations, indicated by examining regions that were highly homologous to sgRNA sequences. Our results show that CRISPR/Cas9 system is a powerful tool to effectively create knockout mutations in watermelon.

  12. Emerging Role of CRISPR/Cas9 Technology for MicroRNAs Editing in Cancer Research.

    PubMed

    Aquino-Jarquin, Guillermo

    2017-12-15

    MicroRNAs (miRNA) are small, noncoding RNA molecules with a master role in the regulation of important tasks in different critical processes of cancer pathogenesis. Because there are different miRNAs implicated in all the stages of cancer, for example, functioning as oncogenes, this makes these small molecules suitable targets for cancer diagnosis and therapy. RNA-mediated interference has been one major approach for sequence-specific regulation of gene expression in eukaryotic organisms. Recently, the CRISPR (clustered regularly interspaced short palindromic repeats)/Cas9 system, first identified in bacteria and archaea as an adaptive immune response to invading genetic material, has been explored as a sequence-specific molecular tool for editing genomic sequences for basic research in life sciences and for therapeutic purposes. There is growing evidence that small noncoding RNAs, including miRNAs, can be targeted by the CRISPR/Cas9 system despite their lacking an open reading frame to evaluate functional loss. Thus, CRISPR/Cas9 technology represents a novel gene-editing strategy with compelling robustness, specificity, and stability for the modification of miRNA expression. Here, I summarize key features of current knowledge of genomic editing by CRISPR/Cas9 technology as a feasible strategy for globally interrogating miRNA gene function and miRNA-based therapeutic intervention. Alternative emerging strategies for nonviral delivery of CRISPR/Cas9 core components into human cells in a clinical context are also analyzed critically. Cancer Res; 77(24); 6812-7. ©2017 AACR . ©2017 American Association for Cancer Research.

  13. CRISPR/Cas system for yeast genome engineering: advances and applications

    PubMed Central

    Stovicek, Vratislav; Holkenbrink, Carina

    2017-01-01

    Abstract The methods based on the clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) system have quickly gained popularity for genome editing and transcriptional regulation in many organisms, including yeast. This review aims to provide a comprehensive overview of CRISPR application for different yeast species: from basic principles and genetic design to applications. PMID:28505256

  14. Structure and Engineering of Francisella novicida Cas9

    PubMed Central

    Hirano, Hisato; Gootenberg, Jonathan S.; Horii, Takuro; Abudayyeh, Omar O.; Kimura, Mika; Hsu, Patrick D.; Nakane, Takanori; Ishitani, Ryuichiro; Hatada, Izuho; Zhang, Feng; Nishimasu, Hiroshi; Nureki, Osamu

    2016-01-01

    Summary The RNA-guided endonuclease Cas9 cleaves double-stranded DNA targets complementary to the guide RNA, and has been applied to programmable genome editing. Cas9-mediated cleavage requires a protospacer adjacent motif (PAM) juxtaposed with the DNA target sequence, thus constricting the range of targetable sites. Here, we report the 1.7 Å resolution crystal structures of Cas9 from Francisella novicida (FnCas9), one of the largest Cas9 orthologs, in complex with a guide RNA and its PAM-containing DNA targets. A structural comparison of FnCas9 with other Cas9 orthologs revealed striking conserved and divergent features among distantly related CRISPR-Cas9 systems. We found that FnCas9 recognizes the 5′-NGG-3′ PAM, and used the structural information to create a variant that can recognize the more relaxed 5′-YG-3′ PAM. Furthermore, we demonstrated that pre-assembled FnCas9 ribonucleoprotein complexes can be microinjected into mouse zygotes to edit endogenous sites with the 5′-YG-3′ PAMs, thus expanding the target space of the CRISPR-Cas9 toolbox. PMID:26875867

  15. Structure and Engineering of Francisella novicida Cas9.

    PubMed

    Hirano, Hisato; Gootenberg, Jonathan S; Horii, Takuro; Abudayyeh, Omar O; Kimura, Mika; Hsu, Patrick D; Nakane, Takanori; Ishitani, Ryuichiro; Hatada, Izuho; Zhang, Feng; Nishimasu, Hiroshi; Nureki, Osamu

    2016-02-25

    The RNA-guided endonuclease Cas9 cleaves double-stranded DNA targets complementary to the guide RNA and has been applied to programmable genome editing. Cas9-mediated cleavage requires a protospacer adjacent motif (PAM) juxtaposed with the DNA target sequence, thus constricting the range of targetable sites. Here, we report the 1.7 Å resolution crystal structures of Cas9 from Francisella novicida (FnCas9), one of the largest Cas9 orthologs, in complex with a guide RNA and its PAM-containing DNA targets. A structural comparison of FnCas9 with other Cas9 orthologs revealed striking conserved and divergent features among distantly related CRISPR-Cas9 systems. We found that FnCas9 recognizes the 5'-NGG-3' PAM, and used the structural information to create a variant that can recognize the more relaxed 5'-YG-3' PAM. Furthermore, we demonstrated that the FnCas9-ribonucleoprotein complex can be microinjected into mouse zygotes to edit endogenous sites with the 5'-YG-3' PAM, thus expanding the target space of the CRISPR-Cas9 toolbox. Copyright © 2016 Elsevier Inc. All rights reserved.

  16. From Calculus to Dynamical Systems through DGS and CAS

    ERIC Educational Resources Information Center

    García, Jeanett López; Zamudio, Jorge Javier Jiménez

    2015-01-01

    Several factors have motivated the use of CAS or DGS in the teaching-learning process, such as: the development of new technologies, the availability of computers, and the widespread use of the Internet, among others. Even more, the trend to include CAS and DGS in the curricula of some undergraduate studies has resulted in the instruction of the…

  17. CRISPR-Cas Genome Surgery in Ophthalmology

    PubMed Central

    DiCarlo, James E.; Sengillo, Jesse D.; Justus, Sally; Cabral, Thiago; Tsang, Stephen H.; Mahajan, Vinit B.

    2017-01-01

    Genetic disease affecting vision can significantly impact patient quality of life. Gene therapy seeks to slow the progression of these diseases by treating the underlying etiology at the level of the genome. Clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated systems (Cas) represent powerful tools for studying diseases through the creation of model organisms generated by targeted modification and by the correction of disease mutations for therapeutic purposes. CRISPR-Cas systems have been applied successfully to the visual sciences and study of ophthalmic disease – from the modification of zebrafish and mammalian models of eye development and disease, to the correction of pathogenic mutations in patient-derived stem cells. Recent advances in CRISPR-Cas delivery and optimization boast improved functionality that continues to enhance genome-engineering applications in the eye. This review provides a synopsis of the recent implementations of CRISPR-Cas tools in the field of ophthalmology. PMID:28573077

  18. CRISPR-Cas type I-A Cascade complex couples viral infection surveillance to host transcriptional regulation in the dependence of Csa3b

    PubMed Central

    He, Fei; Vestergaard, Gisle; Peng, Wenfang; She, Qunxin

    2017-01-01

    Abstract CRISPR-Cas (clustered regularly interspaced short palindromic repeats and the associated genes) constitute adaptive immune systems in bacteria and archaea and they provide sequence specific immunity against foreign nucleic acids. CRISPR-Cas systems are activated by viral infection. However, little is known about how CRISPR-Cas systems are activated in response to viral infection or how their expression is controlled in the absence of viral infection. Here, we demonstrate that both the transcriptional regulator Csa3b, and the type I-A interference complex Cascade, are required to transcriptionally repress the interference gene cassette in the archaeon Sulfolobus. Csa3b binds to two palindromic repeat sites in the promoter region of the cassette and facilitates binding of the Cascade to the promoter region. Upon viral infection, loading of Cascade complexes onto crRNA-matching protospacers leads to relief of the transcriptional repression. Our data demonstrate a mechanism coupling CRISPR-Cas surveillance of protospacers to transcriptional regulation of the interference gene cassette thereby allowing a fast response to viral infection. PMID:27980065

  19. Breaking-Cas-interactive design of guide RNAs for CRISPR-Cas experiments for ENSEMBL genomes.

    PubMed

    Oliveros, Juan C; Franch, Mònica; Tabas-Madrid, Daniel; San-León, David; Montoliu, Lluis; Cubas, Pilar; Pazos, Florencio

    2016-07-08

    The CRISPR/Cas technology is enabling targeted genome editing in multiple organisms with unprecedented accuracy and specificity by using RNA-guided nucleases. A critical point when planning a CRISPR/Cas experiment is the design of the guide RNA (gRNA), which directs the nuclease and associated machinery to the desired genomic location. This gRNA has to fulfil the requirements of the nuclease and lack homology with other genome sites that could lead to off-target effects. Here we introduce the Breaking-Cas system for the design of gRNAs for CRISPR/Cas experiments, including those based in the Cas9 nuclease as well as others recently introduced. The server has unique features not available in other tools, including the possibility of using all eukaryotic genomes available in ENSEMBL (currently around 700), placing variable PAM sequences at 5' or 3' and setting the guide RNA length and the scores per nucleotides. It can be freely accessed at: http://bioinfogp.cnb.csic.es/tools/breakingcas, and the code is available upon request. © The Author(s) 2016. Published by Oxford University Press on behalf of Nucleic Acids Research.

  20. Development of a genome editing technique using the CRISPR/Cas9 system in the industrial filamentous fungus Aspergillus oryzae.

    PubMed

    Katayama, Takuya; Tanaka, Yuki; Okabe, Tomoya; Nakamura, Hidetoshi; Fujii, Wataru; Kitamoto, Katsuhiko; Maruyama, Jun-Ichi

    2016-04-01

    To develop a genome editing method using the CRISPR/Cas9 system in Aspergillus oryzae, the industrial filamentous fungus used in Japanese traditional fermentation and for the production of enzymes and heterologous proteins. To develop the CRISPR/Cas9 system as a genome editing technique for A. oryzae, we constructed plasmids expressing the gene encoding Cas9 nuclease and single guide RNAs for the mutagenesis of target genes. We introduced these into an A. oryzae strain and obtained transformants containing mutations within each target gene that exhibited expected phenotypes. The mutational rates ranged from 10 to 20 %, and 1 bp deletions or insertions were the most commonly induced mutations. We developed a functional and versatile genome editing method using the CRISPR/Cas9 system in A. oryzae. This technique will contribute to the use of efficient targeted mutagenesis in many A. oryzae industrial strains.

  1. An episomal vector-based CRISPR/Cas9 system for highly efficient gene knockout in human pluripotent stem cells.

    PubMed

    Xie, Yifang; Wang, Daqi; Lan, Feng; Wei, Gang; Ni, Ting; Chai, Renjie; Liu, Dong; Hu, Shijun; Li, Mingqing; Li, Dajin; Wang, Hongyan; Wang, Yongming

    2017-05-24

    Human pluripotent stem cells (hPSCs) represent a unique opportunity for understanding the molecular mechanisms underlying complex traits and diseases. CRISPR/Cas9 is a powerful tool to introduce genetic mutations into the hPSCs for loss-of-function studies. Here, we developed an episomal vector-based CRISPR/Cas9 system, which we called epiCRISPR, for highly efficient gene knockout in hPSCs. The epiCRISPR system enables generation of up to 100% Insertion/Deletion (indel) rates. In addition, the epiCRISPR system enables efficient double-gene knockout and genomic deletion. To minimize off-target cleavage, we combined the episomal vector technology with double-nicking strategy and recent developed high fidelity Cas9. Thus the epiCRISPR system offers a highly efficient platform for genetic analysis in hPSCs.

  2. Predominance of Single Prophage Carrying a CRISPR/cas System in "Candidatus Liberibacter asiaticus" Strains in Southern China.

    PubMed

    Zheng, Zheng; Bao, Minli; Wu, Fengnian; Chen, Jianchi; Deng, Xiaoling

    2016-01-01

    "Candidatus Liberibacter asiaticus" (CLas) is an uncultureable α-proteobacterium associated with citrus Huanglongbing (HLB, yellow shoot disease), a highly destructive disease affecting citrus production worldwide. HLB was observed in Guangdong Province of China over a hundred years ago and remains endemic there. Little is known about CLas biology due to its uncultureable nature. This study began with the genome sequence analysis of CLas Strain A4 from Guangdong in the prophage region. Within the two currently known prophage types, Type 1 (SC1-like) and Type 2 (SC2-like), A4 genome contained only a Type 2 prophage, CGdP2, namely. An analysis on CLas strains collected in Guangdong showed that Type 2 prophage dominated the bacterial population (82.6%, 71/86). An extended survey covering five provinces in southern China also revealed the predominance of single prophage (Type 1 or Type 2) in the CLas population (90.4%, 169/187). CLas strains with two and no prophage types accounted for 7.2% and 2.8%, respectively. In silico analyses on CGdP2 identified a CRISPR (clustered regularly interspaced short palindromic repeats)/cas (CRISPR-associated protein genes) system, consisting of four 22 bp repeats, three 23 bp spacers and 9 predicted cas. Similar CRISPR/cas systems were detected in all 10 published CLas prophages as well as 13 CLas field strains in southern China. Both Type 1 and Type 2 prophages shared almost identical sequences in spacer 1 and 3 but not spacer 2. Considering that the function of a CRISPR/cas system was to destroy invading DNA, it was hypothesized that a pre-established CLas prophage could use its CRISPR/cas system guided by spacer 1 and/or 3 to defeat the invasion of the other phage/prophage. This hypothesis explained the predominance of single prophage type in the CLas population in southern China. This is the first report of CRISPR/cas system in the "Ca. Liberibacter" genera.

  3. Programmable removal of bacterial strains by use of genome-targeting CRISPR-Cas systems.

    PubMed

    Gomaa, Ahmed A; Klumpe, Heidi E; Luo, Michelle L; Selle, Kurt; Barrangou, Rodolphe; Beisel, Chase L

    2014-01-28

    CRISPR (clustered regularly interspaced short palindromic repeats)-Cas (CRISPR-associated) systems in bacteria and archaea employ CRISPR RNAs to specifically recognize the complementary DNA of foreign invaders, leading to sequence-specific cleavage or degradation of the target DNA. Recent work has shown that the accidental or intentional targeting of the bacterial genome is cytotoxic and can lead to cell death. Here, we have demonstrated that genome targeting with CRISPR-Cas systems can be employed for the sequence-specific and titratable removal of individual bacterial strains and species. Using the type I-E CRISPR-Cas system in Escherichia coli as a model, we found that this effect could be elicited using native or imported systems and was similarly potent regardless of the genomic location, strand, or transcriptional activity of the target sequence. Furthermore, the specificity of targeting with CRISPR RNAs could readily distinguish between even highly similar strains in pure or mixed cultures. Finally, varying the collection of delivered CRISPR RNAs could quantitatively control the relative number of individual strains within a mixed culture. Critically, the observed selectivity and programmability of bacterial removal would be virtually impossible with traditional antibiotics, bacteriophages, selectable markers, or tailored growth conditions. Once delivery challenges are addressed, we envision that this approach could offer a novel means to quantitatively control the composition of environmental and industrial microbial consortia and may open new avenues for the development of "smart" antibiotics that circumvent multidrug resistance and differentiate between pathogenic and beneficial microorganisms. Controlling the composition of microbial populations is a critical aspect in medicine, biotechnology, and environmental cycles. While different antimicrobial strategies, such as antibiotics, antimicrobial peptides, and lytic bacteriophages, offer partial solutions

  4. Chemical and Biophysical Modulation of Cas9 for Tunable Genome Engineering.

    PubMed

    Nuñez, James K; Harrington, Lucas B; Doudna, Jennifer A

    2016-03-18

    The application of the CRISPR-Cas9 system for genome engineering has revolutionized the ability to interrogate genomes of mammalian cells. Programming the Cas9 endonuclease to induce DNA breaks at specified sites is achieved by simply modifying the sequence of its cognate guide RNA. Although Cas9-mediated genome editing has been shown to be highly specific, cleavage events at off-target sites have also been reported. Minimizing, and eventually abolishing, unwanted off-target cleavage remains a major goal of the CRISPR-Cas9 technology before its implementation for therapeutic use. Recent efforts have turned to chemical biology and biophysical approaches to engineer inducible genome editing systems for controlling Cas9 activity at the transcriptional and protein levels. Here, we review recent advancements to modulate Cas9-mediated genome editing by engineering split-Cas9 constructs, inteins, small molecules, protein-based dimerizing domains, and light-inducible systems.

  5. Function and Regulation of Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) / CRISPR Associated (Cas) Systems

    PubMed Central

    Richter, Corinna; Chang, James T.; Fineran, Peter C.

    2012-01-01

    Phages are the most abundant biological entities on earth and pose a constant challenge to their bacterial hosts. Thus, bacteria have evolved numerous ‘innate’ mechanisms of defense against phage, such as abortive infection or restriction/modification systems. In contrast, the clustered regularly interspaced short palindromic repeats (CRISPR) systems provide acquired, yet heritable, sequence-specific ‘adaptive’ immunity against phage and other horizontally-acquired elements, such as plasmids. Resistance is acquired following viral infection or plasmid uptake when a short sequence of the foreign genome is added to the CRISPR array. CRISPRs are then transcribed and processed, generally by CRISPR associated (Cas) proteins, into short interfering RNAs (crRNAs), which form part of a ribonucleoprotein complex. This complex guides the crRNA to the complementary invading nucleic acid and targets this for degradation. Recently, there have been rapid advances in our understanding of CRISPR/Cas systems. In this review, we will present the current model(s) of the molecular events involved in both the acquisition of immunity and interference stages and will also address recent progress in our knowledge of the regulation of CRISPR/Cas systems. PMID:23202464

  6. An efficient genotyping method for genome-modified animals and human cells generated with CRISPR/Cas9 system.

    PubMed

    Zhu, Xiaoxiao; Xu, Yajie; Yu, Shanshan; Lu, Lu; Ding, Mingqin; Cheng, Jing; Song, Guoxu; Gao, Xing; Yao, Liangming; Fan, Dongdong; Meng, Shu; Zhang, Xuewen; Hu, Shengdi; Tian, Yong

    2014-09-19

    The rapid generation of various species and strains of laboratory animals using CRISPR/Cas9 technology has dramatically accelerated the interrogation of gene function in vivo. So far, the dominant approach for genotyping of genome-modified animals has been the T7E1 endonuclease cleavage assay. Here, we present a polyacrylamide gel electrophoresis-based (PAGE) method to genotype mice harboring different types of indel mutations. We developed 6 strains of genome-modified mice using CRISPR/Cas9 system, and utilized this approach to genotype mice from F0 to F2 generation, which included single and multiplexed genome-modified mice. We also determined the maximal detection sensitivity for detecting mosaic DNA using PAGE-based assay as 0.5%. We further applied PAGE-based genotyping approach to detect CRISPR/Cas9-mediated on- and off-target effect in human 293T and induced pluripotent stem cells (iPSCs). Thus, PAGE-based genotyping approach meets the rapidly increasing demand for genotyping of the fast-growing number of genome-modified animals and human cell lines created using CRISPR/Cas9 system or other nuclease systems such as TALEN or ZFN.

  7. An Efficient Genotyping Method for Genome-modified Animals and Human Cells Generated with CRISPR/Cas9 System

    PubMed Central

    Zhu, Xiaoxiao; Xu, Yajie; Yu, Shanshan; Lu, Lu; Ding, Mingqin; Cheng, Jing; Song, Guoxu; Gao, Xing; Yao, Liangming; Fan, Dongdong; Meng, Shu; Zhang, Xuewen; Hu, Shengdi; Tian, Yong

    2014-01-01

    The rapid generation of various species and strains of laboratory animals using CRISPR/Cas9 technology has dramatically accelerated the interrogation of gene function in vivo. So far, the dominant approach for genotyping of genome-modified animals has been the T7E1 endonuclease cleavage assay. Here, we present a polyacrylamide gel electrophoresis-based (PAGE) method to genotype mice harboring different types of indel mutations. We developed 6 strains of genome-modified mice using CRISPR/Cas9 system, and utilized this approach to genotype mice from F0 to F2 generation, which included single and multiplexed genome-modified mice. We also determined the maximal detection sensitivity for detecting mosaic DNA using PAGE-based assay as 0.5%. We further applied PAGE-based genotyping approach to detect CRISPR/Cas9-mediated on- and off-target effect in human 293T and induced pluripotent stem cells (iPSCs). Thus, PAGE-based genotyping approach meets the rapidly increasing demand for genotyping of the fast-growing number of genome-modified animals and human cell lines created using CRISPR/Cas9 system or other nuclease systems such as TALEN or ZFN. PMID:25236476

  8. Exploiting CRISPR-Cas to manipulate Enterococcus faecalis populations.

    PubMed

    Hullahalli, Karthik; Rodrigues, Marinelle; Palmer, Kelli L

    2017-06-23

    CRISPR-Cas provides a barrier to horizontal gene transfer in prokaryotes. It was previously observed that functional CRISPR-Cas systems are absent from multidrug-resistant (MDR) Enterococcus faecalis , which only possess an orphan CRISPR locus, termed CRISPR2, lacking cas genes. Here, we investigate how the interplay between CRISPR-Cas genome defense and antibiotic selection for mobile genetic elements shapes in vitro E. faecalis populations. We demonstrate that CRISPR2 can be reactivated for genome defense in MDR strains. Interestingly, we observe that E. faecalis transiently maintains CRISPR targets despite active CRISPR-Cas systems. Subsequently, if selection for the CRISPR target is present, toxic CRISPR spacers are lost over time, while in the absence of selection, CRISPR targets are lost over time. We find that forced maintenance of CRISPR targets induces a fitness cost that can be exploited to alter heterogeneous E. faecalis populations.

  9. 48 CFR 9903.201-2 - Types of CAS coverage.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    .... 9903.201-2 Section 9903.201-2 Federal Acquisition Regulations System COST ACCOUNTING STANDARDS BOARD... ACCOUNTING STANDARDS CONTRACT COVERAGE CAS Program Requirements 9903.201-2 Types of CAS coverage. (a) Full... net CAS-covered awards during its preceding cost accounting period. (b) Modified coverage. (1...

  10. Adaptive capacity of geographical clusters: Complexity science and network theory approach

    NASA Astrophysics Data System (ADS)

    Albino, Vito; Carbonara, Nunzia; Giannoccaro, Ilaria

    This paper deals with the adaptive capacity of geographical clusters (GCs), that is a relevant topic in the literature. To address this topic, GC is considered as a complex adaptive system (CAS). Three theoretical propositions concerning the GC adaptive capacity are formulated by using complexity theory. First, we identify three main properties of CAS s that affect the adaptive capacity, namely the interconnectivity, the heterogeneity, and the level of control, and define how the value of these properties influence the adaptive capacity. Then, we associate these properties with specific GC characteristics so obtaining the key conditions of GCs that give them the adaptive capacity so assuring their competitive advantage. To test these theoretical propositions, a case study on two real GCs is carried out. The considered GCs are modeled as networks where firms are nodes and inter-firms relationships are links. Heterogeneity, interconnectivity, and level of control are considered as network properties and thus measured by using the methods of the network theory.

  11. CRISPR/Cas9-mediated noncoding RNA editing in human cancers.

    PubMed

    Yang, Jie; Meng, Xiaodan; Pan, Jinchang; Jiang, Nan; Zhou, Chengwei; Wu, Zhenhua; Gong, Zhaohui

    2018-01-02

    Cancer is characterized by multiple genetic and epigenetic alterations, including a higher prevalence of mutations of oncogenes and/or tumor suppressors. Mounting evidences have shown that noncoding RNAs (ncRNAs) are involved in the epigenetic regulation of cancer genes and their associated pathways. The clustered regularly interspaced short palindromic repeats (CRISPR)-associated nuclease 9 (CRISPR/Cas9) system, a revolutionary genome-editing technology, has shed light on ncRNA-based cancer therapy. Here, we briefly introduce the classifications and mechanisms of CRISPR/Cas9 system. Importantly, we mainly focused on the applications of CRISPR/Cas9 system as a molecular tool for ncRNA (microRNA, long noncoding RNA and circular RNA, etc.) editing in human cancers, and the novel techniques that are based on CRISPR/Cas9 system. Additionally, the off-target effects and the corresponding solutions as well as the challenges toward CRISPR/Cas9 were also evaluated and discussed. Long- and short-ncRNAs have been employed as targets in precision oncology, and CRISPR/Cas9-mediated ncRNA editing may provide an excellent way to cure cancer.

  12. [Application of CRISPR/Cas9 mediated genome editing in farm animals].

    PubMed

    Xing, Yu-yun; Yang, Qiang; Ren, Jun

    2016-03-01

    CRISPR (Clustered regularly interspaced short palindromic repeats)/Cas (CRISPR associated proteins) is an acquired immune system found in bacteria and archaea that fight against invasion of viruses or plasmids. CRISPR/Cas systems are currently classified into three main types: I, II and III, of which type II has relatively simple components. The CRISPR/Cas9 technology modified from type II CRISPR/Cas system has been developed as an efficient genome editing tool. Since the initial application of the CRISPR/Cas9 technology in mammals in 2013, the reports of this system for genomic editing has skyrocketed. Farm animals are not only economically important animals, but also ideal animal models for human diseases and biomedical studies. In this review, we summarize the applications of CRISPR/Cas9 in farm animals, briefly describe the off-target effects and the main solutions, and finally highlight the future perspectives of this technology.

  13. CRISPR-Cas9 technology and its application in haematological disorders

    PubMed Central

    Zhang, Han; McCarty, Nami

    2018-01-01

    Summary The recent advent of the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-CRISPR associated protein 9 (Cas9) system for precise genome editing has revolutionized methodologies in haematology and oncology studies. CRISPR-Cas9 technology can be used to remove and correct genes or mutations, and to introduce site-specific therapeutic genes in human cells. Inherited haematological disorders represent ideal targets for CRISPR-Cas9-mediated gene therapy. Correcting disease-causing mutations could alleviate disease-related symptoms in the near future. The CRISPR-Cas9 system is also a useful tool for delineating molecular mechanisms involving haematological malignancies. Prior to the use of CRISPR-Cas9-mediated gene correction in humans, appropriate delivery systems with higher efficiency and specificity must be identified, and ethical guidelines for applying the technology with controllable safety must be established. Here, the latest applications of CRISPR-Cas9 technology in haematological disorders, current challenges and future directions are reviewed and discussed. PMID:27619566

  14. CRISPR-Cas9 technology and its application in haematological disorders.

    PubMed

    Zhang, Han; McCarty, Nami

    2016-10-01

    The recent advent of the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-CRISPR associated protein 9 (Cas9) system for precise genome editing has revolutionized methodologies in haematology and oncology studies. CRISPR-Cas9 technology can be used to remove and correct genes or mutations, and to introduce site-specific therapeutic genes in human cells. Inherited haematological disorders represent ideal targets for CRISPR-Cas9-mediated gene therapy. Correcting disease-causing mutations could alleviate disease-related symptoms in the near future. The CRISPR-Cas9 system is also a useful tool for delineating molecular mechanisms involving haematological malignancies. Prior to the use of CRISPR-Cas9-mediated gene correction in humans, appropriate delivery systems with higher efficiency and specificity must be identified, and ethical guidelines for applying the technology with controllable safety must be established. Here, the latest applications of CRISPR-Cas9 technology in haematological disorders, current challenges and future directions are reviewed and discussed. © 2016 John Wiley & Sons Ltd.

  15. A Robust CRISPR/Cas9 System for Convenient, High-Efficiency Multiplex Genome Editing in Monocot and Dicot Plants.

    PubMed

    Ma, Xingliang; Zhang, Qunyu; Zhu, Qinlong; Liu, Wei; Chen, Yan; Qiu, Rong; Wang, Bin; Yang, Zhongfang; Li, Heying; Lin, Yuru; Xie, Yongyao; Shen, Rongxin; Chen, Shuifu; Wang, Zhi; Chen, Yuanling; Guo, Jingxin; Chen, Letian; Zhao, Xiucai; Dong, Zhicheng; Liu, Yao-Guang

    2015-08-01

    CRISPR/Cas9 genome targeting systems have been applied to a variety of species. However, most CRISPR/Cas9 systems reported for plants can only modify one or a few target sites. Here, we report a robust CRISPR/Cas9 vector system, utilizing a plant codon optimized Cas9 gene, for convenient and high-efficiency multiplex genome editing in monocot and dicot plants. We designed PCR-based procedures to rapidly generate multiple sgRNA expression cassettes, which can be assembled into the binary CRISPR/Cas9 vectors in one round of cloning by Golden Gate ligation or Gibson Assembly. With this system, we edited 46 target sites in rice with an average 85.4% rate of mutation, mostly in biallelic and homozygous status. We reasoned that about 16% of the homozygous mutations in rice were generated through the non-homologous end-joining mechanism followed by homologous recombination-based repair. We also obtained uniform biallelic, heterozygous, homozygous, and chimeric mutations in Arabidopsis T1 plants. The targeted mutations in both rice and Arabidopsis were heritable. We provide examples of loss-of-function gene mutations in T0 rice and T1 Arabidopsis plants by simultaneous targeting of multiple (up to eight) members of a gene family, multiple genes in a biosynthetic pathway, or multiple sites in a single gene. This system has provided a versatile toolbox for studying functions of multiple genes and gene families in plants for basic research and genetic improvement. Copyright © 2015 The Author. Published by Elsevier Inc. All rights reserved.

  16. CRISPR-Cas and Contact-Dependent Secretion Systems Present on Excisable Pathogenicity Islands with Conserved Recombination Modules.

    PubMed

    Carpenter, Megan R; Kalburge, Sai S; Borowski, Joseph D; Peters, Molly C; Colwell, Rita R; Boyd, E Fidelma

    2017-05-15

    Pathogenicity islands (PAIs) are mobile integrated genetic elements that contain a diverse range of virulence factors. PAIs integrate into the host chromosome at a tRNA locus that contains their specific bacterial attachment site, attB , via integrase-mediated site-specific recombination generating attL and attR sites. We identified conserved recombination modules (integrases and att sites) previously described in choleragenic Vibrio cholerae PAIs but with novel cargo genes. Clustered regularly interspaced short palindromic repeat (CRISPR)-associated proteins (Cas proteins) and a type VI secretion system (T6SS) gene cluster were identified at the Vibrio pathogenicity island 1 (VPI-1) insertion site in 19 V. cholerae strains and contained the same recombination module. Two divergent type I-F CRISPR-Cas systems were identified, which differed in Cas protein homology and content. The CRISPR repeat sequence was identical among all V. cholerae strains, but the CRISPR spacer sequences and the number of spacers varied. In silico analysis suggests that the CRISPR-Cas systems were active against phages and plasmids. A type III secretion system (T3SS) was present in 12 V. cholerae strains on a 68-kb island inserted at the same tRNA-serine insertion site as VPI-2 and contained the same recombination module. Bioinformatics analysis showed that two divergent T3SSs exist among the strains examined. Both the CRISPR and T3SS islands excised site specifically from the bacterial chromosome as complete units, and the cognate integrases were essential for this excision. These data demonstrated that identical recombination modules that catalyze integration and excision from the chromosome can acquire diverse cargo genes, signifying a novel method of acquisition for both CRISPR-Cas systems and T3SSs. IMPORTANCE This work demonstrated the presence of CRISPR-Cas systems and T3SSs on PAIs. Our work showed that similar recombination modules can associate with different cargo genes and

  17. CRISPR-Cas9 Structures and Mechanisms.

    PubMed

    Jiang, Fuguo; Doudna, Jennifer A

    2017-05-22

    Many bacterial clustered regularly interspaced short palindromic repeats (CRISPR)-CRISPR-associated (Cas) systems employ the dual RNA-guided DNA endonuclease Cas9 to defend against invading phages and conjugative plasmids by introducing site-specific double-stranded breaks in target DNA. Target recognition strictly requires the presence of a short protospacer adjacent motif (PAM) flanking the target site, and subsequent R-loop formation and strand scission are driven by complementary base pairing between the guide RNA and target DNA, Cas9-DNA interactions, and associated conformational changes. The use of CRISPR-Cas9 as an RNA-programmable DNA targeting and editing platform is simplified by a synthetic single-guide RNA (sgRNA) mimicking the natural dual trans-activating CRISPR RNA (tracrRNA)-CRISPR RNA (crRNA) structure. This review aims to provide an in-depth mechanistic and structural understanding of Cas9-mediated RNA-guided DNA targeting and cleavage. Molecular insights from biochemical and structural studies provide a framework for rational engineering aimed at altering catalytic function, guide RNA specificity, and PAM requirements and reducing off-target activity for the development of Cas9-based therapies against genetic diseases.

  18. CasA mediates Cas3-catalyzed target degradation during CRISPR RNA-guided interference.

    PubMed

    Hochstrasser, Megan L; Taylor, David W; Bhat, Prashant; Guegler, Chantal K; Sternberg, Samuel H; Nogales, Eva; Doudna, Jennifer A

    2014-05-06

    In bacteria, the clustered regularly interspaced short palindromic repeats (CRISPR)-associated (Cas) DNA-targeting complex Cascade (CRISPR-associated complex for antiviral defense) uses CRISPR RNA (crRNA) guides to bind complementary DNA targets at sites adjacent to a trinucleotide signature sequence called the protospacer adjacent motif (PAM). The Cascade complex then recruits Cas3, a nuclease-helicase that catalyzes unwinding and cleavage of foreign double-stranded DNA (dsDNA) bearing a sequence matching that of the crRNA. Cascade comprises the CasA-E proteins and one crRNA, forming a structure that binds and unwinds dsDNA to form an R loop in which the target strand of the DNA base pairs with the 32-nt RNA guide sequence. Single-particle electron microscopy reconstructions of dsDNA-bound Cascade with and without Cas3 reveal that Cascade positions the PAM-proximal end of the DNA duplex at the CasA subunit and near the site of Cas3 association. The finding that the DNA target and Cas3 colocalize with CasA implicates this subunit in a key target-validation step during DNA interference. We show biochemically that base pairing of the PAM region is unnecessary for target binding but critical for Cas3-mediated degradation. In addition, the L1 loop of CasA, previously implicated in PAM recognition, is essential for Cas3 activation following target binding by Cascade. Together, these data show that the CasA subunit of Cascade functions as an essential partner of Cas3 by recognizing DNA target sites and positioning Cas3 adjacent to the PAM to ensure cleavage.

  19. The Impact of CRISPR/Cas9-Based Genomic Engineering on Biomedical Research and Medicine.

    PubMed

    Go, D E; Stottmann, R W

    2016-01-01

    There has been prolonged and significant interest in manipulating the genome for a wide range of applications in biomedical research and medicine. An existing challenge in realizing this potential has been the inability to precisely edit specific DNA sequences. Past efforts to generate targeted double stranded DNA cleavage have fused DNA-targeting elements such as zinc fingers and DNA-binding proteins to endonucleases. However, these approaches are limited by both design complexity and inefficient, costineffective operation. The discovery of CRISPR/Cas9, a branch of the bacterial adaptive immune system, as a potential genomic editing tool holds the promise of facile targeted cleavage. Its novelty lies in its RNA-guided endonuclease activity, which enhances its efficiency, scalability, and ease of use. The only necessary components are a Cas9 endonuclease protein and an RNA molecule tailored to the gene of interest. This lowbarrier of adoption has facilitated a plethora of advances in just the past three years since its discovery. In this review, we will discuss the impact of CRISPR/Cas9 on biomedical research and its potential implications in medicine.

  20. The discovery and development of the CRISPR system in applications in genome manipulation.

    PubMed

    Lau, Veronica; Davie, James R

    2017-04-01

    The clustered regularly interspaced short palindromic repeat (CRISPR) associated 9 (Cas9) system is a microbial adaptive immune system that has been recently developed for genomic engineering. From the moment the CRISPR system was discovered in Escherichia coli, the drive to understand the mechanism prevailed, leading to rapid advancement in the knowledge and applications of the CRISPR system. With the ability to characterize and understand the function of the Cas9 endonuclease came the ability to adapt the CRISPR-Cas9 system for use in a variety of applications and disciplines ranging from agriculture to biomedicine. This review will provide a brief overview of the discovery and development of the CRISPR-Cas9 system in applications such as genome regulation and epigenome engineering, as well as the challenges faced.

  1. Motor functions and adaptive behaviour in children with childhood apraxia of speech.

    PubMed

    Tükel, Şermin; Björelius, Helena; Henningsson, Gunilla; McAllister, Anita; Eliasson, Ann Christin

    2015-01-01

    Undiagnosed motor and behavioural problems have been reported for children with childhood apraxia of speech (CAS). This study aims to understand the extent of these problems by determining the profile of and relationships between speech/non-speech oral, manual and overall body motor functions and adaptive behaviours in CAS. Eighteen children (five girls and 13 boys) with CAS, 4 years 4 months to 10 years 6 months old, participated in this study. The assessments used were the Verbal Motor Production Assessment for Children (VMPAC), Bruininks-Oseretsky Test of Motor Proficiency (BOT-2) and Adaptive Behaviour Assessment System (ABAS-II). Median result of speech/non-speech oral motor function was between -1 and -2 SD of the mean VMPAC norms. For BOT-2 and ABAS-II, the median result was between the mean and -1 SD of test norms. However, on an individual level, many children had co-occurring difficulties (below -1 SD of the mean) in overall and manual motor functions and in adaptive behaviour, despite few correlations between sub-tests. In addition to the impaired speech motor output, children displayed heterogeneous motor problems suggesting the presence of a global motor deficit. The complex relationship between motor functions and behaviour may partly explain the undiagnosed developmental difficulties in CAS.

  2. Multigene disruption in undomesticated Bacillus subtilis ATCC 6051a using the CRISPR/Cas9 system

    PubMed Central

    Zhang, Kang; Duan, Xuguo; Wu, Jing

    2016-01-01

    Bacillus subtilis ATCC 6051a is an undomesticated strain used in the industrial production of enzymes. Because it is poorly transformable, genetic manipulation in this strain requires a highly efficient genome editing method. In this study, a Streptococcus pyogenes CRISPR/Cas9 system consisting of an all-in-one knockout plasmid containing a target-specific guide RNA, cas9, and a homologous repair template was established for highly efficient gene disruption in B. subtilis ATCC 6051a. With an efficiency of 33% to 53%, this system was used to disrupt the srfC, spoIIAC, nprE, aprE and amyE genes of B. subtilis ATCC 6051a, which hamper its use in industrial fermentation. Compared with B. subtilis ATCC 6051a, the final mutant, BS5 (ΔsrfC, ΔspoIIAC, ΔnprE, ΔaprE, ΔamyE), produces much less foam during fermentation, displays greater resistant to spore formation, and secretes 2.5-fold more β-cyclodextrin glycosyltransferase into the fermentation medium. Thus, the CRISPR/Cas9 system proved to be a powerful tool for targeted genome editing in an industrially relevant, poorly transformable strain. PMID:27305971

  3. Gene Repression in Haloarchaea Using the CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats)-Cas I-B System.

    PubMed

    Stachler, Aris-Edda; Marchfelder, Anita

    2016-07-15

    The clustered regularly interspaced short palindromic repeats (CRISPR)-Cas system is used by bacteria and archaea to fend off foreign genetic elements. Since its discovery it has been developed into numerous applications like genome editing and regulation of transcription in eukaryotes and bacteria. For archaea currently no tools for transcriptional repression exist. Because molecular biology analyses in archaea become more and more widespread such a tool is vital for investigating the biological function of essential genes in archaea. Here we use the model archaeon Haloferax volcanii to demonstrate that its endogenous CRISPR-Cas system I-B can be harnessed to repress gene expression in archaea. Deletion of cas3 and cas6b genes results in efficient repression of transcription. crRNAs targeting the promoter region reduced transcript levels down to 8%. crRNAs targeting the reading frame have only slight impact on transcription. crRNAs that target the coding strand repress expression only down to 88%, whereas crRNAs targeting the template strand repress expression down to 8%. Repression of an essential gene results in reduction of transcription levels down to 22%. Targeting efficiencies can be enhanced by expressing a catalytically inactive Cas3 mutant. Genes can be targeted on plasmids or on the chromosome, they can be monocistronic or part of a polycistronic operon. © 2016 by The American Society for Biochemistry and Molecular Biology, Inc.

  4. Gene Repression in Haloarchaea Using the CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats)-Cas I-B System*

    PubMed Central

    Stachler, Aris-Edda; Marchfelder, Anita

    2016-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)-Cas system is used by bacteria and archaea to fend off foreign genetic elements. Since its discovery it has been developed into numerous applications like genome editing and regulation of transcription in eukaryotes and bacteria. For archaea currently no tools for transcriptional repression exist. Because molecular biology analyses in archaea become more and more widespread such a tool is vital for investigating the biological function of essential genes in archaea. Here we use the model archaeon Haloferax volcanii to demonstrate that its endogenous CRISPR-Cas system I-B can be harnessed to repress gene expression in archaea. Deletion of cas3 and cas6b genes results in efficient repression of transcription. crRNAs targeting the promoter region reduced transcript levels down to 8%. crRNAs targeting the reading frame have only slight impact on transcription. crRNAs that target the coding strand repress expression only down to 88%, whereas crRNAs targeting the template strand repress expression down to 8%. Repression of an essential gene results in reduction of transcription levels down to 22%. Targeting efficiencies can be enhanced by expressing a catalytically inactive Cas3 mutant. Genes can be targeted on plasmids or on the chromosome, they can be monocistronic or part of a polycistronic operon. PMID:27226589

  5. The CasKR Two-Component System Is Required for the Growth of Mesophilic and Psychrotolerant Bacillus cereus Strains at Low Temperatures

    PubMed Central

    Diomandé, Sara Esther; Chamot, Stéphanie; Antolinos, Vera; Vasai, Florian; Guinebretière, Marie-Hélène; Bornard, Isabelle; Nguyen-the, Christophe; Broussolle, Véronique

    2014-01-01

    The different strains of Bacillus cereus can grow at temperatures covering a very diverse range. Some B. cereus strains can grow in chilled food and consequently cause food poisoning. We have identified a new sensor/regulator mechanism involved in low-temperature B. cereus growth. Construction of a mutant of this two-component system enabled us to show that this system, called CasKR, is required for growth at the minimal temperature (Tmin). CasKR was also involved in optimal cold growth above Tmin and in cell survival below Tmin. Microscopic observation showed that CasKR plays a key role in cell shape during cold growth. Introducing the casKR genes in a ΔcasKR mutant restored its ability to grow at Tmin. Although it was first identified in the ATCC 14579 model strain, this mechanism has been conserved in most strains of the B. cereus group. We show that the role of CasKR in cold growth is similar in other B. cereus sensu lato strains with different growth temperature ranges, including psychrotolerant strains. PMID:24509924

  6. Potential pitfalls of CRISPR/Cas9-mediated genome editing.

    PubMed

    Peng, Rongxue; Lin, Guigao; Li, Jinming

    2016-04-01

    Recently, a novel technique named the clustered regularly interspaced short palindromic repeat (CRISPR)/CRISPR-associated protein (Cas)9 system has been rapidly developed. This genome editing tool has improved our ability tremendously with respect to exploring the pathogenesis of diseases and correcting disease mutations, as well as phenotypes. With a short guide RNA, Cas9 can be precisely directed to target sites, and functions as an endonuclease to efficiently produce breaks in DNA double strands. Over the past 30 years, CRISPR has evolved from the 'curious sequences of unknown biological function' into a promising genome editing tool. As a result of the incessant development in the CRISPR/Cas9 system, Cas9 co-expressed with custom guide RNAs has been successfully used in a variety of cells and organisms. This genome editing technology can also be applied to synthetic biology, functional genomic screening, transcriptional modulation and gene therapy. However, although CRISPR/Cas9 has a broad range of action in science, there are several aspects that affect its efficiency and specificity, including Cas9 activity, target site selection and short guide RNA design, delivery methods, off-target effects and the incidence of homology-directed repair. In the present review, we highlight the factors that affect the utilization of CRISPR/Cas9, as well as possible strategies for handling any problems. Addressing these issues will allow us to take better advantage of this technique. In addition, we also review the history and rapid development of the CRISPR/Cas system from the time of its initial discovery in 2012. © 2015 FEBS.

  7. CRISPR/Cas9 and cancer targets: future possibilities and present challenges.

    PubMed

    White, Martyn K; Khalili, Kamel

    2016-03-15

    All cancers have multiple mutations that can largely be grouped into certain classes depending on the function of the gene in which they lie and these include oncogenic changes that enhance cellular proliferation, loss of function of tumor suppressors that regulate cell growth potential and induction of metabolic enzymes that confer resistance to chemotherapeutic agents. Thus the ability to correct such mutations is an important goal in cancer treatment. Recent research has led to the developments of reagents which specifically target nucleotide sequences within the cellular genome and these have a huge potential for expanding our anticancer armamentarium. One such a reagent is the clustered regulatory interspaced short palindromic repeat (CRISPR)-associated 9 (Cas9) system, a powerful, highly specific and adaptable tool that provides unparalleled control for editing the cellular genome. In this short review, we discuss the potential of CRISPR/Cas9 against human cancers and the current difficulties in translating this for novel therapeutic approaches.

  8. CRISPR-Cas9-Edited Site Sequencing (CRES-Seq): An Efficient and High-Throughput Method for the Selection of CRISPR-Cas9-Edited Clones.

    PubMed

    Veeranagouda, Yaligara; Debono-Lagneaux, Delphine; Fournet, Hamida; Thill, Gilbert; Didier, Michel

    2018-01-16

    The emergence of clustered regularly interspaced short palindromic repeats-Cas9 (CRISPR-Cas9) gene editing systems has enabled the creation of specific mutants at low cost, in a short time and with high efficiency, in eukaryotic cells. Since a CRISPR-Cas9 system typically creates an array of mutations in targeted sites, a successful gene editing project requires careful selection of edited clones. This process can be very challenging, especially when working with multiallelic genes and/or polyploid cells (such as cancer and plants cells). Here we described a next-generation sequencing method called CRISPR-Cas9 Edited Site Sequencing (CRES-Seq) for the efficient and high-throughput screening of CRISPR-Cas9-edited clones. CRES-Seq facilitates the precise genotyping up to 96 CRISPR-Cas9-edited sites (CRES) in a single MiniSeq (Illumina) run with an approximate sequencing cost of $6/clone. CRES-Seq is particularly useful when multiple genes are simultaneously targeted by CRISPR-Cas9, and also for screening of clones generated from multiallelic genes/polyploid cells. © 2018 by John Wiley & Sons, Inc. Copyright © 2018 John Wiley & Sons, Inc.

  9. Mr.CAS-A minimalistic (pure) Ruby CAS for fast prototyping and code generation

    NASA Astrophysics Data System (ADS)

    Ragni, Matteo

    There are Computer Algebra System (CAS) systems on the market with complete solutions for manipulation of analytical models. But exporting a model that implements specific algorithms on specific platforms, for target languages or for particular numerical library, is often a rigid procedure that requires manual post-processing. This work presents a Ruby library that exposes core CAS capabilities, i.e. simplification, substitution, evaluation, etc. The library aims at programmers that need to rapidly prototype and generate numerical code for different target languages, while keeping separated mathematical expression from the code generation rules, where best practices for numerical conditioning are implemented. The library is written in pure Ruby language and is compatible with most Ruby interpreters.

  10. Complex adaptive therapeutic strategy (CATS) for cancer.

    PubMed

    Cho, Yong Woo; Kim, Sang Yoon; Kwon, Ick Chan; Kim, In-San

    2014-02-10

    Tumors begin with a single cell, but as each tumor grows and evolves, it becomes a wide collection of clones that display remarkable heterogeneity in phenotypic features, which has posed a big challenge to current targeted anticancer therapy. Intra- and inter-tumoral heterogeneity is attributable in part to genetic mutations but also to adaptation and evolution of tumors to heterogeneity in tumor microenvironments. If tumors are viewed not only as a disease but also as a complex adaptive system (CAS), tumors should be treated as such and a more systemic approach is needed. Some of many tumors therapeutic strategies are discussed here from a view of a tumor as CAS, which can be collectively called a complex adaptive therapeutic strategy (CATS). The central theme of CATS is based on three intermediate concepts: i) disruption of artifacts, ii) disruption of connections, and iii) reprogramming of cancer-immune dynamics. Each strategy presented here is a piece of the puzzle for CATS. Although each piece by itself may be neither novel nor profound, an assembled puzzle could be a novel and innovative cancer therapeutic strategy. Copyright © 2013 Elsevier B.V. All rights reserved.

  11. Road to the future of systems biotechnology: CRISPR-Cas-mediated metabolic engineering for recombinant protein production.

    PubMed

    Roointan, Amir; Morowvat, Mohammad Hossein

    The rising potential for CRISPR-Cas-mediated genome editing has revolutionized our strategies in basic and practical bioengineering research. It provides a predictable and precise method for genome modification in a robust and reproducible fashion. Emergence of systems biotechnology and synthetic biology approaches coupled with CRISPR-Cas technology could change the future of cell factories to possess some new features which have not been found naturally. We have discussed the possibility and versatile potentials of CRISPR-Cas technology for metabolic engineering of a recombinant host for heterologous protein production. We describe the mechanisms involved in this metabolic engineering approach and present the diverse features of its application in biotechnology and protein production.

  12. Programmable Removal of Bacterial Strains by Use of Genome-Targeting CRISPR-Cas Systems

    PubMed Central

    Gomaa, Ahmed A.; Klumpe, Heidi E.; Luo, Michelle L.; Selle, Kurt; Barrangou, Rodolphe; Beisel, Chase L.

    2014-01-01

    ABSTRACT CRISPR (clustered regularly interspaced short palindromic repeats)-Cas (CRISPR-associated) systems in bacteria and archaea employ CRISPR RNAs to specifically recognize the complementary DNA of foreign invaders, leading to sequence-specific cleavage or degradation of the target DNA. Recent work has shown that the accidental or intentional targeting of the bacterial genome is cytotoxic and can lead to cell death. Here, we have demonstrated that genome targeting with CRISPR-Cas systems can be employed for the sequence-specific and titratable removal of individual bacterial strains and species. Using the type I-E CRISPR-Cas system in Escherichia coli as a model, we found that this effect could be elicited using native or imported systems and was similarly potent regardless of the genomic location, strand, or transcriptional activity of the target sequence. Furthermore, the specificity of targeting with CRISPR RNAs could readily distinguish between even highly similar strains in pure or mixed cultures. Finally, varying the collection of delivered CRISPR RNAs could quantitatively control the relative number of individual strains within a mixed culture. Critically, the observed selectivity and programmability of bacterial removal would be virtually impossible with traditional antibiotics, bacteriophages, selectable markers, or tailored growth conditions. Once delivery challenges are addressed, we envision that this approach could offer a novel means to quantitatively control the composition of environmental and industrial microbial consortia and may open new avenues for the development of “smart” antibiotics that circumvent multidrug resistance and differentiate between pathogenic and beneficial microorganisms. PMID:24473129

  13. Cas9, Cpf1 and C2c1/2/3-What's next?

    PubMed

    Nakade, Shota; Yamamoto, Takashi; Sakuma, Tetsushi

    2017-05-04

    Since the rapid emergence of clustered regulatory interspaced short palindromic repeats (CRISPR)-CRISPR-associated protein 9 (Cas9) system, developed as a genome engineering tool in 2012-2013, most researchers in the life science field have had a fixated interest in this fascinating technology. CRISPR-Cas9 is an RNA-guided DNA endonuclease system, which consists of Cas9 nuclease defining a few targeting base via protospacer adjacent motif complexed with easily customizable single guide RNA targeting around 20-bp genomic sequence. Although Streptococcus pyogenes Cas9 (SpCas9), one of the Cas9 proteins that applications in genome engineering were first demonstrated, still has wide usage because of its high nuclease activity and broad targeting range, there are several limitations such as large molecular weight and potential off-target effect. In this commentary, we describe various improvements and alternatives of CRISPR-Cas systems, including engineered Cas9 variants, Cas9 homologs, and novel Cas proteins other than Cas9. These variations enable flexible genome engineering with high efficiency and specificity, orthogonal genetic control at multiple gene loci, gene knockdown, or fluorescence imaging of transcripts mediated by RNA targeting, and beyond.

  14. Predominance of Single Prophage Carrying a CRISPR/cas System in “Candidatus Liberibacter asiaticus” Strains in Southern China

    PubMed Central

    Zheng, Zheng; Bao, Minli; Wu, Fengnian; Chen, Jianchi; Deng, Xiaoling

    2016-01-01

    “Candidatus Liberibacter asiaticus” (CLas) is an uncultureable α-proteobacterium associated with citrus Huanglongbing (HLB, yellow shoot disease), a highly destructive disease affecting citrus production worldwide. HLB was observed in Guangdong Province of China over a hundred years ago and remains endemic there. Little is known about CLas biology due to its uncultureable nature. This study began with the genome sequence analysis of CLas Strain A4 from Guangdong in the prophage region. Within the two currently known prophage types, Type 1 (SC1-like) and Type 2 (SC2-like), A4 genome contained only a Type 2 prophage, CGdP2, namely. An analysis on CLas strains collected in Guangdong showed that Type 2 prophage dominated the bacterial population (82.6%, 71/86). An extended survey covering five provinces in southern China also revealed the predominance of single prophage (Type 1 or Type 2) in the CLas population (90.4%, 169/187). CLas strains with two and no prophage types accounted for 7.2% and 2.8%, respectively. In silico analyses on CGdP2 identified a CRISPR (clustered regularly interspaced short palindromic repeats)/cas (CRISPR-associated protein genes) system, consisting of four 22 bp repeats, three 23 bp spacers and 9 predicted cas. Similar CRISPR/cas systems were detected in all 10 published CLas prophages as well as 13 CLas field strains in southern China. Both Type 1 and Type 2 prophages shared almost identical sequences in spacer 1 and 3 but not spacer 2. Considering that the function of a CRISPR/cas system was to destroy invading DNA, it was hypothesized that a pre-established CLas prophage could use its CRISPR/cas system guided by spacer 1 and/or 3 to defeat the invasion of the other phage/prophage. This hypothesis explained the predominance of single prophage type in the CLas population in southern China. This is the first report of CRISPR/cas system in the “Ca. Liberibacter” genera. PMID:26741827

  15. CAS as Environments for Implementing Mathematical Microworlds.

    ERIC Educational Resources Information Center

    Alpers, Burkhard

    2002-01-01

    Investigates whether computer algebra systems (CAS) are suitable environments for implementing mathematical microworlds. Recalls what constitutes a microworld and explores how CAS can be used for implementation, stating potentials as well as limitations. Provides as an example the microworld "Formula 1", implemented in Maple Software. (Author/KHR)

  16. CRISPR-Cas type I-A Cascade complex couples viral infection surveillance to host transcriptional regulation in the dependence of Csa3b.

    PubMed

    He, Fei; Vestergaard, Gisle; Peng, Wenfang; She, Qunxin; Peng, Xu

    2017-02-28

    CRISPR-Cas (clustered regularly interspaced short palindromic repeats and the associated genes) constitute adaptive immune systems in bacteria and archaea and they provide sequence specific immunity against foreign nucleic acids. CRISPR-Cas systems are activated by viral infection. However, little is known about how CRISPR-Cas systems are activated in response to viral infection or how their expression is controlled in the absence of viral infection. Here, we demonstrate that both the transcriptional regulator Csa3b, and the type I-A interference complex Cascade, are required to transcriptionally repress the interference gene cassette in the archaeon Sulfolobus. Csa3b binds to two palindromic repeat sites in the promoter region of the cassette and facilitates binding of the Cascade to the promoter region. Upon viral infection, loading of Cascade complexes onto crRNA-matching protospacers leads to relief of the transcriptional repression. Our data demonstrate a mechanism coupling CRISPR-Cas surveillance of protospacers to transcriptional regulation of the interference gene cassette thereby allowing a fast response to viral infection. © The Author(s) 2016. Published by Oxford University Press on behalf of Nucleic Acids Research.

  17. Deletion of transcription factor binding motifs using the CRISPR/spCas9 system in the β-globin LCR.

    PubMed

    Kim, Yea Woon; Kim, AeRi

    2017-07-20

    Transcription factors play roles in gene transcription through direct binding to their motifs in genome, and inhibiting this binding provides an effective strategy for studying their roles. Here we applied the CRISPR/spCas9 system to mutate the binding motifs of transcription factors. Binding motifs for erythroid specific transcription factors were mutated in the locus control region hypersensitive sites of the human β-globin locus. Guide RNAs targeting binding motifs were cloned into lentiviral CRISPR vector containing the spCas9 gene, and transduced into MEL/ch11 cells carrying a human chromosome 11. DNA mutations in clonal cells were initially screened by quantitative PCR in genomic DNA and then clarified by sequencing. Mutations in binding motifs reduced occupancy by transcription factors in a chromatin environment. Characterization of mutations revealed that the CRISPR/spCas9 system mainly induced deletions in short regions of <20 bp and preferentially deleted nucleotides around the fifth nucleotide upstream of Protospacer adjacent motifs. These results indicate that the CRISPR/Cas9 system is suitable for mutating the binding motifs of transcription factors, and, consequently, would contribute to elucidate the direct roles of transcription factors. ©2017 The Author(s).

  18. A New Group of Phage Anti-CRISPR Genes Inhibits the Type I-E CRISPR-Cas System of Pseudomonas aeruginosa

    PubMed Central

    Pawluk, April; Bondy-Denomy, Joseph; Cheung, Vivian H. W.; Maxwell, Karen L.; Davidson, Alan R.

    2014-01-01

    ABSTRACT CRISPR-Cas systems are one of the most widespread phage resistance mechanisms in prokaryotes. Our lab recently identified the first examples of phage-borne anti-CRISPR genes that encode protein inhibitors of the type I-F CRISPR-Cas system of Pseudomonas aeruginosa. A key question arising from this work was whether there are other types of anti-CRISPR genes. In the current work, we address this question by demonstrating that some of the same phages carrying type I-F anti-CRISPR genes also possess genes that mediate inhibition of the type I-E CRISPR-Cas system of P. aeruginosa. We have discovered four distinct families of these type I-E anti-CRISPR genes. These genes do not inhibit the type I-F CRISPR-Cas system of P. aeruginosa or the type I-E system of Escherichia coli. Type I-E and I-F anti-CRISPR genes are located at the same position in the genomes of a large group of related P. aeruginosa phages, yet they are found in a variety of combinations and arrangements. We have also identified functional anti-CRISPR genes within nonprophage Pseudomonas genomic regions that are likely mobile genetic elements. This work emphasizes the potential importance of anti-CRISPR genes in phage evolution and lateral gene transfer and supports the hypothesis that more undiscovered families of anti-CRISPR genes exist. Finally, we provide the first demonstration that the type I-E CRISPR-Cas system of P. aeruginosa is naturally active without genetic manipulation, which contrasts with E. coli and other previously characterized I-E systems. PMID:24736222

  19. Embracing uncertainty, managing complexity: applying complexity thinking principles to transformation efforts in healthcare systems.

    PubMed

    Khan, Sobia; Vandermorris, Ashley; Shepherd, John; Begun, James W; Lanham, Holly Jordan; Uhl-Bien, Mary; Berta, Whitney

    2018-03-21

    Complexity thinking is increasingly being embraced in healthcare, which is often described as a complex adaptive system (CAS). Applying CAS to healthcare as an explanatory model for understanding the nature of the system, and to stimulate changes and transformations within the system, is valuable. A seminar series on systems and complexity thinking hosted at the University of Toronto in 2016 offered a number of insights on applications of CAS perspectives to healthcare that we explore here. We synthesized topics from this series into a set of six insights on how complexity thinking fosters a deeper understanding of accepted ideas in healthcare, applications of CAS to actors within the system, and paradoxes in applications of complexity thinking that may require further debate: 1) a complexity lens helps us better understand the nebulous term "context"; 2) concepts of CAS may be applied differently when actors are cognizant of the system in which they operate; 3) actor responses to uncertainty within a CAS is a mechanism for emergent and intentional adaptation; 4) acknowledging complexity supports patient-centred intersectional approaches to patient care; 5) complexity perspectives can support ways that leaders manage change (and transformation) in healthcare; and 6) complexity demands different ways of implementing ideas and assessing the system. To enhance our exploration of key insights, we augmented the knowledge gleaned from the series with key articles on complexity in the literature. Ultimately, complexity thinking acknowledges the "messiness" that we seek to control in healthcare and encourages us to embrace it. This means seeing challenges as opportunities for adaptation, stimulating innovative solutions to ensure positive adaptation, leveraging the social system to enable ideas to emerge and spread across the system, and even more important, acknowledging that these adaptive actions are part of system behaviour just as much as periods of stability are. By

  20. Practical method for targeted disruption of cilia-related genes by using CRISPR/Cas9-mediated, homology-independent knock-in system.

    PubMed

    Katoh, Yohei; Michisaka, Saki; Nozaki, Shohei; Funabashi, Teruki; Hirano, Tomoaki; Takei, Ryota; Nakayama, Kazuhisa

    2017-04-01

    The CRISPR/Cas9 system has revolutionized genome editing in virtually all organisms. Although the CRISPR/Cas9 system enables the targeted cleavage of genomic DNA, its use for gene knock-in remains challenging because levels of homologous recombination activity vary among various cells. In contrast, the efficiency of homology-independent DNA repair is relatively high in most cell types. Therefore the use of a homology-independent repair mechanism is a possible alternative for efficient genome editing. Here we constructed a donor knock-in vector optimized for the CRISPR/Cas9 system and developed a practical system that enables efficient disruption of target genes by exploiting homology-independent repair. Using this practical knock-in system, we successfully disrupted genes encoding proteins involved in ciliary protein trafficking, including IFT88 and IFT20, in hTERT-RPE1 cells, which have low homologous recombination activity. The most critical concern using the CRISPR/Cas9 system is off-target cleavage. To reduce the off-target cleavage frequency and increase the versatility of our knock-in system, we constructed a universal donor vector and an expression vector containing Cas9 with enhanced specificity and tandem sgRNA expression cassettes. We demonstrated that the second version of our system has improved usability. © 2017 Katoh et al. This article is distributed by The American Society for Cell Biology under license from the author(s). Two months after publication it is available to the public under an Attribution–Noncommercial–Share Alike 3.0 Unported Creative Commons License (http://creativecommons.org/licenses/by-nc-sa/3.0).

  1. Rational design of a split-Cas9 enzyme complex.

    PubMed

    Wright, Addison V; Sternberg, Samuel H; Taylor, David W; Staahl, Brett T; Bardales, Jorge A; Kornfeld, Jack E; Doudna, Jennifer A

    2015-03-10

    Cas9, an RNA-guided DNA endonuclease found in clustered regularly interspaced short palindromic repeats (CRISPR) bacterial immune systems, is a versatile tool for genome editing, transcriptional regulation, and cellular imaging applications. Structures of Streptococcus pyogenes Cas9 alone or bound to single-guide RNA (sgRNA) and target DNA revealed a bilobed protein architecture that undergoes major conformational changes upon guide RNA and DNA binding. To investigate the molecular determinants and relevance of the interlobe rearrangement for target recognition and cleavage, we designed a split-Cas9 enzyme in which the nuclease lobe and α-helical lobe are expressed as separate polypeptides. Although the lobes do not interact on their own, the sgRNA recruits them into a ternary complex that recapitulates the activity of full-length Cas9 and catalyzes site-specific DNA cleavage. The use of a modified sgRNA abrogates split-Cas9 activity by preventing dimerization, allowing for the development of an inducible dimerization system. We propose that split-Cas9 can act as a highly regulatable platform for genome-engineering applications.

  2. Rational design of a split-Cas9 enzyme complex

    DOE PAGES

    Wright, Addison V.; Sternberg, Samuel H.; Taylor, David W.; ...

    2015-02-23

    Cas9, an RNA-guided DNA endonuclease found in clustered regularly interspaced short palindromic repeats (CRISPR) bacterial immune systems, is a versatile tool for genome editing, transcriptional regulation, and cellular imaging applications. Structures of Streptococcus pyogenes Cas9 alone or bound to single-guide RNA (sgRNA) and target DNA revealed a bilobed protein architecture that undergoes major conformational changes upon guide RNA and DNA binding. To investigate the molecular determinants and relevance of the interlobe rearrangement for target recognition and cleavage, we designed a split-Cas9 enzyme in which the nuclease lobe and α-helical lobe are expressed as separate polypeptides. The lobes do not interactmore » on their own, the sgRNA recruits them into a ternary complex that recapitulates the activity of full-length Cas9 and catalyzes site-specific DNA cleavage. The use of a modified sgRNA abrogates split-Cas9 activity by preventing dimerization, allowing for the development of an inducible dimerization system. We propose that split-Cas9 can act as a highly regulatable platform for genome-engineering applications.« less

  3. Rational design of a split-Cas9 enzyme complex

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wright, Addison V.; Sternberg, Samuel H.; Taylor, David W.

    Cas9, an RNA-guided DNA endonuclease found in clustered regularly interspaced short palindromic repeats (CRISPR) bacterial immune systems, is a versatile tool for genome editing, transcriptional regulation, and cellular imaging applications. Structures of Streptococcus pyogenes Cas9 alone or bound to single-guide RNA (sgRNA) and target DNA revealed a bilobed protein architecture that undergoes major conformational changes upon guide RNA and DNA binding. To investigate the molecular determinants and relevance of the interlobe rearrangement for target recognition and cleavage, we designed a split-Cas9 enzyme in which the nuclease lobe and α-helical lobe are expressed as separate polypeptides. The lobes do not interactmore » on their own, the sgRNA recruits them into a ternary complex that recapitulates the activity of full-length Cas9 and catalyzes site-specific DNA cleavage. The use of a modified sgRNA abrogates split-Cas9 activity by preventing dimerization, allowing for the development of an inducible dimerization system. We propose that split-Cas9 can act as a highly regulatable platform for genome-engineering applications.« less

  4. MacSyFinder: A Program to Mine Genomes for Molecular Systems with an Application to CRISPR-Cas Systems

    PubMed Central

    Abby, Sophie S.; Néron, Bertrand; Ménager, Hervé; Touchon, Marie; Rocha, Eduardo P. C.

    2014-01-01

    Motivation Biologists often wish to use their knowledge on a few experimental models of a given molecular system to identify homologs in genomic data. We developed a generic tool for this purpose. Results Macromolecular System Finder (MacSyFinder) provides a flexible framework to model the properties of molecular systems (cellular machinery or pathway) including their components, evolutionary associations with other systems and genetic architecture. Modelled features also include functional analogs, and the multiple uses of a same component by different systems. Models are used to search for molecular systems in complete genomes or in unstructured data like metagenomes. The components of the systems are searched by sequence similarity using Hidden Markov model (HMM) protein profiles. The assignment of hits to a given system is decided based on compliance with the content and organization of the system model. A graphical interface, MacSyView, facilitates the analysis of the results by showing overviews of component content and genomic context. To exemplify the use of MacSyFinder we built models to detect and class CRISPR-Cas systems following a previously established classification. We show that MacSyFinder allows to easily define an accurate “Cas-finder” using publicly available protein profiles. Availability and Implementation MacSyFinder is a standalone application implemented in Python. It requires Python 2.7, Hmmer and makeblastdb (version 2.2.28 or higher). It is freely available with its source code under a GPLv3 license at https://github.com/gem-pasteur/macsyfinder. It is compatible with all platforms supporting Python and Hmmer/makeblastdb. The “Cas-finder” (models and HMM profiles) is distributed as a compressed tarball archive as Supporting Information. PMID:25330359

  5. Antiviral Goes Viral: Harnessing CRISPR/Cas9 to Combat Viruses in Humans.

    PubMed

    Soppe, Jasper Adriaan; Lebbink, Robert Jan

    2017-10-01

    The clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) systems are RNA-guided sequence-specific prokaryotic antiviral immune systems. In prokaryotes, small RNA molecules guide Cas effector endonucleases to invading foreign genetic elements in a sequence-dependent manner, resulting in DNA cleavage by the endonuclease upon target binding. A rewired CRISPR/Cas9 system can be used for targeted and precise genome editing in eukaryotic cells. CRISPR/Cas has also been harnessed to target human pathogenic viruses as a potential new antiviral strategy. Here, we review recent CRISPR/Cas9-based approaches to combat specific human viruses in humans and discuss challenges that need to be overcome before CRISPR/Cas9 may be used in the clinic as an antiviral strategy. Copyright © 2017 Elsevier Ltd. All rights reserved.

  6. Visualization of phage DNA degradation by a type I CRISPR-Cas system at the single-cell level.

    PubMed

    Guan, Jingwen; Shi, Xu; Burgos, Roberto; Zeng, Lanying

    2017-03-01

    The CRISPR-Cas system is a widespread prokaryotic defense system which targets and cleaves invasive nucleic acids, such as plasmids or viruses. So far, a great number of studies have focused on the components and mechanisms of this system, however, a direct visualization of CRISPR-Cas degrading invading DNA in real-time has not yet been studied at the single-cell level. In this study, we fluorescently label phage lambda DNA in vivo , and track the labeled DNA over time to characterize DNA degradation at the single-cell level. At the bulk level, the lysogenization frequency of cells harboring CRISPR plasmids decreases significantly compared to cells with a non-CRISPR control. At the single-cell level, host cells with CRISPR activity are unperturbed by phage infection, maintaining normal growth like uninfected cells, where the efficiency of our anti-lambda CRISPR system is around 26%. During the course of time-lapse movies, the average fluorescence of invasive phage DNA in cells with CRISPR activity, decays more rapidly compared to cells without, and phage DNA is fully degraded by around 44 minutes on average. Moreover, the degradation appears to be independent of cell size or the phage DNA ejection site suggesting that Cas proteins are dispersed in sufficient quantities throughout the cell. With the CRISPR-Cas visualization system we developed, we are able to examine and characterize how a CRISPR system degrades invading phage DNA at the single-cell level. This work provides direct evidence and improves the current understanding on how CRISPR breaks down invading DNA.

  7. The CRISPR/Cas9 system produces specific and homozygous targeted gene editing in rice in one generation.

    PubMed

    Zhang, Hui; Zhang, Jinshan; Wei, Pengliang; Zhang, Botao; Gou, Feng; Feng, Zhengyan; Mao, Yanfei; Yang, Lan; Zhang, Heng; Xu, Nanfei; Zhu, Jian-Kang

    2014-08-01

    The CRISPR/Cas9 system has been demonstrated to efficiently induce targeted gene editing in a variety of organisms including plants. Recent work showed that CRISPR/Cas9-induced gene mutations in Arabidopsis were mostly somatic mutations in the early generation, although some mutations could be stably inherited in later generations. However, it remains unclear whether this system will work similarly in crops such as rice. In this study, we tested in two rice subspecies 11 target genes for their amenability to CRISPR/Cas9-induced editing and determined the patterns, specificity and heritability of the gene modifications. Analysis of the genotypes and frequency of edited genes in the first generation of transformed plants (T0) showed that the CRISPR/Cas9 system was highly efficient in rice, with target genes edited in nearly half of the transformed embryogenic cells before their first cell division. Homozygotes of edited target genes were readily found in T0 plants. The gene mutations were passed to the next generation (T1) following classic Mendelian law, without any detectable new mutation or reversion. Even with extensive searches including whole genome resequencing, we could not find any evidence of large-scale off-targeting in rice for any of the many targets tested in this study. By specifically sequencing the putative off-target sites of a large number of T0 plants, low-frequency mutations were found in only one off-target site where the sequence had 1-bp difference from the intended target. Overall, the data in this study point to the CRISPR/Cas9 system being a powerful tool in crop genome engineering. © 2014 Society for Experimental Biology, Association of Applied Biologists and John Wiley & Sons Ltd.

  8. CRISPR-Cas9 vectors for genome editing and host engineering in the baculovirus-insect cell system.

    PubMed

    Mabashi-Asazuma, Hideaki; Jarvis, Donald L

    2017-08-22

    The baculovirus-insect cell system (BICS) has been widely used to produce many different recombinant proteins for basic research and is being used to produce several biologics approved for use in human or veterinary medicine. Early BICS were technically complex and constrained by the relatively primordial nature of insect cell protein glycosylation pathways. Since then, recombination has been used to modify baculovirus vectors-which has simplified the system-and transform insect cells, which has enhanced its protein glycosylation capabilities. Now, CRISPR-Cas9 tools for site-specific genome editing are needed to facilitate further improvements in the BICS. Thus, in this study, we used various insect U6 promoters to construct CRISPR-Cas9 vectors and assessed their utility for site-specific genome editing in two insect cell lines commonly used as hosts in the BICS. We demonstrate the use of CRISPR-Cas9 to edit an endogenous insect cell gene and alter protein glycosylation in the BICS.

  9. CRISPR-Cas9-Mediated Single-Gene and Gene Family Disruption in Trypanosoma cruzi

    PubMed Central

    Peng, Duo; Kurup, Samarchith P.; Yao, Phil Y.; Minning, Todd A.

    2014-01-01

    ABSTRACT Trypanosoma cruzi is a protozoan parasite of humans and animals, affecting 10 to 20 million people and innumerable animals, primarily in the Americas. Despite being the largest cause of infection-induced heart disease worldwide, even among the neglected tropical diseases (NTDs) T. cruzi is considered one of the least well understood and understudied. The genetic complexity of T. cruzi as well as the limited set of efficient techniques for genome engineering contribute significantly to the relative lack of progress in and understanding of this pathogen. Here, we adapted the CRISPR-Cas9 system for the genetic engineering of T. cruzi, demonstrating rapid and efficient knockout of multiple endogenous genes, including essential genes. We observed that in the absence of a template, repair of the Cas9-induced double-stranded breaks (DSBs) in T. cruzi occurs exclusively by microhomology-mediated end joining (MMEJ) with various-sized deletions. When a template for DNA repair is provided, DSB repair by homologous recombination is achieved at an efficiency several orders of magnitude higher than that in the absence of CRISPR-Cas9-induced DSBs. We also demonstrate the high multiplexing capacity of CRISPR-Cas9 in T. cruzi by knocking down expression of an enzyme gene family consisting of 65 members, resulting in a significant reduction of enzymatic product with no apparent off-target mutations. Lastly, we show that Cas9 can mediate disruption of its own coding sequence, rescuing a growth defect in stable Cas9-expressing parasites. These results establish a powerful new tool for the analysis of gene functions in T. cruzi, enabling the study of essential genes and their functions and analysis of the many large families of related genes that occupy a substantial portion of the T. cruzi genome. PMID:25550322

  10. Design and Validation of CRISPR/Cas9 Systems for Targeted Gene Modification in Induced Pluripotent Stem Cells.

    PubMed

    Lee, Ciaran M; Zhu, Haibao; Davis, Timothy H; Deshmukh, Harshahardhan; Bao, Gang

    2017-01-01

    The CRISPR/Cas9 system is a powerful tool for precision genome editing. The ability to accurately modify genomic DNA in situ with single nucleotide precision opens up new possibilities for not only basic research but also biotechnology applications and clinical translation. In this chapter, we outline the procedures for design, screening, and validation of CRISPR/Cas9 systems for targeted modification of coding sequences in the human genome and how to perform genome editing in induced pluripotent stem cells with high efficiency and specificity.

  11. Microinjection of CRISPR/Cas9 Protein into Channel Catfish, Ictalurus punctatus, Embryos for Gene Editing.

    PubMed

    Elaswad, Ahmed; Khalil, Karim; Cline, David; Page-McCaw, Patrick; Chen, Wenbiao; Michel, Maximilian; Cone, Roger; Dunham, Rex

    2018-01-20

    The complete genome of the channel catfish, Ictalurus punctatus, has been sequenced, leading to greater opportunities for studying channel catfish gene function. Gene knockout has been used to study these gene functions in vivo. The clustered regularly interspaced short palindromic repeats/CRISPR associated protein 9 (CRISPR/Cas9) system is a powerful tool used to edit genomic DNA sequences to alter gene function. While the traditional approach has been to introduce CRISPR/Cas9 mRNA into the single cell embryos through microinjection, this can be a slow and inefficient process in catfish. Here, a detailed protocol for microinjection of channel catfish embryos with CRISPR/Cas9 protein is described. Briefly, eggs and sperm were collected and then artificial fertilization performed. Fertilized eggs were transferred to a Petri dish containing Holtfreter's solution. Injection volume was calibrated and then guide RNAs/Cas9 targeting the toll/interleukin 1 receptor domain-containing adapter molecule (TICAM 1) gene and rhamnose binding lectin (RBL) gene were microinjected into the yolk of one-cell embryos. The gene knockout was successful as indels were confirmed by DNA sequencing. The predicted protein sequence alterations due to these mutations included frameshift and truncated protein due to premature stop codons.

  12. Diving into marine genomics with CRISPR/Cas9 systems.

    PubMed

    Momose, Tsuyoshi; Concordet, Jean-Paul

    2016-12-01

    More and more genomes are sequenced and a great range of biological questions can be examined at the genomic level in a growing number of organisms. Testing the function of genome features, from gene networks, genome organization, conserved non-coding sequences to microRNAs, and, more generally, experimentally addressing the genotype-phenotype relationship is now possible owing to the clustered, regularly interspaced, short palindromic repeats (CRISPR)-Cas9 revolution of genome editing. In the present review, we give a brief overview of the CRISPR/Cas9 toolbox and different strategies for genome editing currently available. We list the first examples of applications to marine organisms and also draw from studies in more common laboratory models to suggest both guidelines for design of genome editing experiments as well as discuss challenges specific to marine organisms. In addition, we discuss future perspectives, including applications of CRISPR/Cas9 to base editing and targeted reprogramming of gene transcription. Copyright © 2016 Elsevier B.V. All rights reserved.

  13. 48 CFR 30.201-2 - Types of CAS coverage.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 48 Federal Acquisition Regulations System 1 2011-10-01 2011-10-01 false Types of CAS coverage. 30.201-2 Section 30.201-2 Federal Acquisition Regulations System FEDERAL ACQUISITION REGULATION GENERAL CONTRACTING REQUIREMENTS COST ACCOUNTING STANDARDS ADMINISTRATION CAS Program Requirements 30.201-2 Types of...

  14. Efficient Generation and Editing of Feeder-free IPSCs from Human Pancreatic Cells Using the CRISPR-Cas9 System.

    PubMed

    Nandal, Anjali; Mallon, Barbara; Telugu, Bhanu P

    2017-11-08

    Embryonic and induced pluripotent stem cells can self-renew and differentiate into multiple cell types of the body. The pluripotent cells are thus coveted for research in regenerative medicine and are currently in clinical trials for eye diseases, diabetes, heart diseases, and other disorders. The potential to differentiate into specialized cell types coupled with the recent advances in genome editing technologies including the CRISPR/Cas system have provided additional opportunities for tailoring the genome of iPSC for varied applications including disease modeling, gene therapy, and biasing pathways of differentiation, to name a few. Among the available editing technologies, the CRISPR/Cas9 from Streptococcus pyogenes has emerged as a tool of choice for site-specific editing of the eukaryotic genome. The CRISPRs are easily accessible, inexpensive, and highly efficient in engineering targeted edits. The system requires a Cas9 nuclease and a guide sequence (20-mer) specific to the genomic target abutting a 3-nucleotide "NGG" protospacer-adjacent-motif (PAM) for targeting Cas9 to the desired genomic locus, alongside a universal Cas9 binding tracer RNA (together called single guide RNA or sgRNA). Here we present a step-by-step protocol for efficient generation of feeder-independent and footprint-free iPSC and describe methodologies for genome editing of iPSC using the Cas9 ribonucleoprotein (RNP) complexes. The genome editing protocol is effective and can be easily multiplexed by pre-complexing sgRNAs for more than one target with the Cas9 protein and simultaneously delivering into the cells. Finally, we describe a simplified approach for identification and characterization of iPSCs with desired edits. Taken together, the outlined strategies are expected to streamline generation and editing of iPSC for manifold applications.

  15. Advances and perspectives on the use of CRISPR/Cas9 systems in plant genomics research

    DOE PAGES

    Liu, Degao; Hu, Rongbin; Palla, Kaitlin J.; ...

    2016-02-18

    Genome editing with site-specific nucleases has become a powerful tool for functional characterization of plant genes and genetic improvement of agricultural crops. Among the various site-specific nuclease-based technologies available for genome editing, the clustered regularly interspaced short palindromic repeat (CRISPR)/CRISPR-associated protein 9 (Cas9) systems have shown the greatest potential for rapid and efficient editing of genomes in plant species. Here, this article reviews the current status of application of CRISPR/Cas9 to plant genomics research, with a focus on loss-of-function and gain-of-function analysis of individual genes in the context of perennial plants and the potential application of CRISPR/Cas9 to perturbation ofmore » gene expression, as well as identification and analysis of gene modules as part of an accelerated domestication and synthetic biology effort.« less

  16. Advances and perspectives on the use of CRISPR/Cas9 systems in plant genomics research

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Degao; Hu, Rongbin; Palla, Kaitlin J.

    Genome editing with site-specific nucleases has become a powerful tool for functional characterization of plant genes and genetic improvement of agricultural crops. Among the various site-specific nuclease-based technologies available for genome editing, the clustered regularly interspaced short palindromic repeat (CRISPR)/CRISPR-associated protein 9 (Cas9) systems have shown the greatest potential for rapid and efficient editing of genomes in plant species. Here, this article reviews the current status of application of CRISPR/Cas9 to plant genomics research, with a focus on loss-of-function and gain-of-function analysis of individual genes in the context of perennial plants and the potential application of CRISPR/Cas9 to perturbation ofmore » gene expression, as well as identification and analysis of gene modules as part of an accelerated domestication and synthetic biology effort.« less

  17. Application of CRISPR/Cas9 in plant biology.

    PubMed

    Liu, Xuan; Wu, Surui; Xu, Jiao; Sui, Chun; Wei, Jianhe

    2017-05-01

    The CRISPR/Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated proteins) system was first identified in bacteria and archaea and can degrade exogenous substrates. It was developed as a gene editing technology in 2013. Over the subsequent years, it has received extensive attention owing to its easy manipulation, high efficiency, and wide application in gene mutation and transcriptional regulation in mammals and plants. The process of CRISPR/Cas is optimized constantly and its application has also expanded dramatically. Therefore, CRISPR/Cas is considered a revolutionary technology in plant biology. Here, we introduce the mechanism of the type II CRISPR/Cas called CRISPR/Cas9, update its recent advances in various applications in plants, and discuss its future prospects to provide an argument for its use in the study of medicinal plants.

  18. Easy regulation of metabolic flux in Escherichia coli using an endogenous type I-E CRISPR-Cas system.

    PubMed

    Chang, Yizhao; Su, Tianyuan; Qi, Qingsheng; Liang, Quanfeng

    2016-11-15

    Clustered regularly interspaced short palindromic repeats interference (CRISPRi) is a recently developed powerful tool for gene regulation. In Escherichia coli, the type I CRISPR system expressed endogenously shall be easy for internal regulation without causing metabolic burden in compared with the widely used type II system, which expressed dCas9 as an additional plasmid. By knocking out cas3 and activating the expression of CRISPR-associated complex for antiviral defense (Cascade), we constructed a native CRISPRi system in E. coli. Downregulation of the target gene from 6 to 82% was demonstrated using green fluorescent protein. Regulation of the citrate synthase gene (gltA) in the TCA cycle affected host metabolism. The effect of metabolic flux regulation was demonstrated by the poly-3-hydroxbutyrate (PHB) accumulation in vivo. By regulating native gltA in E. coli using an engineered endogenous type I-E CRISPR system, we redirected metabolic flux from the central metabolic pathway to the PHB synthesis pathway. This study demonstrated that the endogenous type I-E CRISPR-Cas system is an easy and effective method for regulating internal metabolic pathways, which is useful for product synthesis.

  19. Clustered Regularly Interspaced Short Palindromic Repeat (CRISPR) RNAs in the Porphyromonas gingivalis CRISPR-Cas I-C System.

    PubMed

    Burmistrz, Michal; Rodriguez Martinez, Jose Ignacio; Krochmal, Daniel; Staniec, Dominika; Pyrc, Krzysztof

    2017-12-01

    The CRISPR-Cas (clustered regularly interspaced short palindromic repeat-CRISPR-associated protein) system is unique to prokaryotes and provides the majority of bacteria and archaea with immunity against nucleic acids of foreign origin. CRISPR RNAs (crRNAs) are the key element of this system, since they are responsible for its selectivity and effectiveness. Typical crRNAs consist of a spacer sequence flanked with 5' and 3' handles originating from repeat sequences that are important for recognition of these small RNAs by the Cas machinery. In this investigation, we studied the type I-C CRISPR-Cas system in Porphyromonas gingivalis , a human pathogen associated with periodontitis, rheumatoid arthritis, cardiovascular disease, and aspiration pneumonia. We demonstrated the importance of the 5' handle for crRNA recognition by the effector complex and consequently activity, as well as secondary trimming of the 3' handle, which was not affected by modifications of the repeat sequence. IMPORTANCE Porphyromonas gingivalis , a clinically relevant Gram-negative, anaerobic bacterium, is one of the major etiologic agents of periodontitis and has been linked with the development of other clinical conditions, including rheumatoid arthritis, cardiovascular disease, and aspiration pneumonia. The presented results on the biogenesis and functions of crRNAs expand our understanding of CRISPR-Cas cellular defenses in P. gingivalis and of horizontal gene transfer in bacteria. Copyright © 2017 American Society for Microbiology.

  20. Clustered Regularly Interspaced Short Palindromic Repeat (CRISPR) RNAs in the Porphyromonas gingivalis CRISPR-Cas I-C System

    PubMed Central

    Burmistrz, Michal; Rodriguez Martinez, Jose Ignacio; Krochmal, Daniel; Staniec, Dominika

    2017-01-01

    ABSTRACT The CRISPR-Cas (clustered regularly interspaced short palindromic repeat–CRISPR-associated protein) system is unique to prokaryotes and provides the majority of bacteria and archaea with immunity against nucleic acids of foreign origin. CRISPR RNAs (crRNAs) are the key element of this system, since they are responsible for its selectivity and effectiveness. Typical crRNAs consist of a spacer sequence flanked with 5′ and 3′ handles originating from repeat sequences that are important for recognition of these small RNAs by the Cas machinery. In this investigation, we studied the type I-C CRISPR-Cas system in Porphyromonas gingivalis, a human pathogen associated with periodontitis, rheumatoid arthritis, cardiovascular disease, and aspiration pneumonia. We demonstrated the importance of the 5′ handle for crRNA recognition by the effector complex and consequently activity, as well as secondary trimming of the 3′ handle, which was not affected by modifications of the repeat sequence. IMPORTANCE Porphyromonas gingivalis, a clinically relevant Gram-negative, anaerobic bacterium, is one of the major etiologic agents of periodontitis and has been linked with the development of other clinical conditions, including rheumatoid arthritis, cardiovascular disease, and aspiration pneumonia. The presented results on the biogenesis and functions of crRNAs expand our understanding of CRISPR-Cas cellular defenses in P. gingivalis and of horizontal gene transfer in bacteria. PMID:28893837

  1. Fanconi anemia gene editing by the CRISPR/Cas9 system.

    PubMed

    Osborn, Mark J; Gabriel, Richard; Webber, Beau R; DeFeo, Anthony P; McElroy, Amber N; Jarjour, Jordan; Starker, Colby G; Wagner, John E; Joung, J Keith; Voytas, Daniel F; von Kalle, Christof; Schmidt, Manfred; Blazar, Bruce R; Tolar, Jakub

    2015-02-01

    Genome engineering with designer nucleases is a rapidly progressing field, and the ability to correct human gene mutations in situ is highly desirable. We employed fibroblasts derived from a patient with Fanconi anemia as a model to test the ability of the clustered regularly interspaced short palindromic repeats/Cas9 nuclease system to mediate gene correction. We show that the Cas9 nuclease and nickase each resulted in gene correction, but the nickase, because of its ability to preferentially mediate homology-directed repair, resulted in a higher frequency of corrected clonal isolates. To assess the off-target effects, we used both a predictive software platform to identify intragenic sequences of homology as well as a genome-wide screen utilizing linear amplification-mediated PCR. We observed no off-target activity and show RNA-guided endonuclease candidate sites that do not possess low sequence complexity function in a highly specific manner. Collectively, we provide proof of principle for precision genome editing in Fanconi anemia, a DNA repair-deficient human disorder.

  2. Modulating signaling networks by CRISPR/Cas9-mediated transposable element insertion.

    PubMed

    Vaschetto, Luis María

    2018-04-01

    In a recent past, transposable elements (TEs) were referred to as selfish genetic components only capable of copying themselves with the aim of increasing the odds of being inherited. Nonetheless, TEs have been initially proposed as positive control elements acting in synergy with the host. Nowadays, it is well known that TE movement into host genome comprises an important evolutionary mechanism capable of increasing the adaptive fitness. As insights into TE functioning are increasing day to day, the manipulation of transposition has raised an interesting possibility of setting the host functions, although the lack of appropriate genome engineering tools has unpaved it. Fortunately, the emergence of genome editing technologies based on programmable nucleases, and especially the arrival of a multipurpose RNA-guided Cas9 endonuclease system, has made it possible to reconsider this challenge. For such purpose, a particular type of transposons referred to as miniature inverted-repeat transposable elements (MITEs) has shown a series of interesting characteristics for designing functional drivers. Here, recent insights into MITE elements and versatile RNA-guided CRISPR/Cas9 genome engineering system are given to understand how to deploy the potential of TEs for control of the host transcriptional activity.

  3. Production of Purified CasRNPs for Efficacious Genome Editing.

    PubMed

    Lingeman, Emily; Jeans, Chris; Corn, Jacob E

    2017-10-02

    CRISPR-Cas systems have been harnessed as modular genome editing reagents for functional genomics and show promise to cure genetic diseases. Directed by a guide RNA, a Cas effector introduces a double stranded break in DNA and host cell DNA repair leads to the introduction of errors (e.g., to knockout a gene) or a programmed change. Introduction of a Cas effector and guide RNA as a purified Cas ribonucleoprotein complex (CasRNP) has recently emerged as a powerful approach to alter cell types and organisms. Not only does CasRNP editing exhibit increased efficacy and specificity, it avoids optimization and iteration of species-specific factors such as codon usage, promoters, and terminators. CasRNP editing has been rapidly adopted for research use in many contexts and is quickly becoming a popular method to edit primary cells for therapeutic application. This article describes how to make a Cas9 RNP and outlines its use for gene editing in human cells. © 2017 by John Wiley & Sons, Inc. Copyright © 2017 John Wiley & Sons, Inc.

  4. Production of genome-edited pluripotent stem cells and mice by CRISPR/Cas.

    PubMed

    Horii, Takuro; Hatada, Izuho

    2016-01-01

    Clustered regularly at interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) nucleases, so-called CRISPR/Cas, was recently developed as an epoch-making genome engineering technology. This system only requires Cas9 nuclease and single-guide RNA complementary to a target locus. CRISPR/Cas enables the generation of knockout cells and animals in a single step. This system can also be used to generate multiple mutations and knockin in a single step, which is not possible using other methods. In this review, we provide an overview of genome editing by CRISPR/Cas in pluripotent stem cells and mice.

  5. Sequences spanning the leader-repeat junction mediate CRISPR adaptation to phage in Streptococcus thermophilus

    PubMed Central

    Wei, Yunzhou; Chesne, Megan T.; Terns, Rebecca M.; Terns, Michael P.

    2015-01-01

    CRISPR-Cas systems are RNA-based immune systems that protect prokaryotes from invaders such as phages and plasmids. In adaptation, the initial phase of the immune response, short foreign DNA fragments are captured and integrated into host CRISPR loci to provide heritable defense against encountered foreign nucleic acids. Each CRISPR contains a ∼100–500 bp leader element that typically includes a transcription promoter, followed by an array of captured ∼35 bp sequences (spacers) sandwiched between copies of an identical ∼35 bp direct repeat sequence. New spacers are added immediately downstream of the leader. Here, we have analyzed adaptation to phage infection in Streptococcus thermophilus at the CRISPR1 locus to identify cis-acting elements essential for the process. We show that the leader and a single repeat of the CRISPR locus are sufficient for adaptation in this system. Moreover, we identified a leader sequence element capable of stimulating adaptation at a dormant repeat. We found that sequences within 10 bp of the site of integration, in both the leader and repeat of the CRISPR, are required for the process. Our results indicate that information at the CRISPR leader-repeat junction is critical for adaptation in this Type II-A system and likely other CRISPR-Cas systems. PMID:25589547

  6. CRISPR/Cas9 Based Genome Editing of Penicillium chrysogenum.

    PubMed

    Pohl, C; Kiel, J A K W; Driessen, A J M; Bovenberg, R A L; Nygård, Y

    2016-07-15

    CRISPR/Cas9 based systems have emerged as versatile platforms for precision genome editing in a wide range of organisms. Here we have developed powerful CRISPR/Cas9 tools for marker-based and marker-free genome modifications in Penicillium chrysogenum, a model filamentous fungus and industrially relevant cell factory. The developed CRISPR/Cas9 toolbox is highly flexible and allows editing of new targets with minimal cloning efforts. The Cas9 protein and the sgRNA can be either delivered during transformation, as preassembled CRISPR-Cas9 ribonucleoproteins (RNPs) or expressed from an AMA1 based plasmid within the cell. The direct delivery of the Cas9 protein with in vitro synthesized sgRNA to the cells allows for a transient method for genome engineering that may rapidly be applicable for other filamentous fungi. The expression of Cas9 from an AMA1 based vector was shown to be highly efficient for marker-free gene deletions.

  7. Cas9, Cpf1 and C2c1/2/3―What's next?

    PubMed Central

    Yamamoto, Takashi; Sakuma, Tetsushi

    2017-01-01

    ABSTRACT Since the rapid emergence of clustered regulatory interspaced short palindromic repeats (CRISPR)-CRISPR-associated protein 9 (Cas9) system, developed as a genome engineering tool in 2012–2013, most researchers in the life science field have had a fixated interest in this fascinating technology. CRISPR-Cas9 is an RNA-guided DNA endonuclease system, which consists of Cas9 nuclease defining a few targeting base via protospacer adjacent motif complexed with easily customizable single guide RNA targeting around 20-bp genomic sequence. Although Streptococcus pyogenes Cas9 (SpCas9), one of the Cas9 proteins that applications in genome engineering were first demonstrated, still has wide usage because of its high nuclease activity and broad targeting range, there are several limitations such as large molecular weight and potential off-target effect. In this commentary, we describe various improvements and alternatives of CRISPR-Cas systems, including engineered Cas9 variants, Cas9 homologs, and novel Cas proteins other than Cas9. These variations enable flexible genome engineering with high efficiency and specificity, orthogonal genetic control at multiple gene loci, gene knockdown, or fluorescence imaging of transcripts mediated by RNA targeting, and beyond. PMID:28140746

  8. Cell-type-specific genome editing with a microRNA-responsive CRISPR–Cas9 switch

    PubMed Central

    Hirosawa, Moe; Fujita, Yoshihiko; Parr, Callum J. C.; Hayashi, Karin; Kashida, Shunnichi; Hotta, Akitsu; Woltjen, Knut

    2017-01-01

    Abstract The CRISPR–Cas9 system is a powerful genome-editing tool useful in a variety of biotechnology and biomedical applications. Here we developed a synthetic RNA-based, microRNA (miRNA)-responsive CRISPR–Cas9 system (miR-Cas9 switch) in which the genome editing activity of Cas9 can be modulated through endogenous miRNA signatures in mammalian cells. We created miR-Cas9 switches by using a miRNA-complementary sequence in the 5΄-UTR of mRNA encoding Streptococcus pyogenes Cas9. The miR-21-Cas9 or miR-302-Cas9 switches selectively and efficiently responded to miR-21-5p in HeLa cells or miR-302a-5p in human induced pluripotent stem cells, and post-transcriptionally attenuated the Cas9 activity only in the target cells. Moreover, the miR-Cas9 switches could differentially control the genome editing by sensing endogenous miRNA activities within a heterogeneous cell population. Our miR-Cas9 switch system provides a promising framework for cell-type selective genome editing and cell engineering based on intracellular miRNA information. PMID:28525578

  9. CRISPR-Cas9: from Genome Editing to Cancer Research

    PubMed Central

    Chen, Si; Sun, Heng; Miao, Kai; Deng, Chu-Xia

    2016-01-01

    Cancer development is a multistep process triggered by innate and acquired mutations, which cause the functional abnormality and determine the initiation and progression of tumorigenesis. Gene editing is a widely used engineering tool for generating mutations that enhance tumorigenesis. The recent developed clustered regularly interspaced short palindromic repeats-CRISPR-associated 9 (CRISPR-Cas9) system renews the genome editing approach into a more convenient and efficient way. By rapidly introducing genetic modifications in cell lines, organs and animals, CRISPR-Cas9 system extends the gene editing into whole genome screening, both in loss-of-function and gain-of-function manners. Meanwhile, the system accelerates the establishment of animal cancer models, promoting in vivo studies for cancer research. Furthermore, CRISPR-Cas9 system is modified into diverse innovative tools for observing the dynamic bioprocesses in cancer studies, such as image tracing for targeted DNA, regulation of transcription activation or repression. Here, we view recent technical advances in the application of CRISPR-Cas9 system in cancer genetics, large-scale cancer driver gene hunting, animal cancer modeling and functional studies. PMID:27994508

  10. Mechanism of Genome Interrogation: How CRISPR RNA-Guided Cas9 Proteins Locate Specific Targets on DNA.

    PubMed

    Shvets, Alexey A; Kolomeisky, Anatoly B

    2017-10-03

    The ability to precisely edit and modify a genome opens endless opportunities to investigate fundamental properties of living systems as well as to advance various medical techniques and bioengineering applications. This possibility is now close to reality due to a recent discovery of the adaptive bacterial immune system, which is based on clustered regularly interspaced short palindromic repeats (CRISPR)-associated proteins (Cas) that utilize RNA to find and cut the double-stranded DNA molecules at specific locations. Here we develop a quantitative theoretical approach to analyze the mechanism of target search on DNA by CRISPR RNA-guided Cas9 proteins, which is followed by a selective cleavage of nucleic acids. It is based on a discrete-state stochastic model that takes into account the most relevant physical-chemical processes in the system. Using a method of first-passage processes, a full dynamic description of the target search is presented. It is found that the location of specific sites on DNA by CRISPR Cas9 proteins is governed by binding first to protospacer adjacent motif sequences on DNA, which is followed by reversible transitions into DNA interrogation states. In addition, the search dynamics is strongly influenced by the off-target cutting. Our theoretical calculations allow us to explain the experimental observations and to give experimentally testable predictions. Thus, the presented theoretical model clarifies some molecular aspects of the genome interrogation by CRISPR RNA-guided Cas9 proteins. Copyright © 2017 Biophysical Society. Published by Elsevier Inc. All rights reserved.

  11. Identifying Reading Problems with Computer-Adaptive Assessments

    ERIC Educational Resources Information Center

    Merrell, C.; Tymms, P.

    2007-01-01

    This paper describes the development of an adaptive assessment called Interactive Computerised Assessment System (InCAS) that is aimed at children of a wide age and ability range to identify specific reading problems. Rasch measurement has been used to create the equal interval scales that form each part of the assessment. The rationale for the…

  12. CRISPR/Cas9 in Genome Editing and Beyond.

    PubMed

    Wang, Haifeng; La Russa, Marie; Qi, Lei S

    2016-06-02

    The Cas9 protein (CRISPR-associated protein 9), derived from type II CRISPR (clustered regularly interspaced short palindromic repeats) bacterial immune systems, is emerging as a powerful tool for engineering the genome in diverse organisms. As an RNA-guided DNA endonuclease, Cas9 can be easily programmed to target new sites by altering its guide RNA sequence, and its development as a tool has made sequence-specific gene editing several magnitudes easier. The nuclease-deactivated form of Cas9 further provides a versatile RNA-guided DNA-targeting platform for regulating and imaging the genome, as well as for rewriting the epigenetic status, all in a sequence-specific manner. With all of these advances, we have just begun to explore the possible applications of Cas9 in biomedical research and therapeutics. In this review, we describe the current models of Cas9 function and the structural and biochemical studies that support it. We focus on the applications of Cas9 for genome editing, regulation, and imaging, discuss other possible applications and some technical considerations, and highlight the many advantages that CRISPR/Cas9 technology offers.

  13. Targeted Delivery of CRISPR/Cas9-Mediated Cancer Gene Therapy via Liposome-Templated Hydrogel Nanoparticles.

    PubMed

    Chen, Zeming; Liu, Fuyao; Chen, Yanke; Liu, Jun; Wang, Xiaoying; Chen, Ann T; Deng, Gang; Zhang, Hongyi; Liu, Jie; Hong, Zhangyong; Zhou, Jiangbing

    2017-12-08

    Due to its simplicity, versatility, and high efficiency, the clustered regularly interspaced short palindromic repeat (CRISPR)/Cas9 technology has emerged as one of the most promising approaches for treatment of a variety of genetic diseases, including human cancers. However, further translation of CRISPR/Cas9 for cancer gene therapy requires development of safe approaches for efficient, highly specific delivery of both Cas9 and single guide RNA to tumors. Here, novel core-shell nanostructure, liposome-templated hydrogel nanoparticles (LHNPs) that are optimized for efficient codelivery of Cas9 protein and nucleic acids is reported. It is demonstrated that, when coupled with the minicircle DNA technology, LHNPs deliver CRISPR/Cas9 with efficiency greater than commercial agent Lipofectamine 2000 in cell culture and can be engineered for targeted inhibition of genes in tumors, including tumors the brain. When CRISPR/Cas9 targeting a model therapeutic gene, polo-like kinase 1 (PLK1), is delivered, LHNPs effectively inhibit tumor growth and improve tumor-bearing mouse survival. The results suggest LHNPs as versatile CRISPR/Cas9-delivery tool that can be adapted for experimentally studying the biology of cancer as well as for clinically translating cancer gene therapy.

  14. Genome Editing in Escherichia coli with Cas9 and synthetic CRISPRs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Peng, Ze; Richardson, Sarah; Robinson, David

    Recently, the Cas9-CRISPR system has proven to be a useful tool for genome editing in eukaryotes, which repair the double stranded breaks made by Cas9 with non-homologous end joining or homologous recombination. Escherichia coli lacks non-homologous end joining and has a very low homologous recombination rate, effectively rendering targeted Cas9 activity lethal. We have developed a heat curable, serializable, plasmid based system for selectionless Cas9 editing in arbitrary E. coli strains that uses synthetic CRISPRs for targeting and -red to effect repairs of double stranded breaks. We have demonstrated insertions, substitutions, and multi-target deletions with our system, which we havemore » tested in several strains.« less

  15. Single-stranded DNA cleavage by divergent CRISPR-Cas9 enzymes

    PubMed Central

    Ma, Enbo; Harrington, Lucas B.; O’Connell, Mitchell R.; Zhou, Kaihong; Doudna, Jennifer A.

    2015-01-01

    Summary Double-stranded DNA (dsDNA) cleavage by Cas9 is a hallmark of type II CRISPR-Cas immune systems. Cas9–guide RNA complexes recognize 20-base-pair sequences in DNA and generate a site-specific double-strand break, a robust activity harnessed for genome editing. DNA recognition by all studied Cas9 enzymes requires a protospacer adjacent motif (PAM) next to the target site. We show that Cas9 enzymes from evolutionarily divergent bacteria can recognize and cleave single-stranded DNA (ssDNA) by an RNA-guided, PAM-independent recognition mechanism. Comparative analysis shows that in contrast to the type II-A S. pyogenes Cas9 that is widely used for genome engineering, the smaller type II-C Cas9 proteins have limited dsDNA binding and unwinding activity and promiscuous guide-RNA specificity. These results indicate that inefficiency of type II-C Cas9 enzymes for genome editing results from a limited ability to cleave dsDNA, and suggest that ssDNA cleavage was an ancestral function of the Cas9 enzyme family. PMID:26545076

  16. Generation of insulin-deficient piglets by disrupting INS gene using CRISPR/Cas9 system.

    PubMed

    Cho, Bumrae; Kim, Su Jin; Lee, Eun-Jin; Ahn, Sun Mi; Lee, Jin Seok; Ji, Dal-Young; Lee, Kiho; Kang, Jung-Taek

    2018-06-01

    Diabetes mellitus is a chronic disease with accompanying severe complications. Various animal models, mostly rodents due to availability of genetically modified lines, have been used to investigate the pathophysiology of diabetes. Using pigs for diabetic research can be beneficial because of their similarity in size, pathogenesis pathway, physiology, and metabolism with human. However, the use of pigs for diabetes research has been hampered due to only few pig models presenting diabetes symptoms. In this study, we have successfully generated insulin-deficient pigs by generating the indels of the porcine INS gene in somatic cells using CRISPR/Cas9 system followed by somatic cell nuclear transfer. First, somatic cells carrying a modified INS gene were generated using CRISPR/Cas9 system and their genotypes were confirmed by T7E1 assay; targeting efficiency was 40.4% (21/52). After embryo transfer, three live and five stillborn piglets were born. As expected, INS knockout piglets presented high blood glucose levels and glucose was detected in the urine. The level of insulin and c-peptide in the blood serum of INS knockout piglets were constant after feeding and the expression of insulin in the pancreas was absent in those piglets. This study demonstrates effectiveness of CRISPR/Cas9 system in generating novel pig models. We expect that these insulin-deficient pigs can be used in diabetes research to test the efficacy and safety of new drugs and the recipient of islet transplantation to investigate optimal transplantation strategies.

  17. CRISPR-cas System as a Genome Engineering Platform: Applications in Biomedicine and Biotechnology.

    PubMed

    Hashemi, Atieh

    2018-01-01

    Genome editing mediated by Clustered Regularly Interspaced Palindromic Repeats (CRISPR) and its associated proteins (Cas) has recently been considered to be used as efficient, rapid and site-specific tool in the modification of endogenous genes in biomedically important cell types and whole organisms. It has become a predictable and precise method of choice for genome engineering by specifying a 20-nt targeting sequence within its guide RNA. Firstly, this review aims to describe the biology of CRISPR system. Next, the applications of CRISPR-Cas9 in various ways, such as efficient generation of a wide variety of biomedically important cellular models as well as those of animals, modifying epigenomes, conducting genome-wide screens, gene therapy, labelling specific genomic loci in living cells, metabolic engineering of yeast and bacteria and endogenous gene expression regulation by an altered version of this system were reviewed. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  18. Cell-type-specific genome editing with a microRNA-responsive CRISPR-Cas9 switch.

    PubMed

    Hirosawa, Moe; Fujita, Yoshihiko; Parr, Callum J C; Hayashi, Karin; Kashida, Shunnichi; Hotta, Akitsu; Woltjen, Knut; Saito, Hirohide

    2017-07-27

    The CRISPR-Cas9 system is a powerful genome-editing tool useful in a variety of biotechnology and biomedical applications. Here we developed a synthetic RNA-based, microRNA (miRNA)-responsive CRISPR-Cas9 system (miR-Cas9 switch) in which the genome editing activity of Cas9 can be modulated through endogenous miRNA signatures in mammalian cells. We created miR-Cas9 switches by using a miRNA-complementary sequence in the 5΄-UTR of mRNA encoding Streptococcus pyogenes Cas9. The miR-21-Cas9 or miR-302-Cas9 switches selectively and efficiently responded to miR-21-5p in HeLa cells or miR-302a-5p in human induced pluripotent stem cells, and post-transcriptionally attenuated the Cas9 activity only in the target cells. Moreover, the miR-Cas9 switches could differentially control the genome editing by sensing endogenous miRNA activities within a heterogeneous cell population. Our miR-Cas9 switch system provides a promising framework for cell-type selective genome editing and cell engineering based on intracellular miRNA information. © The Author(s) 2017. Published by Oxford University Press on behalf of Nucleic Acids Research.

  19. Plant genome editing made easy: targeted mutagenesis in model and crop plants using the CRISPR/Cas system.

    PubMed

    Belhaj, Khaoula; Chaparro-Garcia, Angela; Kamoun, Sophien; Nekrasov, Vladimir

    2013-10-11

    Targeted genome engineering (also known as genome editing) has emerged as an alternative to classical plant breeding and transgenic (GMO) methods to improve crop plants. Until recently, available tools for introducing site-specific double strand DNA breaks were restricted to zinc finger nucleases (ZFNs) and TAL effector nucleases (TALENs). However, these technologies have not been widely adopted by the plant research community due to complicated design and laborious assembly of specific DNA binding proteins for each target gene. Recently, an easier method has emerged based on the bacterial type II CRISPR (clustered regularly interspaced short palindromic repeats)/Cas (CRISPR-associated) immune system. The CRISPR/Cas system allows targeted cleavage of genomic DNA guided by a customizable small noncoding RNA, resulting in gene modifications by both non-homologous end joining (NHEJ) and homology-directed repair (HDR) mechanisms. In this review we summarize and discuss recent applications of the CRISPR/Cas technology in plants.

  20. Advancing biotechnology with CRISPR/Cas9: recent applications and patent landscape.

    PubMed

    Ferreira, Raphael; David, Florian; Nielsen, Jens

    2018-01-24

    Clustered regularly interspaced short palindromic repeats (CRISPR) is poised to become one of the key scientific discoveries of the twenty-first century. Originating from prokaryotic and archaeal immune systems to counter phage invasions, CRISPR-based applications have been tailored for manipulating a broad range of living organisms. From the different elucidated types of CRISPR mechanisms, the type II system adapted from Streptococcus pyogenes has been the most exploited as a tool for genome engineering and gene regulation. In this review, we describe the different applications of CRISPR/Cas9 technology in the industrial biotechnology field. Next, we detail the current status of the patent landscape, highlighting its exploitation through different companies, and conclude with future perspectives of this technology.

  1. CAS or Pen-and-Paper: Factors That Influence Students' Choices

    ERIC Educational Resources Information Center

    Cameron, Scott; Ball, Lynda

    2015-01-01

    This paper reports on a study of choices about the use of a computer algebra system (CAS) or pen-and-paper (p&p) by a class of seven Year 11 Mathematical Methods (CAS) students as they completed a calculus worksheet. Factors that influenced students' choices are highlighted by comparing and contrasting the use of CAS and p&p between…

  2. Genome editing in sea urchin embryos by using a CRISPR/Cas9 system.

    PubMed

    Lin, Che-Yi; Su, Yi-Hsien

    2016-01-15

    Sea urchin embryos are a useful model system for investigating early developmental processes and the underlying gene regulatory networks. Most functional studies using sea urchin embryos rely on antisense morpholino oligonucleotides to knockdown gene functions. However, major concerns related to this technique include off-target effects, variations in morpholino efficiency, and potential morpholino toxicity; furthermore, such problems are difficult to discern. Recent advances in genome editing technologies have introduced the prospect of not only generating sequence-specific knockouts, but also providing genome-engineering applications. Two genome editing tools, zinc-finger nuclease (ZFN) and transcription activator-like effector nucleases (TALENs), have been utilized in sea urchin embryos, but the resulting efficiencies are far from satisfactory. The CRISPR (clustered regularly interspaced short palindromic repeat)-Cas9 (CRISPR-associated nuclease 9) system serves as an easy and efficient method with which to edit the genomes of several established and emerging model organisms in the field of developmental biology. Here, we apply the CRISPR/Cas9 system to the sea urchin embryo. We designed six guide RNAs (gRNAs) against the well-studied nodal gene and discovered that five of the gRNAs induced the expected phenotype in 60-80% of the injected embryos. In addition, we developed a simple method for isolating genomic DNA from individual embryos, enabling phenotype to be precisely linked to genotype, and revealed that the mutation rates were 67-100% among the sequenced clones. Of the two potential off-target sites we examined, no off-target effects were observed. The detailed procedures described herein promise to accelerate the usage of CRISPR/Cas9 system for genome editing in sea urchin embryos. Copyright © 2015 Elsevier Inc. All rights reserved.

  3. The CRISPR/Cas Immune System Is an Operon Regulated by LeuO, H-NS, and Leucine-Responsive Regulatory Protein in Salmonella enterica Serovar Typhi ▿ †

    PubMed Central

    Medina-Aparicio, L.; Rebollar-Flores, J. E.; Gallego-Hernández, A. L.; Vázquez, A.; Olvera, L.; Gutiérrez-Ríos, R. M.; Calva, E.; Hernández-Lucas, I.

    2011-01-01

    Prokaryotes have developed multiple strategies to survive phage attack and invasive DNA. Recently, a novel genetic program denominated the CRISPR/Cas system was demonstrated to have a role in these biological processes providing genetic immunity. This defense mechanism is widespread in the Archaea and Bacteria, suggesting an ancient origin. In the last few years, progress has been made regarding the functionality of the CRISPR/Cas system; however, many basic aspects of the system remain unknown. For instance, there are few studies about the conditions and regulators involved in its transcriptional control. In this work, we analyzed the transcriptional organization of the CRISPR/Cas system as well as the positive and negative regulators involved in its genetic expression in Salmonella enterica serovar Typhi. The results obtained show that in S. Typhi the CRISPR/Cas system is a LeuO-dependent operon silenced by the global regulator LRP, in addition to the previously known nucleoid-associated protein H-NS; both LRP and H-NS bind upstream and downstream of the transcriptional start site of casA. In this study, relevant nucleotides of the casA regulatory region that mediate its LeuO transcriptional activation were identified. Interestingly, specific growth conditions (N-minimal medium) were found for the LeuO-independent expression of the CRISPR/Cas system in S. Typhi. Thus, our work provides evidence that there are multiple modulators involved in the genetic expression of this immune system in S. Typhi IMSS-1. PMID:21398529

  4. CRISPR/Cas-Mediated Knockin in Human Pluripotent Stem Cells.

    PubMed

    Verma, Nipun; Zhu, Zengrong; Huangfu, Danwei

    2017-01-01

    Fluorescent reporter and epitope-tagged human pluripotent stem cells (hPSCs) greatly facilitate studies on the pluripotency and differentiation characteristics of these cells. Unfortunately traditional procedures to generate such lines are hampered by a low targeting efficiency that necessitates a lengthy process of selection followed by the removal of the selection cassette. Here we describe a procedure to generate fluorescent reporter and epitope tagged hPSCs in an efficient one-step process using the CRISPR/Cas technology. Although the method described uses our recently developed iCRISPR platform, the protocols can be adapted for general use with CRISPR/Cas or other engineered nucleases. The transfection procedures described could also be used for additional applications, such as overexpression or lineage tracing studies.

  5. Cas9 versus Cas12a/Cpf1: Structure-function comparisons and implications for genome editing.

    PubMed

    Swarts, Daan C; Jinek, Martin

    2018-05-22

    Cas9 and Cas12a are multidomain CRISPR-associated nucleases that can be programmed with a guide RNA to bind and cleave complementary DNA targets. The guide RNA sequence can be varied, making these effector enzymes versatile tools for genome editing and gene regulation applications. While Cas9 is currently the best-characterized and most widely used nuclease for such purposes, Cas12a (previously named Cpf1) has recently emerged as an alternative for Cas9. Cas9 and Cas12a have distinct evolutionary origins and exhibit different structural architectures, resulting in distinct molecular mechanisms. Here we compare the structural and mechanistic features that distinguish Cas9 and Cas12a, and describe how these features modulate their activity. We discuss implications for genome editing, and how they may influence the choice of Cas9 or Cas12a for specific applications. Finally, we review recent studies in which Cas12a has been utilized as a genome editing tool. This article is categorized under: RNA Interactions with Proteins and Other Molecules > Protein-RNA Interactions: Functional Implications Regulatory RNAs/RNAi/Riboswitches > Biogenesis of Effector Small RNAs RNA Interactions with Proteins and Other Molecules > RNA-Protein Complexes. © 2018 Wiley Periodicals, Inc.

  6. Two Distinct Approaches for CRISPR-Cas9-Mediated Gene Editing in Cryptococcus neoformans and Related Species.

    PubMed

    Wang, Ping

    2018-06-27

    Cryptococcus neoformans and related species are encapsulated basidiomycetous fungi that cause meningoencephalitis in individuals with immune deficiency. This pathogen has a tractable genetic system; however, gene disruption via electroporation remains difficult, while biolistic transformation is often limited by lack of multiple genetic markers and the high initial cost of equipment. The approach using clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated protein 9 (Cas9) has become the technology of choice for gene editing in many organisms due to its simplicity, efficiency, and versatility. The technique has been successfully demonstrated in C. neoformans and Cryptococcus deneoformans in which two DNA plasmids expressing either the Streptococcus pyogenes CAS9 gene or the guide RNA (gRNA) were employed. However, potential adverse effects due to constitutive expression and the time-consuming process of constructing vectors to express each gRNA remain as a primary barrier for wide adaptation. This report describes the delivery of preassembled CRISPR-Cas9-gRNA ribonucleoproteins (RNPs) via electroporation that is able to generate edited mutant alleles. RNP-mediated CRISPR-Cas9 was used to replace the wild-type GIB2 gene encoding a Gβ-like/RACK1 Gib2 protein with a gib2 :: NAT allele via homologous recombination in both C. neoformans and C. deneoformans In addition, a DNA plasmid (pCnCas9:U6-gRNA) that expresses both Cas9 and gRNA, allowing for convenient yet low-cost DNA-mediated gene editing, is described. pCnCas9:U6-gRNA contains an endogenous U6 promoter for gRNA expression and restriction sites for one-step insertion of a gRNA. These approaches and resources provide new opportunities to accelerate genetic studies of Cryptococcus species. IMPORTANCE For genetic studies of the Cryptococcus genus, generation of mutant strains is often hampered by a limited number of selectable genetic markers, the tedious process of vector

  7. Exploiting endogenous CRISPR-Cas system for multiplex genome editing in Clostridium tyrobutyricum and engineer the strain for high-level butanol production.

    PubMed

    Zhang, Jie; Zong, Wenming; Hong, Wei; Zhang, Zhong-Tian; Wang, Yi

    2018-03-09

    Although CRISPR-Cas9/Cpf1 have been employed as powerful genome engineering tools, heterologous CRISPR-Cas9/Cpf1 are often difficult to introduce into bacteria and archaea due to their severe toxicity. Since most prokaryotes harbor native CRISPR-Cas systems, genome engineering can be achieved by harnessing these endogenous immune systems. Here, we report the exploitation of Type I-B CRISPR-Cas of Clostridium tyrobutyricum for genome engineering. In silico CRISPR array analysis and plasmid interference assay revealed that TCA or TCG at the 5'-end of the protospacer was the functional protospacer adjacent motif (PAM) for CRISPR targeting. With a lactose inducible promoter for CRISPR array expression, we significantly decreased the toxicity of CRISPR-Cas and enhanced the transformation efficiency, and successfully deleted spo0A with an editing efficiency of 100%. We further evaluated effects of the spacer length on genome editing efficiency. Interestingly, spacers ≤ 20 nt led to unsuccessful transformation consistently, likely due to severe off-target effects; while a spacer of 30-38 nt is most appropriate to ensure successful transformation and high genome editing efficiency. Moreover, multiplex genome editing for the deletion of spo0A and pyrF was achieved in a single transformation, with an editing efficiency of up to 100%. Finally, with the integration of the alcohol dehydrogenase gene (adhE1 or adhE2) to replace cat1 (the key gene responsible for butyrate production and previously could not be deleted), two mutants were created for n-butanol production, with the butanol titer reached historically record high of 26.2 g/L in a batch fermentation. Altogether, our results demonstrated the easy programmability and high efficiency of endogenous CRISPR-Cas. The developed protocol herein has a broader applicability to other prokaryotes containing endogenous CRISPR-Cas systems. C. tyrobutyricum could be employed as an excellent platform to be engineered for biofuel

  8. Development of a CRISPR-Cas9 System for Efficient Genome Editing of Candida lusitaniae.

    PubMed

    Norton, Emily L; Sherwood, Racquel K; Bennett, Richard J

    2017-01-01

    Candida lusitaniae is a member of the Candida clade that includes a diverse group of fungal species relevant to both human health and biotechnology. This species exhibits a full sexual cycle to undergo interconversion between haploid and diploid forms. C. lusitaniae is also an emerging opportunistic pathogen that can cause serious bloodstream infections in the clinic and yet has often proven to be refractory to facile genetic manipulations. In this work, we develop a clustered regularly interspaced short palindromic repeat (CRISPR) and CRISPR-associated gene 9 (Cas9) system to enable genome editing of C. lusitaniae . We demonstrate that expression of CRISPR-Cas9 components under species-specific promoters is necessary for efficient gene targeting and can be successfully applied to multiple genes in both haploid and diploid isolates. Gene deletion efficiencies with CRISPR-Cas9 were further enhanced in C. lusitaniae strains lacking the established nonhomologous end joining (NHEJ) factors Ku70 and DNA ligase 4. These results indicate that NHEJ plays an important role in directing the repair of DNA double-strand breaks (DSBs) in C. lusitaniae and that removal of this pathway increases integration of gene deletion templates by homologous recombination. The described approaches significantly enhance the ability to perform genetic studies in, and promote understanding of, this emerging human pathogen and model sexual species. IMPORTANCE The ability to perform efficient genome editing is a key development for detailed mechanistic studies of a species. Candida lusitaniae is an important member of the Candida clade and is relevant both as an emerging human pathogen and as a model for understanding mechanisms of sexual reproduction. We highlight the development of a CRISPR-Cas9 system for efficient genome manipulation in C. lusitaniae and demonstrate the importance of species-specific promoters for expression of CRISPR components. We also demonstrate that the NHEJ

  9. High-Throughput Silencing Using the CRISPR-Cas9 System: A Review of the Benefits and Challenges.

    PubMed

    Wade, Mark

    2015-09-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)/Cas system has been seized upon with a fervor enjoyed previously by small interfering RNA (siRNA) and short hairpin RNA (shRNA) technologies and has enormous potential for high-throughput functional genomics studies. The decision to use this approach must be balanced with respect to adoption of existing platforms versus awaiting the development of more "mature" next-generation systems. Here, experience from siRNA and shRNA screening plays an important role, as issues such as targeting efficiency, pooling strategies, and off-target effects with those technologies are already framing debates in the CRISPR field. CRISPR/Cas can be exploited not only to knockout genes but also to up- or down-regulate gene transcription-in some cases in a multiplex fashion. This provides a powerful tool for studying the interaction among multiple signaling cascades in the same genetic background. Furthermore, the documented success of CRISPR/Cas-mediated gene correction (or the corollary, introduction of disease-specific mutations) provides proof of concept for the rapid generation of isogenic cell lines for high-throughput screening. In this review, the advantages and limitations of CRISPR/Cas are discussed and current and future applications are highlighted. It is envisaged that complementarities between CRISPR, siRNA, and shRNA will ensure that all three technologies remain critical to the success of future functional genomics projects. © 2015 Society for Laboratory Automation and Screening.

  10. A Simple and Universal System for Gene Manipulation in Aspergillus fumigatus: In Vitro-Assembled Cas9-Guide RNA Ribonucleoproteins Coupled with Microhomology Repair Templates.

    PubMed

    Al Abdallah, Qusai; Ge, Wenbo; Fortwendel, Jarrod R

    2017-01-01

    CRISPR (clustered regularly interspaced short palindromic repeat)-Cas9 is a novel genome-editing system that has been successfully established in Aspergillus fumigatus . However, the current state of the technology relies heavily on DNA-based expression cassettes for delivering Cas9 and the guide RNA (gRNA) to the cell. Therefore, the power of the technology is limited to strains that are engineered to express Cas9 and gRNA. To overcome such limitations, we developed a simple and universal CRISPR-Cas9 system for gene deletion that works across different genetic backgrounds of A. fumigatus . The system employs in vitro assembly of dual Cas9 ribonucleoproteins (RNPs) for targeted gene deletion. Additionally, our CRISPR-Cas9 system utilizes 35 to 50 bp of flanking regions for mediating homologous recombination at Cas9 double-strand breaks (DSBs). As a proof of concept, we first tested our system in the Δ akuB (Δ akuB ku80 ) laboratory strain and generated high rates (97%) of gene deletion using 2 µg of the repair template flanked by homology regions as short as 35 bp. Next, we inspected the portability of our system across other genetic backgrounds of A. fumigatus , namely, the wild-type strain Af293 and a clinical isolate, A. fumigatus DI15-102. In the Af293 strain, 2 µg of the repair template flanked by 35 and 50 bp of homology resulted in highly efficient gene deletion (46% and 74%, respectively) in comparison to classical gene replacement systems. Similar deletion efficiencies were also obtained in the clinical isolate DI15-102. Taken together, our data show that in vitro -assembled Cas9 RNPs coupled with microhomology repair templates are an efficient and universal system for gene manipulation in A. fumigatus . IMPORTANCE Tackling the multifactorial nature of virulence and antifungal drug resistance in A. fumigatus requires the mechanistic interrogation of a multitude of genes, sometimes across multiple genetic backgrounds. Classical fungal gene

  11. CRISPR/Cas9: Transcending the Reality of Genome Editing.

    PubMed

    Chira, Sergiu; Gulei, Diana; Hajitou, Amin; Zimta, Alina-Andreea; Cordelier, Pierre; Berindan-Neagoe, Ioana

    2017-06-16

    With the expansion of the microbiology field of research, a new genome editing tool arises from the biology of bacteria that holds the promise of achieving precise modifications in the genome with a simplicity and versatility that surpasses previous genome editing methods. This new technique, commonly named CRISPR/Cas9, led to a rapid expansion of the biomedical field; more specifically, cancer characterization and modeling have benefitted greatly from the genome editing capabilities of CRISPR/Cas9. In this paper, we briefly summarize recent improvements in CRISPR/Cas9 design meant to overcome the limitations that have arisen from the nuclease activity of Cas9 and the influence of this technology in cancer research. In addition, we present challenges that might impede the clinical applicability of CRISPR/Cas9 for cancer therapy and highlight future directions for designing CRISPR/Cas9 delivery systems that might prove useful for cancer therapeutics. Copyright © 2017 The Author(s). Published by Elsevier Inc. All rights reserved.

  12. Development of germ-line-specific CRISPR-Cas9 systems to improve the production of heritable gene modifications in Arabidopsis

    PubMed Central

    Mao, Yanfei; Zhang, Zhengjing; Feng, Zhengyan; Wei, Pengliang; Zhang, Hui; Botella, José Ramón; Zhu, Jian-Kang

    2017-01-01

    Summary The Streptococcus-derived CRISPR/Cas9 system is being widely used to perform targeted gene modifications in plants. This customized endonuclease system has two components, the single-guide RNA (sgRNA) for target DNA recognition and the CRISPR-associated protein 9 (Cas9) for DNA cleavage. Ubiquitously expressed CRISPR/Cas9 systems (UC) generate targeted gene modifications with high efficiency but only those produced in reproductive cells are transmitted to the next generation. We report the design and characterization of a germ-line-specific Cas9 system (GSC) for Arabidopsis gene modification in male gametocytes, constructed using a SPOROCYTELESS (SPL) genomic expression cassette. Four loci in two endogenous genes were targeted by both systems for comparative analysis. Mutations generated by the GSC system were rare in T1 plants but were abundant (30%) in the T2 generation. The vast majority (70%) of the T2 mutant population generated using the UC system were chimeras while the newly developed GSC system produced only 29% chimeras, with 70% of the T2 mutants being heterozygous. Analysis of two loci in the T2 population showed that the abundance of heritable gene mutations was 37% higher in the GSC system compared to the UC system and the level of polymorphism of the mutations was also dramatically increased with the GSC system. Two additional systems based on germ-line-specific promoters (pDD45-GT and pLAT52-GT) were also tested, and one of them was capable of generating heritable homozygous T1 mutant plants. Our results suggest that future application of the described GSC system will facilitate the screening for targeted gene modifications, especially lethal mutations in the T2 population. PMID:26360626

  13. Development of germ-line-specific CRISPR-Cas9 systems to improve the production of heritable gene modifications in Arabidopsis.

    PubMed

    Mao, Yanfei; Zhang, Zhengjing; Feng, Zhengyan; Wei, Pengliang; Zhang, Hui; Botella, José Ramón; Zhu, Jian-Kang

    2016-02-01

    The Streptococcus-derived CRISPR/Cas9 system is being widely used to perform targeted gene modifications in plants. This customized endonuclease system has two components, the single-guide RNA (sgRNA) for target DNA recognition and the CRISPR-associated protein 9 (Cas9) for DNA cleavage. Ubiquitously expressed CRISPR/Cas9 systems (UC) generate targeted gene modifications with high efficiency but only those produced in reproductive cells are transmitted to the next generation. We report the design and characterization of a germ-line-specific Cas9 system (GSC) for Arabidopsis gene modification in male gametocytes, constructed using a SPOROCYTELESS (SPL) genomic expression cassette. Four loci in two endogenous genes were targeted by both systems for comparative analysis. Mutations generated by the GSC system were rare in T1 plants but were abundant (30%) in the T2 generation. The vast majority (70%) of the T2 mutant population generated using the UC system were chimeras while the newly developed GSC system produced only 29% chimeras, with 70% of the T2 mutants being heterozygous. Analysis of two loci in the T2 population showed that the abundance of heritable gene mutations was 37% higher in the GSC system compared to the UC system and the level of polymorphism of the mutations was also dramatically increased with the GSC system. Two additional systems based on germ-line-specific promoters (pDD45-GT and pLAT52-GT) were also tested, and one of them was capable of generating heritable homozygous T1 mutant plants. Our results suggest that future application of the described GSC system will facilitate the screening for targeted gene modifications, especially lethal mutations in the T2 population. © 2015 Society for Experimental Biology, Association of Applied Biologists and John Wiley & Sons Ltd.

  14. Second Line of Defense Virtual Private Network Guidance for Deployed and New CAS Systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singh, Surya V.; Thronas, Aaron I.

    2010-01-01

    This paper discusses the importance of remote access via virtual private network (VPN) for the Second Line of Defense (SLD) Central Alarm System (CAS) sites, the requirements for maintaining secure channels while using VPN and implementation requirements for current and future sites.

  15. CRISPR RNA and anti-CRISPR protein binding to the Xanthomonas albilineans Csy1-Csy2 heterodimer in the type I-F CRISPR-Cas system.

    PubMed

    Hong, Suji; Ka, Donghyun; Yoon, Seo Jeong; Suh, Nayoung; Jeong, Migyeong; Suh, Jeong-Yong; Bae, Euiyoung

    2018-02-23

    Clustered regularly interspaced short palindromic repeats (CRISPRs) and CRISPR-associated (Cas) proteins provide microbial adaptive immunity against bacteriophages. In type I-F CRISPR-Cas systems, multiple Cas proteins (Csy1-4) compose a surveillance complex (Csy complex) with CRISPR RNA (crRNA) for target recognition. Here, we report the biochemical characterization of the Csy1-Csy2 subcomplex from Xanthomonas albilineans , including the analysis of its interaction with crRNA and AcrF2, an anti-CRISPR (Acr) protein from a phage that infects Pseudomonas aeruginosa The X. albilineans Csy1 and Csy2 proteins (XaCsy1 and XaCsy2, respectively) formed a stable heterodimeric complex that specifically bound the 8-nucleotide (nt) 5'-handle of the crRNA. In contrast, the XaCsy1-XaCsy2 heterodimer exhibited reduced affinity for the 28-nt X. albilineans CRISPR repeat RNA containing the 5'-handle sequence. Chromatographic and calorimetric analyses revealed tight binding between the Acr protein from the P. aeruginosa phage and the heterodimeric subunit of the X. albilineans Csy complex, suggesting that AcrF2 recognizes conserved features of Csy1-Csy2 heterodimers. We found that neither XaCsy1 nor XaCsy2 alone forms a stable complex with AcrF2 and the 5'-handle RNA, indicating that XaCsy1-XaCsy2 heterodimerization is required for binding them. We also solved the crystal structure of AcrF2 to a resolution of 1.34 Å, enabling a more detailed structural analysis of the residues involved in the interactions with the Csy1-Csy2 heterodimer. Our results provide information about the order of events during the formation of the multisubunit crRNA-guided surveillance complex and suggest that the Acr protein inactivating type I-F CRISPR-Cas systems has broad specificity. © 2018 by The American Society for Biochemistry and Molecular Biology, Inc.

  16. Protospacer Adjacent Motif (PAM)-Distal Sequences Engage CRISPR Cas9 DNA Target Cleavage

    PubMed Central

    Ethier, Sylvain; Schmeing, T. Martin; Dostie, Josée; Pelletier, Jerry

    2014-01-01

    The clustered regularly interspaced short palindromic repeat (CRISPR)-associated enzyme Cas9 is an RNA-guided nuclease that has been widely adapted for genome editing in eukaryotic cells. However, the in vivo target specificity of Cas9 is poorly understood and most studies rely on in silico predictions to define the potential off-target editing spectrum. Using chromatin immunoprecipitation followed by sequencing (ChIP-seq), we delineate the genome-wide binding panorama of catalytically inactive Cas9 directed by two different single guide (sg) RNAs targeting the Trp53 locus. Cas9:sgRNA complexes are able to load onto multiple sites with short seed regions adjacent to 5′NGG3′ protospacer adjacent motifs (PAM). Yet among 43 ChIP-seq sites harboring seed regions analyzed for mutational status, we find editing only at the intended on-target locus and one off-target site. In vitro analysis of target site recognition revealed that interactions between the 5′ end of the guide and PAM-distal target sequences are necessary to efficiently engage Cas9 nucleolytic activity, providing an explanation for why off-target editing is significantly lower than expected from ChIP-seq data. PMID:25275497

  17. Advancing chimeric antigen receptor T cell therapy with CRISPR/Cas9.

    PubMed

    Ren, Jiangtao; Zhao, Yangbing

    2017-09-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated 9 (CRISPR/Cas9) system, an RNA-guided DNA targeting technology, is triggering a revolution in the field of biology. CRISPR/Cas9 has demonstrated great potential for genetic manipulation. In this review, we discuss the current development of CRISPR/Cas9 technologies for therapeutic applications, especially chimeric antigen receptor (CAR) T cell-based adoptive immunotherapy. Different methods used to facilitate efficient CRISPR delivery and gene editing in T cells are compared. The potential of genetic manipulation using CRISPR/Cas9 system to generate universal CAR T cells and potent T cells that are resistant to exhaustion and inhibition is explored. We also address the safety concerns associated with the use of CRISPR/Cas9 gene editing and provide potential solutions and future directions of CRISPR application in the field of CAR T cell immunotherapy. As an integration-free gene insertion method, CRISPR/Cas9 holds great promise as an efficient gene knock-in platform. Given the tremendous progress that has been made in the past few years, we believe that the CRISPR/Cas9 technology holds immense promise for advancing immunotherapy.

  18. CRISPR/Cas9 for genome editing: progress, implications and challenges.

    PubMed

    Zhang, Feng; Wen, Yan; Guo, Xiong

    2014-09-15

    Clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) protein 9 system provides a robust and multiplexable genome editing tool, enabling researchers to precisely manipulate specific genomic elements, and facilitating the elucidation of target gene function in biology and diseases. CRISPR/Cas9 comprises of a nonspecific Cas9 nuclease and a set of programmable sequence-specific CRISPR RNA (crRNA), which can guide Cas9 to cleave DNA and generate double-strand breaks at target sites. Subsequent cellular DNA repair process leads to desired insertions, deletions or substitutions at target sites. The specificity of CRISPR/Cas9-mediated DNA cleavage requires target sequences matching crRNA and a protospacer adjacent motif locating at downstream of target sequences. Here, we review the molecular mechanism, applications and challenges of CRISPR/Cas9-mediated genome editing and clinical therapeutic potential of CRISPR/Cas9 in future. © The Author 2014. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  19. Altered stoichiometry Escherichia coli Cascade complexes with shortened CRISPR RNA spacers are capable of interference and primed adaptation

    DOE PAGES

    Kuznedelov, Konstantin; Mekler, Vladimir; Lemak, Sofia; ...

    2016-10-13

    The Escherichia coli type I-E CRISPR-Cas system Cascade effector is a multisubunit complex that binds CRISPR RNA (crRNA). Through its 32-nucleotide spacer sequence, Cascade-bound crRNA recognizes protospacers in foreign DNA, causing its destruction during CRISPR interference or acquisition of additional spacers in CRISPR array during primed CRISPR adaptation. Within Cascade, the crRNA spacer interacts with a hexamer of Cas7 subunits. We show that crRNAs with a spacer length reduced to 14 nucleotides cause primed adaptation, while crRNAs with spacer lengths of more than 20 nucleotides cause both primed adaptation and target interference in vivo. Shortened crRNAs assemble into altered-stoichiometry Cascademore » effector complexes containing less than the normal amount of Cas7 subunits. The results show that Cascade assembly is driven by crRNA and suggest that multi-subunit type I CRISPR effectors may have evolved from much simpler ancestral complexes.« less

  20. Altered stoichiometry Escherichia coli Cascade complexes with shortened CRISPR RNA spacers are capable of interference and primed adaptation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuznedelov, Konstantin; Mekler, Vladimir; Lemak, Sofia

    The Escherichia coli type I-E CRISPR-Cas system Cascade effector is a multisubunit complex that binds CRISPR RNA (crRNA). Through its 32-nucleotide spacer sequence, Cascade-bound crRNA recognizes protospacers in foreign DNA, causing its destruction during CRISPR interference or acquisition of additional spacers in CRISPR array during primed CRISPR adaptation. Within Cascade, the crRNA spacer interacts with a hexamer of Cas7 subunits. We show that crRNAs with a spacer length reduced to 14 nucleotides cause primed adaptation, while crRNAs with spacer lengths of more than 20 nucleotides cause both primed adaptation and target interference in vivo. Shortened crRNAs assemble into altered-stoichiometry Cascademore » effector complexes containing less than the normal amount of Cas7 subunits. The results show that Cascade assembly is driven by crRNA and suggest that multi-subunit type I CRISPR effectors may have evolved from much simpler ancestral complexes.« less

  1. The AAV-mediated and RNA-guided CRISPR/Cas9 system for gene therapy of DMD and BMD.

    PubMed

    Wang, Jing-Zhang; Wu, Peng; Shi, Zhi-Min; Xu, Yan-Li; Liu, Zhi-Jun

    2017-08-01

    Mutations in the dystrophin gene (Dmd) result in Duchenne muscular dystrophy (DMD) and Becker muscular dystrophy (BMD), which afflict many newborn boys. In 2016, Brain and Development published several interesting articles on DMD treatment with antisense oligonucleotide, kinase inhibitor, and prednisolone. Even more strikingly, three articles in the issue 6271 of Science in 2016 provide new insights into gene therapy of DMD and BMD via the clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated protein 9 (Cas9). In brief, adeno-associated virus (AAV) vectors transport guided RNAs (gRNAs) and Cas9 into mdx mouse model, gRNAs recognize the mutated Dmd exon 23 (having a stop codon), and Cas9 cut the mutated exon 23 off the Dmd gene. These manipulations restored expression of truncated but partially functional dystrophin, improved skeletal and cardiac muscle function, and increased survival of mdx mice significantly. This review concisely summarized the related advancements and discussed their primary implications in the future gene therapy of DMD, including AAV-vector selection, gRNA designing, Cas9 optimization, dystrophin-restoration efficiency, administration routes, and systemic and long-term therapeutic efficacy. Future orientations, including off-target effects, safety concerns, immune responses, precision medicine, and Dmd-editing in the brain (potentially blocked by the blood-brain barrier) were also elucidated briefly. Collectively, the AAV-mediated and RNA-guided CRISPR/Cas9 system has major superiorities compared with traditional gene therapy, and might contribute to the treatment of DMD and BMD substantially in the near future. Copyright © 2017 The Japanese Society of Child Neurology. Published by Elsevier B.V. All rights reserved.

  2. Generation of Newly Discovered Resistance Gene mcr-1 Knockout in Escherichia coli Using the CRISPR/Cas9 System.

    PubMed

    Sun, Lichang; He, Tao; Zhang, Lili; Pang, Maoda; Zhang, Qiaoyan; Zhou, Yan; Bao, Hongduo; Wang, Ran

    2017-07-28

    The mcr-1 gene is a new "superbug" gene discoverd in China in 2016 that makes bacteria highly resistant to the last-resort class of antibiotics. The mcr-1 gene raised serious concern about its possible global dissemination and spread. Here, we report a potential anti-resistant strategy using the CRISPR/Cas9-mediated approach that can efficiently induce mcr-1 gene knockout in Escherichia coli . Our findings suggested that using the CRISPR/Cas9 system to knock out the resistance gene mcr-1 might be a potential anti-resistant strategy. Bovine myeloid antimicrobial peptide-27 could help deliver plasmid pCas::mcr targeting specific DNA sequences of the mcr-1 gene into microbial populations.

  3. Features of CRISPR-Cas Regulation Key to Highly Efficient and Temporally-Specific crRNA Production.

    PubMed

    Rodic, Andjela; Blagojevic, Bojana; Djordjevic, Magdalena; Severinov, Konstantin; Djordjevic, Marko

    2017-01-01

    Bacterial immune systems, such as CRISPR-Cas or restriction-modification (R-M) systems, affect bacterial pathogenicity and antibiotic resistance by modulating horizontal gene flow. A model system for CRISPR-Cas regulation, the Type I-E system from Escherichia coli , is silent under standard laboratory conditions and experimentally observing the dynamics of CRISPR-Cas activation is challenging. Two characteristic features of CRISPR-Cas regulation in E. coli are cooperative transcription repression of cas gene and CRISPR array promoters, and fast non-specific degradation of full length CRISPR transcripts (pre-crRNA). In this work, we use computational modeling to understand how these features affect the system expression dynamics. Signaling which leads to CRISPR-Cas activation is currently unknown, so to bypass this step, we here propose a conceptual setup for cas expression activation, where cas genes are put under transcription control typical for a restriction-modification (R-M) system and then introduced into a cell. Known transcription regulation of an R-M system is used as a proxy for currently unknown CRISPR-Cas transcription control, as both systems are characterized by high cooperativity, which is likely related to similar dynamical constraints of their function. We find that the two characteristic CRISPR-Cas control features are responsible for its temporally-specific dynamical response, so that the system makes a steep (switch-like) transition from OFF to ON state with a time-delay controlled by pre-crRNA degradation rate. We furthermore find that cooperative transcription regulation qualitatively leads to a cross-over to a regime where, at higher pre-crRNA processing rates, crRNA generation approaches the limit of an infinitely abrupt system induction. We propose that these dynamical properties are associated with rapid expression of CRISPR-Cas components and efficient protection of bacterial cells against foreign DNA. In terms of synthetic applications

  4. CATO--A Guided User Interface for Different CAS

    ERIC Educational Resources Information Center

    Janetzko, Hans-Dieter

    2017-01-01

    CATO is a new user interface, written in Java and developed by the author as a response to the significant difficulties faced by students who only sporadically use computer algebra systems (CAS). The usage of CAS in mathematical lectures should be an integral part of mathematical instruction. However, difficulties arise for those students who have…

  5. Versatile High-Throughput Fluorescence Assay for Monitoring Cas9 Activity.

    PubMed

    Seamon, Kyle J; Light, Yooli K; Saada, Edwin A; Schoeniger, Joseph S; Harmon, Brooke

    2018-06-05

    The RNA-guided DNA nuclease Cas9 is now widely used for the targeted modification of genomes of human cells and various organisms. Despite the extensive use of Clustered Regularly Interspaced Palindromic Repeats (CRISPR) systems for genome engineering and the rapid discovery and engineering of new CRISPR-associated nucleases, there are no high-throughput assays for measuring enzymatic activity. The current laboratory and future therapeutic uses of CRISPR technology have a significant risk of accidental exposure or clinical off-target effects, underscoring the need for therapeutically effective inhibitors of Cas9. Here, we develop a fluorescence assay for monitoring Cas9 nuclease activity and demonstrate its utility with S. pyogenes (Spy), S. aureus (Sau), and C. jejuni (Cje) Cas9. The assay was validated by quantitatively profiling the species specificity of published anti-CRISPR (Acr) proteins, confirming the reported inhibition of Spy Cas9 by AcrIIA4 and Cje Cas9 by AcrIIC1 and no inhibition of Sau Cas9 by either anti-CRISPR. To identify drug-like inhibitors, we performed a screen of 189 606 small molecules for inhibition of Spy Cas9. Of 437 hits (0.2% hit rate), six were confirmed as Cas9 inhibitors in a direct gel electrophoresis secondary assay. The high-throughput nature of this assay makes it broadly applicable for the discovery of additional Cas9 inhibitors or the characterization of Cas9 enzyme variants.

  6. Versatile High-Throughput Fluorescence Assay for Monitoring Cas9 Activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seamon, Kyle Jeffrey; Light, Yooli Kim; Saada, Edwin A.

    Here, the RNA-guided DNA nuclease Cas9 is now widely used for the targeted modification of genomes of human cells and various organisms. Despite the extensive use of Clustered Regularly Interspaced Palindromic Repeats (CRISPR) systems for genome engineering and the rapid discovery and engineering of new CRISPR-associated nucleases, there are no high-throughput assays for measuring enzymatic activity. The current laboratory and future therapeutic uses of CRISPR technology have a significant risk of accidental exposure or clinical off-target effects, underscoring the need for therapeutically effective inhibitors of Cas9. Here, we develop a fluorescence assay for monitoring Cas9 nuclease activity and demonstrate itsmore » utility with S. pyogenes (Spy), S. aureus (Sau), and C. jejuni (Cje) Cas9. The assay was validated by quantitatively profiling the species specificity of published anti-CRISPR (Acr) proteins, confirming the reported inhibition of Spy Cas9 by AcrIIA4 and Cje Cas9 by AcrIIC1 and no inhibition of Sau Cas9 by either anti-CRISPR. To identify drug-like inhibitors, we performed a screen of 189 606 small molecules for inhibition of Spy Cas9. Of 437 hits (0.2% hit rate), six were confirmed as Cas9 inhibitors in a direct gel electrophoresis secondary assay. The high-throughput nature of this assay makes it broadly applicable for the discovery of additional Cas9 inhibitors or the characterization of Cas9 enzyme variants.« less

  7. Versatile High-Throughput Fluorescence Assay for Monitoring Cas9 Activity

    DOE PAGES

    Seamon, Kyle Jeffrey; Light, Yooli Kim; Saada, Edwin A.; ...

    2018-05-14

    Here, the RNA-guided DNA nuclease Cas9 is now widely used for the targeted modification of genomes of human cells and various organisms. Despite the extensive use of Clustered Regularly Interspaced Palindromic Repeats (CRISPR) systems for genome engineering and the rapid discovery and engineering of new CRISPR-associated nucleases, there are no high-throughput assays for measuring enzymatic activity. The current laboratory and future therapeutic uses of CRISPR technology have a significant risk of accidental exposure or clinical off-target effects, underscoring the need for therapeutically effective inhibitors of Cas9. Here, we develop a fluorescence assay for monitoring Cas9 nuclease activity and demonstrate itsmore » utility with S. pyogenes (Spy), S. aureus (Sau), and C. jejuni (Cje) Cas9. The assay was validated by quantitatively profiling the species specificity of published anti-CRISPR (Acr) proteins, confirming the reported inhibition of Spy Cas9 by AcrIIA4 and Cje Cas9 by AcrIIC1 and no inhibition of Sau Cas9 by either anti-CRISPR. To identify drug-like inhibitors, we performed a screen of 189 606 small molecules for inhibition of Spy Cas9. Of 437 hits (0.2% hit rate), six were confirmed as Cas9 inhibitors in a direct gel electrophoresis secondary assay. The high-throughput nature of this assay makes it broadly applicable for the discovery of additional Cas9 inhibitors or the characterization of Cas9 enzyme variants.« less

  8. Hit and go CAS9 delivered through a lentiviral based self-limiting circuit.

    PubMed

    Petris, Gianluca; Casini, Antonio; Montagna, Claudia; Lorenzin, Francesca; Prandi, Davide; Romanel, Alessandro; Zasso, Jacopo; Conti, Luciano; Demichelis, Francesca; Cereseto, Anna

    2017-05-22

    In vivo application of the CRISPR-Cas9 technology is still limited by unwanted Cas9 genomic cleavages. Long-term expression of Cas9 increases the number of genomic loci non-specifically cleaved by the nuclease. Here we develop a Self-Limiting Cas9 circuit for Enhanced Safety and specificity (SLiCES) which consists of an expression unit for Streptococcus pyogenes Cas9 (SpCas9), a self-targeting sgRNA and a second sgRNA targeting a chosen genomic locus. The self-limiting circuit results in increased genome editing specificity by controlling Cas9 levels. For its in vivo utilization, we next integrate SLiCES into a lentiviral delivery system (lentiSLiCES) via circuit inhibition to achieve viral particle production. Upon delivery into target cells, the lentiSLiCES circuit switches on to edit the intended genomic locus while simultaneously stepping up its own neutralization through SpCas9 inactivation. By preserving target cells from residual nuclease activity, our hit and go system increases safety margins for genome editing.

  9. Repurposing the CRISPR-Cas9 system for targeted DNA methylation.

    PubMed

    Vojta, Aleksandar; Dobrinić, Paula; Tadić, Vanja; Bočkor, Luka; Korać, Petra; Julg, Boris; Klasić, Marija; Zoldoš, Vlatka

    2016-07-08

    Epigenetic studies relied so far on correlations between epigenetic marks and gene expression pattern. Technologies developed for epigenome editing now enable direct study of functional relevance of precise epigenetic modifications and gene regulation. The reversible nature of epigenetic modifications, including DNA methylation, has been already exploited in cancer therapy for remodeling the aberrant epigenetic landscape. However, this was achieved non-selectively using epigenetic inhibitors. Epigenetic editing at specific loci represents a novel approach that might selectively and heritably alter gene expression. Here, we developed a CRISPR-Cas9-based tool for specific DNA methylation consisting of deactivated Cas9 (dCas9) nuclease and catalytic domain of the DNA methyltransferase DNMT3A targeted by co-expression of a guide RNA to any 20 bp DNA sequence followed by the NGG trinucleotide. We demonstrated targeted CpG methylation in a ∼35 bp wide region by the fusion protein. We also showed that multiple guide RNAs could target the dCas9-DNMT3A construct to multiple adjacent sites, which enabled methylation of a larger part of the promoter. DNA methylation activity was specific for the targeted region and heritable across mitotic divisions. Finally, we demonstrated that directed DNA methylation of a wider promoter region of the target loci IL6ST and BACH2 decreased their expression. © The Author(s) 2016. Published by Oxford University Press on behalf of Nucleic Acids Research.

  10. NASA Controller Acceptability Study 1(CAS-1) Experiment Description and Initial Observations

    NASA Technical Reports Server (NTRS)

    Chamberlain, James P.; Consiglio, Maria C.; Comstock, James R., Jr.; Ghatas, Rania W.; Munoz, Cesar

    2015-01-01

    This paper describes the Controller Acceptability Study 1 (CAS-1) experiment that was conducted by NASA Langley Research Center personnel from January through March 2014 and presents partial CAS-1 results. CAS-1 employed 14 air traffic controller volunteers as research subjects to assess the viability of simulated future unmanned aircraft systems (UAS) operating alongside manned aircraft in moderate-density, moderate-complexity Class E airspace. These simulated UAS were equipped with a prototype pilot-in-the-loop (PITL) Detect and Avoid (DAA) system, specifically the Self-Separation (SS) function of such a system based on Stratway+ software to replace the see-and-avoid capabilities of manned aircraft pilots. A quantitative CAS-1 objective was to determine horizontal miss distance (HMD) values for SS encounters that were most acceptable to air traffic controllers, specifically HMD values that were assessed as neither unsafely small nor disruptively large. HMD values between 0.5 and 3.0 nautical miles (nmi) were assessed for a wide array of encounter geometries between UAS and manned aircraft. The paper includes brief introductory material about DAA systems and their SS functions, followed by descriptions of the CAS-1 simulation environment, prototype PITL SS capability, and experiment design, and concludes with presentation and discussion of partial CAS-1 data and results.

  11. Multiplex CRISPR/Cas9-based genome engineering from a single lentiviral vector

    PubMed Central

    Kabadi, Ami M.; Ousterout, David G.; Hilton, Isaac B.; Gersbach, Charles A.

    2014-01-01

    Engineered DNA-binding proteins that manipulate the human genome and transcriptome have enabled rapid advances in biomedical research. In particular, the RNA-guided CRISPR/Cas9 system has recently been engineered to create site-specific double-strand breaks for genome editing or to direct targeted transcriptional regulation. A unique capability of the CRISPR/Cas9 system is multiplex genome engineering by delivering a single Cas9 enzyme and two or more single guide RNAs (sgRNAs) targeted to distinct genomic sites. This approach can be used to simultaneously create multiple DNA breaks or to target multiple transcriptional activators to a single promoter for synergistic enhancement of gene induction. To address the need for uniform and sustained delivery of multiplex CRISPR/Cas9-based genome engineering tools, we developed a single lentiviral system to express a Cas9 variant, a reporter gene and up to four sgRNAs from independent RNA polymerase III promoters that are incorporated into the vector by a convenient Golden Gate cloning method. Each sgRNA is efficiently expressed and can mediate multiplex gene editing and sustained transcriptional activation in immortalized and primary human cells. This delivery system will be significant to enabling the potential of CRISPR/Cas9-based multiplex genome engineering in diverse cell types. PMID:25122746

  12. Prespacer processing and specific integration in a Type I-A CRISPR system

    PubMed Central

    Rollie, Clare; Graham, Shirley; Rouillon, Christophe

    2018-01-01

    Abstract The CRISPR–Cas system for prokaryotic adaptive immunity provides RNA-mediated protection from viruses and mobile genetic elements. Adaptation is dependent on the Cas1 and Cas2 proteins along with varying accessory proteins. Here we analyse the process in Sulfolobus solfataricus, showing that while Cas1 and Cas2 catalyze spacer integration in vitro, host factors are required for specificity. Specific integration also requires at least 400 bp of the leader sequence, and is dependent on the presence of hydrolysable ATP, suggestive of an active process that may involve DNA remodelling. Specific spacer integration is associated with processing of prespacer 3′ ends in a PAM-dependent manner. This is reflected in PAM-dependent processing of prespacer 3′ ends in vitro in the presence of cell lysate or the Cas4 nuclease, in a reaction consistent with PAM-directed binding and protection of prespacer DNA. These results highlight the diverse interplay between CRISPR–Cas elements and host proteins across CRISPR types. PMID:29228332

  13. Coupled RipCAS-DFLOW (CoRD) Software and Data Management System for Reproducible Floodplain Vegetation Succession Modeling

    NASA Astrophysics Data System (ADS)

    Turner, M. A.; Miller, S.; Gregory, A.; Cadol, D. D.; Stone, M. C.; Sheneman, L.

    2016-12-01

    We present the Coupled RipCAS-DFLOW (CoRD) modeling system created to encapsulate the workflow to analyze the effects of stream flooding on vegetation succession. CoRD provides an intuitive command-line and web interface to run DFLOW and RipCAS in succession over many years automatically, which is a challenge because, for our application, DFLOW must be run on a supercomputing cluster via the PBS job scheduler. RipCAS is a vegetation succession model, and DFLOW is a 2D open channel flow model. Data adaptors have been developed to seamlessly connect DFLOW output data to be RipCAS inputs, and vice-versa. CoRD provides automated statistical analysis and visualization, plus automatic syncing of input and output files and model run metadata to the hydrological data management system HydroShare using its excellent Python REST client. This combination of technologies and data management techniques allows the results to be shared with collaborators and eventually published. Perhaps most importantly, it allows results to be easily reproduced via either the command-line or web user interface. This system is a result of collaboration between software developers and hydrologists participating in the Western Consortium for Watershed Analysis, Visualization, and Exploration (WC-WAVE). Because of the computing-intensive nature of this particular workflow, including automating job submission/monitoring and data adaptors, software engineering expertise is required. However, the hydrologists provide the software developers with a purpose and ensure a useful, intuitive tool is developed. Our hydrologists contribute software, too: RipCAS was developed from scratch by hydrologists on the team as a specialized, open-source version of the Computer Aided Simulation Model for Instream Flow and Riparia (CASiMiR) vegetation model; our hydrologists running DFLOW provided numerous examples and help with the supercomputing system. This project is written in Python, a popular language in the

  14. Mutations in Cas9 Enhance the Rate of Acquisition of Viral Spacer Sequences during the CRISPR-Cas Immune Response.

    PubMed

    Heler, Robert; Wright, Addison V; Vucelja, Marija; Bikard, David; Doudna, Jennifer A; Marraffini, Luciano A

    2017-01-05

    CRISPR loci and their associated (Cas) proteins encode a prokaryotic immune system that protects against viruses and plasmids. Upon infection, a low fraction of cells acquire short DNA sequences from the invader. These sequences (spacers) are integrated in between the repeats of the CRISPR locus and immunize the host against the matching invader. Spacers specify the targets of the CRISPR immune response through transcription into short RNA guides that direct Cas nucleases to the invading DNA molecules. Here we performed random mutagenesis of the RNA-guided Cas9 nuclease to look for variants that provide enhanced immunity against viral infection. We identified a mutation, I473F, that increases the rate of spacer acquisition by more than two orders of magnitude. Our results highlight the role of Cas9 during CRISPR immunization and provide a useful tool to study this rare process and develop it as a biotechnological application. Copyright © 2017 Elsevier Inc. All rights reserved.

  15. The Impact on Student Achievement of When CAS Technology Is Introduced

    ERIC Educational Resources Information Center

    Driver, David

    2012-01-01

    When a Computer Algebra System (CAS) is used as a pedagogical and functional tool in class and as a functional tool in exams, its effect on student achievement can be quite profound. The timing of when students are first introduced to a CAS has an impact on gains in student achievement. In this action research project, the CAS calculator was…

  16. Hospitals as complex adaptive systems: A case study of factors influencing priority setting practices at the hospital level in Kenya.

    PubMed

    Barasa, Edwine W; Molyneux, Sassy; English, Mike; Cleary, Susan

    2017-02-01

    There is a dearth of literature on priority setting and resource allocation (PSRA) practices in hospitals, particularly in low and middle income countries (LMICs). Using a case study approach, we examined PSRA practices in 2 public hospitals in coastal Kenya. We collected data through a combination of in-depth interviews of national level policy makers, hospital managers, and frontline practitioners in the case study hospitals (n = 72), review of documents such as hospital plans and budgets, minutes of meetings and accounting records, and non-participant observations of PSRA practices in case study hospitals over a period of 7 months. In this paper, we apply complex adaptive system (CAS) theory to examine the factors that influence PSRA practices. We found that PSRA practices in the case hospitals were influenced by, 1) inadequate financing level and poorly designed financing arrangements, 2) limited hospital autonomy and decision space, and 3) inadequate management and leadership capacity in the hospital. The case study hospitals exhibited properties of complex adaptive systems (CASs) that exist in a dynamic state with multiple interacting agents. Weaknesses in system 'hardware' (resource scarcity) and 'software' (including PSRA guidelines that reduced hospitals decision space, and poor leadership skills) led to the emergence of undesired properties. The capacity of hospitals to set priorities should be improved across these interacting aspects of the hospital organizational system. Interventions should however recognize that hospitals are CAS. Rather than rectifying isolated aspects of the system, they should endeavor to create conditions for productive emergence. Copyright © 2016 The Author(s). Published by Elsevier Ltd.. All rights reserved.

  17. Profiling of engineering hotspots identifies an allosteric CRISPR-Cas9 switch.

    PubMed

    Oakes, Benjamin L; Nadler, Dana C; Flamholz, Avi; Fellmann, Christof; Staahl, Brett T; Doudna, Jennifer A; Savage, David F

    2016-06-01

    The clustered, regularly interspaced, short palindromic repeats (CRISPR)-associated protein Cas9 from Streptococcus pyogenes is an RNA-guided DNA endonuclease with widespread utility for genome modification. However, the structural constraints limiting the engineering of Cas9 have not been determined. Here we experimentally profile Cas9 using randomized insertional mutagenesis and delineate hotspots in the structure capable of tolerating insertions of a PDZ domain without disruption of the enzyme's binding and cleavage functions. Orthogonal domains or combinations of domains can be inserted into the identified sites with minimal functional consequence. To illustrate the utility of the identified sites, we construct an allosterically regulated Cas9 by insertion of the estrogen receptor-α ligand-binding domain. This protein showed robust, ligand-dependent activation in prokaryotic and eukaryotic cells, establishing a versatile one-component system for inducible and reversible Cas9 activation. Thus, domain insertion profiling facilitates the rapid generation of new Cas9 functionalities and provides useful data for future engineering of Cas9.

  18. CRISPR/Cas9 mediates efficient conditional mutagenesis in Drosophila.

    PubMed

    Xue, Zhaoyu; Wu, Menghua; Wen, Kejia; Ren, Menda; Long, Li; Zhang, Xuedi; Gao, Guanjun

    2014-09-05

    Existing transgenic RNA interference (RNAi) methods greatly facilitate functional genome studies via controlled silencing of targeted mRNA in Drosophila. Although the RNAi approach is extremely powerful, concerns still linger about its low efficiency. Here, we developed a CRISPR/Cas9-mediated conditional mutagenesis system by combining tissue-specific expression of Cas9 driven by the Gal4/upstream activating site system with various ubiquitously expressed guide RNA transgenes to effectively inactivate gene expression in a temporally and spatially controlled manner. Furthermore, by including multiple guide RNAs in a transgenic vector to target a single gene, we achieved a high degree of gene mutagenesis in specific tissues. The CRISPR/Cas9-mediated conditional mutagenesis system provides a simple and effective tool for gene function analysis, and complements the existing RNAi approach. Copyright © 2014 Xue et al.

  19. Anti-CRISPR proteins: Counterattack of phages on bacterial defense (CRISPR/Cas) system.

    PubMed

    Chaudhary, Kulbhushan; Chattopadhyay, Anirudha; Pratap, Dharmendra

    2018-01-01

    Since the dawn of life there is a never ending strife between bacteria and phages. Both are perpetually changing their strategies to take over each other. CRISPR/Cas is the most widespread defense system used by bacteria against mobile genetic elements (MGEs) such as phages, cojugative palsmids, transoposons, and pathogenicity islands. This system utilizes small guide RNA molecules to protect against phages infection and invasion by MGEs. Phages circumvent to these antiviral barriers by point mutation in PAM (protospacer-adjacent motif) sequence, genome rearrangements and by using anti-CRISPR proteins. © 2017 Wiley Periodicals, Inc.

  20. Repurposing CRISPR/Cas9 for in situ functional assays.

    PubMed

    Malina, Abba; Mills, John R; Cencic, Regina; Yan, Yifei; Fraser, James; Schippers, Laura M; Paquet, Marilène; Dostie, Josée; Pelletier, Jerry

    2013-12-01

    RNAi combined with next-generation sequencing has proven to be a powerful and cost-effective genetic screening platform in mammalian cells. Still, this technology has its limitations and is incompatible with in situ mutagenesis screens on a genome-wide scale. Using p53 as a proof-of-principle target, we readapted the CRISPR (clustered regularly interspaced short palindromic repeats)/Cas9 (CRISPR associated 9) genome-editing system to demonstrate the feasibility of this methodology for targeted gene disruption positive selection assays. By using novel "all-in-one" lentiviral and retroviral delivery vectors heterologously expressing both a codon-optimized Cas9 and its synthetic guide RNA (sgRNA), we show robust selection for the CRISPR-modified Trp53 locus following drug treatment. Furthermore, by linking Cas9 expression to GFP fluorescence, we use an "all-in-one" system to track disrupted Trp53 in chemoresistant lymphomas in the Eμ-myc mouse model. Deep sequencing analysis of the tumor-derived endogenous Cas9-modified Trp53 locus revealed a wide spectrum of mutants that were enriched with seemingly limited off-target effects. Taken together, these results establish Cas9 genome editing as a powerful and practical approach for positive in situ genetic screens.

  1. Repurposing CRISPR/Cas9 for in situ functional assays

    PubMed Central

    Malina, Abba; Mills, John R.; Cencic, Regina; Yan, Yifei; Fraser, James; Schippers, Laura M.; Paquet, Marilène; Dostie, Josée; Pelletier, Jerry

    2013-01-01

    RNAi combined with next-generation sequencing has proven to be a powerful and cost-effective genetic screening platform in mammalian cells. Still, this technology has its limitations and is incompatible with in situ mutagenesis screens on a genome-wide scale. Using p53 as a proof-of-principle target, we readapted the CRISPR (clustered regularly interspaced short palindromic repeats)/Cas9 (CRISPR associated 9) genome-editing system to demonstrate the feasibility of this methodology for targeted gene disruption positive selection assays. By using novel “all-in-one” lentiviral and retroviral delivery vectors heterologously expressing both a codon-optimized Cas9 and its synthetic guide RNA (sgRNA), we show robust selection for the CRISPR-modified Trp53 locus following drug treatment. Furthermore, by linking Cas9 expression to GFP fluorescence, we use an “all-in-one” system to track disrupted Trp53 in chemoresistant lymphomas in the Eμ-myc mouse model. Deep sequencing analysis of the tumor-derived endogenous Cas9-modified Trp53 locus revealed a wide spectrum of mutants that were enriched with seemingly limited off-target effects. Taken together, these results establish Cas9 genome editing as a powerful and practical approach for positive in situ genetic screens. PMID:24298059

  2. An Efficient Visual Screen for CRISPR/Cas9 Activity in Arabidopsis thaliana.

    PubMed

    Hahn, Florian; Mantegazza, Otho; Greiner, André; Hegemann, Peter; Eisenhut, Marion; Weber, Andreas P M

    2017-01-01

    The CRISPR/Cas9 system enables precision editing of the genome of the model plant Arabidopsis thaliana and likely of any other organism. Tools and methods for further developing and optimizing this widespread and versatile system in Arabidopsis would hence be welcomed. Here, we designed a generic vector system that can be used to clone any sgRNA sequence in a plant T-DNA vector containing an ubiquitously expressed Cas9 gene. With this vector, we explored two alternative marker systems for tracking Cas9-mediated gene-editing in vivo : BIALAPHOS RESISTANCE ( BAR ) and GLABROUS1 ( GL1 ). BAR confers resistance to glufosinate and is widely used as a positive selection marker; GL1 is required for the formation of trichomes. Reversion of a frameshift null BAR allele to a functional one by Cas9-mediated gene editing yielded a higher than expected number of plants that are resistant to glufosinate. Surprisingly, many of those plants did not display reversion of the BAR gene through the germline. We hypothesize that few BAR revertant cells in a highly chimeric plant likely provide system-wide resistance to glufosinate and thus we suggest that BAR is not suitable as marker for tracking Cas9-mediated gene-editing. Targeting the GL1 gene for disruption with Cas9 provided clearly visible phenotypes of partially and completely glabrous plants. 50% of the analyzed T1 plants produced descendants with a chimeric phenotype and we could recover fully homozygous plants in the T3 generation with high efficiency. We propose that targeting of GL1 is suitable for assessing and optimizing Cas9-mediated gene-editing in Arabidopsis .

  3. Genome Editing with CRISPR-Cas9: Can It Get Any Better?

    PubMed Central

    Haeussler, Maximilian; Concordet, Jean-Paul

    2017-01-01

    The CRISPR-Cas revolution is taking place in virtually all fields of life sciences. Harnessing DNA cleavage with the CRISPR-Cas9 system of Streptococcus pyogenes has proven to be extraordinarily simple and efficient, relying only on the design of a synthetic single guide RNA (sgRNA) and its co-expression with Cas9. Here, we review the progress in the design of sgRNA from the original dual RNA guide for S. pyogenes and Staphylococcus aureus Cas9 (SpCas9 and SaCas9). New assays for genome-wide identification of off-targets have provided important insights into the issue of cleavage specificity in vivo. At the same time, the on-target activity of thousands of guides has been determined. These data have led to numerous online tools that facilitate the selection of guide RNAs in target sequences. It appears that for most basic research applications, cleavage activity can be maximized and off-targets minimized by carefully choosing guide RNAs based on computational predictions. Moreover, recent studies of Cas proteins have further improved the flexibility and precision of the CRISPR-Cas toolkit for genome editing. Inspired by the crystal structure of the complex of sgRNA-SpCas9 bound to target DNA, several variants of SpCas9 have recently been engineered, either with novel protospacer adjacent motifs (PAMs) or with drastically reduced off-targets. Novel Cas9 and Cas9-like proteins called Cpf1 have also been characterized from other bacteria and will benefit from the insights obtained from SpCas9. Genome editing with CRISPR-Cas9 may also progress with better understanding and control of cellular DNA repair pathways activated after Cas9-induced DNA cleavage. PMID:27210042

  4. Genome Editing with CRISPR-Cas9: Can It Get Any Better?

    PubMed

    Haeussler, Maximilian; Concordet, Jean-Paul

    2016-05-20

    The CRISPR-Cas revolution is taking place in virtually all fields of life sciences. Harnessing DNA cleavage with the CRISPR-Cas9 system of Streptococcus pyogenes has proven to be extraordinarily simple and efficient, relying only on the design of a synthetic single guide RNA (sgRNA) and its co-expression with Cas9. Here, we review the progress in the design of sgRNA from the original dual RNA guide for S. pyogenes and Staphylococcus aureus Cas9 (SpCas9 and SaCas9). New assays for genome-wide identification of off-targets have provided important insights into the issue of cleavage specificity in vivo. At the same time, the on-target activity of thousands of guides has been determined. These data have led to numerous online tools that facilitate the selection of guide RNAs in target sequences. It appears that for most basic research applications, cleavage activity can be maximized and off-targets minimized by carefully choosing guide RNAs based on computational predictions. Moreover, recent studies of Cas proteins have further improved the flexibility and precision of the CRISPR-Cas toolkit for genome editing. Inspired by the crystal structure of the complex of sgRNA-SpCas9 bound to target DNA, several variants of SpCas9 have recently been engineered, either with novel protospacer adjacent motifs (PAMs) or with drastically reduced off-targets. Novel Cas9 and Cas9-like proteins called Cpf1 have also been characterized from other bacteria and will benefit from the insights obtained from SpCas9. Genome editing with CRISPR-Cas9 may also progress with better understanding and control of cellular DNA repair pathways activated after Cas9-induced DNA cleavage. Copyright © 2016 Institute of Genetics and Developmental Biology, Chinese Academy of Sciences, and Genetics Society of China. Published by Elsevier Ltd. All rights reserved.

  5. Inhibition of hepatitis B virus replication via HBV DNA cleavage by Cas9 from Staphylococcus aureus.

    PubMed

    Liu, Yu; Zhao, Miaoxian; Gong, Mingxing; Xu, Ying; Xie, Cantao; Deng, Haohui; Li, Xueying; Wu, Hongkai; Wang, Zhanhui

    2018-04-01

    Chronic hepatitis B virus (HBV) infection is difficult to cure due to the presence of covalently closed circular DNA (cccDNA). Accumulating evidence indicates that the CRISPR/Cas9 system effectively disrupts HBV genome, including cccDNA, in vitro and in vivo. However, efficient delivery of CRISPR/Cas9 system to the liver or hepatocytes using an adeno-associated virus (AAV) vector remains challenging due to the large size of Cas9 from Streptococcus pyogenes (Sp). The recently identified Cas9 protein from Staphylococcus aureus (Sa) is smaller than SpCas9 and thus is able to be packaged into the AAV vector. To examine the efficacy of SaCas9 system on HBV genome destruction, we designed 5 guide RNAs (gRNAs) that targeted different HBV genotypes, 3 of which were shown to be effective. The SaCas9 system significantly reduced HBV antigen expression, as well as pgRNA and cccDNA levels, in Huh7, HepG2.2.15 and HepAD38 cells. The dual expression of gRNAs/SaCas9 in these cell lines resulted in more efficient HBV genome cleavage. In the mouse model, hydrodynamic injection of gRNA/SaCas9 plasmids resulted in significantly lower levels of HBV protein expression. We also delivered the SaCas9 system into mice with persistent HBV replication using an AAV vector. Both the AAV vector and the mRNA of Cas9 could be detected in the C3H mouse liver cells. Decreased hepatitis B surface antigen (HBsAg), HBV DNA and pgRNA levels were observed when a higher titer of AAV was injected, although this decrease was not significantly different from the control. In summary, the SaCas9 system accurately and efficiently targeted the HBV genome and inhibited HBV replication both in vitro and in vivo. The system was delivered by an AAV vector and maybe used as a novel therapeutic strategy against chronic HBV infection. Copyright © 2018 Elsevier B.V. All rights reserved.

  6. Gene editing of the extra domain A positive fibronectin in various tumors, amplified the effects of CRISPR/Cas system on the inhibition of tumor progression.

    PubMed

    Lv, Wan-Qi; Wang, Hai-Cheng; Peng, Jing; Wang, Yi-Xiang; Jiang, Jiu-Hui; Li, Cui-Ying

    2017-12-01

    The low efficiency of clustered, regularly interspaced, palindromic repeats-associated Cas (CRISPR/Cas) system editing genes in vivo limits the application. A components of the extracellular matrix (ECM), the extra domain A positive fibronectin (EDA+FN), may be a target for CRISPR/Cas system for the pro-oncogenic effects. The exclusion of EDA exon would alter the microenvironment and inhibit tumor progression, even the frequency of gene editing is still limited. The pro-oncogenic effects were confirmed by the exclusion of EDA exon from the fibronectin gene, as illustrated by the down-regulated proliferation, migration and invasion of CNE-2Z or SW480 cells (P<0.05). Furthermore, although the efficacy of EDA exon knockout through CRISPR/Cas system was shown to be low in vivo , the EDA+FN protein levels decrease obviously, inhibiting the tumor growth rate significantly (P<0.05), which was accompanied by a decrease in Ki-67 expression and microvessel numbers, and increased E-cadherin or decreased Vimentin expression (P<0.05). Human nasopharyngeal carcinoma cell line CNE-2Z, and the colorectal carcinoma cell line SW480 were transfected with CRISPR/Cas9 plasmids targeting EDA exon. The effects of the exclusion of EDA on the cell proliferation, motility and epithelial-mesenchymal transition (EMT) were investigated, and the western blot and real-time PCR were performed to analyze the underlying mechanisms. Furthermore, CRISPR/Cas9 plasmids were injected into xenograft tumors to knockout EDA exon in vivo , and tumor growth, cell proliferation, EMT rate, or vascularization were investigated using western blot, PCR and immunohistochemistry. CRISPR/Cas system targeting ECM components was shown to be an effective method for the inhibition of tumor progression, as these paracrine or autocrine molecules are necessary for various tumor cells. This may represent a novel strategy for overcoming the drug evasion or resistance, in addition, circumventing the low efficiency of CRISPR/Cas

  7. Analysis of microsatellite instability in CRISPR/Cas9 editing mice.

    PubMed

    Huo, Xueyun; Du, Yating; Lu, Jing; Guo, Meng; Li, Zhenkun; Zhang, Shuangyue; Li, Xiaohong; Chen, Zhenwen; Du, Xiaoyan

    2017-03-01

    Clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR- associated (Cas) protein 9 system is a novel and powerful tool which is widely used for genome editing. CRISPR/Cas9 is RNA-guided and can lead to desired genomic modifications. However, whether the CRISPR/Cas9-mediated genome editing causes genomic alterations and genomic instability, such as microsatellite instability (MSI), is still unknown. Here we detected MSI in 21 CRISPR/Cas9 mouse strains using a panel of 42 microsatellite loci which were selected from our previous studies. Surprisingly, MSI occurrence was common in CRISPR/Cas9 modified genome, and most of the strains (19/21, 90.5%) examined showed MSI. Of 42 loci examined, 8 loci (8/42, 19.05%) exhibited MSI in the Cas9 editing mice. The Ttll9 (4/42, 9.5%) were the most unstable strains, and D10Mit3 and D10Mit198 (9/21, 42.9%) were considered to be the most "hot" loci in the Cas9 strains we tested. Through analyzing the mutation of microsatellite loci, we provide new insights into the genomic alterations of CRISPR/Cas9 models and it will help us for a better understanding of this powerful technology. Copyright © 2017 Elsevier B.V. All rights reserved.

  8. Cornerstones of CRISPR-Cas in drug development and therapy

    PubMed Central

    Fellmann, Christof; Gowen, Benjamin G.; Lin, Pei-Chun; Doudna, Jennifer A.; Corn, Jacob E.

    2017-01-01

    The recent development of CRISPR-Cas systems as easily accessible and programmable tools for genome editing and regulation is spurring a revolution in biology. Paired with the rapid expansion of personalized and reference genomic sequence information, technologies based on CRISPR-Cas are enabling nearly unlimited genetic manipulation even in previously difficult contexts, including human cells. Although much attention has focused on the potential of CRISPR-Cas to cure Mendelian diseases, the technology also holds promise to transform the development of therapies to treat complex heritable and somatic disorders. Here we discuss how CRISPR-Cas can impact the next generation of drugs through accelerating the identification and validation of high-value targets, uncovering high confidence biomarkers and developing differentiated breakthrough therapies. We focus on the promises, pitfalls and hurdles of this revolutionary gene editing technology, and also discuss key aspects of different CRISPR-Cas screening platforms and offer our perspectives on the best practices in genome engineering. PMID:28008168

  9. Efficient CRISPR/Cas9-based genome editing in carrot cells.

    PubMed

    Klimek-Chodacka, Magdalena; Oleszkiewicz, Tomasz; Lowder, Levi G; Qi, Yiping; Baranski, Rafal

    2018-04-01

    The first report presenting successful and efficient carrot genome editing using CRISPR/Cas9 system. Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)/CRISPR-associated (Cas9) is a powerful genome editing tool that has been widely adopted in model organisms recently, but has not been used in carrot-a model species for in vitro culture studies and an important health-promoting crop grown worldwide. In this study, for the first time, we report application of the CRISPR/Cas9 system for efficient targeted mutagenesis of the carrot genome. Multiplexing CRISPR/Cas9 vectors expressing two single-guide RNA (gRNAs) targeting the carrot flavanone-3-hydroxylase (F3H) gene were tested for blockage of the anthocyanin biosynthesis in a model purple-colored callus using Agrobacterium-mediated genetic transformation. This approach allowed fast and visual comparison of three codon-optimized Cas9 genes and revealed that the most efficient one in generating F3H mutants was the Arabidopsis codon-optimized AteCas9 gene with up to 90% efficiency. Knockout of F3H gene resulted in the discoloration of calli, validating the functional role of this gene in the anthocyanin biosynthesis in carrot as well as providing a visual marker for screening successfully edited events. Most resulting mutations were small Indels, but long chromosome fragment deletions of 116-119 nt were also generated with simultaneous cleavage mediated by two gRNAs. The results demonstrate successful site-directed mutagenesis in carrot with CRISPR/Cas9 and the usefulness of a model callus culture to validate genome editing systems. Given that the carrot genome has been sequenced recently, our timely study sheds light on the promising application of genome editing tools for boosting basic and translational research in this important vegetable crop.

  10. Do CAS measurements correlate with EOS 3D alignment measurements in primary TKA?

    PubMed

    Meijer, Marrigje F; Boerboom, Alexander L; Bulstra, Sjoerd K; Reininga, Inge H F; Stevens, Martin

    2017-09-01

    Objective of this study was to compare intraoperative computer-assisted surgery (CAS) alignment measurements during total knee arthroplasty (TKA) with pre- and postoperative coronal alignment measurements using EOS 3D reconstructions. In a prospective study, 56 TKAs using imageless CAS were performed and coronal alignment measurements were recorded twice: before bone cuts were made and after implantation of the prosthesis. Pre- and postoperative coronal alignment measurements were performed using EOS 3D reconstructions. Thanks to the EOS radiostereography system, measurement errors due to malpositioning and deformity during acquisition are eliminated. CAS measurements were compared with EOS 3D reconstructions. Varus/valgus angle (VV), mechanical lateral distal femoral angle (mLDFA) and mechanical medial proximal tibial angle (mMPTA) were measured. Significantly different VV angles were measured pre- and postoperatively with CAS compared to EOS. For preoperative measurements, mLDFA did not differ significantly, but a significantly larger mMPTA in valgus was measured with CAS. Results of this study indicate that differences in alignment measurements between CAS measurements and pre- and postoperative EOS 3D are due mainly to the difference between weight-bearing and non-weight-bearing position and potential errors in validity and reliability of the CAS system. EOS 3D measurements overestimate VV angle in lower limbs with substantial mechanical axis deviation. For lower limbs with minor mechanical axis deviation as well as for mMPTA measurements, CAS measures more valgus than EOS. Eventually the results of this study are of clinical relevance, since it raises concerns regarding the validity and reliability of CAS systems in TKA. IIb.

  11. Cas9 in Genetically Modified Food Is Unlikely to Cause Food Allergy.

    PubMed

    Nakajima, Osamu; Nishimaki-Mogami, Tomoko; Kondo, Kazunari

    2016-01-01

    Genome editing has undergone rapid development during the last three years. It is anticipated that genetically modified organisms (GMOs) for food purposes will be widely produced using the clustered regularly interspaced short palindromic repeat/Cas9 (CRISPR)/Cas9 system in the near future. However, the Cas9 gene may then enter the genomes of GMOs for food if the breeding process is not strictly managed, which could lead to the Cas9 protein or associated peptides being produced within these organisms. A variety of peptides could theoretically be produced from the Cas9 gene by using open reading frames different from that of Cas9 in the GMOs. In this study, Cas9 and the peptides potentially encoded by Cas9 genes were studied regarding their immunogenicity, in terms of the digestibility of Cas9 and the homology of the peptides to food allergens. First, the digestibility and thermal stability of Cas9 were studied. Digestibility was tested with natural or heat-denatured Cas9 in simulated gastric fluid in vitro. The two types of Cas9 were digested rapidly. Cas9 was also gradually degraded during heat treatment. Second, the peptides potentially encoded by Cas9 genes were examined for their homology to food allergens. Specifically, an 8-mer exact match search and a sliding 80-mer window search were performed using allergen databases. One of the peptides was found to have homology with a food allergen.

  12. CasCADe: A Novel 4D Visualization System for Virtual Construction Planning.

    PubMed

    Ivson, Paulo; Nascimento, Daniel; Celes, Waldemar; Barbosa, Simone Dj

    2018-01-01

    Building Information Modeling (BIM) provides an integrated 3D environment to manage large-scale engineering projects. The Architecture, Engineering and Construction (AEC) industry explores 4D visualizations over these datasets for virtual construction planning. However, existing solutions lack adequate visual mechanisms to inspect the underlying schedule and make inconsistencies readily apparent. The goal of this paper is to apply best practices of information visualization to improve 4D analysis of construction plans. We first present a review of previous work that identifies common use cases and limitations. We then consulted with AEC professionals to specify the main design requirements for such applications. These guided the development of CasCADe, a novel 4D visualization system where task sequencing and spatio-temporal simultaneity are immediately apparent. This unique framework enables the combination of diverse analytical features to create an information-rich analysis environment. We also describe how engineering collaborators used CasCADe to review the real-world construction plans of an Oil & Gas process plant. The system made evident schedule uncertainties, identified work-space conflicts and helped analyze other constructability issues. The results and contributions of this paper suggest new avenues for future research in information visualization for the AEC industry.

  13. Using CAS to Solve a Mathematics Task: A Deconstruction

    ERIC Educational Resources Information Center

    Berger, Margot

    2010-01-01

    I investigate how and whether a heterogeneous group of first-year university mathematics students in South Africa harness the potential power of a computer algebra system (CAS) when doing a specific mathematics task. In order to do this, I develop a framework for deconstructing a mathematics task requiring the use of CAS, into its primary…

  14. In vivo and in vitro disease modeling with CRISPR/Cas9.

    PubMed

    Kato, Tomoko; Takada, Shuji

    2017-01-01

    In the past few years, extensive progress has been made in the development of genome-editing technology. Among several genome-editing tools, the clustered regularly interspaced short palindrome repeat-associated Cas9 nuclease (CRISPR/Cas9) system is particularly widely used owing to the ease of sequence-specific nuclease construction and the highly efficient introduction of mutations. The CRISPR/Cas9 system was originally constructed to induce small insertion and deletion mutations, but various methods have been developed to introduce point mutations, deletions, insertions, chromosomal translocations and so on. These methods should be useful for the reconstruction of disease-causing mutations in cultured cell lines and living organisms to elucidate disease pathogenesis and for disease prevention, treatment and drug discovery. This review summarizes the current technical aspects of the CRISPR/Cas9 system for disease modeling in cultured cells and living organisms, mainly mice. © The Author 2016. Published by Oxford University Press. All rights reserved. For permissions, please email: journals.permissions@oup.com.

  15. Cas9-based tools for targeted genome editing and transcriptional control.

    PubMed

    Xu, Tao; Li, Yongchao; Van Nostrand, Joy D; He, Zhili; Zhou, Jizhong

    2014-03-01

    Development of tools for targeted genome editing and regulation of gene expression has significantly expanded our ability to elucidate the mechanisms of interesting biological phenomena and to engineer desirable biological systems. Recent rapid progress in the study of a clustered, regularly interspaced short palindromic repeat (CRISPR)/CRISPR-associated (Cas) protein system in bacteria has facilitated the development of newly facile and programmable platforms for genome editing and transcriptional control in a sequence-specific manner. The core RNA-guided Cas9 endonuclease in the type II CRISPR system has been harnessed to realize gene mutation and DNA deletion and insertion, as well as transcriptional activation and repression, with multiplex targeting ability, just by customizing 20-nucleotide RNA components. Here we describe the molecular basis of the type II CRISPR/Cas system and summarize applications and factors affecting its utilization in model organisms. We also discuss the advantages and disadvantages of Cas9-based tools in comparison with widely used customizable tools, such as Zinc finger nucleases and transcription activator-like effector nucleases.

  16. Molecular biology at the cutting edge: A review on CRISPR/CAS9 gene editing for undergraduates.

    PubMed

    Thurtle-Schmidt, Deborah M; Lo, Te-Wen

    2018-03-01

    Disrupting a gene to determine its effect on an organism's phenotype is an indispensable tool in molecular biology. Such techniques are critical for understanding how a gene product contributes to the development and cellular identity of organisms. The explosion of genomic sequencing technologies combined with recent advances in genome-editing techniques has elevated the possibilities of genetic manipulations in numerous organisms in which these experiments were previously not readily accessible or possible. Introducing the next generation of molecular biologists to these emerging techniques is key in the modern biology classroom. This comprehensive review introduces undergraduates to CRISPR/Cas9 editing and its uses in genetic studies. The goals of this review are to explain how CRISPR functions as a prokaryotic immune system, describe how researchers generate mutations with CRISPR/Cas9, highlight how Cas9 has been adapted for new functions, and discuss ethical considerations of genome editing. Additionally, anticipatory guides and questions for discussion are posed throughout the review to encourage active exploration of these topics in the classroom. Finally, the supplement includes a study guide and practical suggestions to incorporate CRISPR/Cas9 experiments into lab courses at the undergraduate level. © 2018 The Authors Biochemistry and Molecular Biology Education published by Wiley Periodicals, Inc. on behalf of International Union of Biochemistry and Molecular Biology, 46(2):195-205, 2018. © 2018 The Authors Biochemistry and Molecular Biology Education published by Wiley Periodicals, Inc. on behalf of International Union of Biochemistry and Molecular Biology.

  17. Efficient genomic correction methods in human iPS cells using CRISPR-Cas9 system.

    PubMed

    Li, Hongmei Lisa; Gee, Peter; Ishida, Kentaro; Hotta, Akitsu

    2016-05-15

    Precise gene correction using the CRISPR-Cas9 system in human iPS cells holds great promise for various applications, such as the study of gene functions, disease modeling, and gene therapy. In this review article, we summarize methods for effective editing of genomic sequences of iPS cells based on our experiences correcting dystrophin gene mutations with the CRISPR-Cas9 system. Designing specific sgRNAs as well as having efficient transfection methods and proper detection assays to assess genomic cleavage activities are critical for successful genome editing in iPS cells. In addition, because iPS cells are fragile by nature when dissociated into single cells, a step-by-step confirmation during the cell recovery process is recommended to obtain an adequate number of genome-edited iPS cell clones. We hope that the techniques described here will be useful for researchers from diverse backgrounds who would like to perform genome editing in iPS cells. Copyright © 2015 The Authors. Published by Elsevier Inc. All rights reserved.

  18. CRISPR-Cas9 nuclear dynamics and target recognition in living cells

    PubMed Central

    Ma, Hanhui; Tu, Li-Chun; Zhang, Shaojie; Grunwald, David

    2016-01-01

    The bacterial CRISPR-Cas9 system has been repurposed for genome engineering, transcription modulation, and chromosome imaging in eukaryotic cells. However, the nuclear dynamics of clustered regularly interspaced short palindromic repeats (CRISPR)–associated protein 9 (Cas9) guide RNAs and target interrogation are not well defined in living cells. Here, we deployed a dual-color CRISPR system to directly measure the stability of both Cas9 and guide RNA. We found that Cas9 is essential for guide RNA stability and that the nuclear Cas9–guide RNA complex levels limit the targeting efficiency. Fluorescence recovery after photobleaching measurements revealed that single mismatches in the guide RNA seed sequence reduce the target residence time from >3 h to as low as <2 min in a nucleotide identity- and position-dependent manner. We further show that the duration of target residence correlates with cleavage activity. These results reveal that CRISPR discriminates between genuine versus mismatched targets for genome editing via radical alterations in residence time. PMID:27551060

  19. Genome editing: the road of CRISPR/Cas9 from bench to clinic

    PubMed Central

    Eid, Ayman; Mahfouz, Magdy M

    2016-01-01

    Molecular scissors engineered for site-specific modification of the genome hold great promise for effective functional analyses of genes, genomes and epigenomes and could improve our understanding of the molecular underpinnings of disease states and facilitate novel therapeutic applications. Several platforms for molecular scissors that enable targeted genome engineering have been developed, including zinc-finger nucleases (ZFNs), transcription activator-like effector nucleases (TALENs) and, most recently, clustered regularly interspaced palindromic repeats (CRISPR)/CRISPR-associated-9 (Cas9). The CRISPR/Cas9 system's simplicity, facile engineering and amenability to multiplexing make it the system of choice for many applications. CRISPR/Cas9 has been used to generate disease models to study genetic diseases. Improvements are urgently needed for various aspects of the CRISPR/Cas9 system, including the system's precision, delivery and control over the outcome of the repair process. Here, we discuss the current status of genome engineering and its implications for the future of biological research and gene therapy. PMID:27741224

  20. Genome editing: the road of CRISPR/Cas9 from bench to clinic.

    PubMed

    Eid, Ayman; Mahfouz, Magdy M

    2016-10-14

    Molecular scissors engineered for site-specific modification of the genome hold great promise for effective functional analyses of genes, genomes and epigenomes and could improve our understanding of the molecular underpinnings of disease states and facilitate novel therapeutic applications. Several platforms for molecular scissors that enable targeted genome engineering have been developed, including zinc-finger nucleases (ZFNs), transcription activator-like effector nucleases (TALENs) and, most recently, clustered regularly interspaced palindromic repeats (CRISPR)/CRISPR-associated-9 (Cas9). The CRISPR/Cas9 system's simplicity, facile engineering and amenability to multiplexing make it the system of choice for many applications. CRISPR/Cas9 has been used to generate disease models to study genetic diseases. Improvements are urgently needed for various aspects of the CRISPR/Cas9 system, including the system's precision, delivery and control over the outcome of the repair process. Here, we discuss the current status of genome engineering and its implications for the future of biological research and gene therapy.

  1. The potential application and challenge of powerful CRISPR/Cas9 system in cardiovascular research.

    PubMed

    Li, Yangxin; Song, Yao-Hua; Liu, Bin; Yu, Xi-Yong

    2017-01-15

    CRISPR/Cas9 is a precision-guided munition found in bacteria to fight against invading viruses. This technology has enormous potential applications, including altering genes in both somatic and germ cells, as well as generating knockout animals. Compared to other gene editing techniques such as zinc finger nucleases and TALENS, CRISPR/Cas9 is much easier to use and highly efficient. Importantly, the multiplex capacity of this technology allows multiple genes to be edited simultaneously. CRISPR/Cas9 also has the potential to prevent and cure human diseases. In this review, we wish to highlight some key points regarding the future prospect of using CRISPR/Cas9 as a powerful tool for cardiovascular research, and as a novel therapeutic strategy to treat cardiovascular diseases. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  2. Methods for decoding Cas9 protospacer adjacent motif (PAM) sequences: A brief overview.

    PubMed

    Karvelis, Tautvydas; Gasiunas, Giedrius; Siksnys, Virginijus

    2017-05-15

    Recently the Cas9, an RNA guided DNA endonuclease, emerged as a powerful tool for targeted genome manipulations. Cas9 protein can be reprogrammed to cleave, bind or nick any DNA target by simply changing crRNA sequence, however a short nucleotide sequence, termed PAM, is required to initiate crRNA hybridization to the DNA target. PAM sequence is recognized by Cas9 protein and must be determined experimentally for each Cas9 variant. Exploration of Cas9 orthologs could offer a diversity of PAM sequences and novel biochemical properties that may be beneficial for genome editing applications. Here we briefly review and compare Cas9 PAM identification assays that can be adopted for other PAM-dependent CRISPR-Cas systems. Copyright © 2017 Elsevier Inc. All rights reserved.

  3. Tuning CRISPR-Cas9 Gene Drives in Saccharomyces cerevisiae

    PubMed Central

    Roggenkamp, Emily; Giersch, Rachael M.; Schrock, Madison N.; Turnquist, Emily; Halloran, Megan; Finnigan, Gregory C.

    2018-01-01

    Control of biological populations is an ongoing challenge in many fields, including agriculture, biodiversity, ecological preservation, pest control, and the spread of disease. In some cases, such as insects that harbor human pathogens (e.g., malaria), elimination or reduction of a small number of species would have a dramatic impact across the globe. Given the recent discovery and development of the CRISPR-Cas9 gene editing technology, a unique arrangement of this system, a nuclease-based “gene drive,” allows for the super-Mendelian spread and forced propagation of a genetic element through a population. Recent studies have demonstrated the ability of a gene drive to rapidly spread within and nearly eliminate insect populations in a laboratory setting. While there are still ongoing technical challenges to design of a more optimal gene drive to be used in wild populations, there are still serious ecological and ethical concerns surrounding the nature of this powerful biological agent. Here, we use budding yeast as a safe and fully contained model system to explore mechanisms that might allow for programmed regulation of gene drive activity. We describe four conserved features of all CRISPR-based drives and demonstrate the ability of each drive component—Cas9 protein level, sgRNA identity, Cas9 nucleocytoplasmic shuttling, and novel Cas9-Cas9 tandem fusions—to modulate drive activity within a population. PMID:29348295

  4. History of CRISPR-Cas from Encounter with a Mysterious Repeated Sequence to Genome Editing Technology.

    PubMed

    Ishino, Yoshizumi; Krupovic, Mart; Forterre, Patrick

    2018-04-01

    Clustered regularly interspaced short palindromic repeat (CRISPR)-Cas systems are well-known acquired immunity systems that are widespread in archaea and bacteria. The RNA-guided nucleases from CRISPR-Cas systems are currently regarded as the most reliable tools for genome editing and engineering. The first hint of their existence came in 1987, when an unusual repetitive DNA sequence, which subsequently was defined as a CRISPR, was discovered in the Escherichia coli genome during an analysis of genes involved in phosphate metabolism. Similar sequence patterns were then reported in a range of other bacteria as well as in halophilic archaea, suggesting an important role for such evolutionarily conserved clusters of repeated sequences. A critical step toward functional characterization of the CRISPR-Cas systems was the recognition of a link between CRISPRs and the associated Cas proteins, which were initially hypothesized to be involved in DNA repair in hyperthermophilic archaea. Comparative genomics, structural biology, and advanced biochemistry could then work hand in hand, not only culminating in the explosion of genome editing tools based on CRISPR-Cas9 and other class II CRISPR-Cas systems but also providing insights into the origin and evolution of this system from mobile genetic elements denoted casposons. To celebrate the 30th anniversary of the discovery of CRISPR, this minireview briefly discusses the fascinating history of CRISPR-Cas systems, from the original observation of an enigmatic sequence in E. coli to genome editing in humans. Copyright © 2018 American Society for Microbiology.

  5. Human Induced Pluripotent Stem Cell NEUROG2 Dual Knockin Reporter Lines Generated by the CRISPR/Cas9 System.

    PubMed

    Li, Shenglan; Xue, Haipeng; Wu, Jianbo; Rao, Mahendra S; Kim, Dong H; Deng, Wenbin; Liu, Ying

    2015-12-15

    Human induced pluripotent stem cell (hiPSC) technologies are powerful tools for modeling development and disease, drug screening, and regenerative medicine. Faithful gene targeting in hiPSCs greatly facilitates these applications. We have developed a fast and precise clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR associated protein 9 (Cas9) technology-based method and obtained fluorescent protein and antibiotic resistance dual knockin reporters in hiPSC lines for neurogenin2 (NEUROG2), an important proneural transcription factor. Gene targeting efficiency was greatly improved in CRISPR/Cas9-mediated homology directed recombination (∼ 33% correctly targeted clones) compared to conventional targeting protocol (∼ 3%) at the same locus. No off-target events were detected. In addition, taking the advantage of the versatile applications of the CRISPR/Cas9 system, we designed transactivation components to transiently induce NEUROG2 expression, which helps identify transcription factor binding sites and trans-regulation regions of human NEUROG2. The strategy of using CRISPR/Cas9 genome editing coupled with fluorescence-activated cell sorting of neural progenitor cells in a knockin lineage hiPSC reporter platform might be broadly applicable in other stem cell derivatives and subpopulations.

  6. Human Induced Pluripotent Stem Cell NEUROG2 Dual Knockin Reporter Lines Generated by the CRISPR/Cas9 System

    PubMed Central

    Li, Shenglan; Xue, Haipeng; Wu, Jianbo; Rao, Mahendra S.; Kim, Dong H.; Deng, Wenbin

    2015-01-01

    Human induced pluripotent stem cell (hiPSC) technologies are powerful tools for modeling development and disease, drug screening, and regenerative medicine. Faithful gene targeting in hiPSCs greatly facilitates these applications. We have developed a fast and precise clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR associated protein 9 (Cas9) technology-based method and obtained fluorescent protein and antibiotic resistance dual knockin reporters in hiPSC lines for neurogenin2 (NEUROG2), an important proneural transcription factor. Gene targeting efficiency was greatly improved in CRISPR/Cas9-mediated homology directed recombination (∼33% correctly targeted clones) compared to conventional targeting protocol (∼3%) at the same locus. No off-target events were detected. In addition, taking the advantage of the versatile applications of the CRISPR/Cas9 system, we designed transactivation components to transiently induce NEUROG2 expression, which helps identify transcription factor binding sites and trans-regulation regions of human NEUROG2. The strategy of using CRISPR/Cas9 genome editing coupled with fluorescence-activated cell sorting of neural progenitor cells in a knockin lineage hiPSC reporter platform might be broadly applicable in other stem cell derivatives and subpopulations. PMID:26414932

  7. Regulation of Gene Editing Activity Directed by Single-Stranded Oligonucleotides and CRISPR/Cas9 Systems

    PubMed Central

    Bialk, Pawel; Rivera-Torres, Natalia; Strouse, Bryan; Kmiec, Eric B.

    2015-01-01

    Single-stranded DNA oligonucleotides (ssODNs) can direct the repair of a single base mutation in human genes. While the regulation of this gene editing reaction has been partially elucidated, the low frequency with which repair occurs has hampered development toward clinical application. In this work a CRISPR/Cas9 complex is employed to induce double strand DNA breakage at specific sites surrounding the nucleotide designated for exchange. The result is a significant elevation in ssODN-directed gene repair, validated by a phenotypic readout. By analysing reaction parameters, we have uncovered restrictions on gene editing activity involving CRISPR/Cas9 complexes. First, ssODNs that hybridize to the non-transcribed strand direct a higher level of gene repair than those that hybridize to the transcribed strand. Second, cleavage must be proximal to the targeted mutant base to enable higher levels of gene editing. Third, DNA cleavage enables a higher level of gene editing activity as compared to single-stranded DNA nicks, created by modified Cas9 (Nickases). Fourth, we calculated the hybridization potential and free energy levels of ssODNs that are complementary to the guide RNA sequences of CRISPRs used in this study. We find a correlation between free energy potential and the capacity of single-stranded oligonucleotides to inhibit specific DNA cleavage activity, thereby indirectly reducing gene editing activity. Our data provide novel information that might be taken into consideration in the design and usage of CRISPR/Cas9 systems with ssODNs for gene editing. PMID:26053390

  8. Regulation of Gene Editing Activity Directed by Single-Stranded Oligonucleotides and CRISPR/Cas9 Systems.

    PubMed

    Bialk, Pawel; Rivera-Torres, Natalia; Strouse, Bryan; Kmiec, Eric B

    2015-01-01

    Single-stranded DNA oligonucleotides (ssODNs) can direct the repair of a single base mutation in human genes. While the regulation of this gene editing reaction has been partially elucidated, the low frequency with which repair occurs has hampered development toward clinical application. In this work a CRISPR/Cas9 complex is employed to induce double strand DNA breakage at specific sites surrounding the nucleotide designated for exchange. The result is a significant elevation in ssODN-directed gene repair, validated by a phenotypic readout. By analysing reaction parameters, we have uncovered restrictions on gene editing activity involving CRISPR/Cas9 complexes. First, ssODNs that hybridize to the non-transcribed strand direct a higher level of gene repair than those that hybridize to the transcribed strand. Second, cleavage must be proximal to the targeted mutant base to enable higher levels of gene editing. Third, DNA cleavage enables a higher level of gene editing activity as compared to single-stranded DNA nicks, created by modified Cas9 (Nickases). Fourth, we calculated the hybridization potential and free energy levels of ssODNs that are complementary to the guide RNA sequences of CRISPRs used in this study. We find a correlation between free energy potential and the capacity of single-stranded oligonucleotides to inhibit specific DNA cleavage activity, thereby indirectly reducing gene editing activity. Our data provide novel information that might be taken into consideration in the design and usage of CRISPR/Cas9 systems with ssODNs for gene editing.

  9. CRISPR/Cas9-mediated targeted mutagenesis in grape

    PubMed Central

    Ban, Yusuke; Azuma, Akifumi; Onoue, Noriyuki; Moriguchi, Takaya; Yamamoto, Toshiya; Toki, Seiichi

    2017-01-01

    RNA-guided genome editing using the CRISPR/Cas9 CRISPR (clustered regularly interspaced short palindromic repeats)/Cas9 (CRISPR-associated protein 9) system has been applied successfully in several plant species. However, to date, there are few reports on the use of any of the current genome editing approaches in grape—an important fruit crop with a large market not only for table grapes but also for wine. Here, we report successful targeted mutagenesis in grape (Vitis vinifera L., cv. Neo Muscat) using the CRISPR/Cas9 system. When a Cas9 expression construct was transformed to embryonic calli along with a synthetic sgRNA expression construct targeting the Vitis vinifera phytoene desaturase (VvPDS) gene, regenerated plants with albino leaves were obtained. DNA sequencing confirmed that the VvPDS gene was mutated at the target site in regenerated grape plants. Interestingly, the ratio of mutated cells was higher in lower, older, leaves compared to that in newly appearing upper leaves. This result might suggest either that the proportion of targeted mutagenized cells is higher in older leaves due to the repeated induction of DNA double strand breaks (DSBs), or that the efficiency of precise DSBs repair in cells of old grape leaves is decreased. PMID:28542349

  10. CRISPR/Cas9 Editing of the Bacillus subtilis Genome

    PubMed Central

    Burby, Peter E.; Simmons, Lyle A.

    2017-01-01

    A fundamental procedure for most modern biologists is the genetic manipulation of the organism under study. Although many different methods for editing bacterial genomes have been used in laboratories for decades, the adaptation of CRISPR/Cas9 technology to bacterial genetics has allowed researchers to manipulate bacterial genomes with unparalleled facility. CRISPR/Cas9 has allowed for genome edits to be more precise, while also increasing the efficiency of transferring mutations into a variety of genetic backgrounds. As a result, the advantages are realized in tractable organisms and organisms that have been refractory to genetic manipulation. Here, we describe our method for editing the genome of the bacterium Bacillus subtilis. Our method is highly efficient, resulting in precise, markerless mutations. Further, after generating the editing plasmid, the mutation can be quickly introduced into several genetic backgrounds, greatly increasing the speed with which genetic analyses may be performed. PMID:28706963

  11. CRISPR/Cas9-mediated gene editing in human tripronuclear zygotes.

    PubMed

    Liang, Puping; Xu, Yanwen; Zhang, Xiya; Ding, Chenhui; Huang, Rui; Zhang, Zhen; Lv, Jie; Xie, Xiaowei; Chen, Yuxi; Li, Yujing; Sun, Ying; Bai, Yaofu; Songyang, Zhou; Ma, Wenbin; Zhou, Canquan; Huang, Junjiu

    2015-05-01

    Genome editing tools such as the clustered regularly interspaced short palindromic repeat (CRISPR)-associated system (Cas) have been widely used to modify genes in model systems including animal zygotes and human cells, and hold tremendous promise for both basic research and clinical applications. To date, a serious knowledge gap remains in our understanding of DNA repair mechanisms in human early embryos, and in the efficiency and potential off-target effects of using technologies such as CRISPR/Cas9 in human pre-implantation embryos. In this report, we used tripronuclear (3PN) zygotes to further investigate CRISPR/Cas9-mediated gene editing in human cells. We found that CRISPR/Cas9 could effectively cleave the endogenous β-globin gene (HBB). However, the efficiency of homologous recombination directed repair (HDR) of HBB was low and the edited embryos were mosaic. Off-target cleavage was also apparent in these 3PN zygotes as revealed by the T7E1 assay and whole-exome sequencing. Furthermore, the endogenous delta-globin gene (HBD), which is homologous to HBB, competed with exogenous donor oligos to act as the repair template, leading to untoward mutations. Our data also indicated that repair of the HBB locus in these embryos occurred preferentially through the non-crossover HDR pathway. Taken together, our work highlights the pressing need to further improve the fidelity and specificity of the CRISPR/Cas9 platform, a prerequisite for any clinical applications of CRSIPR/Cas9-mediated editing.

  12. [CAS in rhino-surgical procedures in the growing age].

    PubMed

    Schipper, J; Maier, W; Gellrich, N-C; Arapakis, I; Hochmuth, A; Laszig, R

    2005-01-01

    Rhinosurgery in children and adolescents meets special requirements: Limited cooperation and reduced limits for the organ dose for ionizing radiological examinations aggravate diagnostics. On the other side, bone sutures and bone growth areas have to be respected intraoperatively, and regions of bones not yet calcified have to be distinguished from possible tumor infiltration. Computer assisted surgery (CAS) can help to identify these areas safely. 5 patients, from the first to the 20 (th) year of life, suffering from tumors, malformation syndromes or therapy resistant nasal polyposis were treated with CAS in rhinosurgery. In addition to radiological diagnostics, we performed 3D computed tomography of the skull for CAS. CAS enabled us to intraoperatively respect possible areas of bone growth, to identify regions with thin, not bonily developed cranial vault and to safely distinguish bone sutures from ethmoidal cells. CAS helped the surgeon to navigate in the not yet developed paranasal sinus system. CAS is a useful complementary method in rhinosurgery of the developing skull of the child. In spite of the additional 3D computed tomography, the calculated organ dose of the ocular lense amounted to 5 millisievert, so a recommended maximal organ dose for the ocular lense of 15 millisievert was not exceeded.

  13. Peptide/Cas9 nanostructures for ribonucleoprotein cell membrane transport and gene edition.

    PubMed

    Lostalé-Seijo, Irene; Louzao, Iria; Juanes, Marisa; Montenegro, Javier

    2017-12-01

    The discovery of RNA guided endonucleases has emerged as one of the most important tools for gene edition and biotechnology. The selectivity and simplicity of the CRISPR/Cas9 strategy allows the straightforward targeting and editing of particular loci in the cell genome without the requirement of protein engineering. However, the transfection of plasmids encoding the Cas9 and the guide RNA could lead to undesired permanent recombination and immunogenic responses. Therefore, the direct delivery of transient Cas9 ribonucleoprotein constitutes an advantageous strategy for gene edition and other potential therapeutic applications of the CRISPR/Cas9 system. The covalent fusion of Cas9 with penetrating peptides requires multiple incubation steps with the target cells to achieve efficient levels of gene edition. These and other recent reports suggested that covalent conjugation of the anionic Cas9 ribonucleoprotein to cationic peptides would be associated with a hindered nuclease activity due to undesired electrostatic interactions. We here report a supramolecular strategy for the direct delivery of Cas9 by an amphiphilic penetrating peptide that was prepared by a hydrazone bond formation between a cationic peptide scaffold and a hydrophobic aldehyde tail. The peptide/protein non-covalent nanoparticles performed with similar efficiency and less toxicity than one of the best methods described to date. To the best of our knowledge this report constitutes the first supramolecular strategy for the direct delivery of Cas9 using a penetrating peptide vehicle. The results reported here confirmed that peptide amphiphilic vectors can deliver Cas9 in a single incubation step, with good efficiency and low toxicity. This work will encourage the search and development of conceptually new synthetic systems for transitory endonucleases direct delivery.

  14. Multiplex CRISPR/Cas9 system impairs HCMV replication by excising an essential viral gene.

    PubMed

    Gergen, Janina; Coulon, Flora; Creneguy, Alison; Elain-Duret, Nathan; Gutierrez, Alejandra; Pinkenburg, Olaf; Verhoeyen, Els; Anegon, Ignacio; Nguyen, Tuan Huy; Halary, Franck Albert; Haspot, Fabienne

    2018-01-01

    Anti-HCMV treatments used in immunosuppressed patients reduce viral replication, but resistant viral strains can emerge. Moreover, these drugs do not target latently infected cells. We designed two anti-viral CRISPR/Cas9 strategies to target the UL122/123 gene, a key regulator of lytic replication and reactivation from latency. The singleplex strategy contains one gRNA to target the start codon. The multiplex strategy contains three gRNAs to excise the complete UL122/123 gene. Primary fibroblasts and U-251 MG cells were transduced with lentiviral vectors encoding Cas9 and one or three gRNAs. Both strategies induced mutations in the target gene and a concomitant reduction of immediate early (IE) protein expression in primary fibroblasts. Further detailed analysis in U-251 MG cells showed that the singleplex strategy induced 50% of indels in the viral genome, leading to a reduction in IE protein expression. The multiplex strategy excised the IE gene in 90% of all viral genomes and thus led to the inhibition of IE protein expression. Consequently, viral genome replication and late protein expression were reduced by 90%. Finally, the production of new viral particles was nearly abrogated. In conclusion, the multiplex anti-UL122/123 CRISPR/Cas9 system can target the viral genome efficiently enough to significantly prevent viral replication.

  15. CRISPR-Cas9 technology: applications and human disease modelling.

    PubMed

    Torres-Ruiz, Raul; Rodriguez-Perales, Sandra

    2017-01-01

    Genome engineering is a powerful tool for a wide range of applications in biomedical research and medicine. The development of the clustered regularly interspaced short palindromic repeats (CRISPR)-Cas9 system has revolutionized the field of gene editing, thus facilitating efficient genome editing through the creation of targeted double-strand breaks of almost any organism and cell type. In addition, CRISPR-Cas9 technology has been used successfully for many other purposes, including regulation of endogenous gene expression, epigenome editing, live-cell labelling of chromosomal loci, edition of single-stranded RNA and high-throughput gene screening. The implementation of the CRISPR-Cas9 system has increased the number of available technological alternatives for studying gene function, thus enabling generation of CRISPR-based disease models. Although many mechanistic questions remain to be answered and several challenges have yet to be addressed, the use of CRISPR-Cas9-based genome engineering technologies will increase our knowledge of disease processes and their treatment in the near future. © The Author 2016. Published by Oxford University Press. All rights reserved. For permissions, please email: journals.permissions@oup.com.

  16. Genetic screens in human cells using the CRISPR-Cas9 system.

    PubMed

    Wang, Tim; Wei, Jenny J; Sabatini, David M; Lander, Eric S

    2014-01-03

    The bacterial clustered regularly interspaced short palindromic repeats (CRISPR)-Cas9 system for genome editing has greatly expanded the toolbox for mammalian genetics, enabling the rapid generation of isogenic cell lines and mice with modified alleles. Here, we describe a pooled, loss-of-function genetic screening approach suitable for both positive and negative selection that uses a genome-scale lentiviral single-guide RNA (sgRNA) library. sgRNA expression cassettes were stably integrated into the genome, which enabled a complex mutant pool to be tracked by massively parallel sequencing. We used a library containing 73,000 sgRNAs to generate knockout collections and performed screens in two human cell lines. A screen for resistance to the nucleotide analog 6-thioguanine identified all expected members of the DNA mismatch repair pathway, whereas another for the DNA topoisomerase II (TOP2A) poison etoposide identified TOP2A, as expected, and also cyclin-dependent kinase 6, CDK6. A negative selection screen for essential genes identified numerous gene sets corresponding to fundamental processes. Last, we show that sgRNA efficiency is associated with specific sequence motifs, enabling the prediction of more effective sgRNAs. Collectively, these results establish Cas9/sgRNA screens as a powerful tool for systematic genetic analysis in mammalian cells.

  17. Chromatin accessibility and guide sequence secondary structure affect CRISPR-Cas9 gene editing efficiency.

    PubMed

    Jensen, Kristopher Torp; Fløe, Lasse; Petersen, Trine Skov; Huang, Jinrong; Xu, Fengping; Bolund, Lars; Luo, Yonglun; Lin, Lin

    2017-07-01

    Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-associated protein 9 (CRISPR-Cas9) systems have emerged as the method of choice for genome editing, but large variations in on-target efficiencies continue to limit their applicability. Here, we investigate the effect of chromatin accessibility on Cas9-mediated gene editing efficiency for 20 gRNAs targeting 10 genomic loci in HEK293T cells using both SpCas9 and the eSpCas9(1.1) variant. Our study indicates that gene editing is more efficient in euchromatin than in heterochromatin, and we validate this finding in HeLa cells and in human fibroblasts. Furthermore, we investigate the gRNA sequence determinants of CRISPR-Cas9 activity using a surrogate reporter system and find that the efficiency of Cas9-mediated gene editing is dependent on guide sequence secondary structure formation. This knowledge can aid in the further improvement of tools for gRNA design. © 2017 Federation of European Biochemical Societies.

  18. Applications of CRISPR/Cas9 in the Mammalian Central Nervous System



    PubMed Central

    Savell, Katherine E.; Day, Jeremy J.

    2017-01-01

    Within the central nervous system, gene regulatory mechanisms are crucial regulators of cellular development and function, and dysregulation of these systems is commonly observed in major neuropsychiatric and neurological disorders. However, due to a lack of tools to specifically modulate the genome and epigenome in the central nervous system, many molecular and genetic mechanisms underlying cognitive function and behavior are still unknown. Although genome editing tools have been around for decades, the recent emergence of inexpensive, straightforward, and widely accessible CRISPR/Cas9 systems has led to a revolution in gene editing. The development of the catalytically dead Cas9 (dCas9) expanded this flexibility even further by acting as an anchoring system for fused effector proteins, structural scaffolds, and RNAs. Together, these advances have enabled robust, modular approaches for specific targeting and modification of the local chromatin environment at a single gene. This review highlights these advancements and how the combination of powerful modulatory tools paired with the versatility of CRISPR-Cas9-based systems offer great potential for understanding the underlying genetic and epigenetic contributions of neuronal function, behavior, and neurobiological diseases. PMID:29259522

  19. 48 CFR 970.3002 - CAS program requirements.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 48 Federal Acquisition Regulations System 5 2011-10-01 2011-10-01 false CAS program requirements. 970.3002 Section 970.3002 Federal Acquisition Regulations System DEPARTMENT OF ENERGY AGENCY SUPPLEMENTARY REGULATIONS DOE MANAGEMENT AND OPERATING CONTRACTS Cost Accounting Standards Administration 970...

  20. A computational framework for modeling targets as complex adaptive systems

    NASA Astrophysics Data System (ADS)

    Santos, Eugene; Santos, Eunice E.; Korah, John; Murugappan, Vairavan; Subramanian, Suresh

    2017-05-01

    Modeling large military targets is a challenge as they can be complex systems encompassing myriad combinations of human, technological, and social elements that interact, leading to complex behaviors. Moreover, such targets have multiple components and structures, extending across multiple spatial and temporal scales, and are in a state of change, either in response to events in the environment or changes within the system. Complex adaptive system (CAS) theory can help in capturing the dynamism, interactions, and more importantly various emergent behaviors, displayed by the targets. However, a key stumbling block is incorporating information from various intelligence, surveillance and reconnaissance (ISR) sources, while dealing with the inherent uncertainty, incompleteness and time criticality of real world information. To overcome these challenges, we present a probabilistic reasoning network based framework called complex adaptive Bayesian Knowledge Base (caBKB). caBKB is a rigorous, overarching and axiomatic framework that models two key processes, namely information aggregation and information composition. While information aggregation deals with the union, merger and concatenation of information and takes into account issues such as source reliability and information inconsistencies, information composition focuses on combining information components where such components may have well defined operations. Since caBKBs can explicitly model the relationships between information pieces at various scales, it provides unique capabilities such as the ability to de-aggregate and de-compose information for detailed analysis. Using a scenario from the Network Centric Operations (NCO) domain, we will describe how our framework can be used for modeling targets with a focus on methodologies for quantifying NCO performance metrics.