Sample records for advanced semiconductor materials

  1. Recent advances in electron tomography: TEM and HAADF-STEM tomography for materials science and semiconductor applications.

    PubMed

    Kübel, Christian; Voigt, Andreas; Schoenmakers, Remco; Otten, Max; Su, David; Lee, Tan-Chen; Carlsson, Anna; Bradley, John

    2005-10-01

    Electron tomography is a well-established technique for three-dimensional structure determination of (almost) amorphous specimens in life sciences applications. With the recent advances in nanotechnology and the semiconductor industry, there is also an increasing need for high-resolution three-dimensional (3D) structural information in physical sciences. In this article, we evaluate the capabilities and limitations of transmission electron microscopy (TEM) and high-angle-annular-dark-field scanning transmission electron microscopy (HAADF-STEM) tomography for the 3D structural characterization of partially crystalline to highly crystalline materials. Our analysis of catalysts, a hydrogen storage material, and different semiconductor devices shows that features with a diameter as small as 1-2 nm can be resolved in three dimensions by electron tomography. For partially crystalline materials with small single crystalline domains, bright-field TEM tomography provides reliable 3D structural information. HAADF-STEM tomography is more versatile and can also be used for high-resolution 3D imaging of highly crystalline materials such as semiconductor devices.

  2. Electrical Characterization of Semiconductor Materials and Devices

    NASA Astrophysics Data System (ADS)

    Deen, M.; Pascal, Fabien

    Semiconductor materials and devices continue to occupy a preeminent technological position due to their importance when building integrated electronic systems used in a wide range of applications from computers, cell-phones, personal digital assistants, digital cameras and electronic entertainment systems, to electronic instrumentation for medical diagnositics and environmental monitoring. Key ingredients of this technological dominance have been the rapid advances made in the quality and processing of materials - semiconductors, conductors and dielectrics - which have given metal oxide semiconductor device technology its important characteristics of negligible standby power dissipation, good input-output isolation, surface potential control and reliable operation. However, when assessing material quality and device reliability, it is important to have fast, nondestructive, accurate and easy-to-use electrical characterization techniques available, so that important parameters such as carrier doping density, type and mobility of carriers, interface quality, oxide trap density, semiconductor bulk defect density, contact and other parasitic resistances and oxide electrical integrity can be determined. This chapter describes some of the more widely employed and popular techniques that are used to determine these important parameters. The techniques presented in this chapter range in both complexity and test structure requirements from simple current-voltage measurements to more sophisticated low-frequency noise, charge pumping and deep-level transient spectroscopy techniques.

  3. EDITORIAL: (Nano)characterization of semiconductor materials and structures (Nano)characterization of semiconductor materials and structures

    NASA Astrophysics Data System (ADS)

    Bonanni, Alberta

    2011-06-01

    The latest impressive advancements in the epitaxial fabrication of semiconductors and in the refinement of characterization techniques have the potential to allow insight into the deep relation between materials' structural properties and their physical and chemical functionalities. Furthermore, while the comprehensive (nano)characterization of semiconductor materials and structures is becoming more and more necessary, a compendium of the currently available techniques is lacking. We are positive that an overview of the hurdles related to the specific methods, often leading to deceptive interpretations, will be most informative for the broad community working on semiconductors, and will help in shining some light onto a plethora of controversial reports found in the literature. From this perspective, with this special issue we address and highlight the challenges and misinterpretations related to complementary local (nanoscale) and more global experimental methods for the characterization of semiconductors. The six topical reviews and the three invited papers by leading experts in the specific fields collected in here are intended to provide the required broad overview on the possibilities of actual (nano)characterization methods, from the microscopy of single quantum structures, over the synchrotron-based absorption and diffraction of nano-objects, to the contentious detection of tiny magnetic signals by quantum interference and resonance techniques. We are grateful to all the authors for their valuable contributions. Moreover, I would like to thank the Editorial Board of the journal for supporting the realization of this special issue and for inviting me to serve as Guest Editor. We greatly appreciate the work of the reviewers, of the editorial staff of Semiconductor Science and Technology and of IOP Publishing. In particular, the efforts of Alice Malhador in coordinating this special issue are acknowledged.

  4. Advanced Electrical Materials and Component Development

    NASA Technical Reports Server (NTRS)

    Schwarze, Gene E.

    2003-01-01

    The primary means to develop advanced electrical components is to develop new and improved materials for magnetic components (transformers, inductors, etc.), capacitors, and semiconductor switches and diodes. This paper will give a description and status of the internal and external research sponsored by NASA Glenn Research Center on soft magnetic materials, dielectric materials and capacitors, and high quality silicon carbide (SiC) atomically smooth substrates. The rationale for and the benefits of developing advanced electrical materials and components for the PMAD subsystem and also for the total power system will be briefly discussed.

  5. DFT Studies of Semiconductor and Scintillator Detection Materials

    NASA Astrophysics Data System (ADS)

    Biswas, Koushik

    2013-03-01

    Efficient radiation detection technology is dependent upon the development of new semiconductor and scintillator materials with advanced capabilities. First-principles based approaches can provide vital information about the structural, electrical, optical and defect properties that will help develop new materials. In addition to the predictive power of modern density functional methods, these techniques can be used to establish trends in properties that may lead to identifying new materials with optimum properties. We will discuss the properties of materials that are of current interest both in the field of scintillators and room temperature semiconductor detectors. In case of semiconductors, binary compounds such as TlBr, InI, CdTe and recently developed ternary chalcohalide Tl6SeI4 will be discussed. Tl6SeI4 mixes a halide (TlI) with a chalcogenide (Tl2Se), which results in an intermediate band gap (1.86 eV) between that of TlI (2.75 eV) and Tl2Se (0.6 eV). For scintillators, we will discuss the case of the elpasolite compounds whose rich chemical compositions should enable the fine-tuning of the band gap and band edges to achieve high light yield and fast scintillation response.

  6. Controlling the stoichiometry and doping of semiconductor materials

    DOEpatents

    Albin, David; Burst, James; Metzger, Wyatt; Duenow, Joel; Farrell, Stuart; Colegrove, Eric

    2016-08-16

    Methods for treating a semiconductor material are provided. According to an aspect of the invention, the method includes annealing the semiconductor material in the presence of a compound that includes a first element and a second element. The first element provides an overpressure to achieve a desired stoichiometry of the semiconductor material, and the second element provides a dopant to the semiconductor material.

  7. Space Research Results Purify Semiconductor Materials

    NASA Technical Reports Server (NTRS)

    2010-01-01

    While President Obama's news that NASA would encourage private companies to develop vehicles to take NASA into space may have come as a surprise to some, NASA has always encouraged private companies to invest in space. More than two decades ago, NASA established Commercial Space Centers across the United States to encourage industry to use space as a place to conduct research and to apply NASA technology to Earth applications. Although the centers are no longer funded by NASA, the advances enabled by that previous funding are still impacting us all today. For example, the Space Vacuum Epitaxy Center (SVEC) at the University of Houston, one of the 17 Commercial Space Centers, had a mission to create advanced thin film semiconductor materials and devices through the use of vacuum growth technologies both on Earth and in space. Making thin film materials in a vacuum (low-pressure environment) is advantageous over making them in normal atmospheric pressures, because contamination floating in the air is lessened in a vacuum. To grow semiconductor crystals, researchers at SVEC utilized epitaxy the process of depositing a thin layer of material on top of another thin layer of material. On Earth, this process took place in a vacuum chamber in a clean room lab. For space, the researchers developed something called the Wake Shield Facility (WSF), a 12-foot-diameter disk-shaped platform designed to grow thin film materials using the low-pressure environment in the wake of the space shuttle. Behind an orbiting space shuttle, the vacuum levels are thousands of times better than in the best vacuum chambers on Earth. Throughout the 1990s, the WSF flew on three space shuttle missions as a series of proof-of-concept missions. These experiments are a lasting testament to the success of the shuttle program and resulted in the development of the first thin film materials made in the vacuum of space, helping to pave the way for better thin film development on Earth.

  8. n-Channel semiconductor materials design for organic complementary circuits.

    PubMed

    Usta, Hakan; Facchetti, Antonio; Marks, Tobin J

    2011-07-19

    Organic semiconductors have unique properties compared to traditional inorganic materials such as amorphous or crystalline silicon. Some important advantages include their adaptability to low-temperature processing on flexible substrates, low cost, amenability to high-speed fabrication, and tunable electronic properties. These features are essential for a variety of next-generation electronic products, including low-power flexible displays, inexpensive radio frequency identification (RFID) tags, and printable sensors, among many other applications. Accordingly, the preparation of new materials based on π-conjugated organic molecules or polymers has been a central scientific and technological research focus over the past decade. Currently, p-channel (hole-transporting) materials are the leading class of organic semiconductors. In contrast, high-performance n-channel (electron-transporting) semiconductors are relatively rare, but they are of great significance for the development of plastic electronic devices such as organic field-effect transistors (OFETs). In this Account, we highlight the advances our team has made toward realizing moderately and highly electron-deficient n-channel oligomers and polymers based on oligothiophene, arylenediimide, and (bis)indenofluorene skeletons. We have synthesized and characterized a "library" of structurally related semiconductors, and we have investigated detailed structure-property relationships through optical, electrochemical, thermal, microstructural (both single-crystal and thin-film), and electrical measurements. Our results reveal highly informative correlations between structural parameters at various length scales and charge transport properties. We first discuss oligothiophenes functionalized with perfluoroalkyl and perfluoroarene substituents, which represent the initial examples of high-performance n-channel semiconductors developed in this project. The OFET characteristics of these compounds are presented with an

  9. Method and structure for passivating semiconductor material

    DOEpatents

    Pankove, Jacques I.

    1981-01-01

    A structure for passivating semiconductor material comprises a substrate of crystalline semiconductor material, a relatively thin film of carbon disposed on a surface of the crystalline material, and a layer of hydrogenated amorphous silicon deposited on the carbon film.

  10. Method for depositing high-quality microcrystalline semiconductor materials

    DOEpatents

    Guha, Subhendu [Bloomfield Hills, MI; Yang, Chi C [Troy, MI; Yan, Baojie [Rochester Hills, MI

    2011-03-08

    A process for the plasma deposition of a layer of a microcrystalline semiconductor material is carried out by energizing a process gas which includes a precursor of the semiconductor material and a diluent with electromagnetic energy so as to create a plasma therefrom. The plasma deposits a layer of the microcrystalline semiconductor material onto the substrate. The concentration of the diluent in the process gas is varied as a function of the thickness of the layer of microcrystalline semiconductor material which has been deposited. Also disclosed is the use of the process for the preparation of an N-I-P type photovoltaic device.

  11. Advanced 3-V semiconductor technology assessment

    NASA Technical Reports Server (NTRS)

    Nowogrodzki, M.

    1983-01-01

    Components required for extensions of currently planned space communications systems are discussed for large antennas, crosslink systems, single sideband systems, Aerostat systems, and digital signal processing. Systems using advanced modulation concepts and new concepts in communications satellites are included. The current status and trends in materials technology are examined with emphasis on bulk growth of semi-insulating GaAs and InP, epitaxial growth, and ion implantation. Microwave solid state discrete active devices, multigigabit rate GaAs digital integrated circuits, microwave integrated circuits, and the exploratory development of GaInAs devices, heterojunction devices, and quasi-ballistic devices is considered. Competing technologies such as RF power generation, filter structures, and microwave circuit fabrication are discussed. The fundamental limits of semiconductor devices and problems in implementation are explored.

  12. Graphene-based hybrid structures combined with functional materials of ferroelectrics and semiconductors.

    PubMed

    Jie, Wenjing; Hao, Jianhua

    2014-06-21

    Fundamental studies and applications of 2-dimensional (2D) graphene may be deepened and broadened via combining graphene sheets with various functional materials, which have been extended from the traditional insulator of SiO2 to a versatile range of dielectrics, semiconductors and metals, as well as organic compounds. Among them, ferroelectric materials have received much attention due to their unique ferroelectric polarization. As a result, many attractive characteristics can be shown in graphene/ferroelectric hybrid systems. On the other hand, graphene can be integrated with conventional semiconductors and some newly-discovered 2D layered materials to form distinct Schottky junctions, yielding fascinating behaviours and exhibiting the potential for various applications in future functional devices. This review article is an attempt to illustrate the most recent progress in the fabrication, operation principle, characterization, and promising applications of graphene-based hybrid structures combined with various functional materials, ranging from ferroelectrics to semiconductors. We focus on mechanically exfoliated and chemical-vapor-deposited graphene sheets integrated in numerous advanced devices. Some typical hybrid structures have been highlighted, aiming at potential applications in non-volatile memories, transparent flexible electrodes, solar cells, photodetectors, and so on.

  13. Graphene-based hybrid structures combined with functional materials of ferroelectrics and semiconductors

    NASA Astrophysics Data System (ADS)

    Jie, Wenjing; Hao, Jianhua

    2014-05-01

    Fundamental studies and applications of 2-dimensional (2D) graphene may be deepened and broadened via combining graphene sheets with various functional materials, which have been extended from the traditional insulator of SiO2 to a versatile range of dielectrics, semiconductors and metals, as well as organic compounds. Among them, ferroelectric materials have received much attention due to their unique ferroelectric polarization. As a result, many attractive characteristics can be shown in graphene/ferroelectric hybrid systems. On the other hand, graphene can be integrated with conventional semiconductors and some newly-discovered 2D layered materials to form distinct Schottky junctions, yielding fascinating behaviours and exhibiting the potential for various applications in future functional devices. This review article is an attempt to illustrate the most recent progress in the fabrication, operation principle, characterization, and promising applications of graphene-based hybrid structures combined with various functional materials, ranging from ferroelectrics to semiconductors. We focus on mechanically exfoliated and chemical-vapor-deposited graphene sheets integrated in numerous advanced devices. Some typical hybrid structures have been highlighted, aiming at potential applications in non-volatile memories, transparent flexible electrodes, solar cells, photodetectors, and so on.

  14. Composition/bandgap selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, Carol I. H.; Dishman, James L.

    1987-01-01

    A method of selectively photochemically dry etching a first semiconductor material of a given composition and direct bandgap Eg.sub.1 in the presence of a second semiconductor material of a different composition and direct bandgap Eg.sub.2, wherein Eg.sub.2 >Eg.sub.1, said second semiconductor material substantially not being etched during said method, comprises subjecting both materials to the same photon flux and to the same gaseous etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said photons being of an energy greater than Eg.sub.1 but less than Eg.sub.2, whereby said first semiconductor material is photochemically etched and said second material is substantially not etched.

  15. Semiconductor Metal-Organic Frameworks: Future Low-Bandgap Materials.

    PubMed

    Usman, Muhammad; Mendiratta, Shruti; Lu, Kuang-Lieh

    2017-02-01

    Metal-organic frameworks (MOFs) with low density, high porosity, and easy tunability of functionality and structural properties, represent potential candidates for use as semiconductor materials. The rapid development of the semiconductor industry and the continuous miniaturization of feature sizes of integrated circuits toward the nanometer (nm) scale require novel semiconductor materials instead of traditional materials like silicon, germanium, and gallium arsenide etc. MOFs with advantageous properties of both the inorganic and the organic components promise to serve as the next generation of semiconductor materials for the microelectronics industry with the potential to be extremely stable, cheap, and mechanically flexible. Here, a perspective of recent research is provided, regarding the semiconducting properties of MOFs, bandgap studies, and their potential in microelectronic devices. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Composition/bandgap selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, C.I.H.; Dishman, J.L.

    1985-10-11

    Disclosed is a method of selectively photochemically dry etching a first semiconductor material of a given composition and direct bandgap Eg/sub 1/ in the presence of a second semiconductor material of a different composition and direct bandgap Eg/sub 2/, wherein Eg/sub 2/ > Eg/sub 1/, said second semiconductor material substantially not being etched during said method. The method comprises subjecting both materials to the same photon flux and to the same gaseous etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said photons being of an energy greater than Eg/sub 1/ but less than Eg/sub 2/, whereby said first semiconductor material is photochemically etched and said second material is substantially not etched.

  17. Semiconductor surface protection material

    NASA Technical Reports Server (NTRS)

    Packard, R. D. (Inventor)

    1973-01-01

    A method and a product for protecting semiconductor surfaces is disclosed. The protective coating material is prepared by heating a suitable protective resin with an organic solvent which is solid at room temperature and converting the resulting solution into sheets by a conventional casting operation. Pieces of such sheets of suitable shape and thickness are placed on the semiconductor areas to be coated and heat and vacuum are then applied to melt the sheet and to drive off the solvent and cure the resin. A uniform adherent coating, free of bubbles and other defects, is thus obtained exactly where it is desired.

  18. Advances in graphene-based semiconductor photocatalysts for solar energy conversion: fundamentals and materials engineering.

    PubMed

    Xie, Xiuqiang; Kretschmer, Katja; Wang, Guoxiu

    2015-08-28

    Graphene-based semiconductor photocatalysis has been regarded as a promising technology for solar energy storage and conversion. In this review, we summarized recent developments of graphene-based photocatalysts, including preparation of graphene-based photocatalysts, typical key advances in the understanding of graphene functions for photocatalytic activity enhancement and methodologies to regulate the electron transfer efficiency in graphene-based composite photocatalysts, by which we hope to offer enriched information to harvest the utmost fascinating properties of graphene as a platform to construct efficient graphene-based composite photocatalysts for solar-to-energy conversion.

  19. VO2 microcrystals as an advanced smart window material at semiconductor to metal transition

    NASA Astrophysics Data System (ADS)

    Basu, Raktima; Magudapathy, P.; Sardar, Manas; Pandian, Ramanathaswamy; Dhara, Sandip

    2017-11-01

    Textured VO2(0 1 1) microcrystals are grown in the monoclinic, M1 phase which undergoes a reversible first order semiconductor to metal transition (SMT) accompanied by a structural phase transition to rutile tetragonal, R phase. Around the phase transition, VO2 also experiences noticeable change in its optical and electrical properties. A change in color of the VO2 micro crystals from white to cyan around the transition temperature is observed, which is further understood by absorption of red light using temperature dependent ultraviolet-visible spectroscopic analysis and photoluminescence studies. The absorption of light in the red region is explained by the optical transition between Hubbard states, confirming the electronic correlation as the driving force for SMT in VO2. The thermochromism in VO2 has been studied for smart window applications so far in the IR region, which supports the opening of the band gap in semiconducting phase; whereas there is hardly any report in the management of visible light. The filtering of blue light along with reflection of infrared above the semiconductor to metal transition temperature make VO2 applicable as advanced smart windows for overall heat management of a closure.

  20. Advanced Electrical Materials and Components Development: An Update

    NASA Technical Reports Server (NTRS)

    Schwarze, Gene E.

    2005-01-01

    The primary means to develop advanced electrical components is to develop new and improved materials for magnetic components (transformers, inductors, etc.), capacitors, and semiconductor switches and diodes. This paper will give an update of the Advanced Power Electronics and Components Technology being developed by the NASA Glenn Research Center for use in future Power Management and Distribution subsystems used in space power systems for spacecraft and lunar and planetary surface power. The initial description and status of this technology program was presented two years ago at the First International Energy Conversion Engineering Conference held at Portsmouth, Virginia, August 2003. The present paper will give a brief background of the previous work reported and a summary of research performed the past several years on soft magnetic materials characterization, dielectric materials and capacitor developments, high quality silicon carbide atomically smooth substrates, and SiC static and dynamic device characterization under elevated temperature conditions. The rationale for and the benefits of developing advanced electrical materials and components for the PMAD subsystem and also for the total power system will also be briefly discussed.

  1. Semiconductor materials for high frequency solid state sources

    NASA Astrophysics Data System (ADS)

    Grubin, H. L.

    1983-03-01

    The broad goal of the subject contract is to suggest candidate materials for high frequency device operation. During the initial phase of the study, attention has been focused on defining the general role of the band structure and associated scattering processes in determining the response of semiconductors to transient high-speed electrical signals. Moments of the Boltzmann transport equation form the basis of the study, and the scattering rates define the semiconductor under study. The selection of semiconductor materials proceeds from a set of simple, yet significant, set of scaling principles. During the first quarter scaling was associated with what can formally be identified as velocity invariants, but which in more practical terms identifies the relative speed advantages of e.g., InP over GaAs.

  2. High-performance green semiconductor devices: materials, designs, and fabrication

    NASA Astrophysics Data System (ADS)

    Jung, Yei Hwan; Zhang, Huilong; Gong, Shaoqin; Ma, Zhenqiang

    2017-06-01

    From large industrial computers to non-portable home appliances and finally to light-weight portable gadgets, the rapid evolution of electronics has facilitated our daily pursuits and increased our life comforts. However, these rapid advances have led to a significant decrease in the lifetime of consumer electronics. The serious environmental threat that comes from electronic waste not only involves materials like plastics and heavy metals, but also includes toxic materials like mercury, cadmium, arsenic, and lead, which can leak into the ground and contaminate the water we drink, the food we eat, and the animals that live around us. Furthermore, most electronics are comprised of non-renewable, non-biodegradable, and potentially toxic materials. Difficulties in recycling the increasing amount of electronic waste could eventually lead to permanent environmental pollution. As such, discarded electronics that can naturally degrade over time would reduce recycling challenges and minimize their threat to the environment. This review provides a snapshot of the current developments and challenges of green electronics at the semiconductor device level. It looks at the developments that have been made in an effort to help reduce the accumulation of electronic waste by utilizing unconventional, biodegradable materials as components. While many semiconductors are classified as non-biodegradable, a few biodegradable semiconducting materials exist and are used as electrical components. This review begins with a discussion of biodegradable materials for electronics, followed by designs and processes for the manufacturing of green electronics using different techniques and designs. In the later sections of the review, various examples of biodegradable electrical components, such as sensors, circuits, and batteries, that together can form a functional electronic device, are discussed and new applications using green electronics are reviewed.

  3. Conduit for high temperature transfer of molten semiconductor crystalline material

    NASA Technical Reports Server (NTRS)

    Fiegl, George (Inventor); Torbet, Walter (Inventor)

    1983-01-01

    A conduit for high temperature transfer of molten semiconductor crystalline material consists of a composite structure incorporating a quartz transfer tube as the innermost member, with an outer thermally insulating layer designed to serve the dual purposes of minimizing heat losses from the quartz tube and maintaining mechanical strength and rigidity of the conduit at the elevated temperatures encountered. The composite structure ensures that the molten semiconductor material only comes in contact with a material (quartz) with which it is compatible, while the outer layer structure reinforces the quartz tube, which becomes somewhat soft at molten semiconductor temperatures. To further aid in preventing cooling of the molten semiconductor, a distributed, electric resistance heater is in contact with the surface of the quartz tube over most of its length. The quartz tube has short end portions which extend through the surface of the semiconductor melt and which are lef bare of the thermal insulation. The heater is designed to provide an increased heat input per unit area in the region adjacent these end portions.

  4. Advances in photonics thermal management and packaging materials

    NASA Astrophysics Data System (ADS)

    Zweben, Carl

    2008-02-01

    Heat dissipation, thermal stresses, and cost are key packaging design issues for virtually all semiconductors, including photonic applications such as diode lasers, light-emitting diodes (LEDs), solid state lighting, photovoltaics, displays, projectors, detectors, sensors and laser weapons. Heat dissipation and thermal stresses affect performance and reliability. Copper, aluminum and conventional polymeric printed circuit boards (PCBs) have high coefficients of thermal expansion, which can cause high thermal stresses. Most traditional low-coefficient-of-thermal-expansion (CTE) materials like tungsten/copper, which date from the mid 20 th century, have thermal conductivities that are no better than those of aluminum alloys, about 200 W/m-K. There are an increasing number of low-CTE materials with thermal conductivities ranging between that of copper (400 W/m-K) and 1700 W/m-K, and many other new low-CTE materials with lower thermal conductivities. An important benefit of low-CTE materials is that they allow use of hard solders. Some advanced materials are low cost. Others have the potential to be low cost in high-volume production. High-thermal-conductivity materials enable higher power levels, potentially reducing the number of required devices. Advanced thermal materials can constrain PCB CTE and greatly increase thermal conductivity. This paper reviews traditional packaging materials and advanced thermal management materials. The latter provide the packaging engineer with a greater range of options than in the past. Topics include properties, status, applications, cost, using advanced materials to fix manufacturing problems, and future directions, including composites reinforced with carbon nanotubes and other thermally conductive materials.

  5. Anisotropy-based crystalline oxide-on-semiconductor material

    DOEpatents

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A semiconductor structure and device for use in a semiconductor application utilizes a substrate of semiconductor-based material, such as silicon, and a thin film of a crystalline oxide whose unit cells are capable of exhibiting anisotropic behavior overlying the substrate surface. Within the structure, the unit cells of the crystalline oxide are exposed to an in-plane stain which influences the geometric shape of the unit cells and thereby arranges a directional-dependent quality of the unit cells in a predisposed orientation relative to the substrate. This predisposition of the directional-dependent quality of the unit cells enables the device to take beneficial advantage of characteristics of the structure during operation. For example, in the instance in which the crystalline oxide of the structure is a perovskite, a spinel or an oxide of similarly-related cubic structure, the structure can, within an appropriate semiconductor device, exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic, ferromagnetic, antiferromagnetic, magneto-optic or large dielectric properties that synergistically couple to the underlying semiconductor substrate.

  6. Synthesis and electronic properties of nanophase semiconductor materials

    NASA Astrophysics Data System (ADS)

    Sailor, Michael J.

    1993-05-01

    The objective of the research effort is to understand and learn to control the morphologic and electronic properties of electrodeposited nanophase semiconductors. The initial work has focused on electrodeposition of nanophase CdSe, using a sequential monolayer deposition technique that we are developing. We are currently extending the synthesis phase of this project into silicon, silicon carbide, and phosphor materials. This work also encompasses studying semiconductor electrodeposition into materials with restricted dimensions, such as microporous alumina and porous silicon membranes. By growing films with very small grain sizes, we hope to produce and study materials that display unusual electronic or luminescent effects. We are primarily interested in the electronic properties of the II-VI and group IV materials, for potential applications in nanoscale electronics and optical detector technologies. The phosphors are being studied for their potential as efficient high-resolution display materials.

  7. EDITORIAL The 23rd Nordic Semiconductor Meeting The 23rd Nordic Semiconductor Meeting

    NASA Astrophysics Data System (ADS)

    Ólafsson, Sveinn; Sveinbjörnsson, Einar

    2010-12-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a topical issue of Physica Scripta. All of the papers in this topical issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This meeting of the 23rd Nordic Semiconductor community, NSM 2009, was held at Háskólatorg at the campus of the University of Iceland, Reykjavik, Iceland, 14-17 June 2009. Support was provided by the University of Iceland. Almost 50 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The meeting aim was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. Topics Theory and fundamental physics of semiconductors Emerging semiconductor technologies (for example III-V integration on Si, novel Si devices, graphene) Energy and semiconductors Optical phenomena and optical devices MEMS and sensors Program 14 June Registration 13:00-17:00 15 June Meeting program 09:30-17:00 and Poster Session I 16 June Meeting program 09:30-17:00 and Poster Session II 17 June Excursion and dinner

  8. Dopant type and/or concentration selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, Carol I. H.; Dishman, James L.

    1987-01-01

    A method of selectively photochemically dry etching a first semiconductor material of a given composition in the presence of a second semiconductor material which is of a composition different from said first material, said second material substantially not being etched during said method, comprises subjecting both materials to the same photon flux of an energy greater than their respective direct bandgaps and to the same gaseous chemical etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said conditions also being such that the resultant electronic structure of the first semiconductor material under said photon flux is sufficient for the first material to undergo substantial photochemical etching under said conditions and being such that the resultant electronic structure of the second semiconductor material under said photon flux is not sufficient for the second material to undergo substantial photochemical etching under said conditions. In a preferred mode, the materials are subjected to a bias voltage which suppresses etching in n- or p- type material but not in p- or n-type material, respectively; or suppresses etching in the more heavily doped of two n-type or two p-type materials.

  9. More Efficient Power Conversion for EVs: Gallium-Nitride Advanced Power Semiconductor and Packaging

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    None

    2010-02-01

    Broad Funding Opportunity Announcement Project: Delphi is developing power converters that are smaller and more energy efficient, reliable, and cost-effective than current power converters. Power converters rely on power transistors which act like a very precisely controlled on-off switch, controlling the electrical energy flowing through an electrical circuit. Most power transistors today use silicon (Si) semiconductors. However, Delphi is using semiconductors made with a thin layer of gallium-nitride (GaN) applied on top of the more conventional Si material. The GaN layer increases the energy efficiency of the power transistor and also enables the transistor to operate at much higher temperatures,more » voltages, and power-density levels compared to its Si counterpart. Delphi is packaging these high-performance GaN semiconductors with advanced electrical connections and a cooling system that extracts waste heat from both sides of the device to further increase the device’s efficiency and allow more electrical current to flow through it. When combined with other electronic components on a circuit board, Delphi’s GaN power transistor package will help improve the overall performance and cost-effectiveness of HEVs and EVs.« less

  10. Semiconductor Materials for High Frequency Solid State Sources.

    DTIC Science & Technology

    1985-01-18

    saturation on near and submicron-scale device performance. The motivation for this is as follows: Presently, individual semiconductors are accepted or...basis of all FET scaling procedures; and is a major motivating factor for going to submicron structures. This scaling was tested with the 4 following...performance. The motivation for this is as follows: Presently, individual semiconductors are accepted or rejected as candidate device materials based, in

  11. Compositions of doped, co-doped and tri-doped semiconductor materials

    DOEpatents

    Lynn, Kelvin [Pullman, WA; Jones, Kelly [Colfax, WA; Ciampi, Guido [Watertown, MA

    2011-12-06

    Semiconductor materials suitable for being used in radiation detectors are disclosed. A particular example of the semiconductor materials includes tellurium, cadmium, and zinc. Tellurium is in molar excess of cadmium and zinc. The example also includes aluminum having a concentration of about 10 to about 20,000 atomic parts per billion and erbium having a concentration of at least 10,000 atomic parts per billion.

  12. Dopant type and/or concentration selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, C.R.H.; Dishman, J.L.

    1985-10-11

    Disclosed is a method of selectively photochemically dry etching a first semiconductor material of a given composition in the presence of a second semiconductor material which is of a composition different from said first material, said second material substantially not being etched during said method. The method comprises subjecting both materials to the same photon flux of an energy greater than their respective direct bandgaps and to the same gaseous chemical etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said conditions also being such that the resultant electronic structure of the first semiconductor material under said photon flux is sufficient for the first material to undergo substantial photochemical etching under said conditions and being such that the resultant electronic structure of the second semiconductor material under said photon flux is not sufficient for the second material to undergo substantial photochemical etching under said conditions. In a preferred mode, the materials are subjected to a bias voltage which suppresses etching in n- or p-type material but not in p- or n-type material, respectively; or suppresses etching in the more heavily doped of two n-type or two p-type materials.

  13. Conductors and semiconductors for advanced organic electronics

    NASA Astrophysics Data System (ADS)

    Meyer-Friedrichsen, Timo; Elschner, Andreas; Keohan, Frank; Lövenich, Wilfried; Ponomarenko, Sergei A.

    2009-08-01

    The development of suitable materials for organic electronics is still one of the key points to access new application areas with this promising technology. Semiconductors based on thiophene chemistry show very high charge carrier mobilities. The functionalization with linker groups provided materials that built monomolecular layers of the semiconductors on the hydrolyzed oxide surface of a silicon-wafer. This approach lead to self-assembled mono-layer field-effect transistors (SAM-FETs) with mobilities of up to 0.04 cm2/Vs, which is comparable to the values of the respective bulk thin film. Transparent inorganic conductors like ITO are highly conductive but the costly processing and the brittleness hamper their use in cost-sensitive and/or flexible devices. Highly conductive PEDOT-grades have been developed with conductivities of up to 1000 S/cm which are easily applicable by printing techniques and can be used as ITO replacement in devices such as touch panels or organic photovoltaics.

  14. Advanced Electrical Materials and Components Being Developed

    NASA Technical Reports Server (NTRS)

    Schwarze, Gene E.

    2004-01-01

    All aerospace systems require power management and distribution (PMAD) between the energy and power source and the loads. The PMAD subsystem can be broadly described as the conditioning and control of unregulated power from the energy source and its transmission to a power bus for distribution to the intended loads. All power and control circuits for PMAD require electrical components for switching, energy storage, voltage-to-current transformation, filtering, regulation, protection, and isolation. Advanced electrical materials and component development technology is a key technology to increasing the power density, efficiency, reliability, and operating temperature of the PMAD. The primary means to develop advanced electrical components is to develop new and/or significantly improved electronic materials for capacitors, magnetic components, and semiconductor switches and diodes. The next important step is to develop the processing techniques to fabricate electrical and electronic components that exceed the specifications of presently available state-of-the-art components. The NASA Glenn Research Center's advanced electrical materials and component development technology task is focused on the following three areas: 1) New and/or improved dielectric materials for the development of power capacitors with increased capacitance volumetric efficiency, energy density, and operating temperature; 2) New and/or improved high-frequency, high-temperature soft magnetic materials for the development of transformers and inductors with increased power density, energy density, electrical efficiency, and operating temperature; 3) Packaged high-temperature, high-power density, high-voltage, and low-loss SiC diodes and switches.

  15. Rocksalt nitride metal/semiconductor superlattices: A new class of artificially structured materials

    NASA Astrophysics Data System (ADS)

    Saha, Bivas; Shakouri, Ali; Sands, Timothy D.

    2018-06-01

    Artificially structured materials in the form of superlattice heterostructures enable the search for exotic new physics and novel device functionalities, and serve as tools to push the fundamentals of scientific and engineering knowledge. Semiconductor heterostructures are the most celebrated and widely studied artificially structured materials, having led to the development of quantum well lasers, quantum cascade lasers, measurements of the fractional quantum Hall effect, and numerous other scientific concepts and practical device technologies. However, combining metals with semiconductors at the atomic scale to develop metal/semiconductor superlattices and heterostructures has remained a profoundly difficult scientific and engineering challenge. Though the potential applications of metal/semiconductor heterostructures could range from energy conversion to photonic computing to high-temperature electronics, materials challenges primarily had severely limited progress in this pursuit until very recently. In this article, we detail the progress that has taken place over the last decade to overcome the materials engineering challenges to grow high quality epitaxial, nominally single crystalline metal/semiconductor superlattices based on transition metal nitrides (TMN). The epitaxial rocksalt TiN/(Al,Sc)N metamaterials are the first pseudomorphic metal/semiconductor superlattices to the best of our knowledge, and their physical properties promise a new era in superlattice physics and device engineering.

  16. Review of - SiC wide-bandgap heterostructure properties as an alternate semiconductor material

    NASA Astrophysics Data System (ADS)

    Rajput Priti, J.; Patankar, Udayan S.; Koel, Ants; Nitnaware, V. N.

    2018-05-01

    Silicon substance (is also known as Quartz) is an abundant in nature and the electrical properties it exhibits, plays a vital role in developing its usage in the field of semiconductor. More than decades we can say that Silicon has shown desirable signs but at the later parts it has shown some research potential for development of alternative material as semiconductor devices. This need has come to light as we started scaling down in size of the Silicon material and up in speed. This semiconductor material started exhibiting several fundamental physical limits that include the minimum gate oxide thickness and the maximum saturation velocity of carriers which determines the operation frequency. Though the alternative semiconductors provide some answers (such as III-V's for high speed devices) for a path to skirt these problems, there also may be some ways to extend the life of silicon itself. Two paths are used as for alternative semiconductors i.e alternative gate dielectrics and silicon-based heterostructures. The SiC material has some strength properties under different conditions and find out the defects available in the material.

  17. Design and exploration of semiconductors from first principles: A review of recent advances

    NASA Astrophysics Data System (ADS)

    Oba, Fumiyasu; Kumagai, Yu

    2018-06-01

    Recent first-principles approaches to semiconductors are reviewed, with an emphasis on theoretical insight into emerging materials and in silico exploration of as-yet-unreported materials. As relevant theory and methodologies have developed, along with computer performance, it is now feasible to predict a variety of material properties ab initio at the practical level of accuracy required for detailed understanding and elaborate design of semiconductors; these material properties include (i) fundamental bulk properties such as band gaps, effective masses, dielectric constants, and optical absorption coefficients; (ii) the properties of point defects, including native defects, residual impurities, and dopants, such as donor, acceptor, and deep-trap levels, and formation energies, which determine the carrier type and density; and (iii) absolute and relative band positions, including ionization potentials and electron affinities at semiconductor surfaces, band offsets at heterointerfaces between dissimilar semiconductors, and Schottky barrier heights at metal–semiconductor interfaces, which are often discussed systematically using band alignment or lineup diagrams. These predictions from first principles have made it possible to elucidate the characteristics of semiconductors used in industry, including group III–V compounds such as GaN, GaP, and GaAs and their alloys with related Al and In compounds; amorphous oxides, represented by In–Ga–Zn–O transparent conductive oxides (TCOs), represented by In2O3, SnO2, and ZnO; and photovoltaic absorber and buffer layer materials such as CdTe and CdS among group II–VI compounds and chalcopyrite CuInSe2, CuGaSe2, and CuIn1‑ x Ga x Se2 (CIGS) alloys, in addition to the prototypical elemental semiconductors Si and Ge. Semiconductors attracting renewed or emerging interest have also been investigated, for instance, divalent tin compounds, including SnO and SnS; wurtzite-derived ternary compounds such as ZnSnN2 and Cu

  18. 75 FR 44015 - Certain Semiconductor Products Made by Advanced Lithography Techniques and Products Containing...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-07-27

    ... INTERNATIONAL TRADE COMMISSION [Inv. No. 337-TA-729] Certain Semiconductor Products Made by... the sale within the United States after importation of certain semiconductor products made by advanced lithography techniques and products containing same by reason of infringement of certain claims of U.S. Patent...

  19. FOREWORD: Focus on Superconductivity in Semiconductors Focus on Superconductivity in Semiconductors

    NASA Astrophysics Data System (ADS)

    Takano, Yoshihiko

    2008-12-01

    Since the discovery of superconductivity in diamond, much attention has been given to the issue of superconductivity in semiconductors. Because diamond has a large band gap of 5.5 eV, it is called a wide-gap semiconductor. Upon heavy boron doping over 3×1020 cm-3, diamond becomes metallic and demonstrates superconductivity at temperatures below 11.4 K. This discovery implies that a semiconductor can become a superconductor upon carrier doping. Recently, superconductivity was also discovered in boron-doped silicon and SiC semiconductors. The number of superconducting semiconductors has increased. In 2008 an Fe-based superconductor was discovered in a research project on carrier doping in a LaCuSeO wide-gap semiconductor. This discovery enhanced research activities in the field of superconductivity, where many scientists place particular importance on superconductivity in semiconductors. This focus issue features a variety of topics on superconductivity in semiconductors selected from the 2nd International Workshop on Superconductivity in Diamond and Related Materials (IWSDRM2008), which was held at the National Institute for Materials Science (NIMS), Tsukuba, Japan in July 2008. The 1st workshop was held in 2005 and was published as a special issue in Science and Technology of Advanced Materials (STAM) in 2006 (Takano 2006 Sci. Technol. Adv. Mater. 7 S1). The selection of papers describe many important experimental and theoretical studies on superconductivity in semiconductors. Topics on boron-doped diamond include isotope effects (Ekimov et al) and the detailed structure of boron sites, and the relation between superconductivity and disorder induced by boron doping. Regarding other semiconductors, the superconducting properties of silicon and SiC (Kriener et al, Muranaka et al and Yanase et al) are discussed, and In2O3 (Makise et al) is presented as a new superconducting semiconductor. Iron-based superconductors are presented as a new series of high

  20. Materials Science and Device Physics of 2-Dimensional Semiconductors

    NASA Astrophysics Data System (ADS)

    Fang, Hui

    Materials and device innovations are the keys to future technology revolution. For MOSFET scaling in particular, semiconductors with ultra-thin thickness on insulator platform is currently of great interest, due to the potential of integrating excellent channel materials with the industrially mature Si processing. Meanwhile, ultra-thin thickness also induces strong quantum confinement which in turn affect most of the material properties of these 2-dimensional (2-D) semiconductors, providing unprecedented opportunities for emerging technologies. In this thesis, multiple novel 2-D material systems are explored. Chapter one introduces the present challenges faced by MOSFET scaling. Chapter two covers the integration of ultrathin III V membranes with Si. Free standing ultrathin III-V is studied to enable high performance III-V on Si MOSFETs with strain engineering and alloying. Chapter three studies the light absorption in 2-D membranes. Experimental results and theoretical analysis reveal that light absorption in the 2-D quantum membranes is quantized into a fundamental physical constant, where we call it the quantum unit of light absorption, irrelevant of most of the material dependent parameters. Chapter four starts to focus on another 2-D system, atomic thin layered chalcogenides. Single and few layered chalcogenides are first explored as channel materials, with focuses in engineering the contacts for high performance MOSFETs. Contact treatment by molecular doping methods reveals that many layered chalcogenides other than MoS2 exhibit good transport properties at single layer limit. Finally, Chapter five investigated 2-D van der Waals heterostructures built from different single layer chalcogenides. The investigation in a WSe2/MoS2 hetero-bilayer shows a large Stokes like shift between photoluminescence peak and lowest absorption peak, as well as strong photoluminescence intensity, consistent with spatially indirect transition in a type II band alignment in this

  1. Materials chemistry. Composition-matched molecular "solders" for semiconductors.

    PubMed

    Dolzhnikov, Dmitriy S; Zhang, Hao; Jang, Jaeyoung; Son, Jae Sung; Panthani, Matthew G; Shibata, Tomohiro; Chattopadhyay, Soma; Talapin, Dmitri V

    2015-01-23

    We propose a general strategy to synthesize largely unexplored soluble chalcogenidometallates of cadmium, lead, and bismuth. These compounds can be used as "solders" for semiconductors widely used in photovoltaics and thermoelectrics. The addition of solder helped to bond crystal surfaces and link nano- or mesoscale particles together. For example, CdSe nanocrystals with Na2Cd2Se3 solder was used as a soluble precursor for CdSe films with electron mobilities exceeding 300 square centimeters per volt-second. CdTe, PbTe, and Bi2Te3 powders were molded into various shapes in the presence of a small additive of composition-matched chalcogenidometallate or chalcogel, thus opening new design spaces for semiconductor technologies. Copyright © 2015, American Association for the Advancement of Science.

  2. Soft Chemistry, Coloring and Polytypism in Filled Tetrahedral Semiconductors: Toward Enhanced Thermoelectric and Battery Materials.

    PubMed

    White, Miles A; Medina-Gonzalez, Alan M; Vela, Javier

    2018-03-12

    Filled tetrahedral semiconductors are a rich family of compounds with tunable electronic structure, making them ideal for applications in thermoelectrics, photovoltaics, and battery anodes. Furthermore, these materials crystallize in a plethora of related structures that are very close in energy, giving rise to polytypism through the manipulation of synthetic parameters. This Minireview highlights recent advances in the solution-phase synthesis and nanostructuring of these materials. These methods enable the synthesis of metastable phases and polytypes that were previously unobtainable. Additionally, samples synthesized in solution phase have enhanced thermoelectric performance due to their decreased grain size. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Advanced Photonic Sensors Enabled by Semiconductor Bonding

    DTIC Science & Technology

    2010-05-31

    a dry scroll backing pump to maintain the high differential pressure between the UV gun and the sample/analysis chamber. We also replaced the...semiconductor materials in an ultra-high vacuum (UHV) environment where the properties of the interface can be controlled with atomic-level precision. Such...year research program, we designed and constructed a unique system capable of fusion bonding two wafers in an ultra-high vacuum environment. This system

  4. The measurement of alpha particle emissions from semiconductor memory materials

    NASA Astrophysics Data System (ADS)

    Bouldin, D. P.

    1981-07-01

    With the increasing concern for the affects of alpha particles on the reliability of semiconductor memories, an interest has arisen in characterizing semiconductor manufacturing materials for extremely low-level alpha-emitting contaminants. It is shown that four elements are of primary concern: uranium, thorium, radium, and polonium. Measurement of contamination levels are given relevance by first correlating them with alpha flux emission levels and then corre1ating these flux values with device soft error rates. Measurement techniques involve either measurements of elemental concentrations-applicable to only uranium and thorium - or direct measurements of alpha emission fluxes. Alpha fluxes are most usefully measured by means of ZnS scintillation counting, practical details of which are discussed. Materials measurements are reported for ceramics, solder, silicon, quartz, and various metals and organic materials. Ceramics and most metals have contamination levels of concern, but the high temperature processing normally used in semiconductor manufacturing and low total amounts reduce problems, at least for metals. Silicon, silicon compounds, and organic materials have been found to have no detectable alpha emitters. Finally, a brief discussion of the calibration of alpha sources for accelerated device testing is given, including practical details on the affects of source/chip separation and alignment variations.

  5. Engineering charge transport by heterostructuring solution-processed semiconductors

    NASA Astrophysics Data System (ADS)

    Voznyy, Oleksandr; Sutherland, Brandon R.; Ip, Alexander H.; Zhitomirsky, David; Sargent, Edward H.

    2017-06-01

    Solution-processed semiconductor devices are increasingly exploiting heterostructuring — an approach in which two or more materials with different energy landscapes are integrated into a composite system. Heterostructured materials offer an additional degree of freedom to control charge transport and recombination for more efficient optoelectronic devices. By exploiting energetic asymmetry, rationally engineered heterostructured materials can overcome weaknesses, augment strengths and introduce emergent physical phenomena that are otherwise inaccessible to single-material systems. These systems see benefit and application in two distinct branches of charge-carrier manipulation. First, they influence the balance between excitons and free charges to enhance electron extraction in solar cells and photodetectors. Second, they promote radiative recombination by spatially confining electrons and holes, which increases the quantum efficiency of light-emitting diodes. In this Review, we discuss advances in the design and composition of heterostructured materials, consider their implementation in semiconductor devices and examine unexplored paths for future advancement in the field.

  6. 32nd International Conference on the Physics of Semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chelikowsky, James

    The International Conference on the Physics of Semiconductors (ICPS) continues a series of biennial conferences that began in the 1950's. ICPS is the premier meeting for reporting all aspects of semiconductor physics including electronic, structural, optical, magnetic and transport properties with an emphasis on new materials and their applications. The meeting will reflect the state of art in the semiconductor physics field and will serve as a forum where scholars, researchers, and specialists can interact to discuss future research directions and technological advancements. The conference typically draws 1,000 international physicists, scientists, and students. This is one of the largest sciencemore » meetings on semiconductors and related materials to be held in the United States.« less

  7. Advanced Materials and Devices for Bioresorbable Electronics.

    PubMed

    Kang, Seung-Kyun; Koo, Jahyun; Lee, Yoon Kyeung; Rogers, John A

    2018-05-15

    Recent advances in materials chemistry establish the foundations for unusual classes of electronic systems, characterized by their ability to fully or partially dissolve, disintegrate, or otherwise physically or chemically decompose in a controlled fashion after some defined period of stable operation. Such types of "transient" technologies may enable consumer gadgets that minimize waste streams associated with disposal, implantable sensors that disappear harmlessly in the body, and hardware-secure platforms that prevent unwanted recovery of sensitive data. This second area of opportunity, sometimes referred to as bioresorbable electronics, is of particular interest due to its ability to provide diagnostic or therapeutic function in a manner that can enhance or monitor transient biological processes, such as wound healing, while bypassing risks associated with extended device load on the body or with secondary surgical procedures for removal. Early chemistry research established sets of bioresorbable materials for substrates, encapsulation layers, and dielectrics, along with several options in organic and bio-organic semiconductors. The subsequent realization that nanoscale forms of device-grade monocrystalline silicon, such as silicon nanomembranes (m-Si NMs, or Si NMs) undergo hydrolysis in biofluids to yield biocompatible byproducts over biologically relevant time scales advanced the field by providing immediate routes to high performance operation and versatile, sophisticated levels of function. When combined with bioresorbable conductors, dielectrics, substrates, and encapsulation layers, Si NMs provide the basis for a broad, general class of bioresorbable electronics. Other properties of Si, such as its piezoresistivity and photovoltaic properties, allow other types of bioresorbable devices such as solar cells, strain gauges, pH sensors, and photodetectors. The most advanced bioresorbable devices now exist as complete systems with successful demonstrations of

  8. Selective etchant for oxide sacrificial material in semiconductor device fabrication

    DOEpatents

    Clews, Peggy J.; Mani, Seethambal S.

    2005-05-17

    An etching composition and method is disclosed for removing an oxide sacrificial material during manufacture of semiconductor devices including micromechanical, microelectromechanical or microfluidic devices. The etching composition and method are based on the combination of hydrofluoric acid (HF) and sulfuric acid (H.sub.2 SO.sub.4). These acids can be used in the ratio of 1:3 to 3:1 HF:H.sub.2 SO.sub.4 to remove all or part of the oxide sacrificial material while providing a high etch selectivity for non-oxide materials including polysilicon, silicon nitride and metals comprising aluminum. Both the HF and H.sub.2 SO.sub.4 can be provided as "semiconductor grade" acids in concentrations of generally 40-50% by weight HF, and at least 90% by weight H.sub.2 SO.sub.4.

  9. Electronegativity estimation of electronic polarizabilities of semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Keyan; Xue, Dongfeng, E-mail: dfxue@chem.dlut.edu.cn

    2010-03-15

    On the basis of the viewpoint of structure-property relationship in solid state matters, we proposed some useful relations to quantitatively calculate the electronic polarizabilities of binary and ternary chalcopyrite semiconductors, by using electronegativity and principal quantum number. The calculated electronic polarizabilities are in good agreement with reported values in the literature. Both electronegativity and principal quantum number can effectively reflect the detailed chemical bonding behaviors of constituent atoms in these semiconductors, which determines the magnitude of their electronic polarizabilities. The present work provides a useful guide to compositionally design novel semiconductor materials, and further explore advanced electro-optic devices.

  10. P and n-type microcrystalline semiconductor alloy material including band gap widening elements, devices utilizing same

    DOEpatents

    Guha, Subhendu; Ovshinsky, Stanford R.

    1988-10-04

    An n-type microcrystalline semiconductor alloy material including a band gap widening element; a method of fabricating p-type microcrystalline semiconductor alloy material including a band gap widening element; and electronic and photovoltaic devices incorporating said n-type and p-type materials.

  11. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Settens, Charles M.

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron criticalmore » dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.« less

  12. Method for depositing layers of high quality semiconductor material

    DOEpatents

    Guha, Subhendu; Yang, Chi C.

    2001-08-14

    Plasma deposition of substantially amorphous semiconductor materials is carried out under a set of deposition parameters which are selected so that the process operates near the amorphous/microcrystalline threshold. This threshold varies as a function of the thickness of the depositing semiconductor layer; and, deposition parameters, such as diluent gas concentrations, must be adjusted as a function of layer thickness. Also, this threshold varies as a function of the composition of the depositing layer, and in those instances where the layer composition is profiled throughout its thickness, deposition parameters must be adjusted accordingly so as to maintain the amorphous/microcrystalline threshold.

  13. Method of depositing wide bandgap amorphous semiconductor materials

    DOEpatents

    Ellis, Jr., Frank B.; Delahoy, Alan E.

    1987-09-29

    A method of depositing wide bandgap p type amorphous semiconductor materials on a substrate without photosensitization by the decomposition of one or more higher order gaseous silanes in the presence of a p-type catalytic dopant at a temperature of about 200.degree. C. and a pressure in the range from about 1-50 Torr.

  14. Rhombohedral cubic semiconductor materials on trigonal substrate with single crystal properties and devices based on such materials

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); Choi, Sang Hyouk (Inventor); King, Glen C. (Inventor); Elliott, James R. (Inventor)

    2012-01-01

    Growth conditions are developed, based on a temperature-dependent alignment model, to enable formation of cubic group IV, group II-V and group II-VI crystals in the [111] orientation on the basal (0001) plane of trigonal crystal substrates, controlled such that the volume percentage of primary twin crystal is reduced from about 40% to about 0.3%, compared to the majority single crystal. The control of stacking faults in this and other embodiments can yield single crystalline semiconductors based on these materials that are substantially without defects, or improved thermoelectric materials with twinned crystals for phonon scattering while maintaining electrical integrity. These methods can selectively yield a cubic-on-trigonal epitaxial semiconductor material in which the cubic layer is substantially either directly aligned, or 60 degrees-rotated from, the underlying trigonal material.

  15. New organic semiconductors with imide/amide-containing molecular systems.

    PubMed

    Liu, Zitong; Zhang, Guanxin; Cai, Zhengxu; Chen, Xin; Luo, Hewei; Li, Yonghai; Wang, Jianguo; Zhang, Deqing

    2014-10-29

    Due to their high electron affinities, chemical and thermal stabilities, π-conjugated molecules with imide/amide frameworks have received considerable attentions as promising candidates for high-performance optoelectronic materials, particularly for organic semiconductors with high carrier mobilities. The purpose of this Research News is to give an overview of recent advances in development of high performance imide/amide based organic semiconductors for field-effect transistors. It covers naphthalene diimide-, perylene diimide- and amide-based conjugated molecules and polymers for organic semiconductors. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Contributive research in compound semiconductor material and related devices

    NASA Astrophysics Data System (ADS)

    Twist, James R.

    1988-05-01

    The objective of this program was to provide the Electronic Device Branch (AFWAL/AADR) with the support needed to perform state of the art electronic device research. In the process of managing and performing on the project, UES has provided a wide variety of scientific and engineering talent who worked in-house for the Avionics Laboratory. These personnel worked on many different types of research programs from gas phase microwave driven lasers, CVD and MOCVD of electronic materials to Electronic Device Technology for new devices. The fields of research included MBE and theoretical research in this novel growth technique. Much of the work was slanted towards the rapidly developing technology of GaAs and the general thrust of the research that these tasks started has remained constant. This work was started because the Avionics Laboratory saw a chance to advance the knowledge and level of the current device technology by working in the compounds semiconductor field. UES is pleased to have had the opportunity to perform on this program and is looking forward to future efforts with the Avionics Laboratory.

  17. Methods of measurement for semiconductor materials, process control, and devices

    NASA Technical Reports Server (NTRS)

    Bullis, W. M. (Editor)

    1972-01-01

    Activities directed toward the development of methods of measurement for semiconductor materials, process control, and devices are described. Accomplishments include the determination of the reasons for differences in measurements of transistor delay time, identification of an energy level model for gold-doped silicon, and the finding of evidence that it does not appear to be necessary for an ultrasonic bonding tool to grip the wire and move it across the substrate metallization to make the bond. Work is continuing on measurement of resistivity of semiconductor crystals; study of gold-doped silicon; development of the infrared response technique; evaluation of wire bonds and die attachment; measurement of thermal properties of semiconductor devices, delay time, and related carrier transport properties in junction devices, and noise properties of microwave diodes; and characterization of silicon nuclear radiation detectors.

  18. Methods of Measurement for Semiconductor Materials, Process Control, and Devices

    NASA Technical Reports Server (NTRS)

    Bullis, W. M. (Editor)

    1973-01-01

    The development of methods of measurement for semiconductor materials, process control, and devices is reported. Significant accomplishments include: (1) Completion of an initial identification of the more important problems in process control for integrated circuit fabrication and assembly; (2) preparations for making silicon bulk resistivity wafer standards available to the industry; and (3) establishment of the relationship between carrier mobility and impurity density in silicon. Work is continuing on measurement of resistivity of semiconductor crystals; characterization of generation-recombination-trapping centers, including gold, in silicon; evaluation of wire bonds and die attachment; study of scanning electron microscopy for wafer inspection and test; measurement of thermal properties of semiconductor devices; determination of S-parameters and delay time in junction devices; and characterization of noise and conversion loss of microwave detector diodes.

  19. Controlling Molecular Doping in Organic Semiconductors.

    PubMed

    Jacobs, Ian E; Moulé, Adam J

    2017-11-01

    The field of organic electronics thrives on the hope of enabling low-cost, solution-processed electronic devices with mechanical, optoelectronic, and chemical properties not available from inorganic semiconductors. A key to the success of these aspirations is the ability to controllably dope organic semiconductors with high spatial resolution. Here, recent progress in molecular doping of organic semiconductors is summarized, with an emphasis on solution-processed p-type doped polymeric semiconductors. Highlighted topics include how solution-processing techniques can control the distribution, diffusion, and density of dopants within the organic semiconductor, and, in turn, affect the electronic properties of the material. Research in these areas has recently intensified, thanks to advances in chemical synthesis, improved understanding of charged states in organic materials, and a focus on relating fabrication techniques to morphology. Significant disorder in these systems, along with complex interactions between doping and film morphology, is often responsible for charge trapping and low doping efficiency. However, the strong coupling between doping, solubility, and morphology can be harnessed to control crystallinity, create doping gradients, and pattern polymers. These breakthroughs suggest a role for molecular doping not only in device function but also in fabrication-applications beyond those directly analogous to inorganic doping. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. EDITORIAL: The 24th Nordic Semiconductor Meeting The 24th Nordic Semiconductor Meeting

    NASA Astrophysics Data System (ADS)

    Páll Gunnlaugsson, Haraldur; Nylandsted Larsen, Arne; Uhrenfeldt, Christian

    2012-03-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a Topical Issue of Physica Scripta. All of the papers in this Topical Issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This 24th meeting of the Nordic Semiconductor community, NSM 2011, was held at Fuglsøcentret, close to Aarhus, Denmark, 19-22 June 2011. Support was provided by the Carlsberg Foundation, Danfysik and the semiconductor group at Aarhus University. Over 30 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The aim of the meeting was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. The 25th Nordic Semiconductor Meeting will be organized in June 2013 in Finland, chaired by Dr Filip Tuomisto, Aalto University. A Nordic Summer School on Semiconductor Science will be organized in connection with the conference (just before), chaired by Dr Jonatan Slotte, Aalto University. Information on these events can be found at physics.aalto.fi/nsm2013. List of participants Søren Vejling

  1. Metal Oxide Semi-Conductor Gas Sensors in Environmental Monitoring

    PubMed Central

    Fine, George F.; Cavanagh, Leon M.; Afonja, Ayo; Binions, Russell

    2010-01-01

    Metal oxide semiconductor gas sensors are utilised in a variety of different roles and industries. They are relatively inexpensive compared to other sensing technologies, robust, lightweight, long lasting and benefit from high material sensitivity and quick response times. They have been used extensively to measure and monitor trace amounts of environmentally important gases such as carbon monoxide and nitrogen dioxide. In this review the nature of the gas response and how it is fundamentally linked to surface structure is explored. Synthetic routes to metal oxide semiconductor gas sensors are also discussed and related to their affect on surface structure. An overview of important contributions and recent advances are discussed for the use of metal oxide semiconductor sensors for the detection of a variety of gases—CO, NOx, NH3 and the particularly challenging case of CO2. Finally a description of recent advances in work completed at University College London is presented including the use of selective zeolites layers, new perovskite type materials and an innovative chemical vapour deposition approach to film deposition. PMID:22219672

  2. Programme and Abstracts. Workshop on Expert Evaluation and Control of Compound Semiconductor Materials and Technologies (1st) Held in Ecole Centrale De Lyon, France on 19 -22 May 1992. (EXAMTEC’ 92)

    DTIC Science & Technology

    1992-05-22

    Evaluation and Control of Compound Semiconductor Materials and Technologies (EXMATEC󈨠) at Ecole Centrale de Lyon (Ecully, France, 19th to 22nd May...semiconductor technologies to manufacture advanced devices with improved reproducibility, better reliability and lower cost. -’Device structures...concepts are required for expert evaluation and control of still developing technologies . In this context, the EXMATEC series will constitute a major

  3. Ferroelectricity in Covalently functionalized Two-dimensional Materials: Integration of High-mobility Semiconductors and Nonvolatile Memory.

    PubMed

    Wu, Menghao; Dong, Shuai; Yao, Kailun; Liu, Junming; Zeng, Xiao Cheng

    2016-11-09

    Realization of ferroelectric semiconductors by conjoining ferroelectricity with semiconductors remains a challenging task because most present-day ferroelectric materials are unsuitable for such a combination due to their wide bandgaps. Herein, we show first-principles evidence toward the realization of a new class of two-dimensional (2D) ferroelectric semiconductors through covalent functionalization of many prevailing 2D materials. Members in this new class of 2D ferroelectric semiconductors include covalently functionalized germanene, and stanene (Nat. Commun. 2014, 5, 3389), as well as MoS 2 monolayer (Nat. Chem. 2015, 7, 45), covalent functionalization of the surface of bulk semiconductors such as silicon (111) (J. Phys. Chem. B 2006, 110 , 23898), and the substrates of oxides such as silica with self-assembly monolayers (Nano Lett. 2014, 14, 1354). The newly predicted 2D ferroelectric semiconductors possess high mobility, modest bandgaps, and distinct ferroelectricity that can be exploited for developing various heterostructural devices with desired functionalities. For example, we propose applications of the 2D materials as 2D ferroelectric field-effect transistors with ultrahigh on/off ratio, topological transistors with Dirac Fermions switchable between holes and electrons, ferroelectric junctions with ultrahigh electro-resistance, and multiferroic junctions for controlling spin by electric fields. All these heterostructural devices take advantage of the combination of high-mobility semiconductors with fast writing and nondestructive reading capability of nonvolatile memory, thereby holding great potential for the development of future multifunctional devices.

  4. Crystal Growth of ZnSe and Related Ternary Compound Semiconductors by Vapor Transport

    NASA Technical Reports Server (NTRS)

    Su, Ching-Hua; Burger, Arnold; Dudley, Michael; Matyi, Richard J.; Ramachandran, Narayanan; Sha, Yi-Gao; Volz, Martin; Shih, Hung-Dah

    1998-01-01

    Interest in optical devices which can operate in the visible spectrum has motivated research interest in the II-VI wide band gap semiconductor materials. The recent challenge for semiconductor opto-electronics is the development of a laser which can operate at short visible wavelengths, In the past several years, major advances in thin film technology such as molecular beam epitaxy and metal organic chemical vapor deposition have demonstrated the applicability of II-VI materials to important devices such as light-emitting diodes, lasers, and ultraviolet detectors.The demonstration of its optical bistable properties in bulk and thin film forms also make ZnSe a possible candidate material for the building blocks of a digital optical computer. Despite this, developments in the crystal growth of bulk II-VI semiconductor materials has not advanced far enough to provide the low price, high quality substrates needed for the thin film growth technology. The electrical and optical properties of semiconductor materials depend on the native point defects, (the deviation from stoichiometry), and the impurity or dopant distribution. To date, the bulk growth of ZnSe substrates has been plagued with problems related to defects such as non-uniform distributions of native defects, impurities and dopants, lattice strain, dislocations, grain boundaries, and second phase inclusions which greatly effect the device performance. In the bulk crystal growth of some technologically important semiconductors, such as ZnTe, CdS, ZnSe and ZnS, vapor growth techniques have significant advantages over melt growth techniques due to the high melting points of these materials.

  5. Hydrogen-bond Specific Materials Modification in Group IV Semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tolk, Norman H.; Feldman, L. C.; Luepke, G.

    Executive summary Semiconductor dielectric crystals consist of two fundamental components: lattice atoms and electrons. The former component provides a crystalline structure that can be disrupted by various defects or the presence of an interface, or by transient oscillations known as phonons. The latter component produces an energetic structure that is responsible for the optical and electronic properties of the material, and can be perturbed by lattice defects or by photo-excitation. Over the period of this project, August 15, 1999 to March 31, 2015, a persistent theme has been the elucidation of the fundamental role of defects arising from the presencemore » of radiation damage, impurities (in particular, hydrogen), localized strain or some combination of all three. As our research effort developed and evolved, we have experienced a few title changes, which reflected this evolution. Throughout the project, ultrafast lasers usually in a pump-probe configuration provided the ideal means to perturb and study semiconductor crystals by both forms of excitation, vibrational (phonon) and electronic (photon). Moreover, we have found in the course of this research that there are many interesting and relevant scientific questions that may be explored when phonon and photon excitations are controlled separately. Our early goals were to explore the dynamics of bond-selective vibrational excitation of hydrogen from point defects and impurities in crystalline and amorphous solids, initiating an investigation into the behavior of hydrogen isotopes utilizing a variety of ultrafast characterization techniques, principally transient bleaching spectroscopy to experimentally obtain vibrational lifetimes. The initiative could be divided into three related areas: (a) investigation of the change in electronic structure of solids due to the presence of hydrogen defect centers, (b) dynamical studies of hydrogen in materials and (c) characterization and stability of metastable hydrogen

  6. Variable temperature semiconductor film deposition

    DOEpatents

    Li, X.; Sheldon, P.

    1998-01-27

    A method of depositing a semiconductor material on a substrate is disclosed. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  7. Variable temperature semiconductor film deposition

    DOEpatents

    Li, Xiaonan; Sheldon, Peter

    1998-01-01

    A method of depositing a semiconductor material on a substrate. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  8. Electrically coupling complex oxides to semiconductors: A route to novel material functionalities

    DOE PAGES

    Ngai, J. H.; Ahmadi-Majlan, K.; Moghadam, J.; ...

    2017-01-12

    Complex oxides and semiconductors exhibit distinct yet complementary properties owing to their respective ionic and covalent natures. By electrically coupling complex oxides to traditional semiconductors within epitaxial heterostructures, enhanced or novel functionalities beyond those of the constituent materials can potentially be realized. Essential to electrically coupling complex oxides to semiconductors is control of the physical structure of the epitaxially grown oxide, as well as the electronic structure of the interface. In this paper, we discuss how composition of the perovskite A- and B-site cations can be manipulated to control the physical and electronic structure of semiconductor—complex oxide heterostructures. Two prototypicalmore » heterostructures, Ba 1-xSr xTiO 3/Ge and SrZr xTi 1-xO 3/Ge, will be discussed. In the case of Ba 1-xSr xTiO 3/Ge, we discuss how strain can be engineered through A-site composition to enable the re-orientable ferroelectric polarization of the former to be coupled to carriers in the semiconductor. In the case of SrZr xTi 1-xO 3/Ge we discuss how B-site composition can be exploited to control the band offset at the interface. Finally, analogous to heterojunctions between compound semiconducting materials, control of band offsets, i.e., band-gap engineering, provides a pathway to electrically couple complex oxides to semiconductors to realize a host of functionalities.« less

  9. Electrically coupling complex oxides to semiconductors: A route to novel material functionalities

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ngai, J. H.; Ahmadi-Majlan, K.; Moghadam, J.

    Complex oxides and semiconductors exhibit distinct yet complementary properties owing to their respective ionic and covalent natures. By electrically coupling complex oxides to traditional semiconductors within epitaxial heterostructures, enhanced or novel functionalities beyond those of the constituent materials can potentially be realized. Essential to electrically coupling complex oxides to semiconductors is control of the physical structure of the epitaxially grown oxide, as well as the electronic structure of the interface. In this paper, we discuss how composition of the perovskite A- and B-site cations can be manipulated to control the physical and electronic structure of semiconductor—complex oxide heterostructures. Two prototypicalmore » heterostructures, Ba 1-xSr xTiO 3/Ge and SrZr xTi 1-xO 3/Ge, will be discussed. In the case of Ba 1-xSr xTiO 3/Ge, we discuss how strain can be engineered through A-site composition to enable the re-orientable ferroelectric polarization of the former to be coupled to carriers in the semiconductor. In the case of SrZr xTi 1-xO 3/Ge we discuss how B-site composition can be exploited to control the band offset at the interface. Finally, analogous to heterojunctions between compound semiconducting materials, control of band offsets, i.e., band-gap engineering, provides a pathway to electrically couple complex oxides to semiconductors to realize a host of functionalities.« less

  10. The Physics of Semiconductors

    NASA Astrophysics Data System (ADS)

    Brennan, Kevin F.

    1999-02-01

    Modern fabrication techniques have made it possible to produce semiconductor devices whose dimensions are so small that quantum mechanical effects dominate their behavior. This book describes the key elements of quantum mechanics, statistical mechanics, and solid-state physics that are necessary in understanding these modern semiconductor devices. The author begins with a review of elementary quantum mechanics, and then describes more advanced topics, such as multiple quantum wells. He then disusses equilibrium and nonequilibrium statistical mechanics. Following this introduction, he provides a thorough treatment of solid-state physics, covering electron motion in periodic potentials, electron-phonon interaction, and recombination processes. The final four chapters deal exclusively with real devices, such as semiconductor lasers, photodiodes, flat panel displays, and MOSFETs. The book contains many homework exercises and is suitable as a textbook for electrical engineering, materials science, or physics students taking courses in solid-state device physics. It will also be a valuable reference for practicing engineers in optoelectronics and related areas.

  11. Hierarchial Junction Solar Cells Based on Hyper-Branched Semiconductor Nanocrystals

    DTIC Science & Technology

    2009-06-30

    Hyper-Branched Semiconductor Nanocrystals 4 2. Cu2S- CdS all-inorganic nanocrystal solar cells. We demonstrated the rational synthesis of... Hydrothermal Synthesis of Single Phase Pyrite FeS2 Nanocrystals. We demonstrated a single-source molecular precursor that can be used for the synthesis ... CdS Semiconductor Nanostructures,” Advanced Materials, (2008), 20(22), 4306. Y. Wu, C. Wadia, W. Ma, B. Sadtler, A. P. Alivisatos, “ Synthesis of

  12. Technological and organizational diversity and technical advance in the early history of the American semiconductor industry

    NASA Astrophysics Data System (ADS)

    Cohen, W.; Holbrook, D.; Klepper, S.

    1994-06-01

    This study examines the early years of the semiconductor industry and focuses on the roles played by different size firms in technologically innovative processes. A large and diverse pool of firms participated in the growth of the industry. Three related technological areas were chosen for in-depth analysis: integrated circuits, materials technology, and device packaging. Large business producing vacuum tubes dominated the early production of semiconductor devices. As the market for new devices grew during the 1950's, new firms were founded and existing firms from other industries, e.g. aircraft builders and instrument makers, began to pursue semiconductor electronics. Small firms began to cater to the emerging industry by supplying materials and equipment. These firms contributed to the development of certain aspects of one thousand firms that were playing some part in the semiconductor industry.

  13. Electron counting and a large family of two-dimensional semiconductors

    NASA Astrophysics Data System (ADS)

    Miao, Maosheng; Botana, Jorge; Zurek, Eva; Liu, Jingyao; Yang, Wen

    Two-dimensional semiconductors (2DSC) are currently the focus of many studies, thanks to their novel and superior transport properties that may greatly influence future electronic devices. The potential applications of 2DSCs range from low-dimensional electronics, topological insulators and vallytronics all the way to novel photolysis. However, compared with the conventional semiconductors that are comprised of main group elements and cover a large range of band gaps and lattice constants, the choice of 2D materials is very limited. In this work, we propose and demonstrate a large family of 2DSCs, all adopting the same structure and consisting of only main group elements. Using advanced density functional calculations, we demonstrate the attainability of these materials, and show that they cover a large range of lattice constants, band gaps and band edge states, making them good candidate materials for heterojunctions. This family of two dimensional materials may be instrumental in the fabrication of 2DSC devices that may rival the currently employed 3D semiconductors.

  14. Theoretical discovery of stable structures of group III-V monolayers: The materials for semiconductor devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suzuki, Tatsuo, E-mail: dr.tatsuosuzuki@gmail.com

    Group III-V compounds are very important as the materials of semiconductor devices. Stable structures of the monolayers of group III-V binary compounds have been discovered by using first-principles calculations. The primitive unit cell of the discovered structures is a rectangle, which includes four group-III atoms and four group-V atoms. A group-III atom and its three nearest-neighbor group-V atoms are placed on the same plane; however, these connections are not the sp{sup 2} hybridization. The bond angles around the group-V atoms are less than the bond angle of sp{sup 3} hybridization. The discovered structure of GaP is an indirect transition semiconductor,more » while the discovered structures of GaAs, InP, and InAs are direct transition semiconductors. Therefore, the discovered structures of these compounds have the potential of the materials for semiconductor devices, for example, water splitting photocatalysts. The discovered structures may become the most stable structures of monolayers which consist of other materials.« less

  15. Advanced development of double-injection, deep-impurity semiconductor switches

    NASA Technical Reports Server (NTRS)

    Hanes, M. H.

    1987-01-01

    Deep-impurity, double-injection devices, commonly refered to as (DI) squared devices, represent a class of semiconductor switches possessing a very high degree of tolerance to electron and neutron irradiation and to elevated temperature operation. These properties have caused them to be considered as attractive candidates for space power applications. The design, fabrication, and testing of several varieties of (DI) squared devices intended for power switching are described. All of these designs were based upon gold-doped silicon material. Test results, along with results of computer simulations of device operation, other calculations based upon the assumed mode of operation of (DI) squared devices, and empirical information regarding power semiconductor device operation and limitations, have led to the conculsion that these devices are not well suited to high-power applications. When operated in power circuitry configurations, they exhibit high-power losses in both the off-state and on-state modes. These losses are caused by phenomena inherent to the physics and material of the devices and cannot be much reduced by device design optimizations. The (DI) squared technology may, however, find application in low-power functions such as sensing, logic, and memory, when tolerance to radiation and temperature are desirable (especially is device performance is improved by incorporation of deep-level impurities other than gold.

  16. 75 FR 81643 - In the Matter of Certain Semiconductor Products Made by Advanced Lithography Techniques and...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-12-28

    ... Semiconductor Products Made by Advanced Lithography Techniques and Products Containing Same; Notice of... Mexico) (``STC''), alleging a violation of section 337 in the importation, sale for [[Page 81644

  17. Methods of measurement for semiconductor materials, process control, and devices

    NASA Technical Reports Server (NTRS)

    Bullis, W. M. (Editor)

    1973-01-01

    This progress report describes NBS activities directed toward the development of methods of measurement for semiconductor materials, process control, and devices. Significant accomplishments during this reporting period include design of a plan to provide standard silicon wafers for four-probe resistivity measurements for the industry, publication of a summary report on the photoconductive decay method for measuring carrier lifetime, publication of a comprehensive review of the field of wire bond fabrication and testing, and successful completion of organizational activity leading to the establishment of a new group on quality and hardness assurance in ASTM Committee F-1 on Electronics. Work is continuing on measurement of resistivity of semiconductor crystals; characterization of generation-recombination-trapping centers in silicon; study of gold-doped silicon; development of the infrared response technique; evaluation of wire bonds and die attachment; and measurement of thermal properties of semiconductor devices, delay time and related carrier transport properties in junction devices, and noise properties of microwave diodes.

  18. Power Electronic Semiconductor Materials for Automotive and Energy Saving Applications - SiC, GaN, Ga2O3, and Diamond.

    PubMed

    Wellmann, Peter J

    2017-11-17

    Power electronics belongs to the future key technologies in order to increase system efficiency as well as performance in automotive and energy saving applications. Silicon is the major material for electronic switches since decades. Advanced fabrication processes and sophisticated electronic device designs have optimized the silicon electronic device performance almost to their theoretical limit. Therefore, to increase the system performance, new materials that exhibit physical and chemical properties beyond silicon need to be explored. A number of wide bandgap semiconductors like silicon carbide, gallium nitride, gallium oxide, and diamond exhibit outstanding characteristics that may pave the way to new performance levels. The review will introduce these materials by (i) highlighting their properties, (ii) introducing the challenges in materials growth, and (iii) outlining limits that need innovation steps in materials processing to outperform current technologies.

  19. Advanced Ceramic Armor Materials

    DTIC Science & Technology

    1990-05-11

    materials, toughened alumina, fiber -reinforced glass matrix composites, and multilayer-gradient materials for ballistic testing. Fabrication and...material systems: Multilayer advanced armor materials consisting of a hard ceramic faceplate bonded to a graphite fiber -reinforced glass matrix...toughened alumina, and fiber - applied studies of advanced reinforced ceramic matrix glass and glass -ceramic composites for ballistic testing. technologies

  20. Advanced thermoelectric materials with enhanced crystal lattice structure and methods of preparation

    NASA Technical Reports Server (NTRS)

    Fleurial, Jean-Pierre (Inventor); Caillat, Thierry F. (Inventor); Borshchevsky, Alexander (Inventor)

    1998-01-01

    New skutterudite phases including Ru.sub.0.5 Pd.sub.0.5 Sb.sub.3, RuSb.sub.2 Te, and FeSb.sub.2 Te, have been prepared having desirable thermoelectric properties. In addition, a novel thermoelectric device has been prepared using skutterudite phase Fe.sub.0.5 Ni.sub.0.5 Sb.sub.3. The skutterudite-type crystal lattice structure of these semiconductor compounds and their enhanced thermoelectric properties results in semiconductor materials which may be used in the fabrication of thermoelectric elements to substantially improve the efficiency of the resulting thermoelectric device. Semiconductor materials having the desired skutterudite-type crystal lattice structure may be prepared in accordance with the present invention by using powder metallurgy techniques. Measurements of electrical and thermal transport properties of selected semiconductor materials prepared in accordance with the present invention, demonstrated high Hall mobilities and good Seebeck coefficients. These materials have low thermal conductivity and relatively low electrical resistivity, and are good candidates for low temperature thermoelectric applications.

  1. Advances in dental materials.

    PubMed

    Fleming, Garry J P

    2014-05-01

    The dental market is replete with new resorative materials marketed on the basis of novel technological advances in materials chemistry, bonding capability or reduced operator time and/or technique sensitivity. This paper aims to consider advances in current materials, with an emphasis on their role in supporting contemporary clinical practice.

  2. Method of passivating semiconductor surfaces

    DOEpatents

    Wanlass, Mark W.

    1990-01-01

    A method of passivating Group III-V or II-VI semiconductor compound surfaces. The method includes selecting a passivating material having a lattice constant substantially mismatched to the lattice constant of the semiconductor compound. The passivating material is then grown as an ultrathin layer of passivating material on the surface of the Group III-V or II-VI semiconductor compound. The passivating material is grown to a thickness sufficient to maintain a coherent interface between the ultrathin passivating material and the semiconductor compound. In addition, a device formed from such method is also disclosed.

  3. Semiconductor structure and recess formation etch technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching processmore » stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.« less

  4. Strain-based control of crystal anisotropy for perovskite oxides on semiconductor-based material

    DOEpatents

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A crystalline structure and a semiconductor device includes a substrate of a semiconductor-based material and a thin film of an anisotropic crystalline material epitaxially arranged upon the surface of the substrate so that the thin film couples to the underlying substrate and so that the geometries of substantially all of the unit cells of the thin film are arranged in a predisposed orientation relative to the substrate surface. The predisposition of the geometries of the unit cells of the thin film is responsible for a predisposed orientation of a directional-dependent quality, such as the dipole moment, of the unit cells. The predisposed orientation of the unit cell geometries are influenced by either a stressed or strained condition of the lattice at the interface between the thin film material and the substrate surface.

  5. Oxide semiconductor thin-film transistors: a review of recent advances.

    PubMed

    Fortunato, E; Barquinha, P; Martins, R

    2012-06-12

    Transparent electronics is today one of the most advanced topics for a wide range of device applications. The key components are wide bandgap semiconductors, where oxides of different origins play an important role, not only as passive component but also as active component, similar to what is observed in conventional semiconductors like silicon. Transparent electronics has gained special attention during the last few years and is today established as one of the most promising technologies for leading the next generation of flat panel display due to its excellent electronic performance. In this paper the recent progress in n- and p-type oxide based thin-film transistors (TFT) is reviewed, with special emphasis on solution-processed and p-type, and the major milestones already achieved with this emerging and very promising technology are summarizeed. After a short introduction where the main advantages of these semiconductors are presented, as well as the industry expectations, the beautiful history of TFTs is revisited, including the main landmarks in the last 80 years, finishing by referring to some papers that have played an important role in shaping transparent electronics. Then, an overview is presented of state of the art n-type TFTs processed by physical vapour deposition methods, and finally one of the most exciting, promising, and low cost but powerful technologies is discussed: solution-processed oxide TFTs. Moreover, a more detailed focus analysis will be given concerning p-type oxide TFTs, mainly centred on two of the most promising semiconductor candidates: copper oxide and tin oxide. The most recent data related to the production of complementary metal oxide semiconductor (CMOS) devices based on n- and p-type oxide TFT is also be presented. The last topic of this review is devoted to some emerging applications, finalizing with the main conclusions. Related work that originated at CENIMAT|I3N during the last six years is included in more detail, which

  6. Direct observation of triplet energy transfer from semiconductor nanocrystals.

    PubMed

    Mongin, Cédric; Garakyaraghi, Sofia; Razgoniaeva, Natalia; Zamkov, Mikhail; Castellano, Felix N

    2016-01-22

    Triplet excitons are pervasive in both organic and inorganic semiconductors but generally remain confined to the material in which they originate. We demonstrated by transient absorption spectroscopy that cadmium selenide semiconductor nanoparticles, selectively excited by green light, engage in interfacial Dexter-like triplet-triplet energy transfer with surface-anchored polyaromatic carboxylic acid acceptors, extending the excited-state lifetime by six orders of magnitude. Net triplet energy transfer also occurs from surface acceptors to freely diffusing molecular solutes, further extending the lifetime while sensitizing singlet oxygen in an aerated solution. The successful translation of triplet excitons from semiconductor nanoparticles to the bulk solution implies that such materials are generally effective surrogates for molecular triplets. The nanoparticles could thereby potentially sensitize a range of chemical transformations that are relevant for fields as diverse as optoelectronics, solar energy conversion, and photobiology. Copyright © 2016, American Association for the Advancement of Science.

  7. Conjugated polymers/semiconductor nanocrystals hybrid materials--preparation, electrical transport properties and applications.

    PubMed

    Reiss, Peter; Couderc, Elsa; De Girolamo, Julia; Pron, Adam

    2011-02-01

    This critical review discusses specific preparation and characterization methods applied to hybrid materials consisting of π-conjugated polymers (or oligomers) and semiconductor nanocrystals. These materials are of great importance in the quickly growing field of hybrid organic/inorganic electronics since they can serve as active components of photovoltaic cells, light emitting diodes, photodetectors and other devices. The electronic energy levels of the organic and inorganic components of the hybrid can be tuned individually and thin hybrid films can be processed using low cost solution based techniques. However, the interface between the hybrid components and the morphology of the hybrid directly influences the generation, separation and transport of charge carriers and those parameters are not easy to control. Therefore a large variety of different approaches for assembling the building blocks--conjugated polymers and semiconductor nanocrystals--has been developed. They range from their simple blending through various grafting procedures to methods exploiting specific non-covalent interactions between both components, induced by their tailor-made functionalization. In the first part of this review, we discuss the preparation of the building blocks (nanocrystals and polymers) and the strategies for their assembly into hybrid materials' thin films. In the second part, we focus on the charge carriers' generation and their transport within the hybrids. Finally, we summarize the performances of solar cells using conjugated polymer/semiconductor nanocrystals hybrids and give perspectives for future developments.

  8. Printing, folding and assembly methods for forming 3D mesostructures in advanced materials

    NASA Astrophysics Data System (ADS)

    Zhang, Yihui; Zhang, Fan; Yan, Zheng; Ma, Qiang; Li, Xiuling; Huang, Yonggang; Rogers, John A.

    2017-03-01

    A rapidly expanding area of research in materials science involves the development of routes to complex 3D structures with feature sizes in the mesoscopic range (that is, between tens of nanometres and hundreds of micrometres). A goal is to establish methods for controlling the properties of materials systems and the function of devices constructed with them, not only through chemistry and morphology, but also through 3D architectures. The resulting systems, sometimes referred to as metamaterials, offer engineered behaviours with optical, thermal, acoustic, mechanical and electronic properties that do not occur in the natural world. Impressive advances in 3D printing techniques represent some of the most broadly recognized developments in this field, but recent successes with strategies based on concepts in origami, kirigami and deterministic assembly provide additional, unique options in 3D design and high-performance materials. In this Review, we highlight the latest progress and trends in methods for fabricating 3D mesostructures, beginning with the development of advanced material inks for nozzle-based approaches to 3D printing and new schemes for 3D optical patterning. In subsequent sections, we summarize more recent methods based on folding, rolling and mechanical assembly, including their application with materials such as designer hydrogels, monocrystalline inorganic semiconductors and graphene.

  9. Method of passivating semiconductor surfaces

    DOEpatents

    Wanlass, M.W.

    1990-06-19

    A method is described for passivating Group III-V or II-VI semiconductor compound surfaces. The method includes selecting a passivating material having a lattice constant substantially mismatched to the lattice constant of the semiconductor compound. The passivating material is then grown as an ultrathin layer of passivating material on the surface of the Group III-V or II-VI semiconductor compound. The passivating material is grown to a thickness sufficient to maintain a coherent interface between the ultrathin passivating material and the semiconductor compound. In addition, a device formed from such method is also disclosed.

  10. Semiconductor sensors

    NASA Technical Reports Server (NTRS)

    Gatos, Harry C. (Inventor); Lagowski, Jacek (Inventor)

    1977-01-01

    A semiconductor sensor adapted to detect with a high degree of sensitivity small magnitudes of a mechanical force, presence of traces of a gas or light. The sensor includes a high energy gap (i.e., .about. 1.0 electron volts) semiconductor wafer. Mechanical force is measured by employing a non-centrosymmetric material for the semiconductor. Distortion of the semiconductor by the force creates a contact potential difference (cpd) at the semiconductor surface, and this cpd is determined to give a measure of the force. When such a semiconductor is subjected to illumination with an energy less than the energy gap of the semiconductors, such illumination also creates a cpd at the surface. Detection of this cpd is employed to sense the illumination itself or, in a variation of the system, to detect a gas. When either a gas or light is to be detected and a crystal of a non-centrosymmetric material is employed, the presence of gas or light, in appropriate circumstances, results in a strain within the crystal which distorts the same and the distortion provides a mechanism for qualitative and quantitative evaluation of the gas or the light, as the case may be.

  11. Semiconductor bridge (SCB) detonator

    DOEpatents

    Bickes, Jr., Robert W.; Grubelich, Mark C.

    1999-01-01

    The present invention is a low-energy detonator for high-density secondary-explosive materials initiated by a semiconductor bridge igniter that comprises a pair of electrically conductive lands connected by a semiconductor bridge. The semiconductor bridge is in operational or direct contact with the explosive material, whereby current flowing through the semiconductor bridge causes initiation of the explosive material. Header wires connected to the electrically-conductive lands and electrical feed-throughs of the header posts of explosive devices, are substantially coaxial to the direction of current flow through the SCB, i.e., substantially coaxial to the SCB length.

  12. Semiconductor bridge (SCB) detonator

    DOEpatents

    Bickes, R.W. Jr.; Grubelich, M.C.

    1999-01-19

    The present invention is a low-energy detonator for high-density secondary-explosive materials initiated by a semiconductor bridge (SCB) igniter that comprises a pair of electrically conductive lands connected by a semiconductor bridge. The semiconductor bridge is in operational or direct contact with the explosive material, whereby current flowing through the semiconductor bridge causes initiation of the explosive material. Header wires connected to the electrically-conductive lands and electrical feed-throughs of the header posts of explosive devices, are substantially coaxial to the direction of current flow through the SCB, i.e., substantially coaxial to the SCB length. 3 figs.

  13. ZnCdMgSe as a Materials Platform for Advanced Photonic Devices: Broadband Quantum Cascade Detectors and Green Semiconductor Disk Lasers

    NASA Astrophysics Data System (ADS)

    De Jesus, Joel

    The ZnCdMgSe family of II-VI materials has unique and promising characteristics that may be useful in practical applications. For example they can be grown lattice matched to InP substrates with lattice matched bandgaps that span from 2.1 to 3.5 eV, they can be successfully doped n-type, have a large conduction band offset (CBO) with no intervalley scattering present when strained, they have lower average phonon energies, and the InP lattice constant lies in the middle of the ZnSe and CdSe binaries compounds giving room to experiment with tensile and compressive stress. However they have not been studied in detail for use in practical devices. Here we have identified two types of devices that are being currently developed that benefit from the ZnCdMgSe-based material properties. These are the intersubband (ISB) quantum cascade (QC) detectors and optically pumped semiconductor lasers that emit in the visible range. The paucity for semiconductor lasers operating in the green-orange portion of the visible spectrum can be easily overcome with the ZnCdMgSe materials system developed in our research. The non-strain limited, large CBO available allows to expand the operating wavelength of ISB devices providing shorter and longer wavelengths than the currently commercially available devices. This property can also be exploited to develop broadband room temperature operation ISB detectors. The work presented here focused first on using the ZnCdMgSe-based material properties and parameter to understand and predict the interband and intersubband transitions of its heterostructures. We did this by studying an active region of a QC device by contactless electroreflectance, photoluminescence, FTIR transmittance and correlating the measurements to the quantum well structure by transfer matrix modeling. Then we worked on optimizing the ZnCdMgSe material heterostructures quality by studying the effects of growth interruptions on their optical and optoelectronic properties of

  14. EDITORIAL: Semiconductor nanotechnology: novel materials and devices for electronics, photonics and renewable energy applications Semiconductor nanotechnology: novel materials and devices for electronics, photonics and renewable energy applications

    NASA Astrophysics Data System (ADS)

    Goodnick, Stephen; Korkin, Anatoli; Krstic, Predrag; Mascher, Peter; Preston, John; Zaslavsky, Alex

    2010-04-01

    Electronic and photonic information technology and renewable energy alternatives, such as solar energy, fuel cells and batteries, have now reached an advanced stage in their development. Cost-effective improvements to current technological approaches have made great progress, but certain challenges remain. As feature sizes of the latest generations of electronic devices are approaching atomic dimensions, circuit speeds are now being limited by interconnect bottlenecks. This has prompted innovations such as the introduction of new materials into microelectronics manufacturing at an unprecedented rate and alternative technologies to silicon CMOS architectures. Despite the environmental impact of conventional fossil fuel consumption, the low cost of these energy sources has been a long-standing economic barrier to the development of alternative and more efficient renewable energy sources, fuel cells and batteries. In the face of mounting environmental concerns, interest in such alternative energy sources has grown. It is now widely accepted that nanotechnology offers potential solutions for securing future progress in information and energy technologies. The Canadian Semiconductor Technology Conference (CSTC) forum was established 25 years ago in Ottawa as an important symbol of the intrinsic strength of the Canadian semiconductor research and development community, and the Canadian semiconductor industry as a whole. In 2007, the 13th CSTC was held in Montreal, moving for the first time outside the national capital region. The first three meetings in the series of 'Nano and Giga Challenges in Electronics and Photonics'— NGCM2002 in Moscow, NGCM2004 in Krakow, and NGC2007 in Phoenix— were focused on interdisciplinary research from the fundamentals of materials science to the development of new system architectures. In 2009 NGC2009 and the 14th Canadian Semiconductor Technology Conference (CSTC2009) were held as a joint event, hosted by McMaster University (10

  15. Method of preparing nitrogen containing semiconductor material

    DOEpatents

    Barber, Greg D.; Kurtz, Sarah R.

    2004-09-07

    A method of combining group III elements with group V elements that incorporates at least nitrogen from a nitrogen halide for use in semiconductors and in particular semiconductors in photovoltaic cells.

  16. Power Electronic Semiconductor Materials for Automotive and Energy Saving Applications – SiC, GaN, Ga2O3, and Diamond

    PubMed Central

    2017-01-01

    Power electronics belongs to the future key technologies in order to increase system efficiency as well as performance in automotive and energy saving applications. Silicon is the major material for electronic switches since decades. Advanced fabrication processes and sophisticated electronic device designs have optimized the silicon electronic device performance almost to their theoretical limit. Therefore, to increase the system performance, new materials that exhibit physical and chemical properties beyond silicon need to be explored. A number of wide bandgap semiconductors like silicon carbide, gallium nitride, gallium oxide, and diamond exhibit outstanding characteristics that may pave the way to new performance levels. The review will introduce these materials by (i) highlighting their properties, (ii) introducing the challenges in materials growth, and (iii) outlining limits that need innovation steps in materials processing to outperform current technologies. PMID:29200530

  17. Defect Characterization, Imaging, and Control in Wide-Bandgap Semiconductors and Devices

    NASA Astrophysics Data System (ADS)

    Brillson, L. J.; Foster, G. M.; Cox, J.; Ruane, W. T.; Jarjour, A. B.; Gao, H.; von Wenckstern, H.; Grundmann, M.; Wang, B.; Look, D. C.; Hyland, A.; Allen, M. W.

    2018-03-01

    Wide-bandgap semiconductors are now leading the way to new physical phenomena and device applications at nanoscale dimensions. The impact of defects on the electronic properties of these materials increases as their size decreases, motivating new techniques to characterize and begin to control these electronic states. Leading these advances have been the semiconductors ZnO, GaN, and related materials. This paper highlights the importance of native point defects in these semiconductors and describes how a complement of spatially localized surface science and spectroscopy techniques in three dimensions can characterize, image, and begin to control these electronic states at the nanoscale. A combination of characterization techniques including depth-resolved cathodoluminescence spectroscopy, surface photovoltage spectroscopy, and hyperspectral imaging can describe the nature and distribution of defects at interfaces at both bulk and nanoscale surfaces, their metal interfaces, and inside nanostructures themselves. These features as well as temperature and mechanical strain inside wide-bandgap device structures at the nanoscale can be measured even while these devices are operating. These advanced capabilities enable several new directions for describing defects at the nanoscale, showing how they contribute to device degradation, and guiding growth processes to control them.

  18. Advanced Gradient Heating Facility

    NASA Technical Reports Server (NTRS)

    2004-01-01

    The Advanced Gradient Heating Facility (AGHF) is a European Space Agency (ESA) developed hardware. The AGHF was flown on STS-78, which featured four European PI's and two NASA PI's. The AGHFsupports the production of advanced semiconductor materials and alloys using the directional process, which depends on establishing a hot side and a cold side in the sample.

  19. Growth of Wide Band Gap II-VI Compound Semiconductors by Physical Vapor Transport

    NASA Technical Reports Server (NTRS)

    Su, Ching-Hua; Sha, Yi-Gao

    1995-01-01

    The studies on the crystal growth and characterization of II-VI wide band gap compound semiconductors, such as ZnTe, CdS, ZnSe and ZnS, have been conducted over the past three decades. The research was not quite as extensive as that on Si, III-V, or even narrow band gap II-VI semiconductors because of the high melting temperatures as well as the specialized applications associated with these wide band gap semiconductors. In the past several years, major advances in the thin film technology such as Molecular Beam Epitaxy (MBE) and Metal Organic Chemical Vapor Deposition (MOCVD) have demonstrated the applications of these materials for the important devices such as light-emitting diode, laser and ultraviolet detectors and the tunability of energy band gap by employing ternary or even quaternary systems of these compounds. At the same time, the development in the crystal growth of bulk materials has not advanced far enough to provide low price, high quality substrates needed for the thin film growth technology.

  20. Prediction and theoretical characterization of p-type organic semiconductor crystals for field-effect transistor applications.

    PubMed

    Atahan-Evrenk, Sule; Aspuru-Guzik, Alán

    2014-01-01

    The theoretical prediction and characterization of the solid-state structure of organic semiconductors has tremendous potential for the discovery of new high performance materials. To date, the theoretical analysis mostly relied on the availability of crystal structures obtained through X-ray diffraction. However, the theoretical prediction of the crystal structures of organic semiconductor molecules remains a challenge. This review highlights some of the recent advances in the determination of structure-property relationships of the known organic semiconductor single-crystals and summarizes a few available studies on the prediction of the crystal structures of p-type organic semiconductors for transistor applications.

  1. Method of doping a semiconductor

    DOEpatents

    Yang, Chiang Y.; Rapp, Robert A.

    1983-01-01

    A method for doping semiconductor material. An interface is established between a solid electrolyte and a semiconductor to be doped. The electrolyte is chosen to be an ionic conductor of the selected impurity and the semiconductor material and electrolyte are jointly chosen so that any compound formed from the impurity and the semiconductor will have a free energy no lower than the electrolyte. A potential is then established across the interface so as to allow the impurity ions to diffuse into the semiconductor. In one embodiment the semiconductor and electrolyte may be heated so as to increase the diffusion coefficient.

  2. III-V aresenide-nitride semiconductor materials and devices

    NASA Technical Reports Server (NTRS)

    Major, Jo S. (Inventor); Welch, David F. (Inventor); Scifres, Donald R. (Inventor)

    1997-01-01

    III-V arsenide-nitride semiconductor crystals, methods for producing such crystals and devices employing such crystals. Group III elements are combined with group V elements, including at least nitrogen and arsenic, in concentrations chosen to lattice match commercially available crystalline substrates. Epitaxial growth of these III-V crystals results in direct bandgap materials, which can be used in applications such as light emitting diodes and lasers. Varying the concentrations of the elements in the III-V crystals varies the bandgaps, such that materials emitting light spanning the visible spectra, as well as mid-IR and near-UV emitters, can be created. Conversely, such material can be used to create devices that acquire light and convert the light to electricity, for applications such as full color photodetectors and solar energy collectors. The growth of the III-V crystals can be accomplished by growing thin layers of elements or compounds in sequences that result in the overall lattice match and bandgap desired.

  3. Semiconductor Nanotechnology: Novel Materials and Devices for Electronics, Photonics, and Renewable Energy Applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goodnick, Stephen; Korkin, Anatoli; Krstic, Predrag S

    2010-03-01

    Electronic and photonic information technology and renewable energy alternatives, such as solar energy, fuel cells and batteries, have now reached an advanced stage in their development. Cost-effective improvements to current technological approaches have made great progress, but certain challenges remain. As feature sizes of the latest generations of electronic devices are approaching atomic dimensions, circuit speeds are now being limited by interconnect bottlenecks. This has prompted innovations such as the introduction of new materials into microelectronics manufacturing at an unprecedented rate and alternative technologies to silicon CMOS architectures. Despite the environmental impact of conventional fossil fuel consumption, the low costmore » of these energy sources has been a long-standing economic barrier to the development of alternative and more efficient renewable energy sources, fuel cells and batteries. In the face of mounting environmental concerns, interest in such alternative energy sources has grown. It is now widely accepted that nanotechnology offers potential solutions for securing future progress in information and energy technologies. The Canadian Semiconductor Technology Conference (CSTC) forum was established 25 years ago in Ottawa as an important symbol of the intrinsic strength of the Canadian semiconductor research and development community, and the Canadian semiconductor industry as a whole. In 2007, the 13th CSTC was held in Montreal, moving for the first time outside the national capital region. The first three meetings in the series of Nano and Giga Challenges in Electronics and Photonics NGCM2002 in Moscow, NGCM2004 in Krakow, and NGC2007 in Phoenix were focused on interdisciplinary research from the fundamentals of materials science to the development of new system architectures. In 2009 NGC2009 and the 14th Canadian Semiconductor Technology Conference (CSTC2009) were held as a joint event, hosted by McMaster University (10 14

  4. System for characterizing semiconductor materials and photovoltaic devices through calibration

    DOEpatents

    Sopori, Bhushan L.; Allen, Larry C.; Marshall, Craig; Murphy, Robert C.; Marshall, Todd

    1998-01-01

    A method and apparatus for measuring characteristics of a piece of material, typically semiconductor materials including photovoltaic devices. The characteristics may include dislocation defect density, grain boundaries, reflectance, external LBIC, internal LBIC, and minority carrier diffusion length. The apparatus includes a light source, an integrating sphere, and a detector communicating with a computer. The measurement or calculation of the characteristics is calibrated to provide accurate, absolute values. The calibration is performed by substituting a standard sample for the piece of material, the sample having a known quantity of one or more of the relevant characteristics. The quantity measured by the system of the relevant characteristic is compared to the known quantity and a calibration constant is created thereby.

  5. Reducing leakage current in semiconductor devices

    DOEpatents

    Lu, Bin; Matioli, Elison de Nazareth; Palacios, Tomas Apostol

    2018-03-06

    A semiconductor device includes a first region having a first semiconductor material and a second region having a second semiconductor material. The second region is formed over the first region. The semiconductor device also includes a current blocking structure formed in the first region between first and second terminals of the semiconductor device. The current blocking structure is configured to reduce current flow in the first region between the first and second terminals.

  6. Static sublimation purification process and characterization of LiZnAs semiconductor material

    NASA Astrophysics Data System (ADS)

    Montag, Benjamin W.; Reichenberger, Michael A.; Edwards, Nathaniel S.; Ugorowski, Philip B.; Sunder, Madhana; Weeks, Joseph; McGregor, Douglas S.

    2016-03-01

    Refinement of the class AIBIICV materials continue as a candidate for solid-state neutron detectors. Such a device would have greater efficiency, in a compact form, than present day gas-filled 3He and 10BF3 detectors. The 6Li(n,t)4He reaction yields a total Q value of 4.78 MeV, larger than 10B, and easily identified above background radiations. Hence, devices composed of either natural Li (nominally 7.5% 6Li) or enriched 6Li (usually 95% 6Li) may provide a semiconductor material for compact high efficiency neutron detectors. A sub-branch of the III-V semiconductors, the filled tetrahedral compounds, AIBIICV, known as Nowotny-Juza compounds, are known for their desirable cubic crystal structure. Starting material was synthesized by equimolar portions of Li, Zn, and As sealed under vacuum (10-6 Torr) in quartz ampoules with a boron nitride lining, and reacted in a compounding furnace [1]. The synthesized material showed signs of high impurity levels from material and electrical property characterization. In the present work, a static vacuum sublimation of synthesized LiZnAs loaded in a quartz vessel was performed to help purify the synthesized material. The chemical composition of the sublimed material and remains material was confirmed by Inductively Coupled Plasma Optical Emission Spectroscopy (ICP-OES). Lithium was not detected in the sublimed material, however, near stoichiometric amounts of each constituent element were found in the remains material for LiZnAs. X-ray diffraction phase identification scans of the remains material and sublimed material were compared, and further indicated the impurity materials were removed from the synthesized materials. The remaining powder post the sublimation process showed characteristics of a higher purity ternary compound.

  7. Semiconductors: Still a Wide Open Frontier for Scientists/Engineers

    NASA Astrophysics Data System (ADS)

    Seiler, David G.

    1997-10-01

    A 1995 Business Week article described several features of the explosive use of semiconductor chips today: ``Booming'' personal computer markets are driving high demand for microprocessors and memory chips; (2) New information superhighway markets will `ignite' sales of multimedia and communication chips; and (3) Demand for digital-signal-processing and data-compression chips, which speed up video and graphics, is `red hot.' A Washington Post article by Stan Hinden said that technology is creating an unstoppable demand for electronic elements. This ``digital pervasiveness'' means that a semiconductor chip is going into almost every high-tech product that people buy - cars, televisions, video recorders, telephones, radios, alarm clocks, coffee pots, etc. ``Semiconductors are everywhere.'' Silicon and compound semiconductors are absolutely essential and are pervasive enablers for DoD operations and systems. DoD's Critical Technologies Plan of 1991 says that ``Semiconductor materials and microelectronics are critically important and appropriately lead the list of critical defense technologies.'' These trends continue unabated. This talk describes some of the frontiers of semiconductors today and shows how scientists and engineers can effectively contribute to its advancement. Cooperative, multidisciplinary efforts are increasing. Specific examples will be given for scanning capacitance microscopy and thin-film metrology.

  8. Methods for forming group III-arsenide-nitride semiconductor materials

    NASA Technical Reports Server (NTRS)

    Major, Jo S. (Inventor); Welch, David F. (Inventor); Scifres, Donald R. (Inventor)

    2002-01-01

    Methods are disclosed for forming Group III-arsenide-nitride semiconductor materials. Group III elements are combined with group V elements, including at least nitrogen and arsenic, in concentrations chosen to lattice match commercially available crystalline substrates. Epitaxial growth of these III-V crystals results in direct bandgap materials, which can be used in applications such as light emitting diodes and lasers. Varying the concentrations of the elements in the III-V crystals varies the bandgaps, such that materials emitting light spanning the visible spectra, as well as mid-IR and near-UV emitters, can be created. Conversely, such material can be used to create devices that acquire light and convert the light to electricity, for applications such as full color photodetectors and solar energy collectors. The growth of the III-V crystals can be accomplished by growing thin layers of elements or compounds in sequences that result in the overall lattice match and bandgap desired.

  9. Architectures for Improved Organic Semiconductor Devices

    NASA Astrophysics Data System (ADS)

    Beck, Jonathan H.

    Advancements in the microelectronics industry have brought increasing performance and decreasing prices to a wide range of users. Conventional silicon-based electronics have followed Moore's law to provide an ever-increasing integrated circuit transistor density, which drives processing power, solid-state memory density, and sensor technologies. As shrinking conventional integrated circuits became more challenging, researchers began exploring electronics with the potential to penetrate new applications with a low price of entry: "Electronics everywhere." The new generation of electronics is thin, light, flexible, and inexpensive. Organic electronics are part of the new generation of thin-film electronics, relying on the synthetic flexibility of carbon molecules to create organic semiconductors, absorbers, and emitters which perform useful tasks. Organic electronics can be fabricated with low energy input on a variety of novel substrates, including inexpensive plastic sheets. The potential ease of synthesis and fabrication of organic-based devices means that organic electronics can be made at very low cost. Successfully demonstrated organic semiconductor devices include photovoltaics, photodetectors, transistors, and light emitting diodes. Several challenges that face organic semiconductor devices are low performance relative to conventional devices, long-term device stability, and development of new organic-compatible processes and materials. While the absorption and emission performance of organic materials in photovoltaics and light emitting diodes is extraordinarily high for thin films, the charge conduction mobilities are generally low. Building highly efficient devices with low-mobility materials is one challenge. Many organic semiconductor films are unstable during fabrication, storage, and operation due to reactions with water, oxygen and hydroxide. A final challenge facing organic electronics is the need for new processes and materials for electrodes

  10. Computational evidence for stable inorganic fullerene-like structures of ceramic and semiconductor materials

    NASA Astrophysics Data System (ADS)

    Chang, Ch; Patzer, A. B. C.; Sedlmayr, E.; Steinke, T.; Sülzle, D.

    2001-12-01

    Theoretical electronic structure techniques have become an indispensible and powerful means for predicting molecular properties and designing new materials. Based on a density functional approach and guided by geometric considerations we provide evidence for some specific inorganic fullerene-like cage molecules of ceramic and semiconductor materials which exhibit high energetic stability and point group symmetry as well as nearly perfect spherical shape.

  11. Advanced crystal growth techniques for thallium bromide semiconductor radiation detectors

    NASA Astrophysics Data System (ADS)

    Datta, Amlan; Becla, Piotr; Guguschev, Christo; Motakef, Shariar

    2018-02-01

    Thallium Bromide (TlBr) is a promising room-temperature radiation detector candidate with excellent charge transport properties. Currently, Travelling Molten Zone (TMZ) technique is widely used for growth of semiconductor-grade TlBr crystals. However, there are several challenges associated with this type of crystal growth process including lower yield, high thermal stress, and low crystal uniformity. To overcome these shortcomings of the current technique, several different crystal growth techniques have been implemented in this study. These include: Vertical Bridgman (VB), Physical Vapor Transport (PVT), Edge-defined Film-fed Growth (EFG), and Czochralski Growth (Cz). Techniques based on melt pulling (EFG and Cz) were demonstrated for the first time for semiconductor grade TlBr material. The viability of each process along with the associated challenges for TlBr growth has been discussed. The purity of the TlBr crystals along with its crystalline and electronic properties were analyzed and correlated with the growth techniques. Uncorrected 662 keV energy resolutions around 2% were obtained from 5 mm x 5 mm x 10 mm TlBr devices with virtual Frisch-grid configuration.

  12. System for characterizing semiconductor materials and photovoltaic devices through calibration

    DOEpatents

    Sopori, B.L.; Allen, L.C.; Marshall, C.; Murphy, R.C.; Marshall, T.

    1998-05-26

    A method and apparatus are disclosed for measuring characteristics of a piece of material, typically semiconductor materials including photovoltaic devices. The characteristics may include dislocation defect density, grain boundaries, reflectance, external LBIC, internal LBIC, and minority carrier diffusion length. The apparatus includes a light source, an integrating sphere, and a detector communicating with a computer. The measurement or calculation of the characteristics is calibrated to provide accurate, absolute values. The calibration is performed by substituting a standard sample for the piece of material, the sample having a known quantity of one or more of the relevant characteristics. The quantity measured by the system of the relevant characteristic is compared to the known quantity and a calibration constant is created thereby. 44 figs.

  13. Methods for the additive manufacturing of semiconductor and crystal materials

    DOEpatents

    Stowe, Ashley C.; Speight, Douglas

    2016-11-22

    A method for the additive manufacturing of inorganic crystalline materials, including: physically combining a plurality of starting materials that are used to form an inorganic crystalline compound to be used as one or more of a semiconductor, scintillator, laser crystal, and optical filter; heating or melting successive regions of the combined starting materials using a directed heat source having a predetermined energy characteristic, thereby facilitating the reaction of the combined starting materials; and allowing each region of the combined starting materials to cool in a controlled manner, such that the desired inorganic crystalline compound results. The method also includes, prior to heating or melting the successive regions of the combined starting materials using the directed heat source, heating the combined starting materials to facilitate initial reaction of the combined starting materials. The method further includes translating the combined starting materials and/or the directed heat source between successive locations. The method still further includes controlling the mechanical, electrical, photonic, and/or optical properties of the inorganic crystalline compound.

  14. High Power Mid Wave Infrared Semiconductor Lasers

    DTIC Science & Technology

    2006-06-15

    resonance and the gain spectrum. The devices were grown using solid source molecular beam epitaxy (MBE) in a V80 reactor. Two side polished, undoped...verify the inherent low activation energy. N-type and P-type AISb, and various compositions of InxAl 1xSb, were grown by solid-source molecular beam ...level monitoring. Advances in epitaxial growth of semiconductor materials have allowed the development of Arsenic- free optically-pumped MWIR lasers on

  15. Electrical contacts for a thin-film semiconductor device

    DOEpatents

    Carlson, David E.; Dickson, Charles R.; D'Aiello, Robert V.

    1989-08-08

    A method of fabricating spaced-apart back contacts on a thin film of semiconductor material by forming strips of buffer material on top of the semiconductor material in locations corresponding to the desired dividing lines between back contacts, forming a film of metal substantially covering the semiconductor material and buffer strips, and scribing portions of the metal film overlying the buffer strips with a laser without contacting the underlying semiconductor material to separate the metal layer into a plurality of back contacts. The buffer material serves to protect the underlying semiconductor material from being damaged during the laser scribing. Back contacts and multi-cell photovoltaic modules incorporating such back contacts also are disclosed.

  16. Technology Roadmaps for Compound Semiconductors

    PubMed Central

    Bennett, Herbert S.

    2000-01-01

    The roles cited for compound semiconductors in public versions of existing technology roadmaps from the National Electronics Manufacturing Initiative, Inc., Optoelectronics Industry Development Association, Microelectronics Advanced Research Initiative on Optoelectronic Interconnects, and Optoelectronics Industry and Technology Development Association (OITDA) are discussed and compared within the context of trends in the Si CMOS industry. In particular, the extent to which these technology roadmaps treat compound semiconductors at the materials processing and device levels will be presented for specific applications. For example, OITDA’s Optical Communications Technology Roadmap directly connects the information demand of delivering 100 Mbit/s to the home to the requirement of producing 200 GHz heterojunction bipolar transistors with 30 nm bases and InP high electron mobility transistors with 100 nm gates. Some general actions for progress towards the proposed International Technology Roadmap for Compound Semiconductors (ITRCS) and methods for determining the value of an ITRCS will be suggested. But, in the final analysis, the value added by an ITRCS will depend on how industry leaders respond. The technical challenges and economic opportunities of delivering high quality digital video to consumers provide concrete examples of where the above actions and methods could be applied. PMID:27551615

  17. Advanced materials for energy storage.

    PubMed

    Liu, Chang; Li, Feng; Ma, Lai-Peng; Cheng, Hui-Ming

    2010-02-23

    Popularization of portable electronics and electric vehicles worldwide stimulates the development of energy storage devices, such as batteries and supercapacitors, toward higher power density and energy density, which significantly depends upon the advancement of new materials used in these devices. Moreover, energy storage materials play a key role in efficient, clean, and versatile use of energy, and are crucial for the exploitation of renewable energy. Therefore, energy storage materials cover a wide range of materials and have been receiving intensive attention from research and development to industrialization. In this Review, firstly a general introduction is given to several typical energy storage systems, including thermal, mechanical, electromagnetic, hydrogen, and electrochemical energy storage. Then the current status of high-performance hydrogen storage materials for on-board applications and electrochemical energy storage materials for lithium-ion batteries and supercapacitors is introduced in detail. The strategies for developing these advanced energy storage materials, including nanostructuring, nano-/microcombination, hybridization, pore-structure control, configuration design, surface modification, and composition optimization, are discussed. Finally, the future trends and prospects in the development of advanced energy storage materials are highlighted.

  18. Wafer-fused semiconductor radiation detector

    DOEpatents

    Lee, Edwin Y.; James, Ralph B.

    2002-01-01

    Wafer-fused semiconductor radiation detector useful for gamma-ray and x-ray spectrometers and imaging systems. The detector is fabricated using wafer fusion to insert an electrically conductive grid, typically comprising a metal, between two solid semiconductor pieces, one having a cathode (negative electrode) and the other having an anode (positive electrode). The wafer fused semiconductor radiation detector functions like the commonly used Frisch grid radiation detector, in which an electrically conductive grid is inserted in high vacuum between the cathode and the anode. The wafer-fused semiconductor radiation detector can be fabricated using the same or two different semiconductor materials of different sizes and of the same or different thicknesses; and it may utilize a wide range of metals, or other electrically conducting materials, to form the grid, to optimize the detector performance, without being constrained by structural dissimilarity of the individual parts. The wafer-fused detector is basically formed, for example, by etching spaced grooves across one end of one of two pieces of semiconductor materials, partially filling the grooves with a selected electrical conductor which forms a grid electrode, and then fusing the grooved end of the one semiconductor piece to an end of the other semiconductor piece with a cathode and an anode being formed on opposite ends of the semiconductor pieces.

  19. Thermal Characterization of Nanostructures and Advanced Engineered Materials

    NASA Astrophysics Data System (ADS)

    Goyal, Vivek Kumar

    Continuous downscaling of Si complementary metal-oxide semiconductor (CMOS) technology and progress in high-power electronics demand more efficient heat removal techniques to handle the increasing power density and rising temperature of hot spots. For this reason, it is important to investigate thermal properties of materials at nanometer scale and identify materials with the extremely large or extremely low thermal conductivity for applications as heat spreaders or heat insulators in the next generation of integrated circuits. The thin films used in microelectronic and photonic devices need to have high thermal conductivity in order to transfer the dissipated power to heat sinks more effectively. On the other hand, thermoelectric devices call for materials or structures with low thermal conductivity because the performance of thermoelectric devices is determined by the figure of merit Z=S2sigma/K, where S is the Seebeck coefficient, K and sigma are the thermal and electrical conductivity, respectively. Nanostructured superlattices can have drastically reduced thermal conductivity as compared to their bulk counterparts making them promising candidates for high-efficiency thermoelectric materials. Other applications calling for thin films with low thermal conductivity value are high-temperature coatings for engines. Thus, materials with both high thermal conductivity and low thermal conductivity are technologically important. The increasing temperature of the hot spots in state-of-the-art chips stimulates the search for innovative methods for heat removal. One promising approach is to incorporate materials, which have high thermal conductivity into the chip design. Two suitable candidates for such applications are diamond and graphene. Another approach is to integrate the high-efficiency thermoelectric elements for on-spot cooling. In addition, there is strong motivation for improved thermal interface materials (TIMs) for heat transfer from the heat-generating chip

  20. Electronic fitness function for screening semiconductors as thermoelectric materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xing, Guangzong; Sun, Jifeng; Li, Yuwei

    Here, we introduce a simple but efficient electronic fitness function (EFF) that describes the electronic aspect of the thermoelectric performance. This EFF finds materials that overcome the inverse relationship between σ and S based on the complexity of the electronic structures regardless of specific origin (e.g., isosurface corrugation, valley degeneracy, heavy-light bands mixture, valley anisotropy or reduced dimensionality). This function is well suited for application in high throughput screening. We applied this function to 75 different thermoelectric and potential thermoelectric materials including full- and half-Heuslers, binary semiconductors, and Zintl phases. We find an efficient screening using this transport function. Themore » EFF identifies known high-performance p- and n-type Zintl phases and half-Heuslers. In addition, we find some previously unstudied phases with superior EFF.« less

  1. Electronic fitness function for screening semiconductors as thermoelectric materials

    DOE PAGES

    Xing, Guangzong; Sun, Jifeng; Li, Yuwei; ...

    2017-11-17

    Here, we introduce a simple but efficient electronic fitness function (EFF) that describes the electronic aspect of the thermoelectric performance. This EFF finds materials that overcome the inverse relationship between σ and S based on the complexity of the electronic structures regardless of specific origin (e.g., isosurface corrugation, valley degeneracy, heavy-light bands mixture, valley anisotropy or reduced dimensionality). This function is well suited for application in high throughput screening. We applied this function to 75 different thermoelectric and potential thermoelectric materials including full- and half-Heuslers, binary semiconductors, and Zintl phases. We find an efficient screening using this transport function. Themore » EFF identifies known high-performance p- and n-type Zintl phases and half-Heuslers. In addition, we find some previously unstudied phases with superior EFF.« less

  2. Nanoscale chirality in metal and semiconductor nanoparticles

    PubMed Central

    Thomas, K. George

    2016-01-01

    The field of chirality has recently seen a rejuvenation due to the observation of chirality in inorganic nanomaterials. The advancements in understanding the origin of nanoscale chirality and the potential applications of chiroptical nanomaterials in the areas of optics, catalysis and biosensing, among others, have opened up new avenues toward new concepts and design of novel materials. In this article, we review the concept of nanoscale chirality in metal nanoclusters and semiconductor quantum dots, then focus on recent experimental and theoretical advances in chiral metal nanoparticles and plasmonic chirality. Selected examples of potential applications and an outlook on the research on chiral nanomaterials are additionally provided. PMID:27752651

  3. Nanoscale chirality in metal and semiconductor nanoparticles.

    PubMed

    Kumar, Jatish; Thomas, K George; Liz-Marzán, Luis M

    2016-10-18

    The field of chirality has recently seen a rejuvenation due to the observation of chirality in inorganic nanomaterials. The advancements in understanding the origin of nanoscale chirality and the potential applications of chiroptical nanomaterials in the areas of optics, catalysis and biosensing, among others, have opened up new avenues toward new concepts and design of novel materials. In this article, we review the concept of nanoscale chirality in metal nanoclusters and semiconductor quantum dots, then focus on recent experimental and theoretical advances in chiral metal nanoparticles and plasmonic chirality. Selected examples of potential applications and an outlook on the research on chiral nanomaterials are additionally provided.

  4. Center for Semiconductor Materials and Device Modeling: expanding collaborative research opportunities between government, academia, and industry

    NASA Astrophysics Data System (ADS)

    Perconti, Philip; Bedair, Sarah S.; Bajaj, Jagmohan; Schuster, Jonathan; Reed, Meredith

    2016-09-01

    To increase Soldier readiness and enhance situational understanding in ever-changing and complex environments, there is a need for rapid development and deployment of Army technologies utilizing sensors, photonics, and electronics. Fundamental aspects of these technologies include the research and development of semiconductor materials and devices which are ubiquitous in numerous applications. Since many Army technologies are considered niche, there is a lack of significant industry investment in the fundamental research and understanding of semiconductor technologies relevant to the Army. To address this issue, the US Army Research Laboratory is establishing a Center for Semiconductor Materials and Device Modeling and seeks to leverage expertise and resources across academia, government and industry. Several key research areas—highlighted and addressed in this paper—have been identified by ARL and external partners and will be pursued in a collaborative fashion by this Center. This paper will also address the mechanisms by which the Center is being established and will operate.

  5. EDITORIAL: Semiconductor lasers: the first fifty years Semiconductor lasers: the first fifty years

    NASA Astrophysics Data System (ADS)

    Calvez, S.; Adams, M. J.

    2012-09-01

    Anniversaries call for celebrations. Since it is now fifty years since the first semiconductor lasers were reported, it is highly appropriate to celebrate this anniversary with a Special Issue dedicated to the topic. The semiconductor laser now has a major effect on our daily lives since it has been a key enabler in the development of optical fibre communications (and hence the internet and e-mail), optical storage (CDs, DVDs, etc) and barcode scanners. In the early 1960s it was impossible for most people (with the exception of very few visionaries) to foresee any of these future developments, and the first applications identified were for military purposes (range-finders, target markers, etc). Of course, many of the subsequent laser applications were made possible by developments in semiconductor materials, in the associated growth and fabrication technology, and in the increased understanding of the underlying fundamental physics. These developments continue today, so that the subject of semiconductor lasers, although mature, is in good health and continues to grow. Hence, we can be confident that the pervasive influence of semiconductor lasers will continue to develop as optoelectronics technology makes further advances into other sectors such as healthcare, security and a whole host of applications based on the global imperatives to reduce energy consumption, minimise environmental impact and conserve resources. The papers in this Special Issue are intended to tell some of the story of the last fifty years of laser development as well as to provide evidence of the current state of semiconductor laser research. Hence, there are a number of papers where the early developments are recalled by authors who played prominent parts in the story, followed by a selection of papers from authors who are active in today's exciting research. The twenty-fifth anniversary of the semiconductor laser was celebrated by the publication of a number of papers dealing with the early

  6. Growth and applications of GeSn-related group-IV semiconductor materials

    PubMed Central

    Zaima, Shigeaki; Nakatsuka, Osamu; Taoka, Noriyuki; Kurosawa, Masashi; Takeuchi, Wakana; Sakashita, Mitsuo

    2015-01-01

    We review the technology of Ge1−xSnx-related group-IV semiconductor materials for developing Si-based nanoelectronics. Ge1−xSnx-related materials provide novel engineering of the crystal growth, strain structure, and energy band alignment for realising various applications not only in electronics, but also in optoelectronics. We introduce our recent achievements in the crystal growth of Ge1−xSnx-related material thin films and the studies of the electronic properties of thin films, metals/Ge1−xSnx, and insulators/Ge1−xSnx interfaces. We also review recent studies related to the crystal growth, energy band engineering, and device applications of Ge1−xSnx-related materials, as well as the reported performances of electronic devices using Ge1−xSnx related materials. PMID:27877818

  7. Growth and applications of GeSn-related group-IV semiconductor materials.

    PubMed

    Zaima, Shigeaki; Nakatsuka, Osamu; Taoka, Noriyuki; Kurosawa, Masashi; Takeuchi, Wakana; Sakashita, Mitsuo

    2015-08-01

    We review the technology of Ge 1- x Sn x -related group-IV semiconductor materials for developing Si-based nanoelectronics. Ge 1- x Sn x -related materials provide novel engineering of the crystal growth, strain structure, and energy band alignment for realising various applications not only in electronics, but also in optoelectronics. We introduce our recent achievements in the crystal growth of Ge 1- x Sn x -related material thin films and the studies of the electronic properties of thin films, metals/Ge 1- x Sn x , and insulators/Ge 1- x Sn x interfaces. We also review recent studies related to the crystal growth, energy band engineering, and device applications of Ge 1- x Sn x -related materials, as well as the reported performances of electronic devices using Ge 1- x Sn x related materials.

  8. Methods of measurement for semiconductor materials, process control, and devices

    NASA Technical Reports Server (NTRS)

    Bullis, W. M. (Editor)

    1971-01-01

    The development of methods of measurement for semiconductor materials, process control, and devices is discussed. The following subjects are also presented: (1) demonstration of the high sensitivity of the infrared response technique by the identification of gold in a germanium diode, (2) verification that transient thermal response is significantly more sensitive to the presence of voids in die attachment than steady-state thermal resistance, and (3) development of equipment for determining susceptibility of transistors to hot spot formation by the current-gain technique.

  9. Strain-tuning of the optical properties of semiconductor nanomaterials by integration onto piezoelectric actuators

    NASA Astrophysics Data System (ADS)

    Martín-Sánchez, Javier; Trotta, Rinaldo; Mariscal, Antonio; Serna, Rosalía; Piredda, Giovanni; Stroj, Sandra; Edlinger, Johannes; Schimpf, Christian; Aberl, Johannes; Lettner, Thomas; Wildmann, Johannes; Huang, Huiying; Yuan, Xueyong; Ziss, Dorian; Stangl, Julian; Rastelli, Armando

    2018-01-01

    The tailoring of the physical properties of semiconductor nanomaterials by strain has been gaining increasing attention over the last years for a wide range of applications such as electronics, optoelectronics and photonics. The ability to introduce deliberate strain fields with controlled magnitude and in a reversible manner is essential for fundamental studies of novel materials and may lead to the realization of advanced multi-functional devices. A prominent approach consists in the integration of active nanomaterials, in thin epitaxial films or embedded within carrier nanomembranes, onto Pb(Mg1/3Nb2/3)O3-PbTiO3-based piezoelectric actuators, which convert electrical signals into mechanical deformation (strain). In this review, we mainly focus on recent advances in strain-tunable properties of self-assembled InAs quantum dots (QDs) embedded in semiconductor nanomembranes and photonic structures. Additionally, recent works on other nanomaterials like rare-earth and metal-ion doped thin films, graphene and MoS2 or WSe2 semiconductor two-dimensional materials are also reviewed. For the sake of completeness, a comprehensive comparison between different procedures employed throughout the literature to fabricate such hybrid piezoelectric-semiconductor devices is presented. It is shown that unprocessed piezoelectric substrates (monolithic actuators) allow to obtain a certain degree of control over the nanomaterials’ emission properties such as their emission energy, fine-structure-splitting in self-assembled InAs QDs and semiconductor 2D materials, upconversion phenomena in BaTiO3 thin films or piezotronic effects in ZnS:Mn films and InAs QDs. Very recently, a novel class of micro-machined piezoelectric actuators have been demonstrated for a full control of in-plane stress fields in nanomembranes, which enables producing energy-tunable sources of polarization-entangled photons in arbitrary QDs. Future research directions and prospects are discussed.

  10. Methods for forming group III-V arsenide-nitride semiconductor materials

    NASA Technical Reports Server (NTRS)

    Major, Jo S. (Inventor); Welch, David F. (Inventor); Scifres, Donald R. (Inventor)

    2000-01-01

    Methods are disclosed for forming Group III--arsenide-nitride semiconductor materials. Group III elements are combined with group V elements, including at least nitrogen and arsenic, in concentrations chosen to lattice match commercially available crystalline substrates. Epitaxial growth of these III-V crystals results in direct bandgap materials, which can be used in applications such as light emitting diodes and lasers. Varying the concentrations of the elements in the III-V crystals varies the bandgaps, such that materials emitting light spanning the visible spectra, as well as mid-IR and near-UV emitters, can be created. Conversely, such material can be used to create devices that acquire light and convert the light to electricity, for applications such as full color photodetectors and solar energy collectors. The growth of the III-V crystals can be accomplished by growing thin layers of elements or compounds in sequences that result in the overall lattice match and bandgap desired.

  11. Method of producing strained-layer semiconductor devices via subsurface-patterning

    DOEpatents

    Dodson, Brian W.

    1993-01-01

    A method is described for patterning subsurface features in a semiconductor device, wherein the semiconductor device includes an internal strained layer. The method comprises creating a pattern of semiconductor material over the semiconductor device, the semiconductor material having a predetermined thickness which stabilizes areas of the strained semiconductor layer that lie beneath the pattern. Subsequently, a heating step is applied to the semiconductor device to cause a relaxation in areas of the strained layer which do not lie beneath the semiconductor material pattern, whereby dislocations result in the relaxed areas and impair electrical transport therethrough.

  12. Shock-loading response of advanced materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gray, G.T. III

    1993-08-01

    Advanced materials, such as composites (metal, ceramic, or polymer-matrix), intermetallics, foams (metallic or polymeric-based), laminated materials, and nanostructured materials are receiving increasing attention because their properties can be custom tailored specific applications. The high-rate/impact response of advanced materials is relevant to a broad range of service environments such as the crashworthiness of civilian/military vehicles, foreign-object-damage in aerospace, and light-weight armor. Increased utilization of these material classes under dynamic loading conditions requires an understanding of the relationship between high-rate/shock-wave response as a function of microstructure if we are to develop models to predict material behavior. In this paper the issues relevantmore » to defect generation, storage, and the underlying physical basis needed in predictive models for several advanced materials will be reviewed.« less

  13. Advancing semiconductor-electrocatalyst systems: application of surface transformation films and nanosphere lithography.

    PubMed

    Brinkert, Katharina; Richter, Matthias H; Akay, Ömer; Giersig, Michael; Fountaine, Katherine T; Lewerenz, Hans-Joachim

    2018-05-24

    Photoelectrochemical (PEC) cells offer the possibility of carbon-neutral solar fuel production through artificial photosynthesis. The pursued design involves technologically advanced III-V semiconductor absorbers coupled via an interfacial film to an electrocatalyst layer. These systems have been prepared by in situ surface transformations in electrochemical environments. High activity nanostructured electrocatalysts are required for an efficiently operating cell, optimized in their optical and electrical properties. We demonstrate that shadow nanosphere lithography (SNL) is an auspicious tool to systematically create three-dimensional electrocatalyst nanostructures on the semiconductor photoelectrode through controlling their morphology and optical properties. First results are demonstrated by means of the photoelectrochemical production of hydrogen on p-type InP photocathodes where hitherto applied photoelectrodeposition and SNL-deposited Rh electrocatalysts are compared based on their J-V and spectroscopic behavior. We show that smaller polystyrene particle masks achieve higher defect nanostructures of rhodium on the photoelectrode which leads to a higher catalytic activity and larger short circuit currents. Structural analyses including HRSEM and the analysis of the photoelectrode surface composition by using photoelectron spectroscopy support and complement the photoelectrochemical observations. The optical performance is further compared to theoretical models of the nanostructured photoelectrodes on light scattering and propagation.

  14. Methods of measurement for semiconductor materials, process control, and devices

    NASA Technical Reports Server (NTRS)

    Bullis, W. M. (Editor)

    1972-01-01

    Activities directed toward the development of methods of measurement for semiconductor materials, process control, and devices are described. Topics investigated include: measurements of transistor delay time; application of the infrared response technique to the study of radiation-damaged, lithium-drifted silicon detectors; and identification of a condition that minimizes wire flexure and reduces the failure rate of wire bonds in transistors and integrated circuits under slow thermal cycling conditions. Supplementary data concerning staff, standards committee activities, technical services, and publications are included as appendixes.

  15. Polymeric and Molecular Materials for Advanced Organic Electronics

    DTIC Science & Technology

    2014-10-20

    x - ray reflectivity, grazing incidence x - ray scattering, cyclic voltam- metry...6). ix These materials are characterized by AFM, conducting AFM, XPS, x - ray reflectivity (XRR), standing wave x - ray reflectivity (SWXRR), x - ray ...radiation hard - ness measurements, and quantum chemical computation of dielectric constants. Remark- ably, for semiconductors as diverse

  16. Methods of producing free-standing semiconductors using sacrificial buffer layers and recyclable substrates

    DOEpatents

    Ptak, Aaron Joseph; Lin, Yong; Norman, Andrew; Alberi, Kirstin

    2015-05-26

    A method of producing semiconductor materials and devices that incorporate the semiconductor materials are provided. In particular, a method is provided of producing a semiconductor material, such as a III-V semiconductor, on a spinel substrate using a sacrificial buffer layer, and devices such as photovoltaic cells that incorporate the semiconductor materials. The sacrificial buffer material and semiconductor materials may be deposited using lattice-matching epitaxy or coincident site lattice-matching epitaxy, resulting in a close degree of lattice matching between the substrate material and deposited material for a wide variety of material compositions. The sacrificial buffer layer may be dissolved using an epitaxial liftoff technique in order to separate the semiconductor device from the spinel substrate, and the spinel substrate may be reused in the subsequent fabrication of other semiconductor devices. The low-defect density semiconductor materials produced using this method result in the enhanced performance of the semiconductor devices that incorporate the semiconductor materials.

  17. Semiconductor devices incorporating multilayer interference regions

    DOEpatents

    Biefeld, Robert M.; Drummond, Timothy J.; Gourley, Paul L.; Zipperian, Thomas E.

    1990-01-01

    A semiconductor high reflector comprising a number of thin alternating layers of semiconductor materials is electrically tunable and may be used as a temperature insensitive semiconductor laser in a Fabry-Perot configuration.

  18. Novel engineered compound semiconductor heterostructures for advanced electronics applications

    NASA Astrophysics Data System (ADS)

    Stillman, Gregory E.; Holonyak, Nick, Jr.; Coleman, James J.

    1992-06-01

    To provide the technology base that will enable SDIO capitalization on the performance advantages offered through novel engineered multiple-lavered compound semiconductor structures, this project has focussed on three specific areas: (1) carbon doping of AlGaAs/GaAs and InP/InGaAs materials for reliable high frequency heterojunction bipolar transistors; (2) impurity induced layer disordering and the environmental degradation of AlxGal-xAs-GaAs quantum-well heterostructures and the native oxide stabilization of AlxGal-xAs-GaAs quantum well heterostructure lasers; and (3) non-planar and strained-layer quantum well heterostructure lasers and laser arrays. The accomplishments in this three year research are reported in fifty-six publications and the abstracts included in this report.

  19. Advanced Materials in Support of EERE Needs to Advance Clean Energy Technologies Program Implementation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liby, Alan L; Rogers, Hiram

    The goal of this activity was to carry out program implementation and technical projects in support of the ARRA-funded Advanced Materials in Support of EERE Needs to Advance Clean Energy Technologies Program of the DOE Advanced Manufacturing Office (AMO) (formerly the Industrial Technologies Program (ITP)). The work was organized into eight projects in four materials areas: strategic materials, structural materials, energy storage and production materials, and advanced/field/transient processing. Strategic materials included work on titanium, magnesium and carbon fiber. Structural materials included work on alumina forming austentic (AFA) and CF8C-Plus steels. The advanced batteries and production materials projects included work onmore » advanced batteries and photovoltaic devices. Advanced/field/transient processing included work on magnetic field processing. Details of the work in the eight projects are available in the project final reports which have been previously submitted.« less

  20. Crystal Growth of ZnSe and Related Ternary Compound Semiconductors by Vapor Transport

    NASA Technical Reports Server (NTRS)

    Su, Ching-Hua; Brebrick, Robert F.; Burger, Arnold; Dudley, Michael; Matyi, Richard J.; Ramachandran, Narayanan; Sha, Yi-Gao; Volz, Martin; Shih, Hung-Dah

    2000-01-01

    Interest in optical devices which can operate in the visible spectrum has motivated research interest in the II-VI wide band gap semiconductor materials. The recent challenge for semiconductor opto-electronics is the development of a laser which can operate at short visible wavelengths. In the past several years, major advances in thin film technology such as molecular beam epitaxy and metal organic chemical vapor deposition have demonstrated the applicability of II-VI materials to important devices such as light-emitting diodes, lasers, and ultraviolet detectors. With an energy gap of 2.7 eV at room temperature, and an efficient band- to-band transition, ZnSe has been studied extensively as the primary candidate for a blue light emitting diode for optical displays, high density recording, and military communications. By employing a ternary or quaternary system, the energy band gap of II-VI materials can be tuned to a specific range. While issues related to the compositional inhomogeneity and defect incorporation are still to be fully resolved, ZnSe bulk crystals and ZnSe-based heterostructures such as ZnSe/ZnSeS, ZnSe/ZnCdSe and ZnCdSe/ZnSeS have showed photopumped lasing capability in the blue-green region at a low threshold power and high temperatures. The demonstration of its optical bistable properties in bulk and thin film forms also make ZnSe a possible candidate material for the building blocks of a digital optical computer. Despite this, developments in the crystal growth of bulk H-VI semiconductor materials has not advanced far enough to provide the low price, high quality substrates needed for the thin film growth technology.

  1. Advanced Aerospace Materials by Design

    NASA Technical Reports Server (NTRS)

    Srivastava, Deepak; Djomehri, Jahed; Wei, Chen-Yu

    2004-01-01

    The advances in the emerging field of nanophase thermal and structural composite materials; materials with embedded sensors and actuators for morphing structures; light-weight composite materials for energy and power storage; and large surface area materials for in-situ resource generation and waste recycling, are expected to :revolutionize the capabilities of virtually every system comprising of future robotic and :human moon and mars exploration missions. A high-performance multiscale simulation platform, including the computational capabilities and resources of Columbia - the new supercomputer, is being developed to discover, validate, and prototype next generation (of such advanced materials. This exhibit will describe the porting and scaling of multiscale 'physics based core computer simulation codes for discovering and designing carbon nanotube-polymer composite materials for light-weight load bearing structural and 'thermal protection applications.

  2. Semiconductor devices incorporating multilayer interference regions

    DOEpatents

    Biefeld, R.M.; Drummond, T.J.; Gourley, P.L.; Zipperian, T.E.

    1987-08-31

    A semiconductor high reflector comprising a number of thin alternating layers of semiconductor materials is electrically tunable and may be used as a temperature insensitive semiconductor laser in a Fabry-Perot configuration. 8 figs.

  3. Advanced energy materials (Preface)

    NASA Astrophysics Data System (ADS)

    Titus, Elby; Ventura, João; Araújo, João Pedro; Campos Gil, João

    2017-12-01

    Advances in material science make it possible to fabricate the building blocks of an entirely new generation of hierarchical energy materials. Recent developments were focused on functionality and areas connecting macroscopic to atomic and nanoscale properties, where surfaces, defects, interfaces and metastable state of the materials played crucial roles. The idea is to combine both, the top-down and bottom-up approach as well as shape future materials with a blend of both the paradigms.

  4. The fabrication of carbon nanotube field-effect transistors with semiconductors as the source and drain contact materials.

    PubMed

    Xiao, Z; Camino, F E

    2009-04-01

    Sb(2)Te(3) and Bi(2)Te(2)Se semiconductor materials were used as the source and drain contact materials in the fabrication of carbon nanotube field-effect transistors (CNTFETs). Ultra-purified single-walled carbon nanotubes (SWCNTs) were ultrasonically dispersed in N-methyl pyrrolidone solvent. Dielectrophoresis was used to deposit and align SWCNTs for fabrication of CNTFETs. The Sb(2)Te(3)- and Bi(2)Te(2)Se-based CNTFETs demonstrate p-type metal-oxide-silicon-like I-V curves with high on/off drain-source current ratio at large drain-source voltages and good saturation of drain-source current with increasing drain-source voltage. The fabrication process developed is novel and has general meaning, and could be used for the fabrication of SWCNT-based integrated devices and systems with semiconductor contact materials.

  5. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    NASA Astrophysics Data System (ADS)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  6. Video Fact Sheets: Everyday Advanced Materials

    ScienceCinema

    None

    2018-06-21

    What are Advanced Materials? Ames Laboratory is behind some of the best advanced materials out there. Some of those include: Lead-Free Solder, Photonic Band-Gap Crystals, Terfenol-D, Aluminum-Calcium Power Cable and Nano Particles. Some of these are in products we use every day.

  7. Video Fact Sheets: Everyday Advanced Materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    None

    2015-10-06

    What are Advanced Materials? Ames Laboratory is behind some of the best advanced materials out there. Some of those include: Lead-Free Solder, Photonic Band-Gap Crystals, Terfenol-D, Aluminum-Calcium Power Cable and Nano Particles. Some of these are in products we use every day.

  8. Semiconductor systems utilizing materials that form rectifying junctions in both N and P-type doping regions, whether metallurgically or field induced, and methods of use

    DOEpatents

    Welch, James D.

    2000-01-01

    Disclosed are semiconductor systems, such as integrated circuits utilizing Schotky barrier and/or diffused junction technology, which semiconductor systems incorporate material(s) that form rectifying junctions in both metallurgically and/or field induced N and P-type doping regions, and methods of their use. Disclosed are Schottky barrier based inverting and non-inverting gate voltage channel induced semiconductor single devices with operating characteristics similar to multiple device CMOS systems and which can be operated as modulators, N and P-channel MOSFETS and CMOS formed therefrom, and (MOS) gate voltage controlled rectification direction and gate voltage controlled switching devices, and use of such material(s) to block parasitic current flow pathways. Simple demonstrative five mask fabrication procedures for inverting and non-inverting gate voltage channel induced semiconductor single devices with operating characteristics similar to multiple device CMOS systems are also presented.

  9. Coincident site lattice-matched growth of semiconductors on substrates using compliant buffer layers

    DOEpatents

    Norman, Andrew

    2016-08-23

    A method of producing semiconductor materials and devices that incorporate the semiconductor materials are provided. In particular, a method is provided of producing a semiconductor material, such as a III-V semiconductor, on a silicon substrate using a compliant buffer layer, and devices such as photovoltaic cells that incorporate the semiconductor materials. The compliant buffer material and semiconductor materials may be deposited using coincident site lattice-matching epitaxy, resulting in a close degree of lattice matching between the substrate material and deposited material for a wide variety of material compositions. The coincident site lattice matching epitaxial process, as well as the use of a ductile buffer material, reduce the internal stresses and associated crystal defects within the deposited semiconductor materials fabricated using the disclosed method. As a result, the semiconductor devices provided herein possess enhanced performance characteristics due to a relatively low density of crystal defects.

  10. Material Design of p-Type Transparent Amorphous Semiconductor, Cu-Sn-I.

    PubMed

    Jun, Taehwan; Kim, Junghwan; Sasase, Masato; Hosono, Hideo

    2018-03-01

    Transparent amorphous semiconductors (TAS) that can be fabricated at low temperature are key materials in the practical application of transparent flexible electronics. Although various n-type TAS materials with excellent performance, such as amorphous In-Ga-Zn-O (a-IGZO), are already known, no complementary p-type TAS has been realized to date. Here, a material design concept for p-type TAS materials is proposed utilizing the pseudo s-orbital nature of spatially spreading iodine 5p orbitals and amorphous Sn-containing CuI (a-CuSnI) thin film is reported as an example. The resulting a-CuSnI thin films fabricated by spin coating at low temperature (140 °C) have a smooth surface. The Hall mobility increases with the hole concentration and the largest mobility of ≈9 cm 2 V -1 s -1 is obtained, which is comparable with that of conventional n-type TAS. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Thiophene-Based Organic Semiconductors.

    PubMed

    Turkoglu, Gulsen; Cinar, M Emin; Ozturk, Turan

    2017-10-24

    Thiophene-based π-conjugated organic small molecules and polymers are the research subject of significant current interest owing to their potential use as organic semiconductors in material chemistry. Despite simple and similar molecular structures, the hitherto reported properties of thiophene-based organic semiconductors are rather diverse. Design of high performance organic semiconducting materials requires a thorough understanding of inter- and intra-molecular interactions, solid-state packing, and the influence of both factors on the charge carrier transport. In this chapter, thiophene-based organic semiconductors, which are classified in terms of their chemical structures and their structure-property relationships, are addressed for the potential applications as organic photovoltaics (OPVs), organic field-effect transistors (OFETs) and organic light emitting diodes (OLEDs).

  12. Spectroscopy of organic semiconductors from first principles

    NASA Astrophysics Data System (ADS)

    Sharifzadeh, Sahar; Biller, Ariel; Kronik, Leeor; Neaton, Jeffery

    2011-03-01

    Advances in organic optoelectronic materials rely on an accurate understanding their spectroscopy, motivating the development of predictive theoretical methods that accurately describe the excited states of organic semiconductors. In this work, we use density functional theory and many-body perturbation theory (GW/BSE) to compute the electronic and optical properties of two well-studied organic semiconductors, pentacene and PTCDA. We carefully compare our calculations of the bulk density of states with available photoemission spectra, accounting for the role of finite temperature and surface effects in experiment, and examining the influence of our main approximations -- e.g. the GW starting point and the application of the generalized plasmon-pole model -- on the predicted electronic structure. Moreover, our predictions for the nature of the exciton and its binding energy are discussed and compared against optical absorption data. We acknowledge DOE, NSF, and BASF for financial support and NERSC for computational resources.

  13. Porous Diblock Copolymer Thin Films in High-Performance Semiconductor Microelectronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Black, C.T.

    2011-02-01

    The engine fueling more than 40 years of performance improvements in semiconductor integrated circuits (ICs) has been industry's ability to pattern circuit elements at ever-higher resolution and with ever-greater precision. Steady advances in photolithography - the process wherein ultraviolet light chemically changes a photosensitive polymer resist material in order to create a latent image - have resulted in scaling of minimum printed feature sizes from tens of microns during the 1980s to sub-50 nanometer transistor gate lengths in today's state-of-the-art ICs. The history of semiconductor technology scaling as well as future technology requirements is documented in the International Technology Roadmapmore » for Semiconductors (ITRS). The progression of the semiconductor industry to the realm of nanometer-scale sizes has brought enormous challenges to device and circuit fabrication, rendering performance improvements by conventional scaling alone increasingly difficult. Most often this discussion is couched in terms of field effect transistor (FET) feature sizes such as the gate length or gate oxide thickness, however these challenges extend to many other aspects of the IC, including interconnect dimensions and pitch, device packing density, power consumption, and heat dissipation. The ITRS Technology Roadmap forecasts a difficult set of scientific and engineering challenges with no presently-known solutions. The primary focus of this chapter is the research performed at IBM on diblock copolymer films composed of polystyrene (PS) and poly(methyl-methacrylate) (PMMA) (PS-b-PMMA) with total molecular weights M{sub n} in the range of {approx}60K (g/mol) and polydispersities (PD) of {approx}1.1. These materials self assemble to form patterns having feature sizes in the range of 15-20nm. PS-b-PMMA was selected as a self-assembling patterning material due to its compatibility with the semiconductor microelectronics manufacturing infrastructure, as well as the

  14. Hybrid bandgap engineering for super-hetero-epitaxial semiconductor materials, and products thereof

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); Choi, Sang H. (Inventor); King, Glen C. (Inventor); Elliott, James R. (Inventor)

    2012-01-01

    "Super-hetero-epitaxial" combinations comprise epitaxial growth of one material on a different material with different crystal structure. Compatible crystal structures may be identified using a "Tri-Unity" system. New bandgap engineering diagrams are provided for each class of combination, based on determination of hybrid lattice constants for the constituent materials in accordance with lattice-matching equations. Using known bandgap figures for previously tested materials, new materials with lattice constants that match desired substrates and have the desired bandgap properties may be formulated by reference to the diagrams and lattice matching equations. In one embodiment, this analysis makes it possible to formulate new super-hetero-epitaxial semiconductor systems, such as systems based on group IV alloys on c-plane LaF.sub.3; group IV alloys on c-plane langasite; Group III-V alloys on c-plane langasite; and group II-VI alloys on c-plane sapphire.

  15. Thermally robust semiconductor optical amplifiers and laser diodes

    DOEpatents

    Dijaili, Sol P.; Patterson, Frank G.; Walker, Jeffrey D.; Deri, Robert J.; Petersen, Holly; Goward, William

    2002-01-01

    A highly heat conductive layer is combined with or placed in the vicinity of the optical waveguide region of active semiconductor components. The thermally conductive layer enhances the conduction of heat away from the active region, which is where the heat is generated in active semiconductor components. This layer is placed so close to the optical region that it must also function as a waveguide and causes the active region to be nearly the same temperature as the ambient or heat sink. However, the semiconductor material itself should be as temperature insensitive as possible and therefore the invention combines a highly thermally conductive dielectric layer with improved semiconductor materials to achieve an overall package that offers improved thermal performance. The highly thermally conductive layer serves two basic functions. First, it provides a lower index material than the semiconductor device so that certain kinds of optical waveguides may be formed, e.g., a ridge waveguide. The second and most important function, as it relates to this invention, is that it provides a significantly higher thermal conductivity than the semiconductor material, which is the principal material in the fabrication of various optoelectronic devices.

  16. Method for manufacturing electrical contacts for a thin-film semiconductor device

    DOEpatents

    Carlson, David E.; Dickson, Charles R.; D'Aiello, Robert V.

    1988-11-08

    A method of fabricating spaced-apart back contacts on a thin film of semiconductor material by forming strips of buffer material on top of the semiconductor material in locations corresponding to the desired dividing lines between back contacts, forming a film of metal substantially covering the semiconductor material and buffer strips, and scribing portions of the metal film overlying the buffer strips with a laser without contacting the underlying semiconductor material to separate the metal layer into a plurality of back contacts. The buffer material serves to protect the underlying semiconductor material from being damaged during the laser scribing. Back contacts and multi-cell photovoltaic modules incorporating such back contacts also are disclosed.

  17. Semiconductor apparatus utilizing gradient freeze and liquid-solid techniques

    NASA Technical Reports Server (NTRS)

    Fleurial, Jean-Pierre (Inventor); Caillat, Thierry F. (Inventor); Borshchevsky, Alexander (Inventor)

    1998-01-01

    Transition metals of Group VIII (Co, Rh and Ir) have been prepared as semiconductor compounds with the general formula TSb.sub.3. The skutterudite-type crystal lattice structure of these semiconductor compounds and their enhanced thermoelectric properties results in semiconductor materials which may be used in the fabrication of thermoelectric elements to substantially improve the efficiency of the resulting thermoelectric device. Semiconductor materials having the desired skutterudite-type crystal lattice structure may be prepared in accordance with the present invention by using vertical gradient freezing techniques and/or liquid phase sintering techniques. Measurements of electrical and thermal transport properties of selected semiconductor materials prepared in accordance with the present invention, demonstrated high Hall mobilities (up to 1200 cm.sup.2.V.sup.-1.s.sup.-1) and good Seebeck coefficients (up to 150 .mu.VK.sup.-1 between 300.degree. C. and 700.degree. C.). Optimizing the transport properties of semiconductor materials prepared from elemental mixtures Co, Rh, Ir and Sb resulted in a substantial increase in the thermoelectric figure of merit (ZT) at temperatures as high as 400.degree. C. for thermoelectric elements fabricated from such semiconductor materials.

  18. Photovoltaic healing of non-uniformities in semiconductor devices

    DOEpatents

    Karpov, Victor G.; Roussillon, Yann; Shvydka, Diana; Compaan, Alvin D.; Giolando, Dean M.

    2006-08-29

    A method of making a photovoltaic device using light energy and a solution to normalize electric potential variations in the device. A semiconductor layer having nonuniformities comprising areas of aberrant electric potential deviating from the electric potential of the top surface of the semiconductor is deposited onto a substrate layer. A solution containing an electrolyte, at least one bonding material, and positive and negative ions is applied over the top surface of the semiconductor. Light energy is applied to generate photovoltage in the semiconductor, causing a redistribution of the ions and the bonding material to the areas of aberrant electric potential. The bonding material selectively bonds to the nonuniformities in a manner such that the electric potential of the nonuniformities is normalized relative to the electric potential of the top surface of the semiconductor layer. A conductive electrode layer is then deposited over the top surface of the semiconductor layer.

  19. Doped polymer semiconductors with ultrahigh and ultralow work functions for ohmic contacts.

    PubMed

    Tang, Cindy G; Ang, Mervin C Y; Choo, Kim-Kian; Keerthi, Venu; Tan, Jun-Kai; Syafiqah, Mazlan Nur; Kugler, Thomas; Burroughes, Jeremy H; Png, Rui-Qi; Chua, Lay-Lay; Ho, Peter K H

    2016-11-24

    -injection contacts via the self-assembly of these doped polyelectrolytes. This consequently allows ambipolar field-effect transistors to be transformed into high-performance p- and n-channel transistors. Our strategy provides a method for producing ohmic contacts not only for organic semiconductors, but potentially for other advanced semiconductors as well, including perovskites, quantum dots, nanotubes and two-dimensional materials.

  20. Manipulating semiconductor colloidal stability through doping.

    PubMed

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2014-10-10

    The interface between a doped semiconductor material and electrolyte solution is of considerable fundamental interest, and is relevant to systems of practical importance. Both adjacent domains contain mobile charges, which respond to potential variations. This is exploited to design electronic and optoelectronic sensors, and other enabling semiconductor colloidal materials. We show that the charge mobility in both phases leads to a new type of interaction between semiconductor colloids suspended in aqueous electrolyte solutions. This interaction is due to the electrostatic response of the semiconductor interior to disturbances in the external field upon the approach of two particles. The electrostatic repulsion between two charged colloids is reduced from the one governed by the charged groups present at the particles surfaces. This type of interaction is unique to semiconductor particles and may have a substantial effect on the suspension dynamics and stability.

  1. Advanced Industrial Materials Program

    NASA Astrophysics Data System (ADS)

    Stooksbury, F.

    1994-06-01

    The mission of the Advanced Industrial Materials (AIM) program is to commercialize new/improved materials and materials processing methods that will improve energy efficiency, productivity, and competitiveness. Program investigators in the DOE national laboratories are working with about 100 companies, including 15 partners in CRDA's. Work is being done on intermetallic alloys, ceramic composites, metal composites, polymers, engineered porous materials, and surface modification. The program supports other efforts in the Office of Industrial Technologies to assist the energy-consuming process industries. The aim of the AIM program is to bring materials from basic research to industrial application to strengthen the competitive position of US industry and save energy.

  2. Advances in dental materials.

    PubMed

    Vaderhobli, Ram M

    2011-07-01

    The use of materials to rehabilitate tooth structures is constantly changing. Over the past decade, newer material processing techniques and technologies have significantly improved the dependability and predictability of dental material for clinicians. The greatest obstacle, however, is in choosing the right combination for continued success. Finding predictable approaches for successful restorative procedures has been the goal of clinical and material scientists. This article provides a broad perspective on the advances made in various classes of dental restorative materials in terms of their functionality with respect to pit and fissure sealants, glass ionomers, and dental composites. Copyright © 2011 Elsevier Inc. All rights reserved.

  3. Electrodes for Semiconductor Gas Sensors

    PubMed Central

    Lee, Sung Pil

    2017-01-01

    The electrodes of semiconductor gas sensors are important in characterizing sensors based on their sensitivity, selectivity, reversibility, response time, and long-term stability. The types and materials of electrodes used for semiconductor gas sensors are analyzed. In addition, the effect of interfacial zones and surface states of electrode–semiconductor interfaces on their characteristics is studied. This study describes that the gas interaction mechanism of the electrode–semiconductor interfaces should take into account the interfacial zone, surface states, image force, and tunneling effect. PMID:28346349

  4. Surface passivation process of compound semiconductor material using UV photosulfidation

    DOEpatents

    Ashby, Carol I. H.

    1995-01-01

    A method for passivating compound semiconductor surfaces by photolytically disrupting molecular sulfur vapor with ultraviolet radiation to form reactive sulfur which then reacts with and passivates the surface of compound semiconductors.

  5. Vertically Aligned and Interconnected Boron Nitride Nanosheets for Advanced Flexible Nanocomposite Thermal Interface Materials.

    PubMed

    Chen, Jin; Huang, Xingyi; Sun, Bin; Wang, Yuxin; Zhu, Yingke; Jiang, Pingkai

    2017-09-13

    The continuous evolution toward semiconductor technology in the "more-than-Moore" era and rapidly increasing power density of modern electronic devices call for advanced thermal interface materials (TIMs). Here, we report a novel strategy to construct flexible polymer nanocomposite TIMs for advanced thermal management applications. First, aligned polyvinyl alcohol (PVA) supported and interconnected 2D boron nitride nanosheets (BNNSs) composite fiber membranes were fabricated by electrospinning. Then, the nanocomposite TIMs were constructed by rolling the PVA/BNNS composite fiber membranes to form cylinders and subsequently vacuum-assisted impregnation of polydimethylsiloxane (PDMS) into the porous cylinders. The nanocomposite TIMs not only exhibit a superhigh through-plane thermal conductivity enhancement of about 10 times at a low BNNS loading of 15.6 vol % in comparison with the pristine PDMS but also show excellent electrical insulating property (i.e., high volume electrical resistivity). The outstanding thermal management capability of the nanocomposite TIMs was practically confirmed by capturing the surface temperature variations of a working LED chip integrated with the nanocomposite TIMs.

  6. Electromechanical phenomena in semiconductor nanostructures

    NASA Astrophysics Data System (ADS)

    Lew Yan Voon, L. C.; Willatzen, M.

    2011-02-01

    Electromechanical phenomena in semiconductors are still poorly studied from a fundamental and an applied science perspective, even though significant strides have been made in the last decade or so. Indeed, most current electromechanical devices are based on ferroelectric oxides. Yet, the importance of the effect in certain semiconductors is being increasingly recognized. For instance, the magnitude of the electric field in an AlN/GaN nanostructure can reach 1-10 MV/cm. In fact, the basic functioning of an (0001) AlGaN/GaN high electron mobility transistor is due to the two-dimensional electron gas formed at the material interface by the polarization fields. The goal of this review is to inform the reader of some of the recent developments in the field for nanostructures and to point out still open questions. Examples of recent work that involves the piezoelectric and pyroelectric effects in semiconductors include: the study of the optoelectronic properties of III-nitrides quantum wells and dots, the current controversy regarding the importance of the nonlinear piezoelectric effect, energy harvesting using ZnO nanowires as a piezoelectric nanogenerator, the use of piezoelectric materials in surface acoustic wave devices, and the appropriateness of various models for analyzing electromechanical effects. Piezoelectric materials such as GaN and ZnO are gaining more and more importance for energy-related applications; examples include high-brightness light-emitting diodes for white lighting, high-electron mobility transistors, and nanogenerators. Indeed, it remains to be demonstrated whether these materials could be the ideal multifunctional materials. The solutions to these and other related problems will not only lead to a better understanding of the basic physics of these materials, but will validate new characterization tools, and advance the development of new and better devices. We will restrict ourselves to nanostructures in the current article even though the

  7. Application of Advanced Materials in Petroleum Engineering

    NASA Astrophysics Data System (ADS)

    Zhao, Gufan; Di, Weina; Wang, Minsheng

    With the background of increasing requirements on the petroleum engineering technology from more high demanding exploration targets, global oil companies and oil service companies are making more efforts on both R&D and application of new petroleum engineering technology. Advanced materials always have a decisive role in the functionality of a new product. Technology transplantation has become the important means of innovation in oil and gas industry. Here, we mainly discuss the properties and scope of application of several advanced materials. Based on the material requirements in petroleum engineering, we provide several candidates for downhole electronics protection, drilling fluid additives, downhole tools, etc. Based on the analysis of petroleum engineering technology characteristics, this paper made analysis and research on such advanced materials as new insulation materials, functional gradient materials, self-healing polymers, and introduced their application prospect in petroleum engineering in terms of specific characteristics.

  8. Micro-Raman spectroscopy as a tool for the characterization of silicon carbide in power semiconductor material processing

    NASA Astrophysics Data System (ADS)

    De Biasio, M.; Kraft, M.; Schultz, M.; Goller, B.; Sternig, D.; Esteve, R.; Roesner, M.

    2017-05-01

    Silicon carbide (SiC) is a wide band-gap semi-conductor material that is used increasingly for high voltage power devices, since it has a higher breakdown field strength and better thermal conductivity than silicon. However, in particular its hardness makes wafer processing difficult and many standard semi-conductor processes have to be specially adapted. We measure the effects of (i) mechanical processing (i.e. grinding of the backside) and (ii) chemical and thermal processing (i.e. doping and annealing), using confocal microscopy to measure the surface roughness of ground wafers and micro-Raman spectroscopy to measure the stresses induced in the wafers by grinding. 4H-SiC wafers with different dopings were studied before and after annealing, using depth-resolved micro-Raman spectroscopy to observe how doping and annealing affect: i.) the damage and stresses induced on the crystalline structure of the samples and ii.) the concentration of free electrical carriers. Our results show that mechanical, chemical and thermal processing techniques have effects on this semiconductor material that can be observed and characterized using confocal microscopy and high resolution micro Raman spectroscopy.

  9. CaTiO.sub.3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class

    DOEpatents

    McKee, Rodney Allen; Walker, Frederick Joseph

    1998-01-01

    A structure including a film of a desired perovskite oxide which overlies and is fully commensurate with the material surface of a semiconductor-based substrate and an associated process for constructing the structure involves the build up of an interfacial template film of perovskite between the material surface and the desired perovskite film. The lattice parameters of the material surface and the perovskite of the template film are taken into account so that during the growth of the perovskite template film upon the material surface, the orientation of the perovskite of the template is rotated 45.degree. with respect to the orientation of the underlying material surface and thereby effects a transition in the lattice structure from fcc (of the semiconductor-based material) to the simple cubic lattice structure of perovskite while the fully commensurate periodicity between the perovskite template film and the underlying material surface is maintained. The film-growth techniques of the invention can be used to fabricate solid state electrical components wherein a perovskite film is built up upon a semiconductor-based material and the perovskite film is adapted to exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic or large dielectric properties during use of the component.

  10. Dense Plasma Focus-Based Nanofabrication of III–V Semiconductors: Unique Features and Recent Advances

    PubMed Central

    Mangla, Onkar; Roy, Savita; Ostrikov, Kostya (Ken)

    2015-01-01

    The hot and dense plasma formed in modified dense plasma focus (DPF) device has been used worldwide for the nanofabrication of several materials. In this paper, we summarize the fabrication of III–V semiconductor nanostructures using the high fluence material ions produced by hot, dense and extremely non-equilibrium plasma generated in a modified DPF device. In addition, we present the recent results on the fabrication of porous nano-gallium arsenide (GaAs). The details of morphological, structural and optical properties of the fabricated nano-GaAs are provided. The effect of rapid thermal annealing on the above properties of porous nano-GaAs is studied. The study reveals that it is possible to tailor the size of pores with annealing temperature. The optical properties of these porous nano-GaAs also confirm the possibility to tailor the pore sizes upon annealing. Possible applications of the fabricated and subsequently annealed porous nano-GaAs in transmission-type photo-cathodes and visible optoelectronic devices are discussed. These results suggest that the modified DPF is an effective tool for nanofabrication of continuous and porous III–V semiconductor nanomaterials. Further opportunities for using the modified DPF device for the fabrication of novel nanostructures are discussed as well. PMID:28344261

  11. Dense Plasma Focus-Based Nanofabrication of III-V Semiconductors: Unique Features and Recent Advances.

    PubMed

    Mangla, Onkar; Roy, Savita; Ostrikov, Kostya Ken

    2015-12-29

    The hot and dense plasma formed in modified dense plasma focus (DPF) device has been used worldwide for the nanofabrication of several materials. In this paper, we summarize the fabrication of III-V semiconductor nanostructures using the high fluence material ions produced by hot, dense and extremely non-equilibrium plasma generated in a modified DPF device. In addition, we present the recent results on the fabrication of porous nano-gallium arsenide (GaAs). The details of morphological, structural and optical properties of the fabricated nano-GaAs are provided. The effect of rapid thermal annealing on the above properties of porous nano-GaAs is studied. The study reveals that it is possible to tailor the size of pores with annealing temperature. The optical properties of these porous nano-GaAs also confirm the possibility to tailor the pore sizes upon annealing. Possible applications of the fabricated and subsequently annealed porous nano-GaAs in transmission-type photo-cathodes and visible optoelectronic devices are discussed. These results suggest that the modified DPF is an effective tool for nanofabrication of continuous and porous III-V semiconductor nanomaterials. Further opportunities for using the modified DPF device for the fabrication of novel nanostructures are discussed as well.

  12. Chirp-enhanced fast light in semiconductor optical amplifiers.

    PubMed

    Sedgwick, F G; Pesala, Bala; Uskov, Alexander V; Chang-Hasnain, C J

    2007-12-24

    We present a novel scheme to increase the THz-bandwidth fast light effect in semiconductor optical amplifiers and increase the number of advanced pulses. By introducing a linear chirp to the input pulses before the SOA and recompressing at the output with an opposite chirp, the advance-bandwidth product reached 3.5 at room temperature, 1.55 microm wavelength. This is the largest number reported, to the best of our knowledge, for a semiconductor slow/fast light device.

  13. Electron transport in high aspect ratio semiconductor nanowires and metal-semiconductor interfaces

    NASA Astrophysics Data System (ADS)

    Sun, Zhuting

    We are facing variability problems for modern semiconductor transistors due to the fact that the performances of nominally identical devices in the scale of 10 100 nm could be dramatically different attributed to the small manufacturing variations. Different doping strategies give statistical variations in the number of dopant atom density ND in the channel. The material size gives variations in wire diameter dW. And the immediate environment of the material leads to an additional level of variability. E.g. vacuum-semiconductor interface causes variations in surface state density Ds, metal-semiconductor interface causes variations in Schottky barrier and dielectric semiconductor interface induces dielectric confinement at small scales. To approach these variability problems, I choose Si-doped GaAs nanowires as an example. I investigate transport in Si-doped GaAs nanowire (NW) samples contacted by lithographically patterned Gold-Titanium films as function of temperature T. I find a drastically different temperature dependence between the wire resistance RW, which is relatively weak, and the zero bias resistance RC, which is strong. I show that the data are consistent with a model based on a sharp donor energy level slightly above the bottom of the semiconductor conduction band and develop a simple method for using transport measurements for estimates of the doping density after nanowire growth. I discuss the predictions of effective free carrier density n eff as function of the surface state density Ds and wire size dW. I also describe a correction to the widely used model of Schottky contacts that improves thermodynamic consistency of the Schottky tunnel barrier profile and show that the original theory may underestimate the barrier conductance under certain conditions. I also provide analytical calculations for shallow silicon dopant energy in GaAs crystals, and find the presence of dielectrics (dielectric screening) and free carriers (Coulomb screening) cause a

  14. Traditional Semiconductors in the Two-Dimensional Limit.

    PubMed

    Lucking, Michael C; Xie, Weiyu; Choe, Duk-Hyun; West, Damien; Lu, Toh-Ming; Zhang, S B

    2018-02-23

    Interest in two-dimensional materials has exploded in recent years. Not only are they studied due to their novel electronic properties, such as the emergent Dirac fermion in graphene, but also as a new paradigm in which stacking layers of distinct two-dimensional materials may enable different functionality or devices. Here, through first-principles theory, we reveal a large new class of two-dimensional materials which are derived from traditional III-V, II-VI, and I-VII semiconductors. It is found that in the ultrathin limit the great majority of traditional binary semiconductors studied (a series of 28 semiconductors) are not only kinetically stable in a two-dimensional double layer honeycomb structure, but more energetically stable than the truncated wurtzite or zinc-blende structures associated with three dimensional bulk. These findings both greatly increase the landscape of two-dimensional materials and also demonstrate that in the double layer honeycomb form, even ordinary semiconductors, such as GaAs, can exhibit exotic topological properties.

  15. FWP executive summaries, Basic Energy Sciences Materials Sciences Programs (SNL/NM)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Samara, G.A.

    1997-05-01

    The BES Materials Sciences Program has the central theme of Scientifically Tailored Materials. The major objective of this program is to combine Sandia`s expertise and capabilities in the areas of solid state sciences, advanced atomic-level diagnostics and materials synthesis and processing science to produce new classes of tailored materials as well as to enhance the properties of existing materials for US energy applications and for critical defense needs. Current core research in this program includes the physics and chemistry of ceramics synthesis and processing, the use of energetic particles for the synthesis and study of materials, tailored surfaces and interfacesmore » for materials applications, chemical vapor deposition sciences, artificially-structured semiconductor materials science, advanced growth techniques for improved semiconductor structures, transport in unconventional solids, atomic-level science of interfacial adhesion, high-temperature superconductors, and the synthesis and processing of nano-size clusters for energy applications. In addition, the program includes the following three smaller efforts initiated in the past two years: (1) Wetting and Flow of Liquid Metals and Amorphous Ceramics at Solid Interfaces, (2) Field-Structured Anisotropic Composites, and (3) Composition-Modulated Semiconductor Structures for Photovoltaic and Optical Technologies. The latter is a joint effort with the National Renewable Energy Laboratory. Separate summaries are given of individual research areas.« less

  16. Development of advanced thermoelectric materials

    NASA Technical Reports Server (NTRS)

    1984-01-01

    The development of an advanced thermoelectric material for radioisotope thermoelectric generator (RTG) applications is reported. A number of materials were explored. The bulk of the effort, however, was devoted to improving silicon germanium alloys by the addition of gallium phosphide, the synthesis and evaluation of lanthanum chrome sulfide and the formulation of various mixtures of lanthanum sulfide and chrome sulfide. It is found that each of these materials exhibits promise as a thermoelectric material.

  17. Method of Promoting Single Crystal Growth During Melt Growth of Semiconductors

    NASA Technical Reports Server (NTRS)

    Su, Ching-Hua (Inventor)

    2013-01-01

    The method of the invention promotes single crystal growth during fabrication of melt growth semiconductors. A growth ampoule and its tip have a semiconductor source material placed therein. The growth ampoule is placed in a first thermal environment that raises the temperature of the semiconductor source material to its liquidus temperature. The growth ampoule is then transitioned to a second thermal environment that causes the semiconductor source material in the growth ampoule's tip to attain a temperature that is below the semiconductor source material's solidus temperature. The growth ampoule so-transitioned is then mechanically perturbed to induce single crystal growth at the growth ampoule's tip.

  18. Development of Specialized Advanced Materials Curriculum.

    ERIC Educational Resources Information Center

    Malmgren, Thomas; And Others

    This course is intended to give students a comprehensive experience in current and future manufacturing materials and processes. It familiarizes students with: (1) base of composite materials; (2) composites--a very light, strong material used in spacecraft and stealth aircraft; (3) laminates; (4) advanced materials--especially aluminum alloys;…

  19. Electronic-carrier-controlled photochemical etching process in semiconductor device fabrication

    DOEpatents

    Ashby, C.I.H.; Myers, D.R.; Vook, F.L.

    1988-06-16

    An electronic-carrier-controlled photochemical etching process for carrying out patterning and selective removing of material in semiconductor device fabrication includes the steps of selective ion implanting, photochemical dry etching, and thermal annealing, in that order. In the selective ion implanting step, regions of the semiconductor material in a desired pattern are damaged and the remainder of the regions of the material not implanted are left undamaged. The rate of recombination of electrons and holes is increased in the damaged regions of the pattern compared to undamaged regions. In the photochemical dry etching step which follows ion implanting step, the material in the undamaged regions of the semiconductor are removed substantially faster than in the damaged regions representing the pattern, leaving the ion-implanted, damaged regions as raised surface structures on the semiconductor material. After completion of photochemical dry etching step, the thermal annealing step is used to restore the electrical conductivity of the damaged regions of the semiconductor material.

  20. Electronic-carrier-controlled photochemical etching process in semiconductor device fabrication

    DOEpatents

    Ashby, Carol I. H.; Myers, David R.; Vook, Frederick L.

    1989-01-01

    An electronic-carrier-controlled photochemical etching process for carrying out patterning and selective removing of material in semiconductor device fabrication includes the steps of selective ion implanting, photochemical dry etching, and thermal annealing, in that order. In the selective ion implanting step, regions of the semiconductor material in a desired pattern are damaged and the remainder of the regions of the material not implanted are left undamaged. The rate of recombination of electrons and holes is increased in the damaged regions of the pattern compared to undamaged regions. In the photochemical dry etching step which follows ion implanting step, the material in the undamaged regions of the semiconductor are removed substantially faster than in the damaged regions representing the pattern, leaving the ion-implanted, damaged regions as raised surface structures on the semiconductor material. After completion of photochemical dry etching step, the thermal annealing step is used to restore the electrical conductivity of the damaged regions of the semiconductor material.

  1. Back-side readout semiconductor photomultiplier

    DOEpatents

    Choong, Woon-Seng; Holland, Stephen E

    2014-05-20

    This disclosure provides systems, methods, and apparatus related to semiconductor photomultipliers. In one aspect, a device includes a p-type semiconductor substrate, the p-type semiconductor substrate having a first side and a second side, the first side of the p-type semiconductor substrate defining a recess, and the second side of the p-type semiconductor substrate being doped with n-type ions. A conductive material is disposed in the recess. A p-type epitaxial layer is disposed on the second side of the p-type semiconductor substrate. The p-type epitaxial layer includes a first region proximate the p-type semiconductor substrate, the first region being implanted with p-type ions at a higher doping level than the p-type epitaxial layer, and a second region disposed on the first region, the second region being doped with p-type ions at a higher doping level than the first region.

  2. Roadmap on semiconductor-cell biointerfaces

    NASA Astrophysics Data System (ADS)

    Tian, Bozhi; Xu, Shuai; Rogers, John A.; Cestellos-Blanco, Stefano; Yang, Peidong; Carvalho-de-Souza, João L.; Bezanilla, Francisco; Liu, Jia; Bao, Zhenan; Hjort, Martin; Cao, Yuhong; Melosh, Nicholas; Lanzani, Guglielmo; Benfenati, Fabio; Galli, Giulia; Gygi, Francois; Kautz, Rylan; Gorodetsky, Alon A.; Kim, Samuel S.; Lu, Timothy K.; Anikeeva, Polina; Cifra, Michal; Krivosudský, Ondrej; Havelka, Daniel; Jiang, Yuanwen

    2018-05-01

    This roadmap outlines the role semiconductor-based materials play in understanding the complex biophysical dynamics at multiple length scales, as well as the design and implementation of next-generation electronic, optoelectronic, and mechanical devices for biointerfaces. The roadmap emphasizes the advantages of semiconductor building blocks in interfacing, monitoring, and manipulating the activity of biological components, and discusses the possibility of using active semiconductor-cell interfaces for discovering new signaling processes in the biological world.

  3. Materials Requirements for Advanced Propulsion Systems

    NASA Technical Reports Server (NTRS)

    Whitaker, Ann F.; Cook, Mary Beth; Clinton, R. G., Jr.

    2005-01-01

    NASA's mission to "reach the Moon and Mars" will be obtained only if research begins now to develop materials with expanded capabilities to reduce mass, cost and risk to the program. Current materials cannot function satisfactorily in the deep space environments and do not meet the requirements of long term space propulsion concepts for manned missions. Directed research is needed to better understand materials behavior for optimizing their processing. This research, generating a deeper understanding of material behavior, can lead to enhanced implementation of materials for future exploration vehicles. materials providing new approaches for manufacture and new options for In response to this need for more robust materials, NASA's Exploration Systems Mission Directorate (ESMD) has established a strategic research initiative dedicated to materials development supporting NASA's space propulsion needs. The Advanced Materials for Exploration (AME) element directs basic and applied research to understand material behavior and develop improved materials allowing propulsion systems to operate beyond their current limitations. This paper will discuss the approach used to direct the path of strategic research for advanced materials to ensure that the research is indeed supportive of NASA's future missions to the moon, Mars, and beyond.

  4. A DPL model of photo-thermal interaction in an infinite semiconductor material containing a spherical hole

    NASA Astrophysics Data System (ADS)

    Hobiny, Aatef D.; Abbas, Ibrahim A.

    2018-01-01

    The dual phase lag (DPL) heat transfer model is applied to study the photo-thermal interaction in an infinite semiconductor medium containing a spherical hole. The inner surface of the cavity was traction free and loaded thermally by pulse heat flux. By using the eigenvalue approach methodology and Laplace's transform, the physical variable solutions are obtained analytically. The numerical computations for the silicon-like semiconductor material are obtained. The comparison among the theories, i.e., dual phase lag (DPL), Lord and Shulman's (LS) and the classically coupled thermoelastic (CT) theory is presented graphically. The results further show that the analytical scheme can overcome mathematical problems by analyzing these problems.

  5. Semiconductor Lasers and Their Application in Optical Fiber Communication.

    ERIC Educational Resources Information Center

    Agrawal, Govind P.

    1985-01-01

    Working principles and operating characteristics of the extremely compact and highly efficient semiconductor lasers are explained. Topics include: the p-n junction; Fabry-Perot cavity; heterostructure semiconductor lasers; materials; emission characteristics; and single-frequency semiconductor lasers. Applications for semiconductor lasers include…

  6. Advances in Nuclear Monitoring Technologies

    NASA Astrophysics Data System (ADS)

    Park, Brent

    2006-03-01

    Homeland security requires low-cost, large-area detectors for locating and identifying weapons-usable nuclear materials and monitors for radiological isotopes that are more robust than current systems. Recent advances in electronics materials and nanotechnology, specifically organic semiconductors and inorganic quantum dots, offer potential improvements. We provide an overview of the physical processes involved in radiation detection using these new materials in the design of new device structures. Examples include recent efforts on quantum dots, as well as more traditional radiation-detecting materials such as CdZnTe and high-pressure xenon. Detector improvements demand not only new materials but also enhanced data-analysis tools that reduce false alarms and thus increase the quality of decisions. Additional computing power on hand-held platforms should enable the application of advanced algorithms to radiation-detection problems in the field, reducing the need to transmit data and thus delay analysis.

  7. Synthesis and characterization of polymer matrix composite material with combination of ZnO filler and nata de coco fiber as a candidate of semiconductor material

    NASA Astrophysics Data System (ADS)

    Saputra, Asep Handaya; Anindita, Hana Nabila

    2015-12-01

    Synthesis of semiconductor composite using acrylic matrix filled with ZnO and nata de coco fiber has been conducted in this research. The purpose of this research is to obtain semiconductor composite material that has a good mechanical strength and thermal resistance. In situ polymerization method is used in this research and the composites are ready to be characterized after 12 hours. The main parameter that is characterized is the electric conductivity of the composite. Additional parameters are also characterized such as composite's elastic modulus and glass transition temperature. The composites that has been made in this research can be classified as semiconductor material because the conductivity is in the range of 10-8-103 S/cm. In general the addition of ZnO and nata de coco filler can increase the conductivity of the composite. The highest semiconductor characteristic in acrylic/ZnO composite is obtained from 30% volume filler that reach 3.4 x 10-7 S/cm. Similar with acrylic/ZnO composite, in acrylic/nata de coco fiber composite the highest semiconductor characteristic is also obtained from 30% volume filler that reach 1.15 x 10-7 S/cm. Combination of 20% volume of ZnO, 10% volume of nata de coco, and 70% volume of acrylic resulting in composite with electric conductivity of 1.92 x 10-7 S/cm. In addition, combination of ZnO and nata de coco fiber as filler in composite can also improve the characteristic of composite where composite with 20% volume of ZnO filler and 10% volume of nata de coco fiber resulting in composite with elastic modulus of 1.79 GPa and glass transition temperature of 175.73°C which is higher than those in acrylic/ZnO composite.

  8. Surface chemistry relevant to material processing for semiconductor devices

    NASA Astrophysics Data System (ADS)

    Okada, Lynne Aiko

    Metal-oxide-semiconductor (MOS) structures are the core of many modern integrated circuit (IC) devices. Each material utilized in the different regions of the device has its own unique chemistry. Silicon is the base semiconductor material used in the majority of these devices. With IC device complexity increasing and device dimensions decreasing, understanding material interactions and processing becomes increasingly critical. Hsb2 desorption is the rate-limiting step in silicon growth using silane under low temperature conditions. Activation energies for Hsb2 desorption measured during Si chemical vapor deposition (CVD) versus single-crystal studies are found to be significantly lower. It has been proposed that defect sites on the silicon surface could explain the observed differences. Isothermal Hsb2 desorption studies using laser induced thermal desorption (LITD) techniques have addressed this issue. The growth of low temperature oxides is another relevant issue for fabrication of IC devices. Recent studies using 1,4-disilabutane (DSB) (SiHsb3CHsb2CHsb2SiHsb3) at 100sp°C in ambient Osb2 displayed the successful low temperature growth of silicon dioxide (SiOsb2). However, these studies provided no information about the deposition mechanism. We performed LITD and Fourier transform infrared (FTIR) studies on single-crystal and porous silicon surfaces to examine the adsorption, decomposition, and desorption processes to determine the deposition mechanism. Titanium nitride (TiN) diffusion barriers are necessary in modern metallization structures. Controlled deposition using titanium tetrachloride (TiClsb4) and ammonia (NHsb3) has been demonstrated using atomic layered processing (ALP) techniques. We intended to study the sequential deposition method by monitoring the surface intermediates using LITD techniques. However, formation of a Cl impurity source, ammonium chloride (NHsb4sp+Clsp-), was observed, thereby, limiting our ability for effective studies. Tetrakis

  9. Reliability Prediction Models for Discrete Semiconductor Devices

    DTIC Science & Technology

    1988-07-01

    influence failure rate were device construction, semiconductor material, junction temperature, electrical stress, circuit application., a plication...found to influence failure rate were device construction, semiconductor material, junction temperature, electrical stress, circuit application...MFA Airbreathlng 14issile, Flight MFF Missile, Free Flight ML Missile, Launch MMIC Monolithic Microwave Integrated Circuits MOS Metal-Oxide

  10. Advanced Photon Source Upgrade Project - Materials

    ScienceCinema

    Gibbson, Murray

    2018-03-02

    An upgrade to Advanced Photon Source announced by DOE - http://go.usa.gov/ivZ -- will help scientists break through bottlenecks in materials design in order to develop materials with desirable functions.

  11. Semiconductor-based optical refrigerator

    DOEpatents

    Epstein, Richard I.; Edwards, Bradley C.; Sheik-Bahae, Mansoor

    2002-01-01

    Optical refrigerators using semiconductor material as a cooling medium, with layers of material in close proximity to the cooling medium that carries away heat from the cooling material and preventing radiation trapping. In addition to the use of semiconducting material, the invention can be used with ytterbium-doped glass optical refrigerators.

  12. Surface Conduction in III-V Semiconductor Infrared Detector Materials

    NASA Astrophysics Data System (ADS)

    Sidor, Daniel Evan

    III-V semiconductors are increasingly used to produce high performance infrared photodetectors; however a significant challenge inherent to working with these materials is presented by unintended electrical conduction pathways that form along their surfaces. Resulting leakage currents contribute to system noise and are ineffectively mitigated by device cooling, and therefore limit ultimate performance. When the mechanism of surface conduction is understood, the unipolar barrier device architecture offers a potential solution. III-V bulk unipolar barrier detectors that effectively suppress surface leakage have approached the performance of the best II-VI pn-based structures. This thesis begins with a review of empirically determined Schottky barrier heights and uses this information to present a simple model of semiconductor surface conductivity. The model is validated through measurements of degenerate n-type surface conductivity on InAs pn junctions, and non-degenerate surface conductivity on GaSb pn junctions. It is then extended, along with design principles inspired by the InAs-based nBn detector, to create a flat-band pn-based unipolar barrier detector possessing a conductive surface but free of detrimental surface leakage current. Consideration is then given to the relative success of these and related bulk detectors in suppressing surface leakage when compared to analogous superlattice-based designs, and general limitations of unipolar barriers in suppressing surface leakage are proposed. Finally, refinements to the molecular beam epitaxy crystal growth techniques used to produce InAs-based unipolar barrier heterostructure devices are discussed. Improvements leading to III-V device performance well within an order of magnitude of the state-of-the-art are demonstrated.

  13. Electron gas grid semiconductor radiation detectors

    DOEpatents

    Lee, Edwin Y.; James, Ralph B.

    2002-01-01

    An electron gas grid semiconductor radiation detector (EGGSRAD) useful for gamma-ray and x-ray spectrometers and imaging systems is described. The radiation detector employs doping of the semiconductor and variation of the semiconductor detector material to form a two-dimensional electron gas, and to allow transistor action within the detector. This radiation detector provides superior energy resolution and radiation detection sensitivity over the conventional semiconductor radiation detector and the "electron-only" semiconductor radiation detectors which utilize a grid electrode near the anode. In a first embodiment, the EGGSRAD incorporates delta-doped layers adjacent the anode which produce an internal free electron grid well to which an external grid electrode can be attached. In a second embodiment, a quantum well is formed between two of the delta-doped layers, and the quantum well forms the internal free electron gas grid to which an external grid electrode can be attached. Two other embodiments which are similar to the first and second embodiment involve a graded bandgap formed by changing the composition of the semiconductor material near the first and last of the delta-doped layers to increase or decrease the conduction band energy adjacent to the delta-doped layers.

  14. Recent advances in photonics packaging materials

    NASA Astrophysics Data System (ADS)

    Zweben, Carl

    2006-02-01

    There are now over a dozen low-CTE materials with thermal conductivities between that of copper (400 w/m-K) and over 4X copper (1700 W/m-K). Most have low densities. For comparison, traditional low-CTE packaging materials like copper/tungsten have thermal conductivities that are little or no better than that of aluminum (200 W/m-K) and high densities. There are also low-density thermal insulators with low CTEs. Some advanced materials are low cost. Most do not outgas. They have a wide range of electrical properties that can be used to minimize electromagnetic emissions or provide EMI shielding. Several are now in commercial and aerospace applications, including laser diode packages; light-emitting diode (LED) packages; thermoelectric cooler bases, plasma displays; power modules; servers; laptops; heat sinks; thermally conductive, low-CTE printed circuit boards; and printed circuit board cold plates. Advanced material payoffs include: improved thermal performance, reliability, alignment and manufacturing yield; reduced thermal stresses and heating power requirements; simplified thermal design; enablement of hard solder direct attach; weight savings up to 85%; size reductions up to 65%; and lower cost. This paper discusses the large and increasing number of advanced packaging materials, including properties, development status, applications, increasing manufacturing yield, cost, lessons learned and future directions, including nanocomposites.

  15. Synthesis of new nanocrystal materials

    NASA Astrophysics Data System (ADS)

    Hassan, Yasser Hassan Abd El-Fattah

    Colloidal semiconductor nanocrystals (NCs) have sparked great excitement in the scientific community in last two decades. NCs are useful for both fundamental research and technical applications in various fields owing to their size and shape-dependent properties and their potentially inexpensive and excellent chemical processability. These NCs are versatile fluorescence probes with unique optical properties, including tunable luminescence, high extinction coefficient, broad absorption with narrow photoluminescence, and photobleaching resistance. In the past few years, a lot of attention has been given to nanotechnology based on using these materials as building blocks to design light harvesting assemblies. For instant, the pioneering applications of NCs are light-emitting diodes, lasers, and photovoltaic devices. Synthesis of the colloidal stable semiconductor NCs using the wet method of the pyrolysis of organometallic and chalcogenide precursors, known as hot-injection approach, is the chart-topping preparation method in term of high quality and monodisperse sized NCs. The advancement in the synthesis of these artificial materials is the core step toward their applications in a broad range of technologies. This dissertation focuses on exploring various innovative and novel synthetic methods of different types of colloidal nanocrystals, both inorganic semiconductors NCs, also known as quantum dots (QDs), and organic-inorganic metal halide-perovskite materials, known as perovskites. The work presented in this thesis focuses on pursuing fundamental understanding of the synthesis, material properties, photophysics, and spectroscopy of these nanostructured semiconductor materials. This thesis contains 6 chapters and conclusions. Chapters 1?3 focus on introducing theories and background of the materials being synthesized in the thesis. Chapter 4 demonstrates our synthesis of colloidal linker--free TiO2/CdSe NRs heterostructures with CdSe QDs grown in the presence of Ti

  16. Advanced High-Temperature Engine Materials Technology Progresses

    NASA Technical Reports Server (NTRS)

    1995-01-01

    The objective of the Advanced High Temperature Engine Materials Technology Program (HITEMP) is to generate technology for advanced materials and structural analysis that will increase fuel economy, improve reliability, extend life, and reduce operating costs for 21st century civil propulsion systems. The primary focus is on fan and compressor materials (polymer-matrix composites--PMC's), compressor and turbine materials (superalloys, and metal-matrix and intermetallic-matrix composites--MMC's and IMC's) and turbine materials (ceramic-matrix composites--CMC's). These advanced materials are being developed by in-house researchers and on grants and contracts. NASA considers this program to be a focused materials and structures research effort that builds on our base research programs and supports component-development projects. HITEMP is coordinated with the Advanced Subsonic Technology (AST) Program and the Department of Defense/NASA Integrated High-Performance Turbine Engine Technology (IHPTET) Program. Advanced materials and structures technologies from HITEMP may be used in these future applications. Recent technical accomplishments have not only improved the state-of-the-art but have wideranging applications to industry. A high-temperature thin-film strain gage was developed to measure both dynamic and static strain up to 1100 C (2000 F). The gage's unique feature is that it is minimally intrusive. This technology, which received a 1995 R&D 100 Award, has been transferred to AlliedSignal Engines, General Electric Company, and Ford Motor Company. Analytical models developed at the NASA Lewis Research Center were used to study Textron Specialty Materials' manufacturing process for titanium-matrix composite rings. Implementation of our recommendations on tooling and processing conditions resulted in the production of defect free rings. In the Lincoln Composites/AlliedSignal/Lewis cooperative program, a composite compressor case is being manufactured with a Lewis

  17. Future requirements for advanced materials

    NASA Technical Reports Server (NTRS)

    Olstad, W. B.

    1980-01-01

    Recent advances and future trends in aerospace materials technology are reviewed with reference to metal alloys, high-temperature composites and adhesives, tungsten fiber-reinforced superalloys, hybrid materials, ceramics, new ablative materials, such as carbon-carbon composite and silica tiles used in the Shuttle Orbiter. The technologies of powder metallurgy coupled with hot isostatic pressing, near net forging, complex large shape casting, chopped fiber molding, superplastic forming, and computer-aided design and manufacture are emphasized.

  18. Materials for high-density electronic packaging and interconnection

    NASA Technical Reports Server (NTRS)

    1990-01-01

    Electronic packaging and interconnections are the elements that today limit the ultimate performance of advanced electronic systems. Materials in use today and those becoming available are critically examined to ascertain what actions are needed for U.S. industry to compete favorably in the world market for advanced electronics. Materials and processes are discussed in terms of the final properties achievable and systems design compatibility. Weak points in the domestic industrial capability, including technical, industrial philosophy, and political, are identified. Recommendations are presented for actions that could help U.S. industry regain its former leadership position in advanced semiconductor systems production.

  19. Review of the Semiconductor Industry and Technology Roadmap.

    ERIC Educational Resources Information Center

    Kumar, Sameer; Krenner, Nicole

    2002-01-01

    Points out that the semiconductor industry is extremely competitive and requires ongoing technological advances to improve performance while reducing costs to remain competitive and how essential it is to gain an understanding of important facets of the industry. Provides an overview of the initial and current semiconductor technology roadmap that…

  20. Optical temperature sensor using thermochromic semiconductors

    DOEpatents

    Kronberg, James W.

    1998-01-01

    An optical temperature measuring device utilizes thermochromic semiconductors which vary in color in response to changes in temperature. The thermochromic material is sealed in a glass matrix which allows the temperature sensor to detect high temperatures without breakdown. Cuprous oxide and cadmium sulfide are among the semiconductor materials which provide the best results. The changes in color may be detected visually using a sensor chip and an accompanying color card.

  1. Progress in silicon carbide semiconductor technology

    NASA Technical Reports Server (NTRS)

    Powell, J. A.; Neudeck, P. G.; Matus, L. G.; Petit, J. B.

    1992-01-01

    Silicon carbide semiconductor technology has been advancing rapidly over the last several years. Advances have been made in boule growth, thin film growth, and device fabrication. This paper wi11 review reasons for the renewed interest in SiC, and will review recent developments in both crystal growth and device fabrication.

  2. Thin-film semiconductor perspective of organometal trihalide perovskite materials for high-efficiency solar cells

    DOE PAGES

    Xiao, Zhengguo; Yuan, Yongbo; Wang, Qi; ...

    2016-02-19

    Organolead trihalide perovskites (OTPs) are arising as a new generation of low-cost active materials for solar cells with efficiency rocketing from 3.5% to over 20% within only five years. From “dye” in dye sensitized solar cells to “hole conductors” and “electron conductors” in mesoscopic heterojunction solar cells, there has been a dramatic conceptual evolution on the function of OTPs in photovoltaic devices. OTPs were originally used as dyes in Gratzel cells, achieving a high efficiency above 15% which, however, did not manifest the excellent charge transport properties of OTPs. An analogy of OTPs to traditional semiconductors was drawn after themore » demonstration of highly efficient planar heterojunction structure OTP devices and the observation of their excellent bipolar transport properties with a large diffusion length exceeding 100 nm in CH 3NH 3PbI 3 (MAPbI 3) polycrystalline thin films. Here, this review aims to provide the most recent advances in the understanding of the origin of the high OTP device efficiency. Specifically we will focus on reviewing the progress in understanding 1) the characterization of fantastic optoelectronic property of OTPs, 2) the unusual defect physics that originate the optoelectronic property; 3) morphology control of the perovskite film from fabrication process and film post-treatment, and 4) device interface and charge transport layers that dramatically impact device efficiency in the OTP thin film devices; 5) photocurrent hysteresis; 6) tandem solar cells; 7) stability of the perovskite materials and solar cell devices.« less

  3. Selection of peptides for binding semiconductor and magnetic materials for the purpose of organizing nanoscaled materials

    NASA Astrophysics Data System (ADS)

    Whaley, Sandra Renee

    A peptide combinatorial approach, also known as phage display, was used to isolate peptides with the ability to bind semiconductor (GaAs, GaN, and InP) and magnetic (Fe2O3 and Fe3O4) materials. The commercially available combinatorial libraries contain randomized peptides either twelve (Ph.D-12(TM)) or seven (Ph.D-C7C(TM)) amino acids in length. The peptides are displayed on the pIII protein of M13 bacteriophage, which have been imaged by atomic force microscopy and transmission electron microscopy. After seven rounds of phage selection with a constrained seven amino acid sequence library (Ph.D-C7C(TM)), two sequences were isolated for binding Fe3O4 (MG-127 and MG-78). The haematite surface was screened with the same library and four unique sequences were isolated after six rounds of selection (HM-95, HM-101, HM-103, and HM-111). According to binding experiments (MG-78 v. MG-127 on Fe3O 4, MG-127 v. HM-95 on Fe3O4 and Fe2O 3, and MG-127 v. HM-95 on gamma-Fe2O3), the MG-127 clone had the highest affinity for iron oxide surfaces (magnetite, haematite, and maghemite) among the clones tested. The Fe3O 4 clone MG-127 displayed the ability to organize Fe3O 4 nanoparticles along bundles of phage. The synthetic peptide analog of this clone was used in the organization of nanoparticles onto the surface of latex beads. The surfaces of the III-V semiconductors were studied using x-ray photoelectron spectroscopy to determine their reactivity in the aqueous conditions used for phage selection. The GaN surface was shown to oxidize the least under these conditions, aiding in the ability to isolate a consensus amino acid sequence responsible for binding to this surface. The G1-3 clone isolated for binding the GaAs (100) surface displayed preferential binding to the GaAs (100) surface over Si (100), GaAs (111) A, GaAs (111) B, and AlGaAs. The synthetic peptide analog of the G12-3 clone was found to preferentially bind to GaAs (100) over either GaAs (111) surfaces or InP (100). This

  4. Method for removing semiconductor layers from salt substrates

    DOEpatents

    Shuskus, Alexander J.; Cowher, Melvyn E.

    1985-08-27

    A method is described for removing a CVD semiconductor layer from an alkali halide salt substrate following the deposition of the semiconductor layer. The semiconductor-substrate combination is supported on a material such as tungsten which is readily wet by the molten alkali halide. The temperature of the semiconductor-substrate combination is raised to a temperature greater than the melting temperature of the substrate but less than the temperature of the semiconductor and the substrate is melted and removed from the semiconductor by capillary action of the wettable support.

  5. Optical temperature indicator using thermochromic semiconductors

    DOEpatents

    Kronberg, J.W.

    1995-01-01

    A reversible optical temperature indicator utilizes thermochromic semiconductors which vary in color in response to various temperature levels. The thermochromic material is enclosed in an enamel which provides protection and prevents breakdown at higher temperatures. Cadmium sulfide is the preferred semiconductor material. The indicator may be utilized as a sign or in a striped arrangement to clearly provide a warning to a user. The various color responses provide multiple levels of alarm.

  6. Optical temperature indicator using thermochromic semiconductors

    DOEpatents

    Kronberg, James W.

    1996-01-01

    A reversible optical temperature indicator utilizes thermochromic semiconductors which vary in color in response to various temperature levels. The thermochromic material is enclosed in an enamel which provides protection and prevents breakdown at higher temperatures. Cadmium sulfide is the preferred semiconductor material. The indicator may be utilized as a sign or in a striped arrangement to clearly provide a warning to a user. The various color responses provide multiple levels of alarm.

  7. Optical temperature sensor using thermochromic semiconductors

    DOEpatents

    Kronberg, James W.

    1996-01-01

    An optical temperature measuring device utilizes thermochromic semiconductors which vary in color in response to changes in temperature. The thermochromic material is sealed in a glass matrix which allows the temperature sensor to detect high temperatures without breakdown. Cuprous oxide and cadmium sulfide are among the semiconductor materials which provide the best results. The changes in color may be detected visually or by utilizing an optical fiber and an electrical sensing circuit.

  8. Optical temperature sensor using thermochromic semiconductors

    DOEpatents

    Kronberg, J.W.

    1996-08-20

    An optical temperature measuring device utilizes thermochromic semiconductors which vary in color in response to changes in temperature. The thermochromic material is sealed in a glass matrix which allows the temperature sensor to detect high temperatures without breakdown. Cuprous oxide and cadmium sulfide are among the semiconductor materials which provide the best results. The changes in color may be detected visually or by utilizing an optical fiber and an electrical sensing circuit. 7 figs.

  9. Optical temperature sensor using thermochromic semiconductors

    DOEpatents

    Kronberg, J.W.

    1998-06-30

    An optical temperature measuring device utilizes thermochromic semiconductors which vary in color in response to changes in temperature. The thermochromic material is sealed in a glass matrix which allows the temperature sensor to detect high temperatures without breakdown. Cuprous oxide and cadmium sulfide are among the semiconductor materials which provide the best results. The changes in color may be detected visually using a sensor chip and an accompanying color card. 8 figs.

  10. Semiconductor assisted metal deposition for nanolithography applications

    DOEpatents

    Rajh, Tijana; Meshkov, Natalia; Nedelijkovic, Jovan M.; Skubal, Laura R.; Tiede, David M.; Thurnauer, Marion

    2001-01-01

    An article of manufacture and method of forming nanoparticle sized material components. A semiconductor oxide substrate includes nanoparticles of semiconductor oxide. A modifier is deposited onto the nanoparticles, and a source of metal ions are deposited in association with the semiconductor and the modifier, the modifier enabling electronic hole scavenging and chelation of the metal ions. The metal ions and modifier are illuminated to cause reduction of the metal ions to metal onto the semiconductor nanoparticles.

  11. Semiconductor assisted metal deposition for nanolithography applications

    DOEpatents

    Rajh, Tijana; Meshkov, Natalia; Nedelijkovic, Jovan M.; Skubal, Laura R.; Tiede, David M.; Thurnauer, Marion

    2002-01-01

    An article of manufacture and method of forming nanoparticle sized material components. A semiconductor oxide substrate includes nanoparticles of semiconductor oxide. A modifier is deposited onto the nanoparticles, and a source of metal ions are deposited in association with the semiconductor and the modifier, the modifier enabling electronic hole scavenging and chelation of the metal ions. The metal ions and modifier are illuminated to cause reduction of the metal ions to metal onto the semiconductor nanoparticles.

  12. Defect identification in semiconductors with positron annihilation: experiment and theory

    NASA Astrophysics Data System (ADS)

    Tuomisto, Filip

    2015-03-01

    Positron annihilation spectroscopy is a very powerful technique for the detection, identification and quantification of vacancy-type defects in semiconductors. In the past decades, it has been used to reveal the relationship between opto-electronic properties and specific defects in a wide variety of materials - examples include parasitic yellow luminescence in GaN, dominant acceptor defects in ZnO and broad-band absorption causing brown coloration in natural diamond. In typical binary compound semiconductors, the selective sensitivity of the technique is rather strongly limited to cation vacancies that possess significant open volume and suitable charge (negative of neutral). On the other hand, oxygen vacancies in oxide semiconductors are a widely debated topic. The properties attributed to oxygen vacancies include the inherent n-type conduction, poor p-type dopability, coloration (absorption), deep level luminescence and non-radiative recombination, while the only direct experimental evidence of their existence has been obtained on the crystal surface. We will present recent advances in combining state-of-the-art positron annihilation experiments and ab initio computational approaches. The latter can be used to model both the positron lifetime and the electron-positron momentum distribution - quantities that can be directly compared with experimental results. We have applied these methods to study vacancy-type defects in III-nitride semiconductors (GaN, AlN, InN) and oxides such as ZnO, SnO2, In2O3andGa2O3. We will show that cation-vacancy-related defects are important compensating centers in all these materials when they are n-type. In addition, we will show that anion (N, O) vacancies can be detected when they appear as complexes with cation vacancies.

  13. Elastico-mechanoluminescence and crystal-structure relationships in persistent luminescent materials and II-VI semiconductor phosphors

    NASA Astrophysics Data System (ADS)

    Chandra, B. P.; Chandra, V. K.; Jha, Piyush

    2015-04-01

    Elastico-mechanoluminescence (EML) has recently attracted the attention of a large number of researchers because of its potential in different types of mechano-optical devices. For understanding the mechanism of EML the relationships between elastico-mechanoluminescence (EML) and crystal-structure of a large number of persistent luminescent materials and II-VI semiconductor phosphors known to date are investigated. It is found that, although most of the non-centrosymmetric crystals exhibit EML, certain non-centrosymmetric crystals do not show EML. Whereas, many centrosymmetric crystals do not exhibit EML, certain centrosymmetric crystals exhibit EML. Piezoelectric ZnS:Cu,Cl single crystals do not show EML, but piezoelectric ZnS:Cu,Cl microcrystalline phosphors show very intense EML. Piezoelectric single crystals of undoped ZnS do not show EML. It seems that EML is related to local piezoelectrification near the impurities in crystals where piezoelectric constant is high. Suitable piezoelectric field near the local piezoelectric region and stable charge carriers in traps are required for appearance of EML. The EML of persistent luminescent materials and II-VI semiconductor phosphors can be understood on the basis of piezoelectrically-induced trap-depth reduction model of EML. Using suitable dopants both in non-centrosymmetric and centrosymmetric crystals intense elastico-mechanoluminescent materials emitting desired colours can be tailored, which may find applications in several mechano-optical devices.

  14. GUARD RING SEMICONDUCTOR JUNCTION

    DOEpatents

    Goulding, F.S.; Hansen, W.L.

    1963-12-01

    A semiconductor diode having a very low noise characteristic when used under reverse bias is described. Surface leakage currents, which in conventional diodes greatly contribute to noise, are prevented from mixing with the desired signal currents. A p-n junction is formed with a thin layer of heavily doped semiconductor material disposed on a lightly doped, physically thick base material. An annular groove cuts through the thin layer and into the base for a short distance, dividing the thin layer into a peripheral guard ring that encircles the central region. Noise signal currents are shunted through the guard ring, leaving the central region free from such currents. (AEC)

  15. Room-temperature ductile inorganic semiconductor.

    PubMed

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag 2 S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  16. Room-temperature ductile inorganic semiconductor

    NASA Astrophysics Data System (ADS)

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag2S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  17. Resistivity, carrier trapping, and polarization phenomenon in semiconductor radiation detection materials

    NASA Astrophysics Data System (ADS)

    Du, Mao-Hua; Biswas, Koushik; Singh, David J.

    2012-10-01

    In this paper, we report theoretical studies of native defects and dopants in a number of room-temperature semiconductor radiation detection materials, i.e., CdTe, TlBr, and Tl6SeI4. We address several important questions, such as what causes high resistivity in these materials, what explains good μτ product (carrier mobility-lifetime product) in soft-lattice ionic compounds that have high defect density, and how to obtain high resistivity and low carrier trapping simultaneously. Our main results are: (1) shallow donors rather than deep ones are responsible for high resistivity in high-quality detectorgrade CdTe; (2) large dielectric screening and the lack of deep levels from low-energy native defects may contribute to the good μτ products for both electrons and holes in TlBr; (3) the polarization phenomenon in Tl6SeI4 is expected to be much reduced compared to that in TlBr.

  18. Novel diluted magnetic semiconductor materials based on zinc oxide

    NASA Astrophysics Data System (ADS)

    Chakraborti, Deepayan

    The primary aim of this work was to develop a ZnO based diluted magnetic semiconductor (DMS) materials system which displays ferromagnetism above room temperature and to understand the origin of long-range ferromagnetic ordering in these systems. Recent developments in the field of spintronics (spin based electronics) have led to an extensive search for materials in which semiconducting properties can be integrated with magnetic properties to realize the objective of successful fabrication of spin-based devices. For these devices we require a high efficiency of spin current injection at room temperature. Diluted magnetic semiconductors (DMS) can serve this role, but they should not only display room temperature ferromagnetism (RTFM) but also be capable of generating spin polarized carriers. Transition metal doped ZnO has proved to be a potential candidate as a DMS showing RTFM. The origin of ferromagnetic ordering in ZnO is still under debate. However, the presence of magnetic secondary phases, composition fluctuations and nanoclusters could also explain the observation of ferromagnetism in the DMS samples. This encouraged us to investigate Cu-doped(+ spin in the 2+ valence state) ZnO system as a probable candidate exhibiting RTFM because neither metallic Cu nor its oxides (Cu2O or CuO) are ferromagnetic. The role of defects and free carriers on the ferromagnetic ordering of Cu-doped ZnO thin films was studied to ascertain the origin of ferromagnetism in this system. A novel non-equilibrium Pulsed Laser Deposition technique has been used to grow high quality epitaxial thin films of Cu:ZnO and (Co,Cu):ZnO on c-plane Sapphire by domain matching epitxay. Both the systems showed ferromagnetic ordering above 300K but Cu ions showed a much stronger ferromagnetic ordering than Co, especially at low concentrations (1-2%) of Cu where we realized near 100% polarization. But, the incorporation of Cu resulted in a 2-order of magnitude rise in the resistivity from 10-1 to 101

  19. Hybrid organic semiconductor lasers for bio-molecular sensing.

    PubMed

    Haughey, Anne-Marie; Foucher, Caroline; Guilhabert, Benoit; Kanibolotsky, Alexander L; Skabara, Peter J; Burley, Glenn; Dawson, Martin D; Laurand, Nicolas

    2014-01-01

    Bio-functionalised luminescent organic semiconductors are attractive for biophotonics because they can act as efficient laser materials while simultaneously interacting with molecules. In this paper, we present and discuss a laser biosensor platform that utilises a gain layer made of such an organic semiconductor material. The simple structure of the sensor and its operation principle are described. Nanolayer detection is shown experimentally and analysed theoretically in order to assess the potential and the limits of the biosensor. The advantage conferred by the organic semiconductor is explained, and comparisons to laser sensors using alternative dye-doped materials are made. Specific biomolecular sensing is demonstrated, and routes to functionalisation with nucleic acid probes, and future developments opened up by this achievement, are highlighted. Finally, attractive formats for sensing applications are mentioned, as well as colloidal quantum dots, which in the future could be used in conjunction with organic semiconductors.

  20. Solar synthesis of advanced materials: A solar industrial program initiative

    NASA Astrophysics Data System (ADS)

    Lewandowski, A.

    1992-06-01

    This is an initiative for accelerating the use of solar energy in the advanced materials manufacturing industry in the United States. The initiative will be based on government-industry collaborations that will develop the technology and help US industry compete in the rapidly expanding global advanced materials marketplace. Breakthroughs in solar technology over the last 5 years have created exceptional new tools for developing advanced materials. Concentrated sunlight from solar furnaces can produce intensities that approach those on the surface of the sun and can generate temperatures well over 2000 C. Very thin layers of illuminated surfaces can be driven to remarkably high temperatures in a fraction of a second. Concentrated solar energy can be delivered over large areas, allowing for rapid processing and high production rates. By using this technology, researchers are transforming low-cost raw materials into high-performance products. Solar synthesis of advanced materials uses bulk materials and energy more efficiently, lowers processing costs, and reduces the need for strategic materials -- all with a technology that does not harm the environment. The Solar Industrial Program has built a unique, world class solar furnace at NREL to help meet the growing need for applied research in advanced materials. Many new advanced materials processes have been successfully demonstrated in this facility, including metalorganic deposition, ceramic powders, diamond-like carbon materials, rapid heat treating, and cladding (hard coating).

  1. Scanning electron microscope observation of dislocations in semiconductor and metal materials.

    PubMed

    Kuwano, Noriyuki; Itakura, Masaru; Nagatomo, Yoshiyuki; Tachibana, Shigeaki

    2010-08-01

    Scanning electron microscope (SEM) image contrasts have been investigated for dislocations in semiconductor and metal materials. It is revealed that single dislocations can be observed in a high contrast in SEM images formed by backscattered electrons (BSE) under the condition of a normal configuration of SEM. The BSE images of dislocations were compared with those of the transmission electron microscope and scanning transmission electron microscope (STEM) and the dependence of BSE image contrast on the tilting of specimen was examined to discuss the origin of image contrast. From the experimental results, it is concluded that the BSE images of single dislocations are attributed to the diffraction effect and related with high-angle dark-field images of STEM.

  2. Thermal and Optical Modulation of the Carrier Mobility in OTFTs Based on an Azo-anthracene Liquid Crystal Organic Semiconductor.

    PubMed

    Chen, Yantong; Li, Chao; Xu, Xiuru; Liu, Ming; He, Yaowu; Murtaza, Imran; Zhang, Dongwei; Yao, Chao; Wang, Yongfeng; Meng, Hong

    2017-03-01

    One of the most striking features of organic semiconductors compared with their corresponding inorganic counterparts is their molecular diversity. The major challenge in organic semiconductor material technology is creating molecular structural motifs to develop multifunctional materials in order to achieve the desired functionalities yet to optimize the specific device performance. Azo-compounds, because of their special photoresponsive property, have attracted extensive interest in photonic and optoelectronic applications; if incorporated wisely in the organic semiconductor groups, they can be innovatively utilized in advanced smart electronic applications, where thermal and photo modulation is applied to tune the electronic properties. On the basis of this aspiration, a novel azo-functionalized liquid crystal semiconductor material, (E)-1-(4-(anthracen-2-yl)phenyl)-2-(4-(decyloxy)phenyl)diazene (APDPD), is designed and synthesized for application in organic thin-film transistors (OTFTs). The UV-vis spectra of APDPD exhibit reversible photoisomerizaton upon photoexcitation, and the thin films of APDPD show a long-range orientational order based on its liquid crystal phase. The performance of OTFTs based on this material as well as the effects of thermal treatment and UV-irradiation on mobility are investigated. The molecular structure, stability of the material, and morphology of the thin films are characterized by thermal gravimetric analysis (TGA), polarizing optical microscopy (POM), (differential scanning calorimetry (DSC), UV-vis spectroscopy, atomic force microscopy (AFM), and scanning tunneling microscopy (STM). This study reveals that our new material has the potential to be applied in optical sensors, memories, logic circuits, and functional switches.

  3. Preparation of a semiconductor thin film

    DOEpatents

    Pehnt, Martin; Schulz, Douglas L.; Curtis, Calvin J.; Ginley, David S.

    1998-01-01

    A process for the preparation of a semiconductor film. The process comprises depositing nanoparticles of a semiconductor material onto a substrate whose surface temperature during nanoparticle deposition thereon is sufficient to cause substantially simultaneous fusion of the nanoparticles to thereby coalesce with each other and effectuate film growth.

  4. Numerical investigation of metal-semiconductor-insulator-semiconductor passivated hole contacts based on atomic layer deposited AlO x

    NASA Astrophysics Data System (ADS)

    Ke, Cangming; Xin, Zheng; Ling, Zhi Peng; Aberle, Armin G.; Stangl, Rolf

    2017-08-01

    Excellent c-Si tunnel layer surface passivation has been obtained recently in our lab, using atomic layer deposited aluminium oxide (ALD AlO x ) in the tunnel layer regime of 0.9 to 1.5 nm, investigated to be applied for contact passivation. Using the correspondingly measured interface properties, this paper compares the theoretical collection efficiency of a conventional metal-semiconductor (MS) contact on diffused p+ Si to a metal-semiconductor-insulator-semiconductor (MSIS) contact on diffused p+ Si or on undoped n-type c-Si. The influences of (1) the tunnel layer passivation quality at the tunnel oxide interface (Q f and D it), (2) the tunnel layer thickness and the electron and hole tunnelling mass, (3) the tunnel oxide material, and (4) the semiconductor capping layer material properties are investigated numerically by evaluation of solar cell efficiency, open-circuit voltage, and fill factor.

  5. Recent advances in biocompatible semiconductor nanocrystals for immunobiological applications.

    PubMed

    Nanda, Sitansu Sekhar; Kim, Min Jik; Kim, Kwangmeyung; Papaefthymiou, Georgia C; Selvan, Subramanian Tamil; Yi, Dong Kee

    2017-11-01

    Quantum confinement in inorganic semiconductor nanocrystals produces brightly luminescent nanoparticles endowed with unique photo-physical properties, such as tunable optical properties. These have found widespread applications in nanotechnology. The ability to render such nanostructures biocompatible, while maintaining their tunable radiation in the visible range of the electromagnetic spectrum, renders them appropriate for bio-applications. Promising in vitro and in vivo diagnostic applications have been demonstrated, such as fluorescence-based detection of biological interactions, single molecule tracking, multiplexing and immunoassaying. In particular, these fluorescent inorganic semiconductor nanocrystals, generally known as quantum dots, have the potential of remarkable immunobiological applications. This review focuses on the current status of biocompatible quantum dots and their applications in immunobiology - immunosensing, immunofluorescent imaging and immunotherapy. Copyright © 2017 Elsevier B.V. All rights reserved.

  6. Deformation and Damage Studies for Advanced Structural Materials

    NASA Technical Reports Server (NTRS)

    2005-01-01

    Advancements made in understanding deformation and damage of advanced structural materials have enabled the development of new technologies including the attainment of a nationally significant NASA Level 1 Milestone and the provision of expertise to the Shuttle Return to Flight effort. During this collaborative agreement multiple theoretical and experimental research programs, facilitating safe durable high temperature structures using advanced materials, have been conceived, planned, executed. Over 26 publications, independent assessments of structures and materials in hostile environments, were published within this agreement. This attainment has been recognized by 2002 Space Flight Awareness Team Award, 2004 NASA Group Achievement Award and 2003 and 2004 OAI Service Awards. Accomplishments in the individual research efforts are described as follows.

  7. Materials for advanced rocket engine turbopump turbine blades

    NASA Technical Reports Server (NTRS)

    Chandler, W. T.

    1985-01-01

    A study program was conducted to identify those materials that will provide the greatest benefits as turbine blades for advanced liquid propellant rocket engine turbines and to prepare technology plans for the development of those materials for use in the 1990 through 1995 period. The candidate materials were selected from six classes of materials: single-crystal (SC) superalloys, oxide dispersion-strengthened (ODS) superalloys, rapid solidification processed (RSP) superalloys, directionally solidified eutectic (DSE) superalloys, fiber-reinforced superalloy (FRS) composites, and ceramics. Properties of materials from the six classes were compiled and evaluated and property improvements were projected approximately 5 years into the future for advanced versions of materials in each of the six classes.

  8. Bi-Se doped with Cu, p-type semiconductor

    DOEpatents

    Bhattacharya, Raghu Nath; Phok, Sovannary; Parilla, Philip Anthony

    2013-08-20

    A Bi--Se doped with Cu, p-type semiconductor, preferably used as an absorber material in a photovoltaic device. Preferably the semiconductor has at least 20 molar percent Cu. In a preferred embodiment, the semiconductor comprises at least 28 molar percent of Cu. In one embodiment, the semiconductor comprises a molar percentage of Cu and Bi whereby the molar percentage of Cu divided by the molar percentage of Bi is greater than 1.2. In a preferred embodiment, the semiconductor is manufactured as a thin film having a thickness less than 600 nm.

  9. Apparatus for measuring minority carrier lifetimes in semiconductor materials

    DOEpatents

    Ahrenkiel, R.K.

    1999-07-27

    An apparatus for determining the minority carrier lifetime of a semiconductor sample includes a positioner for moving the sample relative to a coil. The coil is connected to a bridge circuit such that the impedance of one arm of the bridge circuit is varied as sample is positioned relative to the coil. The sample is positioned relative to the coil such that any change in the photoconductance of the sample created by illumination of the sample creates a linearly related change in the input impedance of the bridge circuit. In addition, the apparatus is calibrated to work at a fixed frequency so that the apparatus maintains a consistently high sensitivity and high linearly for samples of different sizes, shapes, and material properties. When a light source illuminates the sample, the impedance of the bridge circuit is altered as excess carriers are generated in the sample, thereby producing a measurable signal indicative of the minority carrier lifetimes or recombination rates of the sample. 17 figs.

  10. Apparatus for measuring minority carrier lifetimes in semiconductor materials

    DOEpatents

    Ahrenkiel, Richard K.

    1999-01-01

    An apparatus for determining the minority carrier lifetime of a semiconductor sample includes a positioner for moving the sample relative to a coil. The coil is connected to a bridge circuit such that the impedance of one arm of the bridge circuit is varied as sample is positioned relative to the coil. The sample is positioned relative to the coil such that any change in the photoconductance of the sample created by illumination of the sample creates a linearly related change in the input impedance of the bridge circuit. In addition, the apparatus is calibrated to work at a fixed frequency so that the apparatus maintains a consistently high sensitivity and high linearly for samples of different sizes, shapes, and material properties. When a light source illuminates the sample, the impedance of the bridge circuit is altered as excess carriers are generated in the sample, thereby producing a measurable signal indicative of the minority carrier lifetimes or recombination rates of the sample.

  11. Preparation of a semiconductor thin film

    DOEpatents

    Pehnt, M.; Schulz, D.L.; Curtis, C.J.; Ginley, D.S.

    1998-01-27

    A process is disclosed for the preparation of a semiconductor film. The process comprises depositing nanoparticles of a semiconductor material onto a substrate whose surface temperature during nanoparticle deposition thereon is sufficient to cause substantially simultaneous fusion of the nanoparticles to thereby coalesce with each other and effectuate film growth.

  12. Advances in LED packaging and thermal management materials

    NASA Astrophysics Data System (ADS)

    Zweben, Carl

    2008-02-01

    Heat dissipation, thermal stresses and cost are key light-emitting diode (LED) packaging issues. Heat dissipation limits power levels. Thermal stresses affect performance and reliability. Copper, aluminum and conventional polymeric printed circuit boards (PCBs) have high coefficients of thermal expansion, which can cause high thermal stresses. Most traditional low-coefficient-of-thermal-expansion (CTE) materials like tungsten/copper, which date from the mid 20th century, have thermal conductivities that are no better than those of aluminum alloys, about 200 W/m-K. An OIDA LED workshop cited a need for better thermal materials. There are an increasing number of low-CTE materials with thermal conductivities ranging between that of copper (400 W/m-K) and 1700 W/m-K, and many other low-CTE materials with lower thermal conductivities. Some of these materials are low cost. Others have the potential to be low cost in high-volume production. High-thermal-conductivity materials enable higher power levels, potentially reducing the number of required LEDs. Advanced thermal materials can constrain PCB CTE and greatly increase thermal conductivity. This paper reviews traditional packaging materials and advanced thermal management materials. The latter provide the packaging engineer with a greater range of options than in the past. Topics include properties, status, applications, cost, using advanced materials to fix manufacturing problems, and future directions, including composites reinforced with carbon nanotubes and other thermally conductive materials.

  13. Room-temperature semiconductor heterostructure refrigeration

    NASA Astrophysics Data System (ADS)

    Chao, K. A.; Larsson, Magnus; Mal'shukov, A. G.

    2005-07-01

    With the proper design of semiconductor tunneling barrier structures, we can inject low-energy electrons via resonant tunneling, and take out high-energy electrons via a thermionic process. This is the operation principle of our semiconductor heterostructure refrigerator (SHR) without the need of applying a temperature gradient across the device. Even for the bad thermoelectric material AlGaAs, our calculation shows that at room temperature, the SHR can easily lower the temperature by 5-7K. Such devices can be fabricated with the present semiconductor technology. Besides its use as a kitchen refrigerator, the SHR can efficiently cool microelectronic devices.

  14. Method of fabricating n-type and p-type microcrystalline semiconductor alloy material including band gap widening elements

    DOEpatents

    Guha, Subhendu; Ovshinsky, Stanford R.

    1990-02-02

    A method of fabricating doped microcrystalline semiconductor alloy material which includes a band gap widening element through a glow discharge deposition process by subjecting a precursor mixture which includes a diluent gas to an a.c. glow discharge in the absence of a magnetic field of sufficient strength to induce electron cyclotron resonance.

  15. Graded core/shell semiconductor nanorods and nanorod barcodes

    DOEpatents

    Alivisatos, A. Paul; Scher, Erik C.; Manna, Liberato

    2010-12-14

    Graded core/shell semiconductor nanorods and shaped nanorods are disclosed comprising Group II-VI, Group III-V and Group IV semiconductors and methods of making the same. Also disclosed are nanorod barcodes using core/shell nanorods where the core is a semiconductor or metal material, and with or without a shell. Methods of labeling analytes using the nanorod barcodes are also disclosed.

  16. Graded core/shell semiconductor nanorods and nanorod barcodes

    DOEpatents

    Alivisatos, A. Paul; Scher, Erik C.; Manna, Liberato

    2013-03-26

    Graded core/shell semiconductor nanorods and shapped nanorods are disclosed comprising Group II-VI, Group III-V and Group IV semiconductors and methods of making the same. Also disclosed are nanorod barcodes using core/shell nanorods where the core is a semiconductor or metal material, and with or without a shell. Methods of labeling analytes using the nanorod barcodes are also disclosed.

  17. Extreme ultraviolet resist materials for sub-7 nm patterning.

    PubMed

    Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K; Giannelis, Emmanuel P

    2017-08-14

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  18. Plasma Processes for Semiconductor Fabrication

    NASA Astrophysics Data System (ADS)

    Hitchon, W. N. G.

    1999-01-01

    Plasma processing is a central technique in the fabrication of semiconductor devices. This self-contained book provides an up-to-date description of plasma etching and deposition in semiconductor fabrication. It presents the basic physics and chemistry of these processes, and shows how they can be accurately modeled. The author begins with an overview of plasma reactors and discusses the various models for understanding plasma processes. He then covers plasma chemistry, addressing the effects of different chemicals on the features being etched. Having presented the relevant background material, he then describes in detail the modeling of complex plasma systems, with reference to experimental results. The book closes with a useful glossary of technical terms. No prior knowledge of plasma physics is assumed in the book. It contains many homework exercises and serves as an ideal introduction to plasma processing and technology for graduate students of electrical engineering and materials science. It will also be a useful reference for practicing engineers in the semiconductor industry.

  19. Dry etching method for compound semiconductors

    DOEpatents

    Shul, Randy J.; Constantine, Christopher

    1997-01-01

    A dry etching method. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators.

  20. Dry etching method for compound semiconductors

    DOEpatents

    Shul, R.J.; Constantine, C.

    1997-04-29

    A dry etching method is disclosed. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators. 1 fig.

  1. Method and apparatus for use of III-nitride wide bandgap semiconductors in optical communications

    DOEpatents

    Hui, Rongqing [Lenexa, KS; Jiang, Hong-Xing [Manhattan, KS; Lin, Jing-Yu [Manhattan, KS

    2008-03-18

    The present disclosure relates to the use of III-nitride wide bandgap semiconductor materials for optical communications. In one embodiment, an optical device includes an optical waveguide device fabricated using a III-nitride semiconductor material. The III-nitride semiconductor material provides for an electrically controllable refractive index. The optical waveguide device provides for high speed optical communications in an infrared wavelength region. In one embodiment, an optical amplifier is provided using optical coatings at the facet ends of a waveguide formed of erbium-doped III-nitride semiconductor materials.

  2. Advanced materials for aircraft engine applications.

    PubMed

    Backman, D G; Williams, J C

    1992-02-28

    A review of advances for aircraft engine structural materials and processes is presented. Improved materials, such as superalloys, and the processes for making turbine disks and blades have had a major impact on the capability of modern gas turbine engines. New structural materials, notably composites and intermetallic materials, are emerging that will eventually further enhance engine performance, reduce engine weight, and thereby enable new aircraft systems. In the future, successful aerospace manufacturers will combine product design and materials excellence with improved manufacturing methods to increase production efficiency, enhance product quality, and decrease the engine development cycle time.

  3. Study on Evaluation Methods for Mechanical Properties of Organic Semiconductor Materials

    NASA Astrophysics Data System (ADS)

    Kobayashi, T.; Yokoyama, T.; Utsumi, Y.; Kanematsu, H.; Masuda, T.

    2013-04-01

    This paper describes the evaluation method of the mechanical properties of the materials constituting organic semiconductor, and the test result of the relation between applied strain and the fracture of thin films. The final target of this work is the improvement of flexibility of organic light emitting diode(OLED), the tensile test of the thin films coated on flexible substrate is conducted, and the vulnerable parts of the constituent material of the OLED is quantitatively understood, further the guideline for designing OLED structure will be obtained. In the present paper, tensile test of an aluminium oxide thin films deposited on a poly-ethylene-tere-phtalate (PET) substrate was carried out under constant conditions, the following results were obtained:(1)Cracking of the aluminium oxide thin films was observed using an optical transparent formula microscope at more than 40 times magnification; (2)Cracking was initiated at a strain of about 3%; (3)the number of cracks increased proportional to the strain, and saturated at about 9% strain; (4)Organic thin films α-NPD caused the same cracking as oxide thin films.

  4. Barriers to applying advanced high-temperature materials

    NASA Astrophysics Data System (ADS)

    Premkumar, M. K.

    1993-01-01

    During the past 25 years, aerospace engineers and material scientists have made significant technical progress toward developing next-generation aircraft. However, while advanced high-temperature materials continue to be developed, the outlook for their future application is uncertain and will depend on the ability of these materials to satisfy a more diverse market.

  5. Advanced ceramic materials for next-generation nuclear applications

    NASA Astrophysics Data System (ADS)

    Marra, John

    2011-10-01

    The nuclear industry is at the eye of a 'perfect storm' with fuel oil and natural gas prices near record highs, worldwide energy demands increasing at an alarming rate, and increased concerns about greenhouse gas (GHG) emissions that have caused many to look negatively at long-term use of fossil fuels. This convergence of factors has led to a growing interest in revitalization of the nuclear power industry within the United States and across the globe. Many are surprised to learn that nuclear power provides approximately 20% of the electrical power in the US and approximately 16% of the world-wide electric power. With the above factors in mind, world-wide over 130 new reactor projects are being considered with approximately 25 new permit applications in the US. Materials have long played a very important role in the nuclear industry with applications throughout the entire fuel cycle; from fuel fabrication to waste stabilization. As the international community begins to look at advanced reactor systems and fuel cycles that minimize waste and increase proliferation resistance, materials will play an even larger role. Many of the advanced reactor concepts being evaluated operate at high-temperature requiring the use of durable, heat-resistant materials. Advanced metallic and ceramic fuels are being investigated for a variety of Generation IV reactor concepts. These include the traditional TRISO-coated particles, advanced alloy fuels for 'deep-burn' applications, as well as advanced inert-matrix fuels. In order to minimize wastes and legacy materials, a number of fuel reprocessing operations are being investigated. Advanced materials continue to provide a vital contribution in 'closing the fuel cycle' by stabilization of associated low-level and high-level wastes in highly durable cements, ceramics, and glasses. Beyond this fission energy application, fusion energy will demand advanced materials capable of withstanding the extreme environments of high

  6. Controlled growth of semiconductor crystals

    DOEpatents

    Bourret-Courchesne, Edith D.

    1992-01-01

    A method for growth of III-V, II-VI and related semiconductor single crystals that suppresses random nucleation and sticking of the semiconductor melt at the crucible walls. Small pieces of an oxide of boron B.sub.x O.sub.y are dispersed throughout the comminuted solid semiconductor charge in the crucible, with the oxide of boron preferably having water content of at least 600 ppm. The crucible temperature is first raised to a temperature greater than the melt temperature T.sub.m1 of the oxide of boron (T.sub.m1 =723.degree. K. for boron oxide B.sub.2 O.sub.3), and the oxide of boron is allowed to melt and form a reasonably uniform liquid layer between the crucible walls and bottom surfaces and the still-solid semiconductor charge. The temperature is then raised to approximately the melt temperature T.sub.m2 of the semiconductor charge material, and crystal growth proceeds by a liquid encapsulated, vertical gradient freeze process. About half of the crystals grown have a dislocation density of less than 1000/cm.sup.2. If the oxide of boron has water content less than 600 ppm, the crucible material should include boron nitride, a layer of the inner surface of the crucible should be oxidized before the oxide of boron in the crucible charge is melted, and the sum of thicknesses of the solid boron oxide layer and liquid boron oxide layer should be at least 50 .mu.m.

  7. Advanced materials for automobiles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Narula, C.K.; Allison, J.E.; Bauer, D.R.

    Quite early on, manufacturers realized that lighter automobiles (with gas and diesel engines) would be more fuel efficient and produce fewer tailpipe emissions. They also realized that burning diesel fuel at elevated temperatures (1,315 C) would result in similar improvements. However, materials limitations prevent the operation of diesel vehicles at high temperatures. The fuel efficiency of gasoline-powered vehicles is currently improved by reducing the weight of the automobile and treated the emissions with a three-way catalyst. Additional improvements can be achieved with the use of advanced materials that reduce the weight of vehicles without compromising safety. The use of ceramics,more » fiber-reinforced plastics, and metal-matrix composites are discussed. The paper also discusses automotive catalysts and their components, electrically heated catalyst devices, a lean-burn NOx catalyst, and the future for materials chemistry.« less

  8. Optoelectronics of supported and suspended 2D semiconductors

    NASA Astrophysics Data System (ADS)

    Bolotin, Kirill

    2014-03-01

    Two-dimensional semiconductors, materials such monolayer molybdenum disulfide (MoS2) are characterized by strong spin-orbit and electron-electron interactions. However, both electronic and optoelectronic properties of these materials are dominated by disorder-related scattering. In this talk, we investigate approaches to reduce scattering and explore physical phenomena arising in intrinsic 2D semiconductors. First, we discuss fabrication of pristine suspended monolayer MoS2 and use photocurrent spectroscopy measurements to study excitons in this material. We observe band-edge and van Hove singularity excitons and estimate their binding energies. Furthermore, we study dissociation of these excitons and uncover the mechanism of their contribution to photoresponse of MoS2. Second, we study strain-induced modification of bandstructures of 2D semiconductors. With increasing strain, we find large and controllable band gap reduction of both single- and bi-layer MoS2. We also detect experimental signatures consistent with strain-induced transition from direct to indirect band gap in monolayer MoS2. Finally, we fabricate heterostructures of dissimilar 2D semiconductors and study their photoresponse. For closely spaced 2D semiconductors we detect charge transfer, while for separation larger than 10nm we observe Forster-like energy transfer between excitations in different layers.

  9. Advances in Materials for Recent Low-Profile Implantable Bioelectronics.

    PubMed

    Chen, Yanfei; Kim, Yun-Soung; Tillman, Bryan W; Yeo, Woon-Hong; Chun, Youngjae

    2018-03-29

    The rapid development of micro/nanofabrication technologies to engineer a variety of materials has enabled new types of bioelectronics for health monitoring and disease diagnostics. In this review, we summarize widely used electronic materials in recent low-profile implantable systems, including traditional metals and semiconductors, soft polymers, biodegradable metals, and organic materials. Silicon-based compounds have represented the traditional materials in medical devices, due to the fully established fabrication processes. Examples include miniaturized sensors for monitoring intraocular pressure and blood pressure, which are designed in an ultra-thin diaphragm to react with the applied pressure. These sensors are integrated into rigid circuits and multiple modules; this brings challenges regarding the fundamental material's property mismatch with the targeted human tissues, which are intrinsically soft. Therefore, many polymeric materials have been investigated for hybrid integration with well-characterized functional materials such as silicon membranes and metal interconnects, which enable soft implantable bioelectronics. The most recent trend in implantable systems uses transient materials that naturally dissolve in body fluid after a programmed lifetime. Such biodegradable metallic materials are advantageous in the design of electronics due to their proven electrical properties. Collectively, this review delivers the development history of materials in implantable devices, while introducing new bioelectronics based on bioresorbable materials with multiple functionalities.

  10. Advanced organic composite materials for aircraft structures: Future program

    NASA Technical Reports Server (NTRS)

    1987-01-01

    Revolutionary advances in structural materials have been responsible for revolutionary changes in all fields of engineering. These advances have had and are still having a significant impact on aircraft design and performance. Composites are engineered materials. Their properties are tailored through the use of a mix or blend of different constituents to maximize selected properties of strength and/or stiffness at reduced weights. More than 20 years have passed since the potentials of filamentary composite materials were identified. During the 1970s much lower cost carbon filaments became a reality and gradually designers turned from boron to carbon composites. Despite progress in this field, filamentary composites still have significant unfulfilled potential for increasing aircraft productivity; the rendering of advanced organic composite materials into production aircraft structures was disappointingly slow. Why this is and research and technology development actions that will assist in accelerating the application of advanced organic composites to production aircraft is discussed.

  11. Printable semiconductor structures and related methods of making and assembling

    DOEpatents

    Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang; , Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao; Ko, Heung Cho; Mack, Shawn

    2013-03-12

    The present invention provides a high yield pathway for the fabrication, transfer and assembly of high quality printable semiconductor elements having selected physical dimensions, shapes, compositions and spatial orientations. The compositions and methods of the present invention provide high precision registered transfer and integration of arrays of microsized and/or nanosized semiconductor structures onto substrates, including large area substrates and/or flexible substrates. In addition, the present invention provides methods of making printable semiconductor elements from low cost bulk materials, such as bulk silicon wafers, and smart-materials processing strategies that enable a versatile and commercially attractive printing-based fabrication platform for making a broad range of functional semiconductor devices.

  12. Printable semiconductor structures and related methods of making and assembling

    DOEpatents

    Nuzzo, Ralph G [Champaign, IL; Rogers, John A [Champaign, IL; Menard, Etienne [Durham, NC; Lee, Keon Jae [Tokyo, JP; Khang, Dahl-Young [Urbana, IL; Sun, Yugang [Westmont, IL; Meitl, Matthew [Raleigh, NC; Zhu, Zhengtao [Rapid City, SD; Ko, Heung Cho [Urbana, IL; Mack, Shawn [Goleta, CA

    2011-10-18

    The present invention provides a high yield pathway for the fabrication, transfer and assembly of high quality printable semiconductor elements having selected physical dimensions, shapes, compositions and spatial orientations. The compositions and methods of the present invention provide high precision registered transfer and integration of arrays of microsized and/or nanosized semiconductor structures onto substrates, including large area substrates and/or flexible substrates. In addition, the present invention provides methods of making printable semiconductor elements from low cost bulk materials, such as bulk silicon wafers, and smart-materials processing strategies that enable a versatile and commercially attractive printing-based fabrication platform for making a broad range of functional semiconductor devices.

  13. Printable semiconductor structures and related methods of making and assembling

    DOEpatents

    Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao; Ko, Heung Cho; Mack, Shawn

    2010-09-21

    The present invention provides a high yield pathway for the fabrication, transfer and assembly of high quality printable semiconductor elements having selected physical dimensions, shapes, compositions and spatial orientations. The compositions and methods of the present invention provide high precision registered transfer and integration of arrays of microsized and/or nanosized semiconductor structures onto substrates, including large area substrates and/or flexible substrates. In addition, the present invention provides methods of making printable semiconductor elements from low cost bulk materials, such as bulk silicon wafers, and smart-materials processing strategies that enable a versatile and commercially attractive printing-based fabrication platform for making a broad range of functional semiconductor devices.

  14. Advanced Industrial Materials (AIM) fellowship program

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McCleary, D.D.

    1997-04-01

    The Advanced Industrial Materials (AIM) Program administers a Graduate Fellowship Program focused toward helping students who are currently under represented in the nation`s pool of scientists and engineers, enter and complete advanced degree programs. The objectives of the program are to: (1) establish and maintain cooperative linkages between DOE and professors at universities with graduate programs leading toward degrees or with degree options in Materials Science, Materials Engineering, Metallurgical Engineering, and Ceramic Engineering, the disciplines most closely related to the AIM Program at Oak Ridge National Laboratory (ORNL); (2) strengthen the capabilities and increase the level of participation of currentlymore » under represented groups in master`s degree programs, and (3) offer graduate students an opportunity for practical research experience related to their thesis topic through the three-month research assignment or practicum at ORNL. The program is administered by the Oak Ridge Institute for Science and Education (ORISE).« less

  15. Institute for Advanced Materials at University of Louisville

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sunkara, Mahendra; Sumaneskara, Gamini; Starr, Thomas L

    2009-10-29

    In this project, a university-wide, academic center has been established entitled Institute for Advanced Materials and Renewable Energy. In this institute, a comprehensive materials characterization facility has been established by co-locating several existing characterization equipment and acquiring several state of the art instrumentation such as field emission transmission electron microscope, scanning electron microscope, high resolution X-ray diffractometer, Particle Size Distribution/Zeta Potential measurement system, and Ultra-microtome for TEM specimen. In addition, a renewable energy conversion and storage research facility was also established by acquiring instrumentation such as UV-Vis absorption spectroscopy, Atomic Layer Deposition reactor, Solar light simulator, oxygen-free glove box, potentiostat/galvanostatsmore » and other miscellaneous items. The institute is staffed with three full-time staff members (one senior research technologist, a senior PhD level research scientist and a junior research scientist) to enable proper use of the techniques. About thirty faculty, fifty graduate students and several researchers access the facilities on a routine basis. Several industry R&D organizations (SudChemie, Optical Dynamics and Hexion) utilize the facility. The established Institute for Advanced Materials at UofL has three main objectives: (a) enable a focused research effort leading to the rapid discovery of new materials and processes for advancing alternate energy conversion and storage technologies; (b) enable offering of several laboratory courses on advanced materials science and engineering; and (c) develop university-industry partnerships based on the advanced materials research. The Institute's efforts were guided by an advisory board comprising eminent researchers from outside KY. Initial research efforts were focused on the discovery of new materials and processes for solar cells and Li ion battery electrodes. Initial sets of results helped

  16. Forming heterojunctions at the nanoscale for improved photoelectrochemical water splitting by semiconductor materials: case studies on hematite.

    PubMed

    Mayer, Matthew T; Lin, Yongjing; Yuan, Guangbi; Wang, Dunwei

    2013-07-16

    In order for the future energy needs of humanity to be adequately and sustainably met, alternative energy techniques such as artificial photosynthesis need to be made more efficient and therefore commercially viable. On a grand scale, the energies coming to and leaving from the earth are balanced. With the fast increasing waste heat produced by human activities, the balance may be shifted to threaten the ecosystem in which we reside. To avoid such dire consequences, it is necessary to power human activities using energy derived from the incoming source, which is predominantly solar irradiation. Indeed, most life on the surface of the earth is supported, directly or indirectly, by photosynthesis that harvests solar energy and stores it in chemical bonds for redistribution. Being able to mimic the process and perform it at high efficiencies using low-cost materials has significant implications. Such an understanding is a major intellectual driving force that motivates research by us and many others. From a thermodynamic perspective, the key energy conversion step in natural photosynthesis happens in the light reactions, where H₂O splits to give O₂ and reactive protons. The capability of carrying out direct sunlight-driven water splitting with high efficiency is therefore fundamentally important. We are particularly interested in doing so using inorganic semiconductor materials because they offer the promise of durability and low cost. In this Account, we share our recent efforts in bringing semiconductor-based water splitting reactions closer to reality. More specifically, we focus on earth-abundant oxide semiconductors such as Fe₂O₃ and work on improving the performance of these materials as photoelectrodes for photoelectrochemical reactions. Using hematite (α-Fe₂O₃) as an example, we examine how the main problems that limit the performance, namely, the short hole collection distance, poor light absorption near the band edge, and mismatch of the band

  17. Materials for n-type organic electronics: synthesis and properties of fluoroarene-thiophene semiconductors

    NASA Astrophysics Data System (ADS)

    Facchetti, Antonio; Yoon, Myung-Han; Katz, Howard E.; Marks, Tobin J.

    2003-11-01

    Recent progress in the field of organic electronics is due to a fruitful combination of both innovative molecular design and promising low-cost material/device assembly. Targeting the first strategy, we present here the general synthesis of fluoroarene-containing thiophene-based semiconductors and the study of their properties with respect to the corresponding fluorine-free hole-transporting analogues. The new compounds have been characterized by elemental analysis, mass spectrometry, and 1H- and 19F NMR. The dramatic influence of fluorine substitution and molecular architecture has been investigated by solution/film optical absorption, fluorescence emission, and cyclic voltammetry. Single crystal data for all of the oligomers have been obtained and will be presented. Film microstructure and morphology of this new class of materials have been studied by XRD and SEM. Particular emphasis will be posed on the solution-processable oligomers and polymers.

  18. Advances in Materials for Recent Low-Profile Implantable Bioelectronics

    PubMed Central

    Kim, Yun-Soung; Tillman, Bryan W.; Chun, Youngjae

    2018-01-01

    The rapid development of micro/nanofabrication technologies to engineer a variety of materials has enabled new types of bioelectronics for health monitoring and disease diagnostics. In this review, we summarize widely used electronic materials in recent low-profile implantable systems, including traditional metals and semiconductors, soft polymers, biodegradable metals, and organic materials. Silicon-based compounds have represented the traditional materials in medical devices, due to the fully established fabrication processes. Examples include miniaturized sensors for monitoring intraocular pressure and blood pressure, which are designed in an ultra-thin diaphragm to react with the applied pressure. These sensors are integrated into rigid circuits and multiple modules; this brings challenges regarding the fundamental material’s property mismatch with the targeted human tissues, which are intrinsically soft. Therefore, many polymeric materials have been investigated for hybrid integration with well-characterized functional materials such as silicon membranes and metal interconnects, which enable soft implantable bioelectronics. The most recent trend in implantable systems uses transient materials that naturally dissolve in body fluid after a programmed lifetime. Such biodegradable metallic materials are advantageous in the design of electronics due to their proven electrical properties. Collectively, this review delivers the development history of materials in implantable devices, while introducing new bioelectronics based on bioresorbable materials with multiple functionalities. PMID:29596359

  19. Artificially structured thin-film materials and interfaces.

    PubMed

    Narayanamurti, V

    1987-02-27

    The ability to artificially structure new materials on an atomic scale by using advanced crystal growth methods such as molecular beam epitaxy and metal-organic chemical vapor deposition has recently led to the observation of unexpected new physical phenomena and to the creation of entirely new classes of devices. In particular, the growth of materials of variable band gap in technologically important semiconductors such as GaAs, InP, and silicon will be reviewed. Recent results of studies of multilayered structures and interfaces based on the use of advanced characterization techniques such as high-resolution transmission electron microscopy and scanning tunneling microscopy will be presented.

  20. Emerging Hierarchical Aerogels: Self-Assembly of Metal and Semiconductor Nanocrystals.

    PubMed

    Cai, Bin; Sayevich, Vladimir; Gaponik, Nikolai; Eychmüller, Alexander

    2018-06-19

    Aerogels assembled from colloidal metal or semiconductor nanocrystals (NCs) feature large surface area, ultralow density, and high porosity, thus rendering them attractive in various applications, such as catalysis, sensors, energy storage, and electronic devices. Morphological and structural modification of the aerogel backbones while maintaining the aerogel properties enables a second stage of the aerogel research, which is defined as hierarchical aerogels. Different from the conventional aerogels with nanowire-like backbones, those hierarchical aerogels are generally comprised of at least two levels of architectures, i.e., an interconnected porous structure on the macroscale and a specially designed configuration at local backbones at the nanoscale. This combination "locks in" the inherent properties of the NCs, so that the beneficial genes obtained by nanoengineering are retained in the resulting monolithic hierarchical aerogels. Herein, groundbreaking advances in the design, synthesis, and physicochemical properties of the hierarchical aerogels are reviewed and organized in three sections: i) pure metallic hierarchical aerogels, ii) semiconductor hierarchical aerogels, and iii) metal/semiconductor hybrid hierarchical aerogels. This report aims to define and demonstrate the concept, potential, and challenges of the hierarchical aerogels, thereby providing a perspective on the further development of these materials. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Solution combustion synthesis of oxide semiconductors

    NASA Astrophysics Data System (ADS)

    Thomas, Abegayl Lorenda Shara-Lynn

    The quest for stable and efficient photocatalytic materials beyond TiO2 and WO3 has over the years led to the development of new materials that possess varied interfacial energetics. This dissertation study focused on using for the first time a novel method, solution combustion synthesis (SCS), to prepare two distinct families of binary metal-based oxide semiconductor materials. Detailed studies on material characteristics and applications were carried out on tungsten- and niobium-based oxide semiconductors with varying principal metals. Initial emphasis was placed on the SCS of tungsten-based oxide semiconductors (ZnWO4, CuWO4, and Ag2WO4). The influence of different tungsten precursor's on the resultant product was of particular relevance to this study, with the most significant effects highlighted. Upon characterization, each sample's photocatalytic activity towards methyl orange dye degradation was studied, and benchmarked against their respective commercial oxide sample, obtained by solid-state ceramic synthesis. Detailed analysis highlighted the importance of the SCS process as a time- and energy-efficient method to produce crystalline nano-sized materials even without additional or excessive heat treatment. It was observed that using different tungstate precursors does influence the structural and morphological make-up of the resulting materials. The as-synthesized tungstate materials showed good photocatalytic performance for the degradation of methyl orange dye, while taking into account specific surface area and adsorbed dye amount on the surface of the material. Like the tungstate's, niobium-based oxide semiconductors CuNb 2O6 and ZnNb2O6 were the first to be synthesized via solution combustion synthesis. Particular attention was placed on the crystal structures formed while using an oxalate niobium precursor during the reaction process. X-ray patterns yielded a multiphase structure for the ZnNb2O6 and a single phase structure for CuNb 2O6

  2. Laser-assisted advanced assembly for MEMS fabrication

    NASA Astrophysics Data System (ADS)

    Atanasov, Yuriy Andreev

    Micro Electro-Mechanical Systems (MEMS) are currently fabricated using methods originally designed for manufacturing semiconductor devices, using minimum if any assembly at all. The inherited limitations of this approach narrow the materials that can be employed and reduce the design complexity, imposing limitations on MEMS functionality. The proposed Laser-Assisted Advanced Assembly (LA3) method solves these problems by first fabricating components followed by assembly of a MEMS device. Components are micro-machined using a laser or by photolithography followed by wet/dry etching out of any material available in a thin sheet form. A wide range of materials can be utilized, including biocompatible metals, ceramics, polymers, composites, semiconductors, and materials with special properties such as memory shape alloys, thermoelectric, ferromagnetic, piezoelectric, and more. The approach proposed allows enhancing the structural and mechanical properties of the starting materials through heat treatment, tribological coatings, surface modifications, bio-functionalization, and more, a limited, even unavailable possibility with existing methods. Components are transferred to the substrate for assembly using the thermo-mechanical Selective Laser Assisted Die Transfer (tmSLADT) mechanism for microchips assembly, already demonstrated by our team. Therefore, the mechanical and electronic part of the MEMS can be fabricated using the same equipment/method. The viability of the Laser-Assisted Advanced Assembly technique for MEMS is demonstrated by fabricating magnetic switches for embedding in a conductive carbon-fiber metamaterial for use in an Electromagnetic-Responsive Mobile Cyber-Physical System (E-RMCPS), which is expected to improve the wireless communication system efficiency within a battery-powered device.

  3. Advanced electrical power system technology for the all electric aircraft

    NASA Technical Reports Server (NTRS)

    Finke, R. C.; Sundberg, G. R.

    1983-01-01

    The application of advanced electric power system technology to an all electric airplane results in an estimated reduction of the total takeoff gross weight of over 23,000 pounds for a large airplane. This will result in a 5 to 10 percent reduction in direct operating costs (DOC). Critical to this savings is the basic electrical power system component technology. These advanced electrical power components will provide a solid foundation for the materials, devices, circuits, and subsystems needed to satisfy the unique requirements of advanced all electric aircraft power systems. The program for the development of advanced electrical power component technology is described. The program is divided into five generic areas: semiconductor devices (transistors, thyristors, and diodes); conductors (materials and transmission lines); dielectrics; magnetic devices; and load management devices. Examples of progress in each of the five areas are discussed. Bipolar power transistors up to 1000 V at 100 A with a gain of 10 and a 0.5 microsec rise and fall time are presented. A class of semiconductor devices with a possibility of switching up to 100 kV is described. Solid state power controllers for load management at 120 to 1000 V and power levels to 25 kW were developed along with a 25 kW, 20 kHz transformer weighing only 3.2 kg.

  4. Advanced electrical power system technology for the all electric aircraft

    NASA Technical Reports Server (NTRS)

    Finke, R. C.; Sundberg, G. R.

    1983-01-01

    The application of advanced electric power system technology to an all electric airplane results in an estimated reduction of the total takeoff gross weight of over 23,000 pounds for a large airplane. This will result in a 5 to 10 percent reduction in direct operating costs (DOC). Critical to this savings is the basic electrical power system component technology. These advanced electrical power components will provide a solid foundation for the materials, devices, circuits, and subsystems needed to satisfy the unique requirements of advanced all electric aircraft power systems. The program for the development of advanced electrical power component technology is described. The program is divided into five generic areas: semiconductor devices (transistors, thyristors, and diodes); conductors (materials and transmission lines); dielectrics; magnetic devices; and load management devices. Examples of progress in each of the five areas are discussed. Bipolar power transistors up to 1000 V at 100 A with a gain of 10 and a 0.5 microsec rise and fall time are presented. A class of semiconductor devices with a possibility of switching up to 100 kV is described. Solid state power controllers for load management at 120 to 1000 V and power levels to 25 kW were developed along with a 25 kW, 20 kHz transformer weighing only 3.2 kg. Previously announced in STAR as N83-24764

  5. Methods of forming semiconductor devices and devices formed using such methods

    DOEpatents

    Fox, Robert V; Rodriguez, Rene G; Pak, Joshua

    2013-05-21

    Single source precursors are subjected to carbon dioxide to form particles of material. The carbon dioxide may be in a supercritical state. Single source precursors also may be subjected to supercritical fluids other than supercritical carbon dioxide to form particles of material. The methods may be used to form nanoparticles. In some embodiments, the methods are used to form chalcopyrite materials. Devices such as, for example, semiconductor devices may be fabricated that include such particles. Methods of forming semiconductor devices include subjecting single source precursors to carbon dioxide to form particles of semiconductor material, and establishing electrical contact between the particles and an electrode.

  6. Tuning and synthesis of semiconductor nanostructures by mechanical compression

    DOEpatents

    Fan, Hongyou; Li, Binsong

    2015-11-17

    A mechanical compression method can be used to tune semiconductor nanoparticle lattice structure and synthesize new semiconductor nanostructures including nanorods, nanowires, nanosheets, and other three-dimensional interconnected structures. II-VI or IV-VI compound semiconductor nanoparticle assemblies can be used as starting materials, including CdSe, CdTe, ZnSe, ZnS, PbSe, and PbS.

  7. Fabrication of Advanced Thermoelectric Materials by Hierarchical Nanovoid Generation

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); Elliott, James R. (Inventor); Stoakley, Diane M. (Inventor); Chu, Sang-Hyon (Inventor); King, Glen C. (Inventor); Kim, Jae-Woo (Inventor); Choi, Sang Hyouk (Inventor); Lillehei, Peter T. (Inventor)

    2011-01-01

    A novel method to prepare an advanced thermoelectric material has hierarchical structures embedded with nanometer-sized voids which are key to enhancement of the thermoelectric performance. Solution-based thin film deposition technique enables preparation of stable film of thermoelectric material and void generator (voigen). A subsequent thermal process creates hierarchical nanovoid structure inside the thermoelectric material. Potential application areas of this advanced thermoelectric material with nanovoid structure are commercial applications (electronics cooling), medical and scientific applications (biological analysis device, medical imaging systems), telecommunications, and defense and military applications (night vision equipments).

  8. Materials Requirements for Advanced Energy Systems - New Fuels. Volume 3: Materials Research Needs in Advanced Energy Systems Using New Fuels

    DTIC Science & Technology

    1974-07-01

    elec- Materials se: trode materials and associ- operational ated conductors. 2.5.1 General. H" (02) Materials resources Technoeconomic analysis - None...Advanced Energy Systems Using New Fnels VIII Correlation and Analysis of Materials Requirements IX Research Recommendations and Priorities The authois...of government and industrial organizal ions who gave us the benefit of their knowledge and experience. iv VIII CORRELATION ANU ANALYSIS OF MATERIALS

  9. A compact semiconductor digital interferometer and its applications

    NASA Astrophysics Data System (ADS)

    Britsky, Oleksander I.; Gorbov, Ivan V.; Petrov, Viacheslav V.; Balagura, Iryna V.

    2015-05-01

    The possibility of using semiconductor laser interferometers to measure displacements at the nanometer scale was demonstrated. The creation principles of miniature digital Michelson interferometers based on semiconductor lasers were proposed. The advanced processing algorithm for the interferometer quadrature signals was designed. It enabled to reduce restrictions on speed of measured movements. A miniature semiconductor digital Michelson interferometer was developed. Designing of the precision temperature stability system for miniature low-cost semiconductor laser with 0.01ºС accuracy enabled to use it for creation of compact interferometer rather than a helium-neon one. Proper firmware and software was designed for the interferometer signals real-time processing and conversion in to respective shifts. In the result the relative displacement between 0-500 mm was measured with a resolution of better than 1 nm. Advantages and disadvantages of practical use of the compact semiconductor digital interferometer in seismometers for the measurement of shifts were shown.

  10. Thin film microelectronics materials production in the vacuum of space

    NASA Astrophysics Data System (ADS)

    Ignatiev, A.; Sterling, M.; Horton, C.; Freundlich, A.; Pei, S.; Hill, R.

    1997-01-01

    The international Space Station era will open up a new dimension in the use of one of the unique attributes of space, vacuum, for the production of advanced semiconductor materials and devices for microelectronics applications. Ultra-vacuum is required for the fabrication in thin film form of high quality semiconductors. This can be accomplished behind a free flying platform similar to the current Wake Shield Facility which is specifically designed to support in-space production. The platform will require apparatus for thin film growth, a robotics interface to allow for the change out of raw materials and the harvesting of finished product, and a servicing plant incorporating Space Station that will support long-term utilization of the platform.

  11. Nanoscience and Nanotechnology: From Energy Applications to Advanced Medical Therapies

    ScienceCinema

    Tijana Rajh

    2017-12-09

    Dr. Rajh will present a general talk on nanotechnology – an overview of why nanotechnology is important and how it is useful in various fields. The specific focus will be on Solar energy conversion, environmental applications and advanced medical therapies. She has broad expertise in synthesis and characterization of nanomaterials that are used in nanotechnology including novel hybrid systems connecting semiconductors to biological molecules like DNA and antibodies. This technology could lead to new gene therapy procedures, cancer treatments and other medical applications. She will also discuss technologies made possible by organizing small semiconductor particles called quantum dots, materials that exhibit a rich variety of phenomena that are size and shape dependent. Development of these new materials that harnesses the unique properties of materials at the 1-100 nanometer scale resulted in the new field of nanotechnology that currently affects many applications in technological and medical fields.

  12. Charge regulation at semiconductor-electrolyte interfaces.

    PubMed

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2015-07-01

    The interface between a semiconductor material and an electrolyte solution has interesting and complex electrostatic properties. Its behavior will depend on the density of mobile charge carriers that are present in both phases as well as on the surface chemistry at the interface through local charge regulation. The latter is driven by chemical equilibria involving the immobile surface groups and the potential determining ions in the electrolyte solution. All these lead to an electrostatic potential distribution that propagate such that the electrolyte and the semiconductor are dependent on each other. Hence, any variation in the charge density in one phase will lead to a response in the other. This has significant implications on the physical properties of single semiconductor-electrolyte interfaces and on the electrostatic interactions between semiconductor particles suspended in electrolyte solutions. The present paper expands on our previous publication (Fleharty et al., 2014) and offers new results on the electrostatics of single semiconductor interfaces as well as on the interaction of charged semiconductor colloids suspended in electrolyte solution. Copyright © 2014 Elsevier Inc. All rights reserved.

  13. Controlled growth of semiconductor crystals

    DOEpatents

    Bourret-Courchesne, E.D.

    1992-07-21

    A method is disclosed for growth of III-V, II-VI and related semiconductor single crystals that suppresses random nucleation and sticking of the semiconductor melt at the crucible walls. Small pieces of an oxide of boron B[sub x]O[sub y] are dispersed throughout the comminuted solid semiconductor charge in the crucible, with the oxide of boron preferably having water content of at least 600 ppm. The crucible temperature is first raised to a temperature greater than the melt temperature T[sub m1] of the oxide of boron (T[sub m1]=723 K for boron oxide B[sub 2]O[sub 3]), and the oxide of boron is allowed to melt and form a reasonably uniform liquid layer between the crucible walls and bottom surfaces and the still-solid semiconductor charge. The temperature is then raised to approximately the melt temperature T[sub m2] of the semiconductor charge material, and crystal growth proceeds by a liquid encapsulated, vertical gradient freeze process. About half of the crystals grown have a dislocation density of less than 1000/cm[sup 2]. If the oxide of boron has water content less than 600 ppm, the crucible material should include boron nitride, a layer of the inner surface of the crucible should be oxidized before the oxide of boron in the crucible charge is melted, and the sum of thicknesses of the solid boron oxide layer and liquid boron oxide layer should be at least 50 [mu]m. 7 figs.

  14. Comprehensive Characterization of Extended Defects in Semiconductor Materials by a Scanning Electron Microscope.

    PubMed

    Hieckmann, Ellen; Nacke, Markus; Allardt, Matthias; Bodrov, Yury; Chekhonin, Paul; Skrotzki, Werner; Weber, Jörg

    2016-05-28

    Extended defects such as dislocations and grain boundaries have a strong influence on the performance of microelectronic devices and on other applications of semiconductor materials. However, it is still under debate how the defect structure determines the band structure, and therefore, the recombination behavior of electron-hole pairs responsible for the optical and electrical properties of the extended defects. The present paper is a survey of procedures for the spatially resolved investigation of structural and of physical properties of extended defects in semiconductor materials with a scanning electron microscope (SEM). Representative examples are given for crystalline silicon. The luminescence behavior of extended defects can be investigated by cathodoluminescence (CL) measurements. They are particularly valuable because spectrally and spatially resolved information can be obtained simultaneously. For silicon, with an indirect electronic band structure, CL measurements should be carried out at low temperatures down to 5 K due to the low fraction of radiative recombination processes in comparison to non-radiative transitions at room temperature. For the study of the electrical properties of extended defects, the electron beam induced current (EBIC) technique can be applied. The EBIC image reflects the local distribution of defects due to the increased charge-carrier recombination in their vicinity. The procedure for EBIC investigations is described for measurements at room temperature and at low temperatures. Internal strain fields arising from extended defects can be determined quantitatively by cross-correlation electron backscatter diffraction (ccEBSD). This method is challenging because of the necessary preparation of the sample surface and because of the quality of the diffraction patterns which are recorded during the mapping of the sample. The spatial resolution of the three experimental techniques is compared.

  15. EDITORIAL: Focus on Dilute Magnetic Semiconductors FOCUS ON DILUTE MAGNETIC SEMICONDUCTORS

    NASA Astrophysics Data System (ADS)

    Chambers, Scott A.; Gallagher, Bryan

    2008-05-01

    This focus issue of New Journal of Physics is devoted to the materials science of dilute magnetic semiconductors (DMS). A DMS is traditionally defined as a diamagnetic semiconductor doped with a few to several atomic per cent of some transition metal with unpaired d electrons. Several kinds of dopant-dopant interactions can in principle couple the dopant spins leading to a ferromagnetic ground state in a dilute magnetic system. These include superexchange, which occurs principally in oxides and only between dopants with one intervening oxygen, and double exchange, in which dopants of different formal charges exchange an electron. In both of these mechanisms, the ferromagnetic alignment is not critically dependent on free carriers in the host semiconductor because exchange occurs via bonds. A third mechanism, discovered in the last few years, involves electrons associated with lattice defects that can apparently couple dopant spins. This mechanism is not well understood. Finally, the most desirable mechanism is carrier-mediated exchange interaction in which the dopant spins are coupled by itinerant electrons or holes in the host semiconductor. This mechanism introduces a fundamental link between magnetic and electrical transport properties and offers the possibility of new spintronic functionalities. In particular electrical gate control of ferromagnetism and the use of spin polarized currents to carry signals for analog and digital applications. The spin light emitting diode is a prototypical device of this kind that has been extensively used to characterize the extent of spin polarization in the active light emitting semiconductor heterostructure. The prototypical carrier mediated ferromagnetic DMS is Mn-doped GaAs. This and closely related narrow gap III-V materials have been very extensively studied. Their properties are generally quite well understood and they have led to important insights into fundamental properties of ferromagnetic systems with strong spin

  16. The department of transportation's advanced materials research and technology initiatives

    DOT National Transportation Integrated Search

    1995-02-28

    This report provides an overview of DOT's current research and technology efforts, as well as those planned for Fiscal Year (FY) 1996, in two major areas: 1) Advanced Materials Research for Transportation Infrastructure, and 2) Advanced Materials Res...

  17. Atomic layer deposition: an enabling technology for the growth of functional nanoscale semiconductors

    NASA Astrophysics Data System (ADS)

    Biyikli, Necmi; Haider, Ali

    2017-09-01

    In this paper, we present the progress in the growth of nanoscale semiconductors grown via atomic layer deposition (ALD). After the adoption by semiconductor chip industry, ALD became a widespread tool to grow functional films and conformal ultra-thin coatings for various applications. Based on self-limiting and ligand-exchange-based surface reactions, ALD enabled the low-temperature growth of nanoscale dielectric, metal, and semiconductor materials. Being able to deposit wafer-scale uniform semiconductor films at relatively low-temperatures, with sub-monolayer thickness control and ultimate conformality, makes ALD attractive for semiconductor device applications. Towards this end, precursors and low-temperature growth recipes are developed to deposit crystalline thin films for compound and elemental semiconductors. Conventional thermal ALD as well as plasma-assisted and radical-enhanced techniques have been exploited to achieve device-compatible film quality. Metal-oxides, III-nitrides, sulfides, and selenides are among the most popular semiconductor material families studied via ALD technology. Besides thin films, ALD can grow nanostructured semiconductors as well using either template-assisted growth methods or bottom-up controlled nucleation mechanisms. Among the demonstrated semiconductor nanostructures are nanoparticles, nano/quantum-dots, nanowires, nanotubes, nanofibers, nanopillars, hollow and core-shell versions of the afore-mentioned nanostructures, and 2D materials including transition metal dichalcogenides and graphene. ALD-grown nanoscale semiconductor materials find applications in a vast amount of applications including functional coatings, catalysis and photocatalysis, renewable energy conversion and storage, chemical sensing, opto-electronics, and flexible electronics. In this review, we give an overview of the current state-of-the-art in ALD-based nanoscale semiconductor research including the already demonstrated and future applications.

  18. Ballistic superconductivity in semiconductor nanowires.

    PubMed

    Zhang, Hao; Gül, Önder; Conesa-Boj, Sonia; Nowak, Michał P; Wimmer, Michael; Zuo, Kun; Mourik, Vincent; de Vries, Folkert K; van Veen, Jasper; de Moor, Michiel W A; Bommer, Jouri D S; van Woerkom, David J; Car, Diana; Plissard, Sébastien R; Bakkers, Erik P A M; Quintero-Pérez, Marina; Cassidy, Maja C; Koelling, Sebastian; Goswami, Srijit; Watanabe, Kenji; Taniguchi, Takashi; Kouwenhoven, Leo P

    2017-07-06

    Semiconductor nanowires have opened new research avenues in quantum transport owing to their confined geometry and electrostatic tunability. They have offered an exceptional testbed for superconductivity, leading to the realization of hybrid systems combining the macroscopic quantum properties of superconductors with the possibility to control charges down to a single electron. These advances brought semiconductor nanowires to the forefront of efforts to realize topological superconductivity and Majorana modes. A prime challenge to benefit from the topological properties of Majoranas is to reduce the disorder in hybrid nanowire devices. Here we show ballistic superconductivity in InSb semiconductor nanowires. Our structural and chemical analyses demonstrate a high-quality interface between the nanowire and a NbTiN superconductor that enables ballistic transport. This is manifested by a quantized conductance for normal carriers, a strongly enhanced conductance for Andreev-reflecting carriers, and an induced hard gap with a significantly reduced density of states. These results pave the way for disorder-free Majorana devices.

  19. Ballistic superconductivity in semiconductor nanowires

    PubMed Central

    Zhang, Hao; Gül, Önder; Conesa-Boj, Sonia; Nowak, Michał P.; Wimmer, Michael; Zuo, Kun; Mourik, Vincent; de Vries, Folkert K.; van Veen, Jasper; de Moor, Michiel W. A.; Bommer, Jouri D. S.; van Woerkom, David J.; Car, Diana; Plissard, Sébastien R; Bakkers, Erik P.A.M.; Quintero-Pérez, Marina; Cassidy, Maja C.; Koelling, Sebastian; Goswami, Srijit; Watanabe, Kenji; Taniguchi, Takashi; Kouwenhoven, Leo P.

    2017-01-01

    Semiconductor nanowires have opened new research avenues in quantum transport owing to their confined geometry and electrostatic tunability. They have offered an exceptional testbed for superconductivity, leading to the realization of hybrid systems combining the macroscopic quantum properties of superconductors with the possibility to control charges down to a single electron. These advances brought semiconductor nanowires to the forefront of efforts to realize topological superconductivity and Majorana modes. A prime challenge to benefit from the topological properties of Majoranas is to reduce the disorder in hybrid nanowire devices. Here we show ballistic superconductivity in InSb semiconductor nanowires. Our structural and chemical analyses demonstrate a high-quality interface between the nanowire and a NbTiN superconductor that enables ballistic transport. This is manifested by a quantized conductance for normal carriers, a strongly enhanced conductance for Andreev-reflecting carriers, and an induced hard gap with a significantly reduced density of states. These results pave the way for disorder-free Majorana devices. PMID:28681843

  20. Low-Cost Approaches to III–V Semiconductor Growth for Photovoltaic Applications

    DOE PAGES

    Greenaway, Ann L.; Boucher, Jason W.; Oener, Sebastian Z.; ...

    2017-08-31

    III–V semiconductors form the most efficient single- and multijunction photovoltaics. Metal–organic vapor-phase epitaxy, which uses toxic and pyrophoric gas-phase precursors, is the primary commercial growth method for these materials. In order for the use of highly efficient III–V-based devices to be expanded as the demand for renewable electricity grows, a lower-cost approach to the growth of these materials is needed. This Review focuses on three deposition techniques compatible with current device architectures: hydride vapor-phase epitaxy, close-spaced vapor transport, and thin-film vapor–liquid–solid growth. Here, we consider recent advances in each technique, including the available materials space, before providing an in-depth comparisonmore » of growth technology advantages and limitations and considering the impact of modifications to the method of production on the cost of the final photovoltaics.« less

  1. Mechanical assembly of complex, 3D mesostructures from releasable multilayers of advanced materials.

    PubMed

    Yan, Zheng; Zhang, Fan; Liu, Fei; Han, Mengdi; Ou, Dapeng; Liu, Yuhao; Lin, Qing; Guo, Xuelin; Fu, Haoran; Xie, Zhaoqian; Gao, Mingye; Huang, Yuming; Kim, JungHwan; Qiu, Yitao; Nan, Kewang; Kim, Jeonghyun; Gutruf, Philipp; Luo, Hongying; Zhao, An; Hwang, Keh-Chih; Huang, Yonggang; Zhang, Yihui; Rogers, John A

    2016-09-01

    Capabilities for assembly of three-dimensional (3D) micro/nanostructures in advanced materials have important implications across a broad range of application areas, reaching nearly every class of microsystem technology. Approaches that rely on the controlled, compressive buckling of 2D precursors are promising because of their demonstrated compatibility with the most sophisticated planar technologies, where materials include inorganic semiconductors, polymers, metals, and various heterogeneous combinations, spanning length scales from submicrometer to centimeter dimensions. We introduce a set of fabrication techniques and design concepts that bypass certain constraints set by the underlying physics and geometrical properties of the assembly processes associated with the original versions of these methods. In particular, the use of releasable, multilayer 2D precursors provides access to complex 3D topologies, including dense architectures with nested layouts, controlled points of entanglement, and other previously unobtainable layouts. Furthermore, the simultaneous, coordinated assembly of additional structures can enhance the structural stability and drive the motion of extended features in these systems. The resulting 3D mesostructures, demonstrated in a diverse set of more than 40 different examples with feature sizes from micrometers to centimeters, offer unique possibilities in device design. A 3D spiral inductor for near-field communication represents an example where these ideas enable enhanced quality ( Q ) factors and broader working angles compared to those of conventional 2D counterparts.

  2. Mechanical assembly of complex, 3D mesostructures from releasable multilayers of advanced materials

    PubMed Central

    Yan, Zheng; Zhang, Fan; Liu, Fei; Han, Mengdi; Ou, Dapeng; Liu, Yuhao; Lin, Qing; Guo, Xuelin; Fu, Haoran; Xie, Zhaoqian; Gao, Mingye; Huang, Yuming; Kim, JungHwan; Qiu, Yitao; Nan, Kewang; Kim, Jeonghyun; Gutruf, Philipp; Luo, Hongying; Zhao, An; Hwang, Keh-Chih; Huang, Yonggang; Zhang, Yihui; Rogers, John A.

    2016-01-01

    Capabilities for assembly of three-dimensional (3D) micro/nanostructures in advanced materials have important implications across a broad range of application areas, reaching nearly every class of microsystem technology. Approaches that rely on the controlled, compressive buckling of 2D precursors are promising because of their demonstrated compatibility with the most sophisticated planar technologies, where materials include inorganic semiconductors, polymers, metals, and various heterogeneous combinations, spanning length scales from submicrometer to centimeter dimensions. We introduce a set of fabrication techniques and design concepts that bypass certain constraints set by the underlying physics and geometrical properties of the assembly processes associated with the original versions of these methods. In particular, the use of releasable, multilayer 2D precursors provides access to complex 3D topologies, including dense architectures with nested layouts, controlled points of entanglement, and other previously unobtainable layouts. Furthermore, the simultaneous, coordinated assembly of additional structures can enhance the structural stability and drive the motion of extended features in these systems. The resulting 3D mesostructures, demonstrated in a diverse set of more than 40 different examples with feature sizes from micrometers to centimeters, offer unique possibilities in device design. A 3D spiral inductor for near-field communication represents an example where these ideas enable enhanced quality (Q) factors and broader working angles compared to those of conventional 2D counterparts. PMID:27679820

  3. Mechanical assembly of complex, 3D mesostructures from releasable multilayers of advanced materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yan, Zheng; Zhang, Fan; Liu, Fei

    Capabilities for assembly of three-dimensional (3D) micro/nanostructures in advanced materials have important implications across a broad range of application areas, reaching nearly every class of microsystem technology. Approaches that rely on the controlled, compressive buckling of 2D precursors are promising because of their demonstrated compatibility with the most sophisticated planar technologies, where materials include inorganic semiconductors, polymers, metals, and various heterogeneous combinations, spanning length scales from submicrometer to centimeter dimensions. We introduce a set of fabrication techniques and design concepts that bypass certain constraints set by the underlying physics and geometrical properties of the assembly processes associated with the originalmore » versions of these methods. In particular, the use of releasable, multilayer 2D precursors provides access to complex 3D topologies, including dense architectures with nested layouts, controlled points of entanglement, and other previously unobtainable layouts. Furthermore, the simultaneous, coordinated assembly of additional structures can enhance the structural stability and drive the motion of extended features in these systems. The resulting 3D mesostructures, demonstrated in a diverse set of more than 40 different examples with feature sizes from micrometers to centimeters, offer unique possibilities in device design. In conclusion, a 3D spiral inductor for near-field communication represents an example where these ideas enable enhanced quality ( Q) factors and broader working angles compared to those of conventional 2D counterparts.« less

  4. Mechanical assembly of complex, 3D mesostructures from releasable multilayers of advanced materials

    DOE PAGES

    Yan, Zheng; Zhang, Fan; Liu, Fei; ...

    2016-09-23

    Capabilities for assembly of three-dimensional (3D) micro/nanostructures in advanced materials have important implications across a broad range of application areas, reaching nearly every class of microsystem technology. Approaches that rely on the controlled, compressive buckling of 2D precursors are promising because of their demonstrated compatibility with the most sophisticated planar technologies, where materials include inorganic semiconductors, polymers, metals, and various heterogeneous combinations, spanning length scales from submicrometer to centimeter dimensions. We introduce a set of fabrication techniques and design concepts that bypass certain constraints set by the underlying physics and geometrical properties of the assembly processes associated with the originalmore » versions of these methods. In particular, the use of releasable, multilayer 2D precursors provides access to complex 3D topologies, including dense architectures with nested layouts, controlled points of entanglement, and other previously unobtainable layouts. Furthermore, the simultaneous, coordinated assembly of additional structures can enhance the structural stability and drive the motion of extended features in these systems. The resulting 3D mesostructures, demonstrated in a diverse set of more than 40 different examples with feature sizes from micrometers to centimeters, offer unique possibilities in device design. In conclusion, a 3D spiral inductor for near-field communication represents an example where these ideas enable enhanced quality ( Q) factors and broader working angles compared to those of conventional 2D counterparts.« less

  5. Advanced Material Strategies for Next-Generation Additive Manufacturing

    PubMed Central

    Chang, Jinke; He, Jiankang; Zhou, Wenxing; Lei, Qi; Li, Xiao; Li, Dichen

    2018-01-01

    Additive manufacturing (AM) has drawn tremendous attention in various fields. In recent years, great efforts have been made to develop novel additive manufacturing processes such as micro-/nano-scale 3D printing, bioprinting, and 4D printing for the fabrication of complex 3D structures with high resolution, living components, and multimaterials. The development of advanced functional materials is important for the implementation of these novel additive manufacturing processes. Here, a state-of-the-art review on advanced material strategies for novel additive manufacturing processes is provided, mainly including conductive materials, biomaterials, and smart materials. The advantages, limitations, and future perspectives of these materials for additive manufacturing are discussed. It is believed that the innovations of material strategies in parallel with the evolution of additive manufacturing processes will provide numerous possibilities for the fabrication of complex smart constructs with multiple functions, which will significantly widen the application fields of next-generation additive manufacturing. PMID:29361754

  6. Advanced Material Strategies for Next-Generation Additive Manufacturing.

    PubMed

    Chang, Jinke; He, Jiankang; Mao, Mao; Zhou, Wenxing; Lei, Qi; Li, Xiao; Li, Dichen; Chua, Chee-Kai; Zhao, Xin

    2018-01-22

    Additive manufacturing (AM) has drawn tremendous attention in various fields. In recent years, great efforts have been made to develop novel additive manufacturing processes such as micro-/nano-scale 3D printing, bioprinting, and 4D printing for the fabrication of complex 3D structures with high resolution, living components, and multimaterials. The development of advanced functional materials is important for the implementation of these novel additive manufacturing processes. Here, a state-of-the-art review on advanced material strategies for novel additive manufacturing processes is provided, mainly including conductive materials, biomaterials, and smart materials. The advantages, limitations, and future perspectives of these materials for additive manufacturing are discussed. It is believed that the innovations of material strategies in parallel with the evolution of additive manufacturing processes will provide numerous possibilities for the fabrication of complex smart constructs with multiple functions, which will significantly widen the application fields of next-generation additive manufacturing.

  7. Overview of atomic layer etching in the semiconductor industry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article providesmore » defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.« less

  8. Cladding and duct materials for advanced nuclear recycle reactors

    NASA Astrophysics Data System (ADS)

    Allen, T. R.; Busby, J. T.; Klueh, R. L.; Maloy, S. A.; Toloczko, M. B.

    2008-01-01

    The expanded use of nuclear energy without risk of nuclear weapons proliferation and with safe nuclear waste disposal is a primary goal of the Global Nuclear Energy Partnership (GNEP). To achieve that goal the GNEP is exploring advanced technologies for recycling spent nuclear fuel that do not separate pure plutonium, and advanced reactors that consume transuranic elements from recycled spent fuel. The GNEP’s objectives will place high demands on reactor clad and structural materials. This article discusses the materials requirements of the GNEP’s advanced nuclear recycle reactors program.

  9. Advanced Materials through Assembly of Nanocelluloses.

    PubMed

    Kontturi, Eero; Laaksonen, Päivi; Linder, Markus B; Nonappa; Gröschel, André H; Rojas, Orlando J; Ikkala, Olli

    2018-06-01

    There is an emerging quest for lightweight materials with excellent mechanical properties and economic production, while still being sustainable and functionalizable. They could form the basis of the future bioeconomy for energy and material efficiency. Cellulose has long been recognized as an abundant polymer. Modified celluloses were, in fact, among the first polymers used in technical applications; however, they were later replaced by petroleum-based synthetic polymers. Currently, there is a resurgence of interest to utilize renewable resources, where cellulose is foreseen to make again a major impact, this time in the development of advanced materials. This is because of its availability and properties, as well as economic and sustainable production. Among cellulose-based structures, cellulose nanofibrils and nanocrystals display nanoscale lateral dimensions and lengths ranging from nanometers to micrometers. Their excellent mechanical properties are, in part, due to their crystalline assembly via hydrogen bonds. Owing to their abundant surface hydroxyl groups, they can be easily modified with nanoparticles, (bio)polymers, inorganics, or nanocarbons to form functional fibers, films, bulk matter, and porous aerogels and foams. Here, some of the recent progress in the development of advanced materials within this rapidly growing field is reviewed. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Processing of insulators and semiconductors

    DOEpatents

    Quick, Nathaniel R.; Joshi, Pooran C.; Duty, Chad Edward; Jellison, Jr., Gerald Earle; Angelini, Joseph Attilio

    2015-06-16

    A method is disclosed for processing an insulator material or a semiconductor material. The method includes pulsing a plasma lamp onto the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a large area region of the material. The method may further include pulsing a laser onto a selected region of the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a selected region of the material.

  11. Point Defects in Two-Dimensional Layered Semiconductors: Physics and Its Applications

    NASA Astrophysics Data System (ADS)

    Suh, Joonki

    Recent advances in material science and semiconductor processing have been achieved largely based on in-depth understanding, efficient management and advanced application of point defects in host semiconductors, thus finding the relevant techniques such as doping and defect engineering as a traditional scientific and technological solution. Meanwhile, two- dimensional (2D) layered semiconductors currently draw tremendous attentions due to industrial needs and their rich physics at the nanoscale; as we approach the end of critical device dimensions in silicon-based technology, ultra-thin semiconductors have the potential as next- generation channel materials, and new physics also emerges at such reduced dimensions where confinement of electrons, phonons, and other quasi-particles is significant. It is therefore rewarding and interesting to understand and redefine the impact of lattice defects by investigating their interactions with energy/charge carriers of the host matter. Potentially, the established understanding will provide unprecedented opportunities for realizing new functionalities and enhancing the performance of energy harvesting and optoelectronic devices. In this thesis, multiple novel 2D layered semiconductors, such as bismuth and transition- metal chalcogenides, are explored. Following an introduction of conventional effects induced by point defects in semiconductors, the related physics of electronically active amphoteric defects is revisited in greater details. This can elucidate the complication of a two-dimensional electron gas coexisting with the topological states on the surface of bismuth chalcogenides, recently suggested as topological insulators. Therefore, native point defects are still one of the keys to understand and exploit topological insulators. In addition to from a fundamental science point of view, the effects of point defects on the integrated thermal-electrical transport, as well as the entropy-transporting process in

  12. Investigation of Surface Breakdown on Semiconductor Devices Using Optical Probing Techniques.

    DTIC Science & Technology

    1990-01-01

    18] L. Bovino , T. Burke, R. Youmans, M. Weiner, and J. Car, r, "Recent Advances in Optically C’ntrolled Bulk Semiconductor Switches," Digest of...Comp. Simul. 5 (3), 175 (1988). [321 M. Weiner, L. Bovino , R. Youmans, and T. Burke, "Modeling of the Optically Conrolled Semiconductor Switch," J

  13. Advanced 3-V semiconductor technology assessment. [space communications

    NASA Technical Reports Server (NTRS)

    Nowogrodzki, M.

    1983-01-01

    Against a background of an extensive survey of the present state of the art in the field of III-V semiconductors for operation at microwave frequencies (or gigabit rate speeds), likely requirements of future space communications systems are identified, competing technologies and physical device limitations are discussed, and difficulties in implementing emerging technologies are projected. On the basis of these analyses, specific research and development programs required for the development of future systems components are recommended.

  14. Bandgap engineering in semiconductor alloy nanomaterials with widely tunable compositions

    NASA Astrophysics Data System (ADS)

    Ning, Cun-Zheng; Dou, Letian; Yang, Peidong

    2017-12-01

    Over the past decade, tremendous progress has been achieved in the development of nanoscale semiconductor materials with a wide range of bandgaps by alloying different individual semiconductors. These materials include traditional II-VI and III-V semiconductors and their alloys, inorganic and hybrid perovskites, and the newly emerging 2D materials. One important common feature of these materials is that their nanoscale dimensions result in a large tolerance to lattice mismatches within a monolithic structure of varying composition or between the substrate and target material, which enables us to achieve almost arbitrary control of the variation of the alloy composition. As a result, the bandgaps of these alloys can be widely tuned without the detrimental defects that are often unavoidable in bulk materials, which have a much more limited tolerance to lattice mismatches. This class of nanomaterials could have a far-reaching impact on a wide range of photonic applications, including tunable lasers, solid-state lighting, artificial photosynthesis and new solar cells.

  15. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  16. Electron beam pumped semiconductor laser

    NASA Technical Reports Server (NTRS)

    Hug, William F. (Inventor); Reid, Ray D. (Inventor)

    2009-01-01

    Electron-beam-pumped semiconductor ultra-violet optical sources (ESUVOSs) are disclosed that use ballistic electron pumped wide bandgap semiconductor materials. The sources may produce incoherent radiation and take the form of electron-beam-pumped light emitting triodes (ELETs). The sources may produce coherent radiation and take the form of electron-beam-pumped laser triodes (ELTs). The ELTs may take the form of electron-beam-pumped vertical cavity surface emitting lasers (EVCSEL) or edge emitting electron-beam-pumped lasers (EEELs). The semiconductor medium may take the form of an aluminum gallium nitride alloy that has a mole fraction of aluminum selected to give a desired emission wavelength, diamond, or diamond-like carbon (DLC). The sources may be produced from discrete components that are assembled after their individual formation or they may be produced using batch MEMS-type or semiconductor-type processing techniques to build them up in a whole or partial monolithic manner, or combination thereof.

  17. Code qualification of structural materials for AFCI advanced recycling reactors.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Natesan, K.; Li, M.; Majumdar, S.

    2012-05-31

    This report summarizes the further findings from the assessments of current status and future needs in code qualification and licensing of reference structural materials and new advanced alloys for advanced recycling reactors (ARRs) in support of Advanced Fuel Cycle Initiative (AFCI). The work is a combined effort between Argonne National Laboratory (ANL) and Oak Ridge National Laboratory (ORNL) with ANL as the technical lead, as part of Advanced Structural Materials Program for AFCI Reactor Campaign. The report is the second deliverable in FY08 (M505011401) under the work package 'Advanced Materials Code Qualification'. The overall objective of the Advanced Materials Codemore » Qualification project is to evaluate key requirements for the ASME Code qualification and the Nuclear Regulatory Commission (NRC) approval of structural materials in support of the design and licensing of the ARR. Advanced materials are a critical element in the development of sodium reactor technologies. Enhanced materials performance not only improves safety margins and provides design flexibility, but also is essential for the economics of future advanced sodium reactors. Code qualification and licensing of advanced materials are prominent needs for developing and implementing advanced sodium reactor technologies. Nuclear structural component design in the U.S. must comply with the ASME Boiler and Pressure Vessel Code Section III (Rules for Construction of Nuclear Facility Components) and the NRC grants the operational license. As the ARR will operate at higher temperatures than the current light water reactors (LWRs), the design of elevated-temperature components must comply with ASME Subsection NH (Class 1 Components in Elevated Temperature Service). However, the NRC has not approved the use of Subsection NH for reactor components, and this puts additional burdens on materials qualification of the ARR. In the past licensing review for the Clinch River Breeder Reactor Project (CRBRP

  18. Materials as additives for advanced lubrication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pol, Vilas G.; Thackeray, Michael M.; Mistry, Kuldeep

    This invention relates to carbon-based materials as anti-friction and anti-wear additives for advanced lubrication purposes. The materials comprise carbon nanotubes suspended in a liquid hydrocarbon carrier. Optionally, the compositions further comprise a surfactant (e.g., to aid in dispersion of the carbon particles). Specifically, the novel lubricants have the ability to significantly lower friction and wear, which translates into improved fuel economies and longer durability of mechanical devices and engines.

  19. Joining of advanced materials by superplastic deformation

    DOEpatents

    Goretta, Kenneth C.; Routbort, Jules L.; Gutierrez-Mora, Felipe

    2008-08-19

    A method for utilizing superplastic deformation with or without a novel joint compound that leads to the joining of advanced ceramic materials, intermetallics, and cermets. A joint formed by this approach is as strong as or stronger than the materials joined. The method does not require elaborate surface preparation or application techniques.

  20. Joining of advanced materials by superplastic deformation

    DOEpatents

    Goretta, Kenneth C.; Routbort, Jules L.; Gutierrez-Mora, Felipe

    2005-12-13

    A method for utilizing superplastic deformation with or without a novel joint compound that leads to the joining of advanced ceramic materials, intermetallics, and cermets. A joint formed by this approach is as strong as or stronger than the materials joined. The method does not require elaborate surface preparation or application techniques.

  1. Aptamer-Modified Semiconductor Quantum Dots for Biosensing Applications

    PubMed Central

    Wen, Lin; Qiu, Liping; Wu, Yongxiang; Hu, Xiaoxiao; Zhang, Xiaobing

    2017-01-01

    Semiconductor quantum dots have attracted extensive interest in the biosensing area because of their properties, such as narrow and symmetric emission with tunable colors, high quantum yield, high stability and controllable morphology. The introduction of various reactive functional groups on the surface of semiconductor quantum dots allows one to conjugate a spectrum of ligands, antibodies, peptides, or nucleic acids for broader and smarter applications. Among these ligands, aptamers exhibit many advantages including small size, high chemical stability, simple synthesis with high batch-to-batch consistency and convenient modification. More importantly, it is easy to introduce nucleic acid amplification strategies and/or nanomaterials to improve the sensitivity of aptamer-based sensing systems. Therefore, the combination of semiconductor quantum dots and aptamers brings more opportunities in bioanalysis. Here we summarize recent advances on aptamer-functionalized semiconductor quantum dots in biosensing applications. Firstly, we discuss the properties and structure of semiconductor quantum dots and aptamers. Then, the applications of biosensors based on aptamer-modified semiconductor quantum dots by different signal transducing mechanisms, including optical, electrochemical and electrogenerated chemiluminescence approaches, is discussed. Finally, our perspectives on the challenges and opportunities in this promising field are provided. PMID:28788080

  2. Aptamer-Modified Semiconductor Quantum Dots for Biosensing Applications.

    PubMed

    Wen, Lin; Qiu, Liping; Wu, Yongxiang; Hu, Xiaoxiao; Zhang, Xiaobing

    2017-07-28

    Semiconductor quantum dots have attracted extensive interest in the biosensing area because of their properties, such as narrow and symmetric emission with tunable colors, high quantum yield, high stability and controllable morphology. The introduction of various reactive functional groups on the surface of semiconductor quantum dots allows one to conjugate a spectrum of ligands, antibodies, peptides, or nucleic acids for broader and smarter applications. Among these ligands, aptamers exhibit many advantages including small size, high chemical stability, simple synthesis with high batch-to-batch consistency and convenient modification. More importantly, it is easy to introduce nucleic acid amplification strategies and/or nanomaterials to improve the sensitivity of aptamer-based sensing systems. Therefore, the combination of semiconductor quantum dots and aptamers brings more opportunities in bioanalysis. Here we summarize recent advances on aptamer-functionalized semiconductor quantum dots in biosensing applications. Firstly, we discuss the properties and structure of semiconductor quantum dots and aptamers. Then, the applications of biosensors based on aptamer-modified semiconductor quantum dots by different signal transducing mechanisms, including optical, electrochemical and electrogenerated chemiluminescence approaches, is discussed. Finally, our perspectives on the challenges and opportunities in this promising field are provided.

  3. Cameras for semiconductor process control

    NASA Technical Reports Server (NTRS)

    Porter, W. A.; Parker, D. L.

    1977-01-01

    The application of X-ray topography to semiconductor process control is described, considering the novel features of the high speed camera and the difficulties associated with this technique. The most significant results on the effects of material defects on device performance are presented, including results obtained using wafers processed entirely within this institute. Defects were identified using the X-ray camera and correlations made with probe data. Also included are temperature dependent effects of material defects. Recent applications and improvements of X-ray topographs of silicon-on-sapphire and gallium arsenide are presented with a description of a real time TV system prototype and of the most recent vacuum chuck design. Discussion is included of our promotion of the use of the camera by various semiconductor manufacturers.

  4. Advanced Materials for Exploration Task Research Results

    NASA Technical Reports Server (NTRS)

    Cook, M. B. (Compiler); Murphy, K. L.; Schneider, T.

    2008-01-01

    The Advanced Materials for Exploration (AME) Activity in Marshall Space Flight Center s (MSFC s) Exploration Science and Technology Directorate coordinated activities from 2001 to 2006 to support in-space propulsion technologies for future missions. Working together, materials scientists and mission planners identified materials shortfalls that are limiting the performance of long-term missions. The goal of the AME project was to deliver improved materials in targeted areas to meet technology development milestones of NASA s exploration-dedicated activities. Materials research tasks were targeted in five areas: (1) Thermal management materials, (2) propulsion materials, (3) materials characterization, (4) vehicle health monitoring materials, and (5) structural materials. Selected tasks were scheduled for completion such that these new materials could be incorporated into customer development plans.

  5. Plastic Deformation as a Means to Achieve Stretchable Polymer Semiconductors

    NASA Astrophysics Data System (ADS)

    O'Connor, Brendan

    Developing intrinsically stretchable semiconductors will seamlessly transition traditional devices into a stretchable platform. Polymer semiconductors are inherently soft materials due to the weak van der Waal intermolecular bonding allowing for flexible devices. However, these materials are not typically stretchable and when large strains are applied they either crack or plastically deform. Here, we study the use of repeated plastic deformation as a means of achieving stretchable films. In this talk, critical aspects of polymer semiconductor material selection, morphology and interface properties will be discussed that enable this approach of achieving stretchable films. We show that one can employ high performance donor-acceptor polymer semiconductors that are typically brittle through proper polymer blending to significantly increase ductility to achieve stretchable films. We demonstrate a polymer blend film that can be repeatedly deformed over 65%, while maintaining charge mobility consistently above 0.15 cm2/Vs. During the stretching process we show that the films follow a well-controlled repeated deformation pattern for over 100 stretching cycles.

  6. Property Data Summaries for Advanced Materials

    National Institute of Standards and Technology Data Gateway

    SRD 150 NIST Property Data Summaries for Advanced Materials (Web, free access)   Property Data Summaries are topical collections of property values derived from surveys of published data. Thermal, mechanical, structural, and chemical properties are included in the collections.

  7. Precision machining of advanced materials with waterjets

    NASA Astrophysics Data System (ADS)

    Liu, H. T.

    2017-01-01

    Recent advances in abrasive waterjet technology have elevated to the state that it often competes on equal footing with lasers and EDM for precision machining. Under the support of a National Science Foundation SBIR Phase II grant, OMAX has developed and commercialized micro abrasive water technology that is incorporated into a MicroMAX® JetMa- chining® Center. Waterjet technology, combined both abrasive waterjet and micro abrasive waterjet technology, is capable of machining most materials from macro to micro scales for a wide range of part size and thickness. Waterjet technology has technological and manufacturing merits that cannot be matched by most existing tools. As a cold cutting tool that creates no heat-affected zone, for example, waterjet cuts much faster than wire EDM and laser when measures to minimize a heat-affected zone are taken into account. In addition, waterjet is material independent; it cuts materials that cannot be cut or are difficult to cut otherwise. The versatility of waterjet has also demonstrated machining simulated nanomaterials with large gradients of material properties from metal, nonmetal, to anything in between. This paper presents waterjet-machined samples made of a wide range of advanced materials from macro to micro scales.

  8. Semiconductor wire array structures, and solar cells and photodetectors based on such structures

    DOEpatents

    Kelzenberg, Michael D.; Atwater, Harry A.; Briggs, Ryan M.; Boettcher, Shannon W.; Lewis, Nathan S.; Petykiewicz, Jan A.

    2014-08-19

    A structure comprising an array of semiconductor structures, an infill material between the semiconductor materials, and one or more light-trapping elements is described. Photoconverters and photoelectrochemical devices based on such structure also described.

  9. Low temperature production of large-grain polycrystalline semiconductors

    DOEpatents

    Naseem, Hameed A [Fayetteville, AR; Albarghouti, Marwan [Loudonville, NY

    2007-04-10

    An oxide or nitride layer is provided on an amorphous semiconductor layer prior to performing metal-induced crystallization of the semiconductor layer. The oxide or nitride layer facilitates conversion of the amorphous material into large grain polycrystalline material. Hence, a native silicon dioxide layer provided on hydrogenated amorphous silicon (a-Si:H), followed by deposited Al permits induced crystallization at temperatures far below the solid phase crystallization temperature of a-Si. Solar cells and thin film transistors can be prepared using this method.

  10. Nanoscience and Nanotechnology: From Energy Applications to Advanced Medical Therapies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tijana Rajh

    2009-10-14

    Dr. Rajh will present a general talk on nanotechnology – an overview of why nanotechnology is important and how it is useful in various fields. The specific focus will be on Solar energy conversion, environmental applications and advanced medical therapies. She has broad expertise in synthesis and characterization of nanomaterials that are used in nanotechnology including novel hybrid systems connecting semiconductors to biological molecules like DNA and antibodies. This technology could lead to new gene therapy procedures, cancer treatments and other medical applications. She will also discuss technologies made possible by organizing small semiconductor particles called quantum dots, materials thatmore » exhibit a rich variety of phenomena that are size and shape dependent. Development of these new materials that harnesses the unique properties of materials at the 1-100 nanometer scale resulted in the new field of nanotechnology that currently affects many applications in technological and medical fields.« less

  11. Advanced Plasmonic Materials for Dynamic Color Display.

    PubMed

    Shao, Lei; Zhuo, Xiaolu; Wang, Jianfang

    2018-04-01

    Plasmonic structures exhibit promising applications in high-resolution and durable color generation. Research on advanced hybrid plasmonic materials that allow dynamically reconfigurable color control has developed rapidly in recent years. Some of these results may give rise to practically applicable reflective displays in living colors with high performance and low power consumption. They will attract broad interest from display markets, compared with static plasmonic color printing, for example, in applications such as digital signage, full-color electronic paper, and electronic device screens. In this progress report, the most promising recent examples of utilizing advanced plasmonic materials for the realization of dynamic color display are highlighted and put into perspective. The performances, advantages, and disadvantages of different technologies are discussed, with emphasis placed on both the potential and possible limitations of various hybrid materials for dynamic plasmonic color display. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Comprehensive Characterization of Extended Defects in Semiconductor Materials by a Scanning Electron Microscope

    PubMed Central

    Hieckmann, Ellen; Nacke, Markus; Allardt, Matthias; Bodrov, Yury; Chekhonin, Paul; Skrotzki, Werner; Weber, Jörg

    2016-01-01

    Extended defects such as dislocations and grain boundaries have a strong influence on the performance of microelectronic devices and on other applications of semiconductor materials. However, it is still under debate how the defect structure determines the band structure, and therefore, the recombination behavior of electron-hole pairs responsible for the optical and electrical properties of the extended defects. The present paper is a survey of procedures for the spatially resolved investigation of structural and of physical properties of extended defects in semiconductor materials with a scanning electron microscope (SEM). Representative examples are given for crystalline silicon. The luminescence behavior of extended defects can be investigated by cathodoluminescence (CL) measurements. They are particularly valuable because spectrally and spatially resolved information can be obtained simultaneously. For silicon, with an indirect electronic band structure, CL measurements should be carried out at low temperatures down to 5 K due to the low fraction of radiative recombination processes in comparison to non-radiative transitions at room temperature. For the study of the electrical properties of extended defects, the electron beam induced current (EBIC) technique can be applied. The EBIC image reflects the local distribution of defects due to the increased charge-carrier recombination in their vicinity. The procedure for EBIC investigations is described for measurements at room temperature and at low temperatures. Internal strain fields arising from extended defects can be determined quantitatively by cross-correlation electron backscatter diffraction (ccEBSD). This method is challenging because of the necessary preparation of the sample surface and because of the quality of the diffraction patterns which are recorded during the mapping of the sample. The spatial resolution of the three experimental techniques is compared. PMID:27285177

  13. ‘Symbiotic’ semiconductors: unusual and counter-intuitive Ge/Si/O interactions

    NASA Astrophysics Data System (ADS)

    George, T.; Li, P. W.; Chen, K. H.; Peng, K. P.; Lai, W. T.

    2017-03-01

    Since the inception of the first transistors in the 1940s, the immense body of work on the Group IV semiconductors, Si and Ge, has spearheaded spectacular advances in modern integrated-circuit (IC) technology that has enabled a vast landscape of device applications in logic, memory, and computing. Although initially Si supplanted Ge as the material of choice for metal-oxide-semiconductor field-effect transistors, Ge-based devices are now breaking new ground. Widespread and innovative Ge-based applications exist in optoelectronics, communications, microelectro-mechanical systems, and energy harvesting/savings. On the fundamental, materials science front, while it is well known that Ge and Si are fully miscible in each other, the nature and extent of their attraction for each other has largely been unexplored. In this paper, we report a rather curious interplay between Ge and Si that occurs at high temperature (~900 °C) and that can be best described as ‘symbiotic’. Each element appears to facilitate reactions in the other which would otherwise not be possible. Oxygen intersititials also appear to play a major role in these reactions. Our experimental work has allowed us to classify four distinct regimes where these reactions occur. We describe these conditions and provide the necessary theoretical explanations for these results.

  14. Optical temperature sensor using thermochromic semiconductors

    DOEpatents

    Kronberg, J.W.

    1994-01-01

    Optical thermometry is a growing technological field which exploits the ability of certain materials to change their optical properties with temperature. A subclass of such materials are those which change their color as a reversible and reproducible function of temperature. These materials are thermochromic. This invention is a composition to measure temperature utilizing thermochromic semiconductors.

  15. Deposition method for producing silicon carbide high-temperature semiconductors

    DOEpatents

    Hsu, George C.; Rohatgi, Naresh K.

    1987-01-01

    An improved deposition method for producing silicon carbide high-temperature semiconductor material comprising placing a semiconductor substrate composed of silicon carbide in a fluidized bed silicon carbide deposition reactor, fluidizing the bed particles by hydrogen gas in a mildly bubbling mode through a gas distributor and heating the substrate at temperatures around 1200.degree.-1500.degree. C. thereby depositing a layer of silicon carbide on the semiconductor substrate.

  16. ZnSe based semiconductor core-shell structures: From preparation to application

    NASA Astrophysics Data System (ADS)

    Sun, Chengcheng; Gu, Yarong; Wen, Weijia; Zhao, Lijuan

    2018-07-01

    Inorganic core-shell semiconductor materials have attracted increasing interest in recent years because of the unique structure, stable chemical properties and high performance in devices. With special properties such as a direct band-gap and excellent photoelectrical characteristics, ZnSe based semiconductor core-shell structures are promising materials for applications in such fields as photocatalysts, light-emitting diodes, solar cells, photodetectors, biomedical science and so on. However, few reviews on ZnSe based semiconductor core-shell structures have been reported so far. Therefore this manuscript mainly focuses on the research activities on ZnSe based semiconductor core-shell composites including various preparation methods and the applications of these core-shell structures, especially in photocatalysts, light emitting, solar cells and photodetectors. The possibilities and limitations of studies on ZnSe based semiconductor core-shell composites are also highlighted.

  17. Absorption properties of metal-semiconductor hybrid nanoparticles.

    PubMed

    Shaviv, Ehud; Schubert, Olaf; Alves-Santos, Marcelo; Goldoni, Guido; Di Felice, Rosa; Vallée, Fabrice; Del Fatti, Natalia; Banin, Uri; Sönnichsen, Carsten

    2011-06-28

    The optical response of hybrid metal-semiconductor nanoparticles exhibits different behaviors due to the proximity between the disparate materials. For some hybrid systems, such as CdS-Au matchstick-shaped hybrids, the particles essentially retain the optical properties of their original components, with minor changes. Other systems, such as CdSe-Au dumbbell-shaped nanoparticles, exhibit significant change in the optical properties due to strong coupling between the two materials. Here, we study the absorption of these hybrids by comparing experimental results with simulations using the discrete dipole approximation method (DDA) employing dielectric functions of the bare components as inputs. For CdS-Au nanoparticles, the DDA simulation provides insights on the gold tip shape and its interface with the semiconductor, information that is difficult to acquire by experimental means alone. Furthermore, the qualitative agreement between DDA simulations and experimental data for CdS-Au implies that most effects influencing the absorption of this hybrid system are well described by local dielectric functions obtained separately for bare gold and CdS nanoparticles. For dumbbell shaped CdSe-Au, we find a shortcoming of the electrodynamic model, as it does not predict the "washing out" of the optical features of the semiconductor and the metal observed experimentally. The difference between experiment and theory is ascribed to strong interaction of the metal and semiconductor excitations, which spectrally overlap in the CdSe case. The present study exemplifies the employment of theoretical approaches used to describe the optical properties of semiconductors and metal nanoparticles, to achieve better understanding of the behavior of metal-semiconductor hybrid nanoparticles.

  18. Radiation hardening of metal-oxide semi-conductor (MOS) devices by boron

    NASA Technical Reports Server (NTRS)

    Danchenko, V.

    1974-01-01

    Technique using boron effectively protects metal-oxide semiconductor devices from ionizing radiation without using shielding materials. Boron is introduced into insulating gate oxide layer at semiconductor-insulator interface.

  19. Chitin Liquid-Crystal-Templated Oxide Semiconductor Aerogels.

    PubMed

    Chau, Trang The Lieu; Le, Dung Quang Tien; Le, Hoa Thi; Nguyen, Cuong Duc; Nguyen, Long Viet; Nguyen, Thanh-Dinh

    2017-09-13

    Chitin nanocrystals have been used as a liquid crystalline template to fabricate layered oxide semiconductor aerogels. Anisotropic chitin liquid crystals are transformed to sponge-like aerogels by hydrothermally cross-linked gelation and lyophilization-induced solidification. The hydrothermal gelation of chitin aqueous suspensions then proceeds with peroxotitanate to form hydrogel composites that recover to form aerogels after freeze-drying. The homogeneous peroxotitanate/chitin composites are calcined to generate freestanding titania aerogels that exhibit the nanostructural integrity of layered chitin template. Our extended investigations show that coassembling chitin nanocrystals with other metal-based precursors also yielded semiconductor aerogels of perovskite BaTiO 3 and CuO x nanocrystals. The potential of these materials is great to investigate these chitin sponges for biomedicine and these semiconductor aerogels for photocatalysis, gas sensing, and other applications. Our results present a new aerogel templating method of highly porous, ultralight materials with chitin liquid crystals.

  20. Impurity measurements in semiconductor materials using trace element accelerator mass spectrometry

    NASA Astrophysics Data System (ADS)

    McDaniel, F. D.; Datar, S. A.; Nigam, M.; Ravi Prasad, G. V.

    2002-05-01

    Accelerator mass spectrometry (AMS) is commonly used to determine the abundance ratios of long-lived isotopes such as 10B, 14C, 36Cl, 129I, etc. to their stable counterparts at levels as low as 10 -16. Secondary ion mass spectrometry (SIMS) is routinely used to determine impurity levels in materials by depth profiling techniques. Trace-element accelerator mass spectrometry (TEAMS) is a combination of AMS and SIMS, presently being used at the University of North Texas, for high-sensitivity (ppb) impurity analyses of stable isotopes in semiconductor materials. The molecular break-up characteristics of AMS are used with TEAMS to remove the molecular interferences present in SIMS. Measurements made with different substrate/impurity combinations demonstrate that TEAMS has higher sensitivity for many elements than other techniques such as SIMS and can assist with materials characterization issues. For example, measurements of implanted As in the presence of Ge in Ge xSi 1- x/Si is difficult with SIMS because of molecular interferences from 74GeH, 29Si 30Si 16O, etc. With TEAMS, the molecular interferences are removed and higher sensitivities are obtained. Measured substrates include Si, SiGe, CoSi 2, GaAs and GaN. Measured impurities include B, N, F, Mg, P, Cl, Cr, Fe, Ni, Co, Cu, Zn, Ge, As, Se, Mo, Sn and Sb. A number of measurements will be presented to illustrate the range and power of TEAMS.

  1. Recent Advances in Two-Dimensional Materials beyond Graphene.

    PubMed

    Bhimanapati, Ganesh R; Lin, Zhong; Meunier, Vincent; Jung, Yeonwoong; Cha, Judy; Das, Saptarshi; Xiao, Di; Son, Youngwoo; Strano, Michael S; Cooper, Valentino R; Liang, Liangbo; Louie, Steven G; Ringe, Emilie; Zhou, Wu; Kim, Steve S; Naik, Rajesh R; Sumpter, Bobby G; Terrones, Humberto; Xia, Fengnian; Wang, Yeliang; Zhu, Jun; Akinwande, Deji; Alem, Nasim; Schuller, Jon A; Schaak, Raymond E; Terrones, Mauricio; Robinson, Joshua A

    2015-12-22

    The isolation of graphene in 2004 from graphite was a defining moment for the "birth" of a field: two-dimensional (2D) materials. In recent years, there has been a rapidly increasing number of papers focusing on non-graphene layered materials, including transition-metal dichalcogenides (TMDs), because of the new properties and applications that emerge upon 2D confinement. Here, we review significant recent advances and important new developments in 2D materials "beyond graphene". We provide insight into the theoretical modeling and understanding of the van der Waals (vdW) forces that hold together the 2D layers in bulk solids, as well as their excitonic properties and growth morphologies. Additionally, we highlight recent breakthroughs in TMD synthesis and characterization and discuss the newest families of 2D materials, including monoelement 2D materials (i.e., silicene, phosphorene, etc.) and transition metal carbide- and carbon nitride-based MXenes. We then discuss the doping and functionalization of 2D materials beyond graphene that enable device applications, followed by advances in electronic, optoelectronic, and magnetic devices and theory. Finally, we provide perspectives on the future of 2D materials beyond graphene.

  2. Ionic Liquids for Advanced Materials

    DTIC Science & Technology

    2008-12-01

    optical clarity to completely opacity with increased amounts of ionic liquid . This transition was not previously observed in Nafion ® membranes swollen...1 IONIC LIQUIDS FOR ADVANCED MATERIALS Timothy E. Long, Sean M. Ramirez, Randy Heflin, Harry W. Gibson, Louis A. Madsen, Donald J. Leo, Nakhiah...is to develop a micromechanical model for the electrochemomechanical transduction mechanisms in newly synthesized ionic liquid polymers in order to

  3. Investigating Processes of Materials Formation via Liquid Phase and Cryogenic TEM

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    De Yoreo, James J.; Sommerdijk, Nico

    2016-06-14

    The formation of materials in solutions is a widespread phenomenon in synthetic, biological and geochemical systems, occurring through dynamic processes of nucleation, self-assembly, crystal growth, and coarsening. The recent advent of liquid phase TEM and advances in cryogenic TEM are transforming our understanding of these phenomena by providing new insights into the underlying physical and chemical mechanisms. The techniques have been applied to metallic and semiconductor nanoparticles, geochemical and biological minerals, electrochemical systems, macromolecular complexes, and selfassembling systems, both organic and inorganic. New instrumentation and methodologies currently on the horizon promise new opportunities for advancing the science of materials synthesis.

  4. Multi-material optoelectronic fiber devices

    NASA Astrophysics Data System (ADS)

    Sorin, F.; Yan, Wei; Volpi, Marco; Page, Alexis G.; Nguyen Dang, Tung; Qu, Y.

    2017-05-01

    The recent ability to integrate materials with different optical and optoelectronic properties in prescribed architectures within flexible fibers is enabling novel opportunities for advanced optical probes, functional surfaces and smart textiles. In particular, the thermal drawing process has known a series of breakthroughs in recent years that have expanded the range of materials and architectures that can be engineered within uniform fibers. Of particular interest in this presentation will be optoelectronic fibers that integrate semiconductors electrically addressed by conducting materials. These long, thin and flexible fibers can intercept optical radiation, localize and inform on a beam direction, detect its wavelength and even harness its energy. They hence constitute ideal candidates for applications such as remote and distributed sensing, large-area optical-detection arrays, energy harvesting and storage, innovative health care solutions, and functional fabrics. To improve performance and device complexity, tremendous progresses have been made in terms of the integrated semiconductor architectures, evolving from large fiber solid-core, to sub-hundred nanometer thin-films, nano-filaments and even nanospheres. To bridge the gap between the optoelectronic fiber concept and practical applications however, we still need to improve device performance and integration. In this presentation we will describe the materials and processing approaches to realize optoelectronic fibers, as well as give a few examples of demonstrated systems for imaging as well as light and chemical sensing. We will then discuss paths towards practical applications focusing on two main points: fiber connectivity, and improving the semiconductor microstructure by developing scalable approaches to make fiber-integrated single-crystal nanowire based devices.

  5. Room Temperature Hard Radiation Detectors Based on Solid State Compound Semiconductors: An Overview

    NASA Astrophysics Data System (ADS)

    Mirzaei, Ali; Huh, Jeung-Soo; Kim, Sang Sub; Kim, Hyoun Woo

    2018-05-01

    Si and Ge single crystals are the most common semiconductor radiation detectors. However, they need to work at cryogenic temperatures to decrease their noise levels. In contrast, compound semiconductors can be operated at room temperature due to their ability to grow compound materials with tunable densities, band gaps and atomic numbers. Highly efficient room temperature hard radiation detectors can be utilized in biomedical diagnostics, nuclear safety and homeland security applications. In this review, we discuss room temperature compound semiconductors. Since the field of radiation detection is broad and a discussion of all compound materials for radiation sensing is impossible, we discuss the most important materials for the detection of hard radiation with a focus on binary heavy metal semiconductors and ternary and quaternary chalcogenide compounds.

  6. Structural materials challenges for advanced reactor systems

    NASA Astrophysics Data System (ADS)

    Yvon, P.; Carré, F.

    2009-03-01

    Key technologies for advanced nuclear systems encompass high temperature structural materials, fast neutron resistant core materials, and specific reactor and power conversion technologies (intermediate heat exchanger, turbo-machinery, high temperature electrolytic or thermo-chemical water splitting processes, etc.). The main requirements for the materials to be used in these reactor systems are dimensional stability under irradiation, whether under stress (irradiation creep or relaxation) or without stress (swelling, growth), an acceptable evolution under ageing of the mechanical properties (tensile strength, ductility, creep resistance, fracture toughness, resilience) and a good behavior in corrosive environments (reactor coolant or process fluid). Other criteria for the materials are their cost to fabricate and to assemble, and their composition could be optimized in order for instance to present low-activation (or rapid desactivation) features which facilitate maintenance and disposal. These requirements have to be met under normal operating conditions, as well as in incidental and accidental conditions. These challenging requirements imply that in most cases, the use of conventional nuclear materials is excluded, even after optimization and a new range of materials has to be developed and qualified for nuclear use. This paper gives a brief overview of various materials that are essential to establish advanced systems feasibility and performance for in pile and out of pile applications, such as ferritic/martensitic steels (9-12% Cr), nickel based alloys (Haynes 230, Inconel 617, etc.), oxide dispersion strengthened ferritic/martensitic steels, and ceramics (SiC, TiC, etc.). This article gives also an insight into the various natures of R&D needed on advanced materials, including fundamental research to investigate basic physical and chemical phenomena occurring in normal and accidental operating conditions, lab-scale tests to characterize candidate materials

  7. Characterization of semiconductor materials using synchrotron radiation-based near-field infrared microscopy and nano-FTIR spectroscopy.

    PubMed

    Hermann, Peter; Hoehl, Arne; Ulrich, Georg; Fleischmann, Claudia; Hermelink, Antje; Kästner, Bernd; Patoka, Piotr; Hornemann, Andrea; Beckhoff, Burkhard; Rühl, Eckart; Ulm, Gerhard

    2014-07-28

    We describe the application of scattering-type near-field optical microscopy to characterize various semiconducting materials using the electron storage ring Metrology Light Source (MLS) as a broadband synchrotron radiation source. For verifying high-resolution imaging and nano-FTIR spectroscopy we performed scans across nanoscale Si-based surface structures. The obtained results demonstrate that a spatial resolution below 40 nm can be achieved, despite the use of a radiation source with an extremely broad emission spectrum. This approach allows not only for the collection of optical information but also enables the acquisition of near-field spectral data in the mid-infrared range. The high sensitivity for spectroscopic material discrimination using synchrotron radiation is presented by recording near-field spectra from thin films composed of different materials used in semiconductor technology, such as SiO2, SiC, SixNy, and TiO2.

  8. Inkjet-Printed Organic Transistors Based on Organic Semiconductor/Insulating Polymer Blends.

    PubMed

    Kwon, Yoon-Jung; Park, Yeong Don; Lee, Wi Hyoung

    2016-08-02

    Recent advances in inkjet-printed organic field-effect transistors (OFETs) based on organic semiconductor/insulating polymer blends are reviewed in this article. Organic semiconductor/insulating polymer blends are attractive ink candidates for enhancing the jetting properties, inducing uniform film morphologies, and/or controlling crystallization behaviors of organic semiconductors. Representative studies using soluble acene/insulating polymer blends as an inkjet-printed active layer in OFETs are introduced with special attention paid to the phase separation characteristics of such blended films. In addition, inkjet-printed semiconducting/insulating polymer blends for fabricating high performance printed OFETs are reviewed.

  9. Superabsorbing, Artificial Metal Films Constructed from Semiconductor Nanoantennas.

    PubMed

    Kim, Soo Jin; Park, Junghyun; Esfandyarpour, Majid; Pecora, Emanuele F; Kik, Pieter G; Brongersma, Mark L

    2016-06-08

    In 1934, Wilhelm Woltersdorff demonstrated that the absorption of light in an ultrathin, freestanding film is fundamentally limited to 50%. He concluded that reaching this limit would require a film with a real-valued sheet resistance that is exactly equal to R = η/2 ≈ 188.5Ω/□, where [Formula: see text] is the impedance of free space. This condition can be closely approximated over a wide frequency range in metals that feature a large imaginary relative permittivity εr″, that is, a real-valued conductivity σ = ε0εr″ω. A thin, continuous sheet of semiconductor material does not facilitate such strong absorption as its complex-valued permittivity with both large real and imaginary components preclude effective impedance matching. In this work, we show how a semiconductor metafilm constructed from optically resonant semiconductor nanostructures can be created whose optical response mimics that of a metallic sheet. For this reason, the fundamental absorption limit mentioned above can also be reached with semiconductor materials, opening up new opportunities for the design of ultrathin optoelectronic and light harvesting devices.

  10. Metal oxide semiconductor thin-film transistors for flexible electronics

    NASA Astrophysics Data System (ADS)

    Petti, Luisa; Münzenrieder, Niko; Vogt, Christian; Faber, Hendrik; Büthe, Lars; Cantarella, Giuseppe; Bottacchi, Francesca; Anthopoulos, Thomas D.; Tröster, Gerhard

    2016-06-01

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In particular

  11. Metal oxide semiconductor thin-film transistors for flexible electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petti, Luisa; Vogt, Christian; Büthe, Lars

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This reviewmore » reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  12. The control of purity and stoichiometry of compound semiconductors by high vapor pressure transport

    NASA Technical Reports Server (NTRS)

    Bachmann, Klaus J.; Ito, Kazufumi; Scroggs, Jeffery S.; Tran, Hien T.

    1995-01-01

    In this report we summarize the results of a three year research program on high pressure vapor transport (HPVT) of compound semiconductors. Most of our work focused onto pnictides, in particular ZnGeP2, as a model system. Access to single crystals of well controlled composition of this material is desired for advancing the understanding and control of its point defect chemistry in the contest of remote, real-time sensing of trace impurities, e.g., greenhouse gases, in the atmosphere by ZnGeP2 optical parametric oscillators (OPO's).

  13. Environmentally benign semiconductor processing for dielectric etch

    NASA Astrophysics Data System (ADS)

    Liao, Marci Yi-Ting

    Semiconductor processing requires intensive usage of chemicals, electricity, and water. Such intensive resource usage leaves a large impact on the environment. For instance, in Silicon Valley, the semiconductor industry is responsible for 80% of the hazardous waste sites contaminated enough to require government assistance. Research on environmentally benign semiconductor processing is needed to reduce the environmental impact of the semiconductor industry. The focus of this dissertation is on the environmental impact of one aspect of semiconductor processing: patterning of dielectric materials. Plasma etching of silicon dioxide emits perfluorocarbons (PFCs) gases, like C2F6 and CF4, into the atmosphere. These gases are super global warming/greenhouse gases because of their extremely long atmospheric lifetimes and excellent infrared absorption properties. We developed the first inductively coupled plasma (ICP) abatement device for destroying PFCs downstream of a plasma etcher. Destruction efficiencies of 99% and 94% can be obtained for the above mentioned PFCs, by using O 2 as an additive gas. Our results have lead to extensive modeling in academia as well as commercialization of the ICP abatement system. Dielectric patterning of hi-k materials for future device technology brings different environment challenges. The uncertainty of the hi-k material selection and the patterning method need to be addressed. We have evaluated the environmental impact of three different dielectric patterning methods (plasma etch, wet etch and chemical-mechanical polishing), as well as, the transistor device performances associated with the patterning methods. Plasma etching was found to be the most environmentally benign patterning method, which also gives the best device performance. However, the environmental concern for plasma etching is the possibility of cross-contamination from low volatility etch by-products. Therefore, mass transfer in a plasma etcher for a promising hi

  14. Imaging the motion of electrons across semiconductor heterojunctions.

    PubMed

    Man, Michael K L; Margiolakis, Athanasios; Deckoff-Jones, Skylar; Harada, Takaaki; Wong, E Laine; Krishna, M Bala Murali; Madéo, Julien; Winchester, Andrew; Lei, Sidong; Vajtai, Robert; Ajayan, Pulickel M; Dani, Keshav M

    2017-01-01

    Technological progress since the late twentieth century has centred on semiconductor devices, such as transistors, diodes and solar cells. At the heart of these devices is the internal motion of electrons through semiconductor materials due to applied electric fields or by the excitation of photocarriers. Imaging the motion of these electrons would provide unprecedented insight into this important phenomenon, but requires high spatial and temporal resolution. Current studies of electron dynamics in semiconductors are generally limited by the spatial resolution of optical probes, or by the temporal resolution of electronic probes. Here, by combining femtosecond pump-probe techniques with spectroscopic photoemission electron microscopy, we imaged the motion of photoexcited electrons from high-energy to low-energy states in a type-II 2D InSe/GaAs heterostructure. At the instant of photoexcitation, energy-resolved photoelectron images revealed a highly non-equilibrium distribution of photocarriers in space and energy. Thereafter, in response to the out-of-equilibrium photocarriers, we observed the spatial redistribution of charges, thus forming internal electric fields, bending the semiconductor bands, and finally impeding further charge transfer. By assembling images taken at different time-delays, we produced a movie lasting a few trillionths of a second of the electron-transfer process in the photoexcited type-II heterostructure-a fundamental phenomenon in semiconductor devices such as solar cells. Quantitative analysis and theoretical modelling of spatial variations in the movie provide insight into future solar cells, 2D materials and other semiconductor devices.

  15. Imaging the motion of electrons across semiconductor heterojunctions

    NASA Astrophysics Data System (ADS)

    Man, Michael K. L.; Margiolakis, Athanasios; Deckoff-Jones, Skylar; Harada, Takaaki; Wong, E. Laine; Krishna, M. Bala Murali; Madéo, Julien; Winchester, Andrew; Lei, Sidong; Vajtai, Robert; Ajayan, Pulickel M.; Dani, Keshav M.

    2017-01-01

    Technological progress since the late twentieth century has centred on semiconductor devices, such as transistors, diodes and solar cells. At the heart of these devices is the internal motion of electrons through semiconductor materials due to applied electric fields or by the excitation of photocarriers. Imaging the motion of these electrons would provide unprecedented insight into this important phenomenon, but requires high spatial and temporal resolution. Current studies of electron dynamics in semiconductors are generally limited by the spatial resolution of optical probes, or by the temporal resolution of electronic probes. Here, by combining femtosecond pump-probe techniques with spectroscopic photoemission electron microscopy, we imaged the motion of photoexcited electrons from high-energy to low-energy states in a type-II 2D InSe/GaAs heterostructure. At the instant of photoexcitation, energy-resolved photoelectron images revealed a highly non-equilibrium distribution of photocarriers in space and energy. Thereafter, in response to the out-of-equilibrium photocarriers, we observed the spatial redistribution of charges, thus forming internal electric fields, bending the semiconductor bands, and finally impeding further charge transfer. By assembling images taken at different time-delays, we produced a movie lasting a few trillionths of a second of the electron-transfer process in the photoexcited type-II heterostructure—a fundamental phenomenon in semiconductor devices such as solar cells. Quantitative analysis and theoretical modelling of spatial variations in the movie provide insight into future solar cells, 2D materials and other semiconductor devices.

  16. Schottky nanocontact of one-dimensional semiconductor nanostructures probed by using conductive atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Lee, Jung Ah; Rok Lim, Young; Jung, Chan Su; Choi, Jun Hee; Im, Hyung Soon; Park, Kidong; Park, Jeunghee; Kim, Gyu Tae

    2016-10-01

    To develop the advanced electronic devices, the surface/interface of each component must be carefully considered. Here, we investigate the electrical properties of metal-semiconductor nanoscale junction using conductive atomic force microscopy (C-AFM). Single-crystalline CdS, CdSe, and ZnO one-dimensional nanostructures are synthesized via chemical vapor transport, and individual nanobelts (or nanowires) are used to fabricate nanojunction electrodes. The current-voltage (I -V) curves are obtained by placing a C-AFM metal (PtIr) tip as a movable contact on the nanobelt (or nanowire), and often exhibit a resistive switching behavior that is rationalized by the Schottky (high resistance state) and ohmic (low resistance state) contacts between the metal and semiconductor. We obtain the Schottky barrier height and the ideality factor through fitting analysis of the I-V curves. The present nanojunction devices exhibit a lower Schottky barrier height and a higher ideality factor than those of the bulk materials, which is consistent with the findings of previous works on nanostructures. It is shown that C-AFM is a powerful tool for characterization of the Schottky contact of conducting channels between semiconductor nanostructures and metal electrodes.

  17. Materials and structural aspects of advanced gas-turbine helicopter engines

    NASA Technical Reports Server (NTRS)

    Freche, J. C.; Acurio, J.

    1979-01-01

    The key to improved helicopter gas turbine engine performance lies in the development of advanced materials and advanced structural and design concepts. The modification of the low temperature components of helicopter engines (such as the inlet particle separator), the introduction of composites for use in the engine front frame, the development of advanced materials with increased use-temperature capability for the engine hot section, can result in improved performance and/or decreased engine maintenance cost. A major emphasis in helicopter engine design is the ability to design to meet a required lifetime. This, in turn, requires that the interrelated aspects of higher operating temperatures and pressures, cooling concepts, and environmental protection schemes be integrated into component design. The major material advances, coatings, and design life-prediction techniques pertinent to helicopter engines are reviewed; the current state-of-the-art is identified; and when appropriate, progress, problems, and future directions are assessed.

  18. Epitaxial Growth of Cubic Crystalline Semiconductor Alloys on Basal Plane of Trigonal or Hexagonal Crystal

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); Choi, Sang H. (Inventor); King, Glen C. (Inventor)

    2011-01-01

    Hetero-epitaxial semiconductor materials comprising cubic crystalline semiconductor alloys grown on the basal plane of trigonal and hexagonal substrates, in which misfit dislocations are reduced by approximate lattice matching of the cubic crystal structure to underlying trigonal or hexagonal substrate structure, enabling the development of alloyed semiconductor layers of greater thickness, resulting in a new class of semiconductor materials and corresponding devices, including improved hetero-bipolar and high-electron mobility transistors, and high-mobility thermoelectric devices.

  19. Apparatus and method for measuring minority carrier lifetimes in semiconductor materials

    DOEpatents

    Ahrenkiel, Richard K.; Johnston, Steven W.

    2001-01-01

    An apparatus for determining the minority carrier lifetime of a semiconductor sample includes a positioner for moving the sample relative to a coil. The coil is connected to a bridge circuit such that the impedance of one arm of the bridge circuit is varied as sample is positioned relative to the coil. The sample is positioned relative to the coil such that any change in the photoconductance of the sample created by illumination of the sample creates a linearly related change in the input impedance of the bridge circuit. In addition, the apparatus is calibrated to work at a fixed frequency so that the apparatus maintains a consistently high sensitivity and high linearity for samples of different sizes, shapes, and material properties. When a light source illuminates the sample, the impedance of the bridge circuit is altered as excess carriers are generated in the sample, thereby producing a measurable signal indicative of the minority carrier lifetimes or recombination rates of the sample.

  20. Assembling non-ferromagnetic materials to ferromagnetic architectures using metal-semiconductor interfaces

    PubMed Central

    Ma, Ji; Liu, Chunting; Chen, Kezheng

    2016-01-01

    In this work, a facile and versatile solution route was used to fabricate room-temperature ferromagnetic fish bone-like, pteridophyte-like, poplar flower-like, cotton-like Cu@Cu2O architectures and golfball-like Cu@ZnO architecture. The ferromagnetic origins in these architectures were found to be around metal-semiconductor interfaces and defects, and the root cause for their ferromagnetism lay in charge transfer processes from metal Cu to semiconductors Cu2O and ZnO. Owing to different metallization at their interfaces, these architectures exhibited different ferromagnetic behaviors, including coercivity, saturation magnetization as well as magnetic interactions. PMID:27680286

  1. High mobility emissive organic semiconductor

    PubMed Central

    Liu, Jie; Zhang, Hantang; Dong, Huanli; Meng, Lingqiang; Jiang, Longfeng; Jiang, Lang; Wang, Ying; Yu, Junsheng; Sun, Yanming; Hu, Wenping; Heeger, Alan J.

    2015-01-01

    The integration of high charge carrier mobility and high luminescence in an organic semiconductor is challenging. However, there is need of such materials for organic light-emitting transistors and organic electrically pumped lasers. Here we show a novel organic semiconductor, 2,6-diphenylanthracene (DPA), which exhibits not only high emission with single crystal absolute florescence quantum yield of 41.2% but also high charge carrier mobility with single crystal mobility of 34 cm2 V−1 s−1. Organic light-emitting diodes (OLEDs) based on DPA give pure blue emission with brightness up to 6,627 cd m−2 and turn-on voltage of 2.8 V. 2,6-Diphenylanthracene OLED arrays are successfully driven by DPA field-effect transistor arrays, demonstrating that DPA is a high mobility emissive organic semiconductor with potential in organic optoelectronics. PMID:26620323

  2. Inorganic Chemistry Solutions to Semiconductor Nanocrystal Problems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alvarado, Samuel R.; Guo, Yijun; Ruberu, T. Purnima A.

    2014-03-15

    The optoelectronic and chemical properties of semiconductor nanocrystals heavily depend on their composition, size, shape and internal structure, surface functionality, etc. Available strategies to alter these properties through traditional colloidal syntheses and ligand exchange methods place a premium on specific reaction conditions and surfactant combinations. In this invited review, we apply a molecular-level understanding of chemical precursor reactivity to reliably control the morphology, composition and intimate architecture (core/shell vs. alloyed) of semiconductor nanocrystals. We also describe our work aimed at achieving highly selective, low-temperature photochemical methods for the synthesis of semiconductor–metal and semiconductor–metal oxide photocatalytic nanocomposites. In addition, we describemore » our work on surface modification of semiconductor nanocrystal quantum dots using new approaches and methods that bypass ligand exchange, retaining the nanocrystal's native ligands and original optical properties, as well as on spectroscopic methods of characterization useful in determining surface ligand organization and chemistry. Using recent examples from our group and collaborators, we demonstrate how these efforts have lead to faster, wider and more systematic application of semiconductor nanocrystal-based materials to biological imaging and tracking, and to photocatalysis of unconventional substrates. We believe techniques and methods borrowed from inorganic chemistry (including coordination, organometallic and solid state chemistry) have much to offer in reaching a better understanding of the synthesis, functionalization and real-life application of such exciting materials as semiconductor nanocrystals (quantum dots, rods, tetrapods, etc.).« less

  3. Semiconductor technology program. Progress briefs

    NASA Technical Reports Server (NTRS)

    Bullis, W. M.

    1980-01-01

    Measurement technology for semiconductor materials, process control, and devices is reviewed. Activities include: optical linewidth and thermal resistance measurements; device modeling; dopant density profiles; resonance ionization spectroscopy; and deep level measurements. Standardized oxide charge terminology is also described.

  4. Investigation of semiconductor clad optical waveguides

    NASA Technical Reports Server (NTRS)

    Batchman, T. E.; Carson, R. F.

    1985-01-01

    A variety of techniques have been proposed for fabricating integrated optical devices using semiconductors, lithium niobate, and glasses as waveguides and substrates. The use of glass waveguides and their interaction with thin semiconductor cladding layers was studied. Though the interactions of these multilayer waveguide structures have been analyzed here using glass, they may be applicable to other types of materials as well. The primary reason for using glass is that it provides a simple, inexpensive way to construct waveguides and devices.

  5. Artificially Structured Semiconductors to Model Novel Quantum Phenomena

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pinczuk, Aron; Wind, Shalom J.

    Award Period: September 1st, 2013 through February 15th, 2017 Submitted to the USDOE Office of Basic Energy Sciences By Aron Pinczuk and Shalom J. Wind Department of Applied Physics and Applied Mathematics Columbia University New York, NY 10027 January 2017 Award # DE-SC0010695 ABSTRACT Research in this project seeks to design, create and study a class of tunable artificial quantum structures in order to extend the range and scope of new and exciting physical phenomena and to explore the potential for new applications. Advanced nanofabrication was used to create an external potential landscape that acts as a lattice of confinementmore » sites for electrons (and/or holes) in a two-dimensional electron gas in a high perfection semiconductor in such a manner that quantum interactions between different sites dictate the significant physics. Our current focus is on ‘artificial graphene’ (AG) in which a set of quantum dots (or sites) are patterned in a honeycomb lattice. The combination of leading edge nanofabrication with ultra-pure semiconductor materials in this project extends the frontier for small period, low-disorder AG systems, enabling the exploration of graphene physics in a semiconductor platform. TECHNICAL DESCRIPTION Contemporary condensed matter science has entered an era of discovery of new low-dimensional materials, such as graphene and other atomically thin materials, that exhibit exciting new physical phenomena that were previously inaccessible. Concurrent with the discovery and development of these new materials are impressive advancements in nanofabrication, which offer an ever-expanding toolbox for creating a myriad of high quality patterns at nanoscale dimensions. This project started about four years ago. Among its major achievements are the realizations of very small period artificial lattices with honeycomb topology in GaAs quantum wells. In our most recent work the periods of the ‘artificial graphene’ (AG) lattices extend down to 40 nm

  6. MBE growth and processing of III/V-nitride semiconductor thin film structures: Growth of gallium indium arsenic nitride and nano-machining with focused ion beam and electron beam

    NASA Astrophysics Data System (ADS)

    Park, Yeonjoon

    The advanced semiconductor material InGaAsN was grown with nitrogen plasma assisted Molecular Beam Epitaxy (MBE). The InGaAsN layers were characterized with High Resolution X-ray Diffraction (HRXDF), Atomic Fore Microscope (AFM), X-ray Photoemission Spectroscopy (XPS) and Photo-Luminescence (PL). The reduction of the band gap energy was observed with the incorporation of nitrogen and the lattice matched condition to the GaAs substrate was achieved with the additional incorporation of indium. A detailed investigation was made for the growth mode changes from planar layer-by-layer growth to 3D faceted growth with a higher concentration of nitrogen. A new X-ray diffraction analysis was developed and applied to the MBE growth on GaAs(111)B, which is one of the facet planes of InGaAsN. As an effort to enhance the processing tools for advanced semiconductor materials, gas assisted Focused Ion Beam (FIB) vertical milling was performed on GaN. The FIB processed area shows an atomically flat surface, which is good enough for the fabrication of Double Bragg Reflector (DBR) mirrors for the Blue GaN Vertical Cavity Surface Emitting Laser (VCSEL) Diodes. An in-situ electron beam system was developed to combine the enhanced lithographic processing capability with the atomic layer growth capability by MBE. The electron beam system has a compensation capability against substrate vibration and thermal drift. In-situ electron beam lithography was performed with the low pressure assisting gas. The advanced processing and characterization methods developed in this thesis will assist the development of superior semiconductor materials for the future.

  7. Fabrication of advanced electrochemical energy materials using sol-gel processing techniques

    NASA Technical Reports Server (NTRS)

    Chu, C. T.; Chu, Jay; Zheng, Haixing

    1995-01-01

    Advanced materials play an important role in electrochemical energy devices such as batteries, fuel cells, and electrochemical capacitors. They are being used as both electrodes and electrolytes. Sol-gel processing is a versatile solution technique used in fabrication of ceramic materials with tailored stoichiometry, microstructure, and properties. The application of sol-gel processing in the fabrication of advanced electrochemical energy materials will be presented. The potentials of sol-gel derived materials for electrochemical energy applications will be discussed along with some examples of successful applications. Sol-gel derived metal oxide electrode materials such as V2O5 cathodes have been demonstrated in solid-slate thin film batteries; solid electrolytes materials such as beta-alumina for advanced secondary batteries had been prepared by the sol-gel technique long time ago; and high surface area transition metal compounds for capacitive energy storage applications can also be synthesized with this method.

  8. Plasmonic doped semiconductor nanocrystals: Properties, fabrication, applications and perspectives

    NASA Astrophysics Data System (ADS)

    Kriegel, Ilka; Scotognella, Francesco; Manna, Liberato

    2017-02-01

    Degenerately doped semiconductor nanocrystals (NCs) are of recent interest to the NC community due to their tunable localized surface plasmon resonances (LSPRs) in the near infrared (NIR). The high level of doping in such materials with carrier densities in the range of 1021cm-3 leads to degeneracy of the doping levels and intense plasmonic absorption in the NIR. The lower carrier density in degenerately doped semiconductor NCs compared to noble metals enables LSPR tuning over a wide spectral range, since even a minor change of the carrier density strongly affects the spectral position of the LSPR. Two classes of degenerate semiconductors are most relevant in this respect: impurity doped semiconductors, such as metal oxides, and vacancy doped semiconductors, such as copper chalcogenides. In the latter it is the density of copper vacancies that controls the carrier concentration, while in the former the introduction of impurity atoms adds carriers to the system. LSPR tuning in vacancy doped semiconductor NCs such as copper chalcogenides occurs by chemically controlling the copper vacancy density. This goes in hand with complex structural modifications of the copper chalcogenide crystal lattice. In contrast the LSPR of degenerately doped metal oxide NCs is modified by varying the doping concentration or by the choice of host and dopant atoms, but also through the addition of capacitive charge carriers to the conduction band of the metal oxide upon post-synthetic treatments, such as by electrochemical- or photodoping. The NIR LSPRs and the option of their spectral fine-tuning make accessible important new features, such as the controlled coupling of the LSPR to other physical signatures or the enhancement of optical signals in the NIR, sensing application by LSPR tracking, energy production from the NIR plasmon resonance or bio-medical applications in the biological window. In this review we highlight the recent advances in the synthesis of various different plasmonic

  9. Methods and devices for fabricating and assembling printable semiconductor elements

    DOEpatents

    Nuzzo, Ralph G; Rogers, John A; Menard, Etienne; Lee, Keon Jae; Khang, Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao

    2014-03-04

    The invention provides methods and devices for fabricating printable semiconductor elements and assembling printable semiconductor elements onto substrate surfaces. Methods, devices and device components of the present invention are capable of generating a wide range of flexible electronic and optoelectronic devices and arrays of devices on substrates comprising polymeric materials. The present invention also provides stretchable semiconductor structures and stretchable electronic devices capable of good performance in stretched configurations.

  10. Methods and devices for fabricating and assembling printable semiconductor elements

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne

    The invention provides methods and devices for fabricating printable semiconductor elements and assembling printable semiconductor elements onto substrate surfaces. Methods, devices and device components of the present invention are capable of generating a wide range of flexible electronic and optoelectronic devices and arrays of devices on substrates comprising polymeric materials. The present invention also provides stretchable semiconductor structures and stretchable electronic devices capable of good performance in stretched configurations.

  11. Semiconductor nanocrystal probes for biological applications and process for making and using such probes

    DOEpatents

    Weiss, Shimon; Bruchez, Marcel; Alivisatos, Paul

    2014-01-28

    A semiconductor nanocrystal compound and probe are described. The compound is capable of linking to one or more affinity molecules. The compound comprises (1) one or more semiconductor nanocrystals capable of, in response to exposure to a first energy, providing a second energy, and (2) one or more linking agents, having a first portion linked to the one or more semiconductor nanocrystals and a second portion capable of linking to one or more affinity molecules. One or more semiconductor nanocrystal compounds are linked to one or more affinity molecules to form a semiconductor nanocrystal probe capable of bonding with one or more detectable substances in a material being analyzed, and capable of, in response to exposure to a first energy, providing a second energy. Also described are processes for respectively: making the semiconductor nanocrystal compound; making the semiconductor nanocrystal probe; and treating materials with the probe.

  12. Semiconductor nanocrystal probes for biological applications and process for making and using such probes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weiss, Shimon; Bruchez, Marcel; Alivisatos, Paul A.

    2016-12-27

    A semiconductor nanocrystal compound and probe are described. The compound is capable of linking to one or more affinity molecules. The compound comprises (1) one or more semiconductor nanocrystals capable of, in response to exposure to a first energy, providing a second energy, and (2) one or more linking agents, having a first portion linked to the one or more semiconductor nanocrystals and a second portion capable of linking to one or more affinity molecules. One or more semiconductor nanocrystal compounds are linked to one or more affinity molecules to form a semiconductor nanocrystal probe capable of bonding with onemore » or more detectable substances in a material being analyzed, and capable of, in response to exposure to a first energy, providing a second energy. Also described are processes for respectively: making the semiconductor nanocrystal compound; making the semiconductor nanocrystal probe; and treating materials with the probe.« less

  13. Soft computing in design and manufacturing of advanced materials

    NASA Technical Reports Server (NTRS)

    Cios, Krzysztof J.; Baaklini, George Y; Vary, Alex

    1993-01-01

    The potential of fuzzy sets and neural networks, often referred to as soft computing, for aiding in all aspects of manufacturing of advanced materials like ceramics is addressed. In design and manufacturing of advanced materials, it is desirable to find which of the many processing variables contribute most to the desired properties of the material. There is also interest in real time quality control of parameters that govern material properties during processing stages. The concepts of fuzzy sets and neural networks are briefly introduced and it is shown how they can be used in the design and manufacturing processes. These two computational methods are alternatives to other methods such as the Taguchi method. The two methods are demonstrated by using data collected at NASA Lewis Research Center. Future research directions are also discussed.

  14. Methods of measurement for semiconductor materials, process control, and devices

    NASA Technical Reports Server (NTRS)

    Bullis, W. M. (Editor)

    1972-01-01

    Significant accomplishments include development of a procedure to correct for the substantial differences of transistor delay time as measured with different instruments or with the same instrument at different frequencies; association of infrared response spectra of poor quality germanium gamma ray detectors with spectra of detectors fabricated from portions of a good crystal that had been degraded in known ways; and confirmation of the excellent quality and cosmetic appearance of ultrasonic bonds made with aluminum ribbon wire. Work is continuing on measurement of resistivity of semiconductor crystals; study of gold-doped silicon, development of the infrared response technique; evaluation of wire bonds and die attachment; and measurement of thermal properties of semiconductor devices, delay time and related carrier transport properties in junction devices, and noise properties of microwave diodes.

  15. NASA Thermographic Inspection of Advanced Composite Materials

    NASA Technical Reports Server (NTRS)

    Cramer, K. Elliott

    2004-01-01

    As the use of advanced composite materials continues to increase in the aerospace community, the need for a quantitative, rapid, in situ inspection technology has become a critical concern throughout the industry. In many applications it is necessary to monitor changes in these materials over an extended period of time to determine the effects of various load conditions. Additionally, the detection and characterization of defects such as delaminations, is of great concern. This paper will present the application of infrared thermography to characterize various composite materials and show the advantages of different heat source types. Finally, various analysis methodologies used for quantitative material property characterization will be discussed.

  16. Advanced Materials by Atom Transfer Radical Polymerization.

    PubMed

    Matyjaszewski, Krzysztof

    2018-06-01

    Atom transfer radical polymerization (ATRP) has been successfully employed for the preparation of various advanced materials with controlled architecture. New catalysts with strongly enhanced activity permit more environmentally benign ATRP procedures using ppm levels of catalyst. Precise control over polymer composition, topology, and incorporation of site specific functionality enables synthesis of well-defined gradient, block, comb copolymers, polymers with (hyper)branched structures including stars, densely grafted molecular brushes or networks, as well as inorganic-organic hybrid materials and bioconjugates. Examples of specific applications of functional materials include thermoplastic elastomers, nanostructured carbons, surfactants, dispersants, functionalized surfaces, and biorelated materials. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Area Reports. Advanced materials and devices research area. Silicon materials research task, and advanced silicon sheet task

    NASA Technical Reports Server (NTRS)

    1986-01-01

    The objectives of the Silicon Materials Task and the Advanced Silicon Sheet Task are to identify the critical technical barriers to low-cost silicon purification and sheet growth that must be overcome to produce a PV cell substrate material at a price consistent with Flat-plate Solar Array (FSA) Project objectives and to overcome these barriers by performing and supporting appropriate R&D. Progress reports are given on silicon refinement using silane, a chemical vapor transport process for purifying metallurgical grade silicon, silicon particle growth research, and modeling of silane pyrolysis in fluidized-bed reactors.

  18. Inkjet-Printed Organic Transistors Based on Organic Semiconductor/Insulating Polymer Blends

    PubMed Central

    Kwon, Yoon-Jung; Park, Yeong Don; Lee, Wi Hyoung

    2016-01-01

    Recent advances in inkjet-printed organic field-effect transistors (OFETs) based on organic semiconductor/insulating polymer blends are reviewed in this article. Organic semiconductor/insulating polymer blends are attractive ink candidates for enhancing the jetting properties, inducing uniform film morphologies, and/or controlling crystallization behaviors of organic semiconductors. Representative studies using soluble acene/insulating polymer blends as an inkjet-printed active layer in OFETs are introduced with special attention paid to the phase separation characteristics of such blended films. In addition, inkjet-printed semiconducting/insulating polymer blends for fabricating high performance printed OFETs are reviewed. PMID:28773772

  19. High-Performance Thermoelectric Semiconductors

    NASA Technical Reports Server (NTRS)

    Fleurial, Jean-Pierre; Caillat, Thierry; Borshchevsky, Alexander

    1994-01-01

    Figures of merit almost double current state-of-art thermoelectric materials. IrSb3 is semiconductor found to exhibit exceptional thermoelectric properties. CoSb3 and RhSb3 have same skutterudite crystallographic structure as IrSb3, and exhibit exceptional transport properties expected to contribute to high thermoelectric performance. These three compounds form solid solutions. Combination of properties offers potential for development of new high-performance thermoelectric materials for more efficient thermoelectric power generators, coolers, and detectors.

  20. The recycling dilemma for advanced materials use: Automobile materials substitution

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Field, F.R. III; Clark, J.P.

    1991-01-01

    This paper discusses the difficulties associated with imposing recycling imperatives upon advanced materials development by examining the case of automotive materials substitution and its impacts upon the recyclability of the automobile. Parallels are drawn between today's issues, which focus upon the recyclability of the increasing polymeric fraction in automobile shredder fluff, and the junked automobile problem of the 1960's, when the problem of abandoned automobiles became a part of the environmental and legislative agenda in the US and overseas. In the 1960's, both the source and the resolution of the junk automobile problem arose through a confluence of technological andmore » economic factors, rather than through any set of regulatory influences. The rise of electric arc furnace steelmaking and the development of the automobile shredder were sufficient to virtually eliminate the problem - so much so that today's problems are incorrectly viewed as novelties. Today's automobile recycling problem again derives from technological and economic factors, but regulatory influences have spurred some of them. While there are no lack of technological solutions to the problem of automobile shredder fluff, none of these solutions yet provides scrap processors with the kind of profit opportunity necessary to implement them. In some ways, it is implicit in advanced materials markets that there is little to no demand for recycled forms of these materials, and, in the absence of these markets, there are few reasons to expect that the solution to today's problems will be quite so neat.« less

  1. Advanced Materials for Space Applications

    NASA Technical Reports Server (NTRS)

    Pater, Ruth H.; Curto, Paul A.

    2005-01-01

    Since NASA was created in 1958, over 6400 patents have been issued to the agency--nearly one in a thousand of all patents ever issued in the United States. A large number of these inventions have focused on new materials that have made space travel and exploration of the moon, Mars, and the outer planets possible. In the last few years, the materials developed by NASA Langley Research Center embody breakthroughs in performance and properties that will enable great achievements in space. The examples discussed below offer significant advantages for use in small satellites, i.e., those with payloads under a metric ton. These include patented products such as LaRC SI, LaRC RP 46, LaRC RP 50, PETI-5, TEEK, PETI-330, LaRC CP, TOR-LM and LaRC LCR (patent pending). These and other new advances in nanotechnology engineering, self-assembling nanostructures and multifunctional aerospace materials are presented and discussed below, and applications with significant technological and commercial advantages are proposed.

  2. Recent advances in conjugated polymers for light emitting devices.

    PubMed

    Alsalhi, Mohamad Saleh; Alam, Javed; Dass, Lawrence Arockiasamy; Raja, Mohan

    2011-01-01

    A recent advance in the field of light emitting polymers has been the discovery of electroluminescent conjugated polymers, that is, kind of fluorescent polymers that emit light when excited by the flow of an electric current. These new generation fluorescent materials may now challenge the domination by inorganic semiconductor materials of the commercial market in light-emitting devices such as light-emitting diodes (LED) and polymer laser devices. This review provides information on unique properties of conjugated polymers and how they have been optimized to generate these properties. The review is organized in three sections focusing on the major advances in light emitting materials, recent literature survey and understanding the desirable properties as well as modern solid state lighting and displays. Recently, developed conjugated polymers are also functioning as roll-up displays for computers and mobile phones, flexible solar panels for power portable equipment as well as organic light emitting diodes in displays, in which television screens, luminous traffic, information signs, and light-emitting wallpaper in homes are also expected to broaden the use of conjugated polymers as light emitting polymers. The purpose of this review paper is to examine conjugated polymers in light emitting diodes (LEDs) in addition to organic solid state laser. Furthermore, since conjugated polymers have been approved as light-emitting organic materials similar to inorganic semiconductors, it is clear to motivate these organic light-emitting devices (OLEDs) and organic lasers for modern lighting in terms of energy saving ability. In addition, future aspects of conjugated polymers in LEDs were also highlighted in this review.

  3. Recent Advances in Conjugated Polymers for Light Emitting Devices

    PubMed Central

    AlSalhi, Mohamad Saleh; Alam, Javed; Dass, Lawrence Arockiasamy; Raja, Mohan

    2011-01-01

    A recent advance in the field of light emitting polymers has been the discovery of electroluminescent conjugated polymers, that is, kind of fluorescent polymers that emit light when excited by the flow of an electric current. These new generation fluorescent materials may now challenge the domination by inorganic semiconductor materials of the commercial market in light-emitting devices such as light-emitting diodes (LED) and polymer laser devices. This review provides information on unique properties of conjugated polymers and how they have been optimized to generate these properties. The review is organized in three sections focusing on the major advances in light emitting materials, recent literature survey and understanding the desirable properties as well as modern solid state lighting and displays. Recently, developed conjugated polymers are also functioning as roll-up displays for computers and mobile phones, flexible solar panels for power portable equipment as well as organic light emitting diodes in displays, in which television screens, luminous traffic, information signs, and light-emitting wallpaper in homes are also expected to broaden the use of conjugated polymers as light emitting polymers. The purpose of this review paper is to examine conjugated polymers in light emitting diodes (LEDs) in addition to organic solid state laser. Furthermore, since conjugated polymers have been approved as light-emitting organic materials similar to inorganic semiconductors, it is clear to motivate these organic light-emitting devices (OLEDs) and organic lasers for modern lighting in terms of energy saving ability. In addition, future aspects of conjugated polymers in LEDs were also highlighted in this review. PMID:21673938

  4. Advanced Nanostructured Anode Materials for Sodium-Ion Batteries.

    PubMed

    Wang, Qidi; Zhao, Chenglong; Lu, Yaxiang; Li, Yunming; Zheng, Yuheng; Qi, Yuruo; Rong, Xiaohui; Jiang, Liwei; Qi, Xinguo; Shao, Yuanjun; Pan, Du; Li, Baohua; Hu, Yong-Sheng; Chen, Liquan

    2017-11-01

    Sodium-ion batteries (NIBs), due to the advantages of low cost and relatively high safety, have attracted widespread attention all over the world, making them a promising candidate for large-scale energy storage systems. However, the inherent lower energy density to lithium-ion batteries is the issue that should be further investigated and optimized. Toward the grid-level energy storage applications, designing and discovering appropriate anode materials for NIBs are of great concern. Although many efforts on the improvements and innovations are achieved, several challenges still limit the current requirements of the large-scale application, including low energy/power densities, moderate cycle performance, and the low initial Coulombic efficiency. Advanced nanostructured strategies for anode materials can significantly improve ion or electron transport kinetic performance enhancing the electrochemical properties of battery systems. Herein, this Review intends to provide a comprehensive summary on the progress of nanostructured anode materials for NIBs, where representative examples and corresponding storage mechanisms are discussed. Meanwhile, the potential directions to obtain high-performance anode materials of NIBs are also proposed, which provide references for the further development of advanced anode materials for NIBs. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Advanced materials research for long-haul aircraft turbine engines

    NASA Technical Reports Server (NTRS)

    Signorelli, R. A.; Blankenship, C. P.

    1978-01-01

    The status of research efforts to apply low to intermediate temperature composite materials and advanced high temperature materials to engine components is reviewed. Emerging materials technologies and their potential benefits to aircraft gas turbines were emphasized. The problems were identified, and the general state of the technology for near term use was assessed.

  6. Programmable and coherent crystallization of semiconductors

    PubMed Central

    Yu, Liyang; Niazi, Muhammad R.; Ngongang Ndjawa, Guy O.; Li, Ruipeng; Kirmani, Ahmad R.; Munir, Rahim; Balawi, Ahmed H.; Laquai, Frédéric; Amassian, Aram

    2017-01-01

    The functional properties and technological utility of polycrystalline materials are largely determined by the structure, geometry, and spatial distribution of their multitude of crystals. However, crystallization is seeded through stochastic and incoherent nucleation events, limiting the ability to control or pattern the microstructure, texture, and functional properties of polycrystalline materials. We present a universal approach that can program the microstructure of materials through the coherent seeding of otherwise stochastic homogeneous nucleation events. The method relies on creating topographic variations to seed nucleation and growth at designated locations while delaying nucleation elsewhere. Each seed can thus produce a coherent growth front of crystallization with a geometry designated by the shape and arrangement of seeds. Periodic and aperiodic crystalline arrays of functional materials, such as semiconductors, can thus be created on demand and with unprecedented sophistication and ease by patterning the location and shape of the seeds. This approach is used to demonstrate printed arrays of organic thin-film transistors with remarkable performance and reproducibility owing to their demonstrated spatial control over the microstructure of organic and inorganic polycrystalline semiconductors. PMID:28275737

  7. Candidate materials for advanced fire-resistant photovoltaic modules

    NASA Technical Reports Server (NTRS)

    Sugimura, R. S.; Otth, D. H.; Ross, R. G., Jr.; Arnett, J. C.; Samuelson, G.

    1985-01-01

    A cooperative, cost-sharing research effort to develop a technology base required to construct fire-ratable photovoltaic modules has resulted in the identification of several high-temperature, back-surface candidate materials capable of raising the fire-resistance of modules using hydrocarbon encapsulants to Class A and B levels. Advanced experimental module configurations have been developed using back surfaces consisting of Kapton, Tedlar laminates, metal-foils, and fiberglass materials with high-temperature coatings. Test results (October 1984; March 1985; May 1985; and October 1985) indicate that several of these advanced module configurations are capable of achieving Class B fire-resistance levels, while a few configurations can achieve Class A levels. The paper summarizes activities to date, discussing flammability failure mechanisms, time-temperature profiles, and results of Block V environmental exposure tests of a candidate material suitable for both Class B and Class A fire-resistance levels.

  8. A multifunctional biphasic water splitting catalyst tailored for integration with high-performance semiconductor photoanodes

    NASA Astrophysics Data System (ADS)

    Yang, Jinhui; Cooper, Jason K.; Toma, Francesca M.; Walczak, Karl A.; Favaro, Marco; Beeman, Jeffrey W.; Hess, Lucas H.; Wang, Cheng; Zhu, Chenhui; Gul, Sheraz; Yano, Junko; Kisielowski, Christian; Schwartzberg, Adam; Sharp, Ian D.

    2017-03-01

    Artificial photosystems are advanced by the development of conformal catalytic materials that promote desired chemical transformations, while also maintaining stability and minimizing parasitic light absorption for integration on surfaces of semiconductor light absorbers. Here, we demonstrate that multifunctional, nanoscale catalysts that enable high-performance photoelectrochemical energy conversion can be engineered by plasma-enhanced atomic layer deposition. The collective properties of tailored Co3O4/Co(OH)2 thin films simultaneously provide high activity for water splitting, permit efficient interfacial charge transport from semiconductor substrates, and enhance durability of chemically sensitive interfaces. These films comprise compact and continuous nanocrystalline Co3O4 spinel that is impervious to phase transformation and impermeable to ions, thereby providing effective protection of the underlying substrate. Moreover, a secondary phase of structurally disordered and chemically labile Co(OH)2 is introduced to ensure a high concentration of catalytically active sites. Application of this coating to photovoltaic p+n-Si junctions yields best reported performance characteristics for crystalline Si photoanodes.

  9. Dynamic quadrupole interactions in semiconductors

    NASA Astrophysics Data System (ADS)

    Dang, Thien Thanh; Schell, Juliana; Lupascu, Doru C.; Vianden, Reiner

    2018-04-01

    The time differential perturbed angular correlation, TDPAC, technique has been used for several decades to study electric quadrupole hyperfine interactions in semiconductors such as dynamic quadrupole interactions (DQI) resulting from after-effects of the nuclear decay as well as static quadrupole interactions originating from static defects around the probe nuclei such as interstitial ions, stresses in the crystalline structure, and impurities. Nowadays, the quality of the available semiconductor materials is much better, allowing us to study purely dynamic interactions. We present TDPAC measurements on pure Si, Ge, GaAs, and InP as a function of temperature between 12 K and 110 K. The probe 111In (111Cd) was used. Implantation damage was recovered by thermal annealing. Si experienced the strongest DQI with lifetime, τg, increasing with rising temperature, followed by Ge. In contrast, InP and GaAs, which have larger band gaps and less electron concentration than Si and Ge in the same temperature range, presented no DQI. The results obtained also allow us to conclude that indirect band gap semiconductors showed the dynamic interaction, whereas the direct band gap semiconductors, restricted to GaAs and InP, did not.

  10. Energy resolution in semiconductor gamma radiation detectors using heterojunctions and methods of use and preparation thereof

    DOEpatents

    Nikolic, Rebecca J.; Conway, Adam M.; Nelson, Art J.; Payne, Stephen A.

    2012-09-04

    In one embodiment, a system comprises a semiconductor gamma detector material and a hole blocking layer adjacent the gamma detector material, the hole blocking layer resisting passage of holes therethrough. In another embodiment, a system comprises a semiconductor gamma detector material, and an electron blocking layer adjacent the gamma detector material, the electron blocking layer resisting passage of electrons therethrough, wherein the electron blocking layer comprises undoped HgCdTe. In another embodiment, a method comprises forming a hole blocking layer adjacent a semiconductor gamma detector material, the hole blocking layer resisting passage of holes therethrough. Additional systems and methods are also presented.

  11. Semiconductor Ion Implanters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    MacKinnon, Barry A.; Ruffell, John P.

    In 1953 the Raytheon CK722 transistor was priced at $7.60. Based upon this, an Intel Xeon Quad Core processor containing 820,000,000 transistors should list at $6.2 billion. Particle accelerator technology plays an important part in the remarkable story of why that Intel product can be purchased today for a few hundred dollars. Most people of the mid twentieth century would be astonished at the ubiquity of semiconductors in the products we now buy and use every day. Though relatively expensive in the nineteen fifties they now exist in a wide range of items from high-end multicore microprocessors like the Intelmore » product to disposable items containing 'only' hundreds or thousands like RFID chips and talking greeting cards. This historical development has been fueled by continuous advancement of the several individual technologies involved in the production of semiconductor devices including Ion Implantation and the charged particle beamlines at the heart of implant machines. In the course of its 40 year development, the worldwide implanter industry has reached annual sales levels around $2B, installed thousands of dedicated machines and directly employs thousands of workers. It represents in all these measures, as much and possibly more than any other industrial application of particle accelerator technology. This presentation discusses the history of implanter development. It touches on some of the people involved and on some of the developmental changes and challenges imposed as the requirements of the semiconductor industry evolved.« less

  12. Advanced textile materials and biopolymers in wound management.

    PubMed

    Petrulyte, Salvinija

    2008-02-01

    New generation medical textiles are an important growing field with great expansion in wound management products. Virtually new products are coming but also well known materials with significantly improved properties using advanced technologies and new methods are in the centre of research which are highly technical, technological, functional, and effective oriented. The key qualities of fibres and dressings as wound care products include that they are bacteriostatic, anti-viral, fungistatic, non-toxic, high absorbent, non-allergic, breathable, haemostatic, biocompatible, and manipulatable to incorporate medications, also provide reasonable mechanical properties. Many advantages over traditional materials have products modified or blended with also based on alginate, chitin/chitosan, collagen, branan ferulate, carbon fibres. Textile structures used for modern wound dressings are of large variety: sliver, yarn, woven, non-woven, knitted, crochet, braided, embroidered, composite materials. Wound care also applies to materials like hydrogels, matrix (tissue engineering), films, hydrocolloids, foams. Specialized additives with special functions can be introduced in advanced wound dressings with the aim to absorb odours, provide strong antibacterial properties, smooth pain and relieve irritation. Because of unique properties as high surface area to volume ratio, film thinness, nano scale fibre diameter, porosity, light weight, nanofibres are used in wound care. The aim of this study is to outline and review the latest developments and advance in medical textiles and biopolymers for wound management providing the overview with generalized scope about novelties in products and properties.

  13. Silicon-Based Examination of Gamma-Ray and Neutron Interactions with Solid State Materials

    DTIC Science & Technology

    2018-05-02

    The objective of the research was to develop a fundamental understanding of the processes by which charge carriers interact in semiconductor...materials in order to aid in the development of advanced radiation detection materials. During the first three years of the research, our focus was primarily...the contact behavior and affect the charge transport. That information has been applied to single-crystal cadmium-zinc-telluride (CZT) and lead

  14. General Electronics Technician: Semiconductor Devices and Circuits.

    ERIC Educational Resources Information Center

    Hilley, Robert

    These instructional materials include a teacher's guide designed to assist instructors in organizing and presenting an introductory course in general electronics focusing on semiconductor devices and circuits and a student guide. The materials are based on the curriculum-alignment concept of first stating the objectives, developing instructional…

  15. Semiconductor laser using multimode interference principle

    NASA Astrophysics Data System (ADS)

    Gong, Zisu; Yin, Rui; Ji, Wei; Wu, Chonghao

    2018-01-01

    Multimode interference (MMI) structure is introduced in semiconductor laser used in optical communication system to realize higher power and better temperature tolerance. Using beam propagation method (BPM), Multimode interference laser diode (MMI-LD) is designed and fabricated in InGaAsP/InP based material. As a comparison, conventional semiconductor laser using straight single-mode waveguide is also fabricated in the same wafer. With a low injection current (about 230 mA), the output power of the implemented MMI-LD is up to 2.296 mW which is about four times higher than the output power of the conventional semiconductor laser. The implemented MMI-LD exhibits stable output operating at the wavelength of 1.52 μm and better temperature tolerance when the temperature varies from 283.15 K to 293.15 K.

  16. Detection of fast neutrons from shielded nuclear materials using a semiconductor alpha detector.

    PubMed

    Pöllänen, R; Siiskonen, T

    2014-08-01

    The response of a semiconductor alpha detector to fast (>1 MeV) neutrons was investigated by using measurements and simulations. A polyethylene converter was placed in front of the detector to register recoil protons generated by elastic collisions between neutrons and hydrogen nuclei of the converter. The developed prototype equipment was tested with shielded radiation sources. The low background of the detector and insensitivity to high-energy gamma rays above 1 MeV are advantages when the detection of neutron-emitting nuclear materials is of importance. In the case of a (252)Cf neutron spectrum, the intrinsic efficiency of fast neutron detection was determined to be 2.5×10(-4), whereas three-fold greater efficiency was obtained for a (241)AmBe neutron spectrum. Copyright © 2014 Elsevier Ltd. All rights reserved.

  17. Band-Gap Engineering at a Semiconductor-Crystalline Oxide Interface

    DOE PAGES

    Jahangir-Moghadam, Mohammadreza; Ahmadi-Majlan, Kamyar; Shen, Xuan; ...

    2015-02-09

    The epitaxial growth of crystalline oxides on semiconductors provides a pathway to introduce new functionalities to semiconductor devices. Key to integrating the functionalities of oxides onto semiconductors is controlling the band alignment at interfaces between the two materials. Here we apply principles of band gap engineering traditionally used at heterojunctions between conventional semiconductors to control the band offset between a single crystalline oxide and a semiconductor. Reactive molecular beam epitaxy is used to realize atomically abrupt and structurally coherent interfaces between SrZr xTi 1-xO₃ and Ge, in which the band gap of the former is enhanced with Zr content x.more » We present structural and electrical characterization of SrZr xTi 1-xO₃-Ge heterojunctions and demonstrate a type-I band offset can be achieved. These results demonstrate that band gap engineering can be exploited to realize functional semiconductor crystalline oxide heterojunctions.« less

  18. Semiconductor nanowires: A platform for nanoscience and nanotechnology

    PubMed Central

    Lieber, Charles M.

    2012-01-01

    Advances in nanoscience and nanotechnology critically depend on the development of nanostructures whose properties are controlled during synthesis. We focus on this critical concept using semiconductor nanowires, which provide the capability through design and rational synthesis to realize unprecedented structural and functional complexity in building blocks as a platform material. First, a brief review of the synthesis of complex modulated nanowires in which rational design and synthesis can be used to precisely control composition, structure, and, most recently, structural topology is discussed. Second, the unique functional characteristics emerging from our exquisite control of nanowire materials are illustrated using several selected examples from nanoelectronics and nano-enabled energy. Finally, the remarkable power of nanowire building blocks is further highlighted through their capability to create unprecedented, active electronic interfaces with biological systems. Recent work pushing the limits of both multiplexed extracellular recording at the single-cell level and the first examples of intracellular recording is described, as well as the prospects for truly blurring the distinction between nonliving nanoelectronic and living biological systems. PMID:22707850

  19. Method and apparatus for electron-only radiation detectors from semiconductor materials

    DOEpatents

    Lund, James C.

    2000-01-01

    A system for obtaining improved resolution in room temperature semiconductor radiation detectors such as CdZnTe and Hgl.sub.2, which exhibit significant hole-trapping. A electrical reference plane is established about the perimeter of a semiconductor crystal and disposed intermediately between two oppositely biased end electrodes. The intermediate reference plane comprises a narrow strip of wire in electrical contact with the surface of the crystal, biased at a potential between the end electrode potentials and serving as an auxiliary electrical reference for a chosen electrode--typically the collector electrode for the more mobile charge carrier. This arrangement eliminates the interfering effects of the less mobile carriers as these are gathered by their electrode collector.

  20. Advanced Materials for Health Monitoring with Skin-Based Wearable Devices.

    PubMed

    Jin, Han; Abu-Raya, Yasmin Shibli; Haick, Hossam

    2017-06-01

    Skin-based wearable devices have a great potential that could result in a revolutionary approach to health monitoring and diagnosing disease. With continued innovation and intensive attention to the materials and fabrication technologies, development of these healthcare devices is progressively encouraged. This article gives a concise, although admittedly non-exhaustive, didactic review of some of the main concepts and approaches related to recent advances and developments in the scope of skin-based wearable devices (e.g. temperature, strain, biomarker-analysis werable devices, etc.), with an emphasis on emerging materials and fabrication techniques in the relevant fields. To give a comprehensive statement, part of the review presents and discusses different aspects of these advanced materials, such as the sensitivity, biocompatibility and durability as well as the major approaches proposed for enhancing their chemical and physical properties. A complementary section of the review linking these advanced materials with wearable device technologies is particularly specified. Some of the strong and weak points in development of each wearable material/device are highlighted and criticized. Several ideas regarding further improvement of skin-based wearable devices are also discussed. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. A full time-domain approach to spatio-temporal dynamics of semiconductor lasers. II. Spatio-temporal dynamics

    NASA Astrophysics Data System (ADS)

    Böhringer, Klaus; Hess, Ortwin

    The spatio-temporal dynamics of novel semiconductor lasers is discussed on the basis of a space- and momentum-dependent full time-domain approach. To this means the space-, time-, and momentum-dependent Full-Time Domain Maxwell Semiconductor Bloch equations, derived and discussed in our preceding paper I [K. Böhringer, O. Hess, A full time-domain approach to spatio-temporal dynamics of semiconductor lasers. I. Theoretical formulation], are solved by direct numerical integration. Focussing on the device physics of novel semiconductor lasers that profit, in particular, from recent advances in nanoscience and nanotechnology, we discuss the examples of photonic band edge surface emitting lasers (PBE-SEL) and semiconductor disc lasers (SDLs). It is demonstrated that photonic crystal effects can be obtained for finite crystal structures, and leading to a significant improvement in laser performance such as reduced lasing thresholds. In SDLs, a modern device concept designed to increase the power output of surface-emitters in combination with near-diffraction-limited beam quality, we explore the complex interplay between the intracavity optical fields and the quantum well gain material in SDL structures. Our simulations reveal the dynamical balance between carrier generation due to pumping into high energy states, momentum relaxation of carriers, and stimulated recombination from states near the band edge. Our full time-domain approach is shown to also be an excellent framework for the modelling of the interaction of high-intensity femtosecond and picosecond pulses with semiconductor nanostructures. It is demonstrated that group velocity dispersion, dynamical gain saturation and fast self-phase modulation (SPM) are the main causes for the induced changes and asymmetries in the amplified pulse shape and spectrum of an ultrashort high-intensity pulse. We attest that the time constants of the intraband scattering processes are critical to gain recovery. Moreover, we present

  2. Recent Advances in the Synthesis of High Explosive Materials

    DTIC Science & Technology

    2015-12-29

    explosives and secondary high explosives, and the sensitivities and properties of these molecules are provided. In addition to the synthesis of such materials...This review discusses the recent advances in the syntheses of high explosive energetic materials. Syntheses of some relevant modern primary

  3. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass

    NASA Astrophysics Data System (ADS)

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-An; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V.; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-12-01

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III-V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co28.6Fe12.4Ta4.3B8.7O46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p-n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm2 V-1 s-1. Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities.

  4. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass.

    PubMed

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-An; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-12-08

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III-V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co 28.6 Fe 12.4 Ta 4.3 B 8.7 O 46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p-n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm 2  V -1  s -1 . Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities.

  5. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass

    PubMed Central

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-an; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V.; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-01-01

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III–V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co28.6Fe12.4Ta4.3B8.7O46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p–n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm2 V−1 s−1. Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities. PMID:27929059

  6. Advanced reflector materials for solar concentrators

    NASA Astrophysics Data System (ADS)

    Jorgensen, Gary; Williams, Tom; Wendelin, Tim

    1994-10-01

    This paper describes the research and development at the US National Renewable Energy Laboratory (NREL) in advanced reflector materials for solar concentrators. NREL's research thrust is to develop solar reflector materials that maintain high specular reflectance for extended lifetimes under outdoor service conditions and whose cost is significantly lower than existing products. Much of this work has been in collaboration with private-sector companies that have extensive expertise in vacuum-coating and polymer-film technologies. Significant progress and other promising developments will be discussed. These are expected to lead to additional improvements needed to commercialize solar thermal concentration systems and make them economically attractive to the solar manufacturing industry. To explicitly demonstrate the optical durability of candidate reflector materials in real-world service conditions, a network of instrumented outdoor exposure sites has been activated.

  7. Characterization of Nanophase Materials

    NASA Astrophysics Data System (ADS)

    Wang, Zhong Lin

    2000-01-01

    Engineering of nanophase materials and devices is of vital interest in electronics, semiconductors and optics, catalysis, ceramics and magnetism. Research associated with nanoparticles has widely spread and diffused into every field of scientific research, forming a trend of nanocrystal engineered materials. The unique properties of nanophase materials are entirely determined by their atomic scale structures, particularly the structures of interfaces and surfaces. Development of nanotechnology involves several steps, of which characterization of nanoparticles is indespensable to understand the behavior and properties of nanoparticles, aiming at implementing nanotechnolgy, controlling their behavior and designing new nanomaterials systems with super performance. The book will focus on structural and property characterization of nanocrystals and their assemblies, with an emphasis on basic physical approach, detailed techniques, data interpretation and applications. Intended readers of this comprehensive reference work are advanced graduate students and researchers in the field, who are specialized in materials chemistry, materials physics and materials science.

  8. Advanced composite structural concepts and material technologies for primary aircraft structures

    NASA Technical Reports Server (NTRS)

    Jackson, Anthony

    1991-01-01

    Structural weight savings using advanced composites have been demonstrated for many years. Most military aircraft today use these materials extensively and Europe has taken the lead in their use in commercial aircraft primary structures. A major inhibiter to the use of advanced composites in the United States is cost. Material costs are high and will remain high relative to aluminum. The key therefore lies in the significant reduction in fabrication and assembly costs. The largest cost in most structures today is assembly. As part of the NASA Advanced Composite Technology Program, Lockheed Aeronautical Systems Company has a contract to explore and develop advanced structural and manufacturing concepts using advanced composites for transport aircraft. Wing and fuselage concepts and related trade studies are discussed. These concepts are intended to lower cost and weight through the use of innovative material forms, processes, structural configurations and minimization of parts. The approach to the trade studies and the downselect to the primary wing and fuselage concepts is detailed. The expectations for the development of these concepts is reviewed.

  9. Advances in thermoelectric materials research: Looking back and moving forward.

    PubMed

    He, Jian; Tritt, Terry M

    2017-09-29

    High-performance thermoelectric materials lie at the heart of thermoelectrics, the simplest technology applicable to direct thermal-to-electrical energy conversion. In its recent 60-year history, the field of thermoelectric materials research has stalled several times, but each time it was rejuvenated by new paradigms. This article reviews several potentially paradigm-changing mechanisms enabled by defects, size effects, critical phenomena, anharmonicity, and the spin degree of freedom. These mechanisms decouple the otherwise adversely interdependent physical quantities toward higher material performance. We also briefly discuss a number of promising materials, advanced material synthesis and preparation techniques, and new opportunities. The renewable energy landscape will be reshaped if the current trend in thermoelectric materials research is sustained into the foreseeable future. Copyright © 2017 The Authors, some rights reserved; exclusive licensee American Association for the Advancement of Science. No claim to original U.S. Government Works.

  10. Compact, High Power, Multi-Spectral Mid-Infrared Semiconductor Laser Package

    NASA Astrophysics Data System (ADS)

    Guo, Bujin; Hwang, Wen-Yen; Lin, Chich-Hsiang

    2001-10-01

    Through a vertically integrated effort involving atomic level material engineering, advanced device processing development, state-of-the-art optomechanical packaging, and thermal management, Applied Optoelectronics, Inc. (AOI), University of Houston (U H), and Physical Science, Inc. (PSI) have made progress in both Sb-based type-II semiconductor material and in P-based type-I laser device development. We have achieved record performance on inP based quantum cascade continuous wave (CW) laser (with more than 5 mW CW power at 210 K). Grating-coupled external-cavity quantum cascade lasers were studied for temperatures from 20 to 230 K. A tuning range of 88 nm has been obtained at 80 K. The technology can be made commercially available and represents a significant milestone with regard to the Dual Use Science and Technology (DUST) intention of fostering dual use commercial technology for defense need. AOI is the first commercial company to ship products of this licensed technology.

  11. Designing Selectivity in Metal-Semiconductor Nanocrystals: Synthesis, Characterization, and Self-Assembly

    NASA Astrophysics Data System (ADS)

    Pavlopoulos, Nicholas George

    This dissertation contains six chapters detailing recent advances that have been made in the synthesis and characterization of metal-semiconductor hybrid nanocrystals (HNCs), and the applications of these materials. Primarily focused on the synthesis of well-defined II-VI semiconductor nanorod (NR) and tetrapod (TP) based constructs of interest for photocatalytic and solar energy applications, the research described herein discusses progress towards the realization of key design rules for the synthesis of functional semiconductor nanocrystals (NCs). As such, a blend of novel synthesis, advanced characterization, and direct application of heterostructured nanoparticles are presented. The first chapter is a review summarizing the design, synthesis, properties, and applications of multicomponent nanomaterials composed of disparate semiconductor and metal domains. By coupling two compositionally distinct materials onto a single nanocrystal, synergistic properties can arise that are not present in the isolated components, ranging from self-assembly to photocatalysis. For semiconductor nanomaterials, this was first realized in the ability to tune nanomaterial dimensions from 0-D quantum dot (QD) structures to cylindrical (NR) and branched (TP) structures by exploitation of advanced colloidal synthesis techniques and understandings of NC facet reactivities. The second chapter is focused on the synthesis and characterization of well-defined CdSe-seeded-CdS (CdSe CdS) NR systems synthesized by overcoating of wurtzite (W) CdSe quantum dots with W-CdS shells. 1-dimensional NRs have been interesting constructs for applications such as solar concentrators, optical gains, and photocatalysis. Through synthetic control over CdSe CdS NR systems, materials with small and large CdSe seeds were prepared, and for each seed size, multiple NR lengths were prepared. Through transient absorption studies, it was found that band alignment did not affect the efficiency of charge localization

  12. Cost/benefit analysis of advanced materials technologies for future aircraft turbine engines

    NASA Technical Reports Server (NTRS)

    Bisset, J. W.

    1976-01-01

    The cost/benefits of advance commercial gas turbine materials are described. Development costs, estimated payoffs and probabilities of success are discussed. The materials technologies investigated are: (1) single crystal turbine blades, (2) high strength hot isostatic pressed turbine disk, (3) advanced oxide dispersion strengthened burner liner, (4) bore entry cooled hot isostatic pressed turbine disk, (5) turbine blade tip - outer airseal system, and (6) advance turbine blade alloys.

  13. Cost benefit study of advanced materials technology for aircraft turbine engines

    NASA Technical Reports Server (NTRS)

    Hillery, R. V.; Johnston, R. P.

    1977-01-01

    The cost/benefits of eight advanced materials technologies were evaluated for two aircraft missions. The overall study was based on a time frame of commercial engine use of the advanced material technologies by 1985. The material technologies evaluated were eutectic turbine blades, titanium aluminide components, ceramic vanes, shrouds and combustor liners, tungsten composite FeCrAly blades, gamma prime oxide dispersion strengthened (ODS) alloy blades, and no coat ODS alloy combustor liners. They were evaluated in two conventional takeoff and landing missions, one transcontinental and one intercontinental.

  14. Recent Progress in Advanced Materials for Lithium Ion Batteries

    PubMed Central

    Chen, Jiajun

    2013-01-01

    The development and commercialization of lithium ion batteries is rooted in material discovery. Promising new materials with high energy density are required for achieving the goal toward alternative forms of transportation. Over the past decade, significant progress and effort has been made in developing the new generation of Li-ion battery materials. In the review, I will focus on the recent advance of tin- and silicon-based anode materials. Additionally, new polyoxyanion cathodes, such as phosphates and silicates as cathode materials, will also be discussed. PMID:28809300

  15. Bandgap Optimization of Perovskite Semiconductors for Photovoltaic Applications.

    PubMed

    Xiao, Zewen; Zhou, Yuanyuan; Hosono, Hideo; Kamiya, Toshio; Padture, Nitin P

    2018-02-16

    The bandgap is the most important physical property that determines the potential of semiconductors for photovoltaic (PV) applications. This Minireview discusses the parameters affecting the bandgap of perovskite semiconductors that are being widely studied for PV applications, and the recent progress in the optimization of the bandgaps of these materials. Perspectives are also provided for guiding future research in this area. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Semiconductor Devices and Applications. Electronics Module 5. Instructor's Guide.

    ERIC Educational Resources Information Center

    Chappell, John; And Others

    This module is the fifth of 10 modules in the competency-based electronics series. Introductory materials include a listing of competencies addressed in the module, a parts/equipment list, and a cross-reference table of instructional materials. Sixteen instructional units cover: semiconductor materials; diodes; diode applications and…

  17. Uniform Doping in Quantum-Dots-Based Dilute Magnetic Semiconductor.

    PubMed

    Saha, Avijit; Shetty, Amitha; Pavan, A R; Chattopadhyay, Soma; Shibata, Tomohiro; Viswanatha, Ranjani

    2016-07-07

    Effective manipulation of magnetic spin within a semiconductor leading to a search for ferromagnets with semiconducting properties has evolved into an important field of dilute magnetic semiconductors (DMS). Although a lot of research is focused on understanding the still controversial origin of magnetism, efforts are also underway to develop new materials with higher magnetic temperatures for spintronics applications. However, so far, efforts toward quantum-dots(QDs)-based DMS materials are plagued with problems of phase separation, leading to nonuniform distribution of dopant ions. In this work, we have developed a strategy to synthesize highly crystalline, single-domain DMS system starting from a small magnetic core and allowing it to diffuse uniformly inside a thick CdS semiconductor matrix and achieve DMS QDs. X-ray absorption fine structure (XAFS) spectroscopy and energy-dispersive X-ray spectroscopy-scanning transmission electron microscopy (STEM-EDX) indicates the homogeneous distribution of magnetic impurities inside the semiconductor QDs leading to superior magnetic property. Further, the versatility of this technique was demonstrated by obtaining ultra large particles (∼60 nm) with uniform doping concentration as well as demonstrating the high quality magnetic response.

  18. Surface and Interface Engineering of Organometallic and Two Dimensional Semiconductor

    NASA Astrophysics Data System (ADS)

    Park, Jun Hong

    For over half a century, inorganic Si and III-V materials have led the modern semiconductor industry, expanding to logic transistor and optoelectronic applications. However, these inorganic materials have faced two different fundamental limitations, flexibility for wearable applications and scaling limitation as logic transistors. As a result, the organic and two dimensional have been studied intentionally for various fields. In the present dissertation, three different studies will be presented with followed order; (1) the chemical response of organic semiconductor in NO2 exposure. (2) The surface and stability of WSe2 in ambient air. (3) Deposition of dielectric on two dimensional materials using organometallic seeding layer. The organic molecules rely on the van der Waals interaction during growth of thin films, contrast to covalent bond inorganic semiconductors. Therefore, the morphology and electronic property at surface of organic semiconductor in micro scale is more sensitive to change in gaseous conditions. In addition, metal phthalocyanine, which is one of organic semiconductor materials, change their electronic property as reaction with gaseous analytes, suggesting as potential chemical sensing platforms. In the present part, the growth behavior of metal phthalocyanine and surface response to gaseous condition will be elucidated using scanning tunneling microscopy (STM). In second part, the surface of layered transition metal dichalcogenides and their chemical response to exposure ambient air will be investigated, using STM. Layered transition metal dichalcogenides (TMDs) have attracted widespread attention in the scientific community for electronic device applications because improved electrostatic gate control and suppression of short channel leakage resulted from their atomic thin body. To fabricate the transistor based on TMDs, TMDs should be exposed to ambient conditions, while the effect of air exposure has not been understood fully. In this part

  19. Mishap risk control for advanced aerospace/composite materials

    NASA Technical Reports Server (NTRS)

    Olson, John M.

    1994-01-01

    Although advanced aerospace materials and advanced composites provide outstanding performance, they also present several unique post-mishap environmental, safety, and health concerns. The purpose of this paper is to provide information on some of the unique hazards and concerns associated with these materials when damaged by fire, explosion, or high-energy impact. Additionally, recommended procedures and precautions are addressed as they pertain to all phases of a composite aircraft mishap response, including fire-fighting, investigation, recovery, clean-up, and guidelines are general in nature and not application-specific. The goal of this project is to provide factual and realistic information which can be used to develop consistent and effective procedures and policies to minimize the potential environmental, safety, and health impacts of a composite aircraft mishap response effort.

  20. Gain in three-dimensional metamaterials utilizing semiconductor quantum structures

    NASA Astrophysics Data System (ADS)

    Schwaiger, Stephan; Klingbeil, Matthias; Kerbst, Jochen; Rottler, Andreas; Costa, Ricardo; Koitmäe, Aune; Bröll, Markus; Heyn, Christian; Stark, Yuliya; Heitmann, Detlef; Mendach, Stefan

    2011-10-01

    We demonstrate gain in a three-dimensional metal/semiconductor metamaterial by the integration of optically active semiconductor quantum structures. The rolling-up of a metallic structure on top of strained semiconductor layers containing a quantum well allows us to achieve a tightly bent superlattice consisting of alternating layers of lossy metallic and amplifying gain material. We show that the transmission through the superlattice can be enhanced by exciting the quantum well optically under both pulsed or continuous wave excitation. This points out that our structures can be used as a starting point for arbitrary three-dimensional metamaterials including gain.

  1. Skylab experiments. Volume 3: Materials science. [Skylab experiments on metallurgy, crystal growth, semiconductors, and combustion physics in weightless environment for high school level education

    NASA Technical Reports Server (NTRS)

    1973-01-01

    The materials science and technology investigation conducted on the Skylab vehicle are discussed. The thirteen experiments that support these investigations have been planned to evaluate the effect of a weightless environment on melting and resolidification of a variety of metals and semiconductor crystals, and on combustion of solid flammable materials. A glossary of terms which define the space activities and a bibliography of related data are presented.

  2. Cost/benefit studies of advanced materials technologies for future aircraft turbine engines: Materials for advanced turbine engines

    NASA Technical Reports Server (NTRS)

    Stearns, M.; Wilbers, L.

    1982-01-01

    Cost benefit studies were conducted on six advanced materials and processes technologies applicable to commercial engines planned for production in the 1985 to 1990 time frame. These technologies consisted of thermal barrier coatings for combustor and high pressure turbine airfoils, directionally solidified eutectic high pressure turbine blades, (both cast and fabricated), and mixers, tail cones, and piping made of titanium-aluminum alloys. A fabricated titanium fan blisk, an advanced turbine disk alloy with improved low cycle fatigue life, and a long-life high pressure turbine blade abrasive tip and ceramic shroud system were also analyzed. Technologies showing considerable promise as to benefits, low development costs, and high probability of success were thermal barrier coating, directionally solidified eutectic turbine blades, and abrasive-tip blades/ceramic-shroud turbine systems.

  3. Semiconductor photoelectrochemistry

    NASA Technical Reports Server (NTRS)

    Buoncristiani, A. M.; Byvik, C. E.

    1983-01-01

    Semiconductor photoelectrochemical reactions are investigated. A model of the charge transport processes in the semiconductor, based on semiconductor device theory, is presented. It incorporates the nonlinear processes characterizing the diffusion and reaction of charge carriers in the semiconductor. The model is used to study conditions limiting useful energy conversion, specifically the saturation of current flow due to high light intensity. Numerical results describing charge distributions in the semiconductor and its effects on the electrolyte are obtained. Experimental results include: an estimate rate at which a semiconductor photoelectrode is capable of converting electromagnetic energy into chemical energy; the effect of cell temperature on the efficiency; a method for determining the point of zero zeta potential for macroscopic semiconductor samples; a technique using platinized titanium dioxide powders and ultraviolet radiation to produce chlorine, bromine, and iodine from solutions containing their respective ions; the photoelectrochemical properties of a class of layered compounds called transition metal thiophosphates; and a technique used to produce high conversion efficiency from laser radiation to chemical energy.

  4. Fundamental Materials Studies for Advanced High Power Microwave and Terahertz Vacuum Electronic Radiation Sources

    DTIC Science & Technology

    2014-12-10

    AFRL-OSR-VA-TR-2014-0359 Fundamental Materials Studies for Advanced High Power Microwave and Terahertz John Booske UNIVERSITY OF WISCONSIN SYSTEM...12-2014 Final Technical Performance Report October 1, 2011 - September 30, 2014 Fundamental Materials Studies for Advanced High Power Microwave and...emission-barrier scandate cathodes and identify related, alternative cathode materials systems for advanced vacuum electronic cathodes for high power THz

  5. New materials and structures for photovoltaics

    NASA Astrophysics Data System (ADS)

    Zunger, Alex; Wagner, S.; Petroff, P. M.

    1993-01-01

    Despite the fact that over the years crystal chemists have discovered numerous semiconducting substances, and that modern epitaxial growth techniques are able to produce many novel atomic-scale architectures, current electronic and opto-electronic technologies are based but on a handful of ˜10 traditional semiconductor core materials. This paper surveys a number of yet-unexploited classes of semiconductors, pointing to the much-needed research in screening, growing, and characterizing promising members of these classes. In light of the unmanageably large number of a-priori possibilities, we emphasize the role that structural chemistry and modern computer-aided design must play in screening potentially important candidates. The basic classes of materials discussed here include nontraditional alloys, such as non-isovalent and heterostructural semiconductors, materials at reduced dimensionality, including superlattices, zeolite-caged nanostructures and organic semiconductors, spontaneously ordered alloys, interstitial semiconductors, filled tetrahedral structures, ordered vacancy compounds, and compounds based on d and f electron elements. A collaborative effort among material predictor, material grower, and material characterizer holds the promise for a successful identification of new and exciting systems.

  6. Hybrid Solar Cells: Materials, Interfaces, and Devices

    NASA Astrophysics Data System (ADS)

    Mariani, Giacomo; Wang, Yue; Kaner, Richard B.; Huffaker, Diana L.

    Photovoltaic technologies could play a pivotal role in tackling future fossil fuel energy shortages, while significantly reducing our carbon dioxide footprint. Crystalline silicon is pervasively used in single junction solar cells, taking up 80 % of the photovoltaic market. Semiconductor-based inorganic solar cells deliver relatively high conversion efficiencies at the price of high material and manufacturing costs. A great amount of research has been conducted to develop low-cost photovoltaic solutions by incorporating organic materials. Organic semiconductors are conjugated hydrocarbon-based materials that are advantageous because of their low material and processing costs and a nearly unlimited supply. Their mechanical flexibility and tunable electronic properties are among other attractions that their inorganic counterparts lack. Recently, collaborations in nanotechnology research have combined inorganic with organic semiconductors in a "hybrid" effort to provide high conversion efficiencies at low cost. Successful integration of these two classes of materials requires a profound understanding of the material properties and an exquisite control of the morphology, surface properties, ligands, and passivation techniques to ensure an optimal charge carrier generation across the hybrid device. In this chapter, we provide background information of this novel, emerging field, detailing the various approaches for obtaining inorganic nanostructures and organic polymers, introducing a multitude of methods for combining the two components to achieve the desired morphologies, and emphasizing the importance of surface manipulation. We highlight several studies that have fueled new directions for hybrid solar cell research, including approaches for maximizing efficiencies by controlling the morphologies of the inorganic component, and in situ molecular engineering via electrochemical polymerization of a polymer directly onto the inorganic nanowire surfaces. In the end, we

  7. Advancing Sustainable Materials Management: Facts and Figures Report

    EPA Pesticide Factsheets

    Each year EPA releases the Advancing Sustainable Materials Management: Facts and Figures report, formerly called Municipal Solid Waste in the United States: Facts and Figures. It includes information on Municipal Solid Waste generation, recycling, an

  8. ASME Material Challenges for Advanced Reactor Concepts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Piyush Sabharwall; Ali Siahpush

    2013-07-01

    This study presents the material Challenges associated with Advanced Reactor Concept (ARC) such as the Advanced High Temperature Reactor (AHTR). ACR are the next generation concepts focusing on power production and providing thermal energy for industrial applications. The efficient transfer of energy for industrial applications depends on the ability to incorporate cost-effective heat exchangers between the nuclear heat transport system and industrial process heat transport system. The heat exchanger required for AHTR is subjected to a unique set of conditions that bring with them several design challenges not encountered in standard heat exchangers. The corrosive molten salts, especially at highermore » temperatures, require materials throughout the system to avoid corrosion, and adverse high-temperature effects such as creep. Given the very high steam generator pressure of the supercritical steam cycle, it is anticipated that water tube and molten salt shell steam generators heat exchanger will be used. In this paper, the ASME Section III and the American Society of Mechanical Engineers (ASME) Section VIII requirements (acceptance criteria) are discussed. Also, the ASME material acceptance criteria (ASME Section II, Part D) for high temperature environment are presented. Finally, lack of ASME acceptance criteria for thermal design and analysis are discussed.« less

  9. Integrating Language Lab Materials into Advanced Russian.

    ERIC Educational Resources Information Center

    Allar, Gregory

    1986-01-01

    Describes the use of language lab materials supplied by the pedagogical journal "Russkij Jazyk Za Rubezom" in an advanced Russian-language class. Each week students were given a relevant picture and vocabulary list prior to listening to a taped story. The story was used as the basis for conversation. (LMO)

  10. International Symposium on Advanced Materials (ISAM 2013)

    NASA Astrophysics Data System (ADS)

    2014-06-01

    This proceeding is a compilation of peer reviewed papers presented at the 13th International Symposium on Advanced Materials (ISAM 2013) held from September 23-27, 2013, at Islamabad, Pakistan. In my capacity as ISAM-2013 Secretary, I feel honoured that the symposium has ended on a positive note. The ever increasing changes and intricacies that characterize modern industry necessitate a growing demand for technical information on advanced materials. ISAM and other similar forums serve to fulfill this need. The five day deliberations of ISAM 2013, consisted of 19 technical sessions and 2 poster sessions. In all, 277 papers were presented, inclusive of 80 contributory, invited and oral presentations. The symposium also hosted panel discussions led by renowned scientists and eminent researchers from foreign as well as local institutes. The ultimate aim of this proceeding is to record in writing the new findings in the field of advanced materials. I hope that the technical data available in this publication proves valuable to young scientists and researchers working in this area of science. At the same time, I wish to acknowledge Institute of Physics (IOP) Publishing UK, for accepting the research papers from ISAM-2013 for publication in the IOP Conference Series: Materials Science and Engineering. The proceeding will be available on the IOP website as an online open access document. I am profoundly thankful to the Symposium Chairman for his steadfast support and valuable guidance without which ISAM 2013 could not have been the mega event that it turned out to be. My gratitude to all our distinguished participants, session chairs/co-chairs, and reviewers for their active role in the symposium. I appreciate the entire organizing committee for the zest and ardor with which each committee fulfilled its obligations to ISAM. Last yet not the least, my thankfulness goes to all our sponsors for wilfully financing the event. Dr. Sara Qaisar Symposium Secretary Further

  11. Layered semiconductor neutron detectors

    DOEpatents

    Mao, Samuel S; Perry, Dale L

    2013-12-10

    Room temperature operating solid state hand held neutron detectors integrate one or more relatively thin layers of a high neutron interaction cross-section element or materials with semiconductor detectors. The high neutron interaction cross-section element (e.g., Gd, B or Li) or materials comprising at least one high neutron interaction cross-section element can be in the form of unstructured layers or micro- or nano-structured arrays. Such architecture provides high efficiency neutron detector devices by capturing substantially more carriers produced from high energy .alpha.-particles or .gamma.-photons generated by neutron interaction.

  12. Semiconductor Reference Oscillator Development for Coherent Detection Optical Remote Sensing Applications

    NASA Technical Reports Server (NTRS)

    Tratt, David M.; Mansour, Kamjou; Menzies, Robert T.; Qiu, Yueming; Forouhar, Siamak; Maker, Paul D.; Muller, Richard E.

    2001-01-01

    The NASA Earth Science Enterprise Advanced Technology Initiatives Program is supporting a program for the development of semiconductor laser reference oscillators for application to coherent optical remote sensing from Earth orbit. Local oscillators provide the frequency reference required for active spaceborne optical remote sensing concepts that involve heterodyne (coherent) detection. Two recent examples of such schemes are Doppler wind lidar and tropospheric carbon dioxide measurement by laser absorption spectrometry, both of which are being proposed at a wavelength of 2.05 microns. Frequency-agile local oscillator technology is important to such applications because of the need to compensate for large platform-induced Doppler components that would otherwise interfere with data interpretation. Development of frequency-agile local oscillator approaches has heretofore utilized the same laser material as the transmitter laser (Tm,Ho:YLF in the case of the 2.05-micron wavelength mentioned above). However, a semiconductor laser-based frequency-agile local oscillator offers considerable scope for reduced mechanical complexity and improved frequency agility over equivalent crystal laser devices, while their potentially faster tuning capability suggest the potential for greater scanning versatility. The program we report on here is specifically tasked with the development of prototype novel architecture semiconductor lasers with the power, tunability, and spectral characteristics required for coherent Doppler lidar. The baseline approach for this work is the distributed feedback (DFB) laser, in which gratings are etched into the semiconductor waveguide structures along the entire length of the laser cavity. However, typical DFB lasers at the wavelength of interest have linewidths that exhibit unacceptable growth when driven at the high currents and powers that are required for the Doppler lidar application. Suppression of this behavior by means of corrugation pitch

  13. Semiconductor technology program: Progress briefs

    NASA Technical Reports Server (NTRS)

    Galloway, K. F.; Scace, R. I.; Walters, E. J.

    1981-01-01

    Measurement technology for semiconductor materials, process control, and devices, is discussed. Silicon and silicon based devices are emphasized. Highlighted activities include semiinsulating GaAs characterization, an automatic scanning spectroscopic ellipsometer, linewidth measurement and coherence, bandgap narrowing effects in silicon, the evaluation of electrical linewidth uniformity, and arsenicomplanted profiles in silicon.

  14. Semiconductor cooling apparatus

    NASA Technical Reports Server (NTRS)

    Banks, Bruce A. (Inventor); Gaier, James R. (Inventor)

    1993-01-01

    Gas derived graphite fibers generated by the decomposition of an organic gas are joined with a suitable binder. This produces a high thermal conductivity composite material which passively conducts heat from a source, such as a semiconductor, to a heat sink. The fibers may be intercalated. The intercalate can be halogen or halide salt, alkaline metal, or any other species which contributes to the electrical conductivity improvement of the graphite fiber. The fibers are bundled and joined with a suitable binder to form a high thermal conductivity composite material device. The heat transfer device may also be made of intercalated highly oriented pyrolytic graphite and machined, rather than made of fibers.

  15. Elevated Temperature Testing and Modeling of Advanced Toughened Ceramic Materials

    NASA Technical Reports Server (NTRS)

    Keith, Theo G.

    2005-01-01

    The purpose of this report is to provide a final report for the period of 12/1/03 through 11/30/04 for NASA Cooperative Agreement NCC3-776, entitled "Elevated Temperature Testing and Modeling of Advanced Toughened Ceramic Materials." During this final period, major efforts were focused on both the determination of mechanical properties of advanced ceramic materials and the development of mechanical test methodologies under several different programs of the NASA-Glenn. The important research activities made during this period are: 1. Mechanical properties evaluation of two gas-turbine grade silicon nitrides. 2) Mechanical testing for fuel-cell seal materials. 3) Mechanical properties evaluation of thermal barrier coatings and CFCCs and 4) Foreign object damage (FOD) testing.

  16. Substrate induced changes in atomically thin 2-dimensional semiconductors: Fundamentals, engineering, and applications

    NASA Astrophysics Data System (ADS)

    Sun, Yinghui; Wang, Rongming; Liu, Kai

    2017-03-01

    Substrate has great influences on materials syntheses, properties, and applications. The influences are particularly crucial for atomically thin 2-dimensional (2D) semiconductors. Their thicknesses are less than 1 nm; however, the lateral sizes can reach up to several inches or more. Therefore, these materials must be placed onto a variety of substrates before subsequent post-processing techniques for final electronic or optoelectronic devices. Recent studies reveal that substrates have been employed as ways to modulate the optical, electrical, mechanical, and chemical properties of 2D semiconductors. In this review, we summarize recent progress upon the effects of substrates on properties of 2D semiconductors, mostly focused on 2D transition metal dichalcogenides, through viewpoints of both fundamental physics and device applications. First, we discuss various effects of substrates, including interface strain, charge transfer, dielectric screening, and optical interference. Second, we show the modulation of 2D semiconductors by substrate engineering, including novel substrates (patterned substrates, 2D-material substrates, etc.) and active substrates (phase transition materials, ferroelectric materials, flexible substrates, etc.). Last, we present prospectives and challenges in this research field. This review provides a comprehensive understanding of the substrate effects, and may inspire new ideas of novel 2D devices based on substrate engineering.

  17. The chemical deposition of semiconductor thin-films for photovoltaic devices

    NASA Astrophysics Data System (ADS)

    Breen, Marc Louis

    Initially, possible precursors to metal sulfide films formed by metal-organic chemical vapor deposition (MOCVD), the standard commercial technique for manufacturing photovoltaic semiconductors, were synthesized. Triple-junction GaInP 2/GaAs/Ge solar cells, prepared by this method, were studied to understand how chemical properties and material defects can effect the performance of photovoltaic devices. Finally, novel methods for the low-temperature, solution growth of CdS, CdSe, and CuInSe2 photovoltaic materials were targeted which will reduce manufacturing costs and increase the economic feasibility of solar energy conversion. A series of dialkyldithiocarbamate copper, gallium and indium compounds were studied as possible metal sulfide MOCVD precursors. Metal powders were oxidized by dialkylthiurams in 3- or 4-methylpyridine using standard techniques for handling air and moisture-sensitive compounds. Metal chlorides reacted directly with the sodium dialkyldithiocarbamate salts. In these complexes, the metal was found in a roughly octahedral orientation, surrounded by dithiocarbamate ligands and/or solvent molecules. Triple-junction GaInP2/GaAs/Ge cells were composed of thin-films of GaInP2 and GaAs grown monolithically on top of a germanium substrate. Each layer of semiconductor material had a different bandgap and absorbed a different portion of the solar spectrum, thus improving the overall efficiency of the cell. Work focused on dark current-voltage behavior which is known to limit solar cell open-circuit voltage, fill factor, and conversion efficiency. Cells were studied using microscopic and spectroscopic techniques to correlate the effect of physical defects in the materials with poor performance of the devices as evaluated through current vs. voltage measurements. Films of US and CdSe were readily prepared in solution through an "ion-by-ion" deposition of Cd2+ and S2- (or Se 2-) generated from the slow hydrolysis of thiourea (or dimethylthiourea). The bath

  18. Novel organic semiconductors and dielectric materials for high performance and low-voltage organic thin-film transistors

    NASA Astrophysics Data System (ADS)

    Yoon, Myung-Han

    Two novel classes of organic semiconductors based on perfluoroarene/arene-modified oligothiophenes and perfluoroacyl/acyl-derivatized quaterthiophens are developed. The frontier molecular orbital energies of these compounds are studied by optical spectroscopy and electrochemistry while solid-state/film properties are investigated by thermal analysis, x-ray diffraction, and scanning electron microscopy. Organic thin film transistors (OTFTs) performance parameters are discussed in terms of the interplay between semiconductor molecular energetics and film morphologies/microstructures. For perfluoroarene-thiophene oligomer systems, majority charge carrier type and mobility exhibit a strong correlation with the regiochemistry of perfluoroarene incorporation. In quaterthiophene-based semiconductors, carbonyl-functionalization allows tuning of the majority carrier type from p-type to ambipolar and to n-type. In situ conversion of a p-type semiconducting film to n-type film is also demonstrated. Very thin self-assembled or spin-on organic dielectric films have been integrated into OTFTs to achieve 1 - 2 V operating voltages. These new dielectrics are deposited either by layer-by-layer solution phase deposition of molecular precursors or by spin-coating a mixture of polymer and crosslinker, resulting in smooth and virtually pinhole-free thin films having exceptionally large capacitances (300--700 nF/cm2) and low leakage currents (10 -9 - 10-7 A/cm2). These organic dielectrics are compatible with various vapor- or solution-deposited p- and n-channel organic semiconductors. Furthermore, it is demonstrated that spin-on crosslinked-polymer-blend dielectrics can be employed for large-area/patterned electronics, and complementary inverters. A general approach for probing semiconductor-dielectric interface effects on OTFT performance parameters using bilayer gate dielectrics is presented. Organic semiconductors having p-, n-type, or ambipolar majority charge carriers are grown on

  19. Measuring the Edge Recombination Velocity of Monolayer Semiconductors.

    PubMed

    Zhao, Peida; Amani, Matin; Lien, Der-Hsien; Ahn, Geun Ho; Kiriya, Daisuke; Mastandrea, James P; Ager, Joel W; Yablonovitch, Eli; Chrzan, Daryl C; Javey, Ali

    2017-09-13

    Understanding edge effects and quantifying their impact on the carrier properties of two-dimensional (2D) semiconductors is an essential step toward utilizing this material for high performance electronic and optoelectronic devices. WS 2 monolayers patterned into disks of varying diameters are used to experimentally explore the influence of edges on the material's optical properties. Carrier lifetime measurements show a decrease in the effective lifetime, τ effective , as a function of decreasing diameter, suggesting that the edges are active sites for carrier recombination. Accordingly, we introduce a metric called edge recombination velocity (ERV) to characterize the impact of 2D material edges on nonradiative carrier recombination. The unpassivated WS 2 monolayer disks yield an ERV ∼ 4 × 10 4 cm/s. This work quantifies the nonradiative recombination edge effects in monolayer semiconductors, while simultaneously establishing a practical characterization approach that can be used to experimentally explore edge passivation methods for 2D materials.

  20. Toward designing semiconductor-semiconductor heterojunctions for photocatalytic applications

    NASA Astrophysics Data System (ADS)

    Zhang, Liping; Jaroniec, Mietek

    2018-02-01

    Semiconductor photocatalysts show a great potential for environmental and energy-related applications, however one of the major disadvantages is their relatively low photocatalytic performance due to the recombination of electron-hole pairs. Therefore, intensive research is being conducted toward design of heterojunctions, which have been shown to be effective for improving the charge-transfer properties and efficiency of photocatalysts. According to the type of band alignment and direction of internal electric field, heterojunctions are categorized into five different types, each of which is associated with its own charge transfer characteristics. Since the design of heterojunctions requires the knowledge of band edge positions of component semiconductors, the commonly used techniques for the assessment of band edge positions are reviewed. Among them the electronegativity-based calculation method is applied for a large number of popular visible-light-active semiconductors, including some widely investigated bismuth-containing semiconductors. On basis of the calculated band edge positions and the type of component semiconductors reported, heterojunctions composed of the selected bismuth-containing semiconductors are proposed. Finally, the most popular synthetic techniques for the fabrication of heterojunctions are briefly discussed.

  1. Suppressing molecular vibrations in organic semiconductors by inducing strain

    PubMed Central

    Kubo, Takayoshi; Häusermann, Roger; Tsurumi, Junto; Soeda, Junshi; Okada, Yugo; Yamashita, Yu; Akamatsu, Norihisa; Shishido, Atsushi; Mitsui, Chikahiko; Okamoto, Toshihiro; Yanagisawa, Susumu; Matsui, Hiroyuki; Takeya, Jun

    2016-01-01

    Organic molecular semiconductors are solution processable, enabling the growth of large-area single-crystal semiconductors. Improving the performance of organic semiconductor devices by increasing the charge mobility is an ongoing quest, which calls for novel molecular and material design, and improved processing conditions. Here we show a method to increase the charge mobility in organic single-crystal field-effect transistors, by taking advantage of the inherent softness of organic semiconductors. We compress the crystal lattice uniaxially by bending the flexible devices, leading to an improved charge transport. The mobility increases from 9.7 to 16.5 cm2 V−1 s−1 by 70% under 3% strain. In-depth analysis indicates that compressing the crystal structure directly restricts the vibration of the molecules, thus suppresses dynamic disorder, a unique mechanism in organic semiconductors. Since strain can be easily induced during the fabrication process, we expect our method to be exploited to build high-performance organic devices. PMID:27040501

  2. Suppressing molecular vibrations in organic semiconductors by inducing strain.

    PubMed

    Kubo, Takayoshi; Häusermann, Roger; Tsurumi, Junto; Soeda, Junshi; Okada, Yugo; Yamashita, Yu; Akamatsu, Norihisa; Shishido, Atsushi; Mitsui, Chikahiko; Okamoto, Toshihiro; Yanagisawa, Susumu; Matsui, Hiroyuki; Takeya, Jun

    2016-04-04

    Organic molecular semiconductors are solution processable, enabling the growth of large-area single-crystal semiconductors. Improving the performance of organic semiconductor devices by increasing the charge mobility is an ongoing quest, which calls for novel molecular and material design, and improved processing conditions. Here we show a method to increase the charge mobility in organic single-crystal field-effect transistors, by taking advantage of the inherent softness of organic semiconductors. We compress the crystal lattice uniaxially by bending the flexible devices, leading to an improved charge transport. The mobility increases from 9.7 to 16.5 cm(2) V(-1) s(-1) by 70% under 3% strain. In-depth analysis indicates that compressing the crystal structure directly restricts the vibration of the molecules, thus suppresses dynamic disorder, a unique mechanism in organic semiconductors. Since strain can be easily induced during the fabrication process, we expect our method to be exploited to build high-performance organic devices.

  3. Recent advances in the development of aerospace materials

    NASA Astrophysics Data System (ADS)

    Zhang, Xuesong; Chen, Yongjun; Hu, Junling

    2018-02-01

    In recent years, much progress has been made on the development of aerospace materials for structural and engine applications. Alloys, such as Al-based alloys, Mg-based alloys, Ti-based alloys, and Ni-based alloys, are developed for aerospace industry with outstanding advantages. Composite materials, the innovative materials, are taking more and more important roles in aircrafts. However, recent aerospace materials still face some major challenges, such as insufficient mechanical properties, fretting wear, stress corrosion cracking, and corrosion. Consequently, extensive studies have been conducted to develop the next generation aerospace materials with superior mechanical performance and corrosion resistance to achieve improvements in both performance and life cycle cost. This review focuses on the following topics: (1) materials requirements in design of aircraft structures and engines, (2) recent advances in the development of aerospace materials, (3) challenges faced by recent aerospace materials, and (4) future trends in aerospace materials.

  4. George E. Pake Prize: A Few Challenges in the Evolution of Semiconductor Device/Manufacturing Technology

    NASA Astrophysics Data System (ADS)

    Doering, Robert

    In the early 1980s, the semiconductor industry faced the related challenges of ``scaling through the one-micron barrier'' and converting single-level-metal NMOS integrated circuits to multi-level-metal CMOS. Multiple advances in lithography technology and device materials/process integration led the way toward the deep-sub-micron transistors and interconnects that characterize today's electronic chips. In the 1990s, CMOS scaling advanced at an accelerated pace enabled by rapid advances in many aspects of optical lithography. However, the industry also needed to continue the progress in manufacturing on ever-larger silicon wafers to maintain economy-of-scale trends. Simultaneously, the increasing complexity and absolute-precision requirements of manufacturing compounded the necessity for new processes, tools, and control methodologies. This talk presents a personal perspective on some of the approaches that addressed the aforementioned challenges. In particular, early work on integrating silicides, lightly-doped-drain FETs, shallow recessed isolation, and double-level metal will be discussed. In addition, some pioneering efforts in deep-UV lithography and single-wafer processing will be covered. The latter will be mainly based on results from the MMST Program - a 100 M +, 5-year R&D effort, funded by DARPA, the U.S. Air Force, and Texas Instruments, that developed a wide range of new technologies for advanced semiconductor manufacturing. The major highlight of the program was the demonstration of sub-3-day cycle time for manufacturing 350-nm CMOS integrated circuits in 1993. This was principally enabled by the development of: (1) 100% single-wafer processing, including rapid-thermal processing (RTP), and (2) computer-integrated-manufacturing (CIM), including real-time, in-situ process control.

  5. Advanced Materials and Solids Analysis Research Core (AMSARC)

    EPA Science Inventory

    The Advanced Materials and Solids Analysis Research Core (AMSARC), centered at the U.S. Environmental Protection Agency's (EPA) Andrew W. Breidenbach Environmental Research Center in Cincinnati, Ohio, is the foundation for the Agency's solids and surfaces analysis capabilities. ...

  6. Extraordinary plasticity of an inorganic semiconductor in darkness.

    PubMed

    Oshima, Yu; Nakamura, Atsutomo; Matsunaga, Katsuyuki

    2018-05-18

    Inorganic semiconductors generally tend to fail in a brittle manner. Here, we report that extraordinary "plasticity" can take place in an inorganic semiconductor if the deformation is carried out "in complete darkness." Room-temperature deformation tests of zinc sulfide (ZnS) were performed under varying light conditions. ZnS crystals immediately fractured when they deformed under light irradiation. In contrast, it was found that ZnS crystals can be plastically deformed up to a deformation strain of ε t = 45% in complete darkness. In addition, the optical bandgap of the deformed ZnS crystals was distinctly decreased after deformation. These results suggest that dislocations in ZnS become mobile in complete darkness and that multiplied dislocations can affect the optical bandgap over the whole crystal. Inorganic semiconductors are not necessarily intrinsically brittle. Copyright © 2018 The Authors, some rights reserved; exclusive licensee American Association for the Advancement of Science. No claim to original U.S. Government Works.

  7. Zinc Alloys for the Fabrication of Semiconductor Devices

    NASA Technical Reports Server (NTRS)

    Ryu, Yungryel; Lee, Tae S.

    2009-01-01

    ZnBeO and ZnCdSeO alloys have been disclosed as materials for the improvement in performance, function, and capability of semiconductor devices. The alloys can be used alone or in combination to form active photonic layers that can emit over a range of wavelength values. Materials with both larger and smaller band gaps would allow for the fabrication of semiconductor heterostructures that have increased function in the ultraviolet (UV) region of the spectrum. ZnO is a wide band-gap material possessing good radiation-resistance properties. It is desirable to modify the energy band gap of ZnO to smaller values than that for ZnO and to larger values than that for ZnO for use in semiconductor devices. A material with band gap energy larger than that of ZnO would allow for the emission at shorter wavelengths for LED (light emitting diode) and LD (laser diode) devices, while a material with band gap energy smaller than that of ZnO would allow for emission at longer wavelengths for LED and LD devices. The amount of Be in the ZnBeO alloy system can be varied to increase the energy bandgap of ZnO to values larger than that of ZnO. The amount of Cd and Se in the ZnCdSeO alloy system can be varied to decrease the energy band gap of ZnO to values smaller than that of ZnO. Each alloy formed can be undoped or can be p-type doped using selected dopant elements, or can be n-type doped using selected dopant elements. The layers and structures formed with both the ZnBeO and ZnCdSeO semiconductor alloys - including undoped, p-type-doped, and n-type-doped types - can be used for fabricating photonic and electronic semiconductor devices for use in photonic and electronic applications. These devices can be used in LEDs, LDs, FETs (field effect transistors), PN junctions, PIN junctions, Schottky barrier diodes, UV detectors and transmitters, and transistors and transparent transistors. They also can be used in applications for lightemitting display, backlighting for displays, UV and

  8. Test model designs for advanced refractory ceramic materials

    NASA Technical Reports Server (NTRS)

    Tran, Huy Kim

    1993-01-01

    The next generation of space vehicles will be subjected to severe aerothermal loads and will require an improved thermal protection system (TPS) and other advanced vehicle components. In order to ensure the satisfactory performance system (TPS) and other advanced vehicle materials and components, testing is to be performed in environments similar to space flight. The design and fabrication of the test models should be fairly simple but still accomplish test objectives. In the Advanced Refractory Ceramic Materials test series, the models and model holders will need to withstand the required heat fluxes of 340 to 817 W/sq cm or surface temperatures in the range of 2700 K to 3000 K. The model holders should provide one dimensional (1-D) heat transfer to the samples and the appropriate flow field without compromising the primary test objectives. The optical properties such as the effective emissivity, catalytic efficiency coefficients, thermal properties, and mass loss measurements are also taken into consideration in the design process. Therefore, it is the intent of this paper to demonstrate the design schemes for different models and model holders that would accommodate these test requirements and ensure the safe operation in a typical arc jet facility.

  9. Theory of Thermal Relaxation of Electrons in Semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sadasivam, Sridhar; Chan, Maria K. Y.; Darancet, Pierre

    2017-09-01

    We compute the transient dynamics of phonons in contact with high energy ``hot'' charge carriers in 12 polar and non-polar semiconductors, using a first-principles Boltzmann transport framework. For most materials, we find that the decay in electronic temperature departs significantly from a single-exponential model at times ranging from 1 ps to 15 ps after electronic excitation, a phenomenon concomitant with the appearance of non-thermal vibrational modes. We demonstrate that these effects result from the slow thermalization within the phonon subsystem, caused by the large heterogeneity in the timescales of electron-phonon and phonon-phonon interactions in these materials. We propose a generalizedmore » 2-temperature model accounting for the phonon thermalization as a limiting step of electron-phonon thermalization, which captures the full thermal relaxation of hot electrons and holes in semiconductors. A direct consequence of our findings is that, for semiconductors, information about the spectral distribution of electron-phonon and phonon-phonon coupling can be extracted from the multi-exponential behavior of the electronic temperature.« less

  10. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    NASA Technical Reports Server (NTRS)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  11. Direct growth of single-crystalline III–V semiconductors on amorphous substrates

    PubMed Central

    Chen, Kevin; Kapadia, Rehan; Harker, Audrey; Desai, Sujay; Seuk Kang, Jeong; Chuang, Steven; Tosun, Mahmut; Sutter-Fella, Carolin M.; Tsang, Michael; Zeng, Yuping; Kiriya, Daisuke; Hazra, Jubin; Madhvapathy, Surabhi Rao; Hettick, Mark; Chen, Yu-Ze; Mastandrea, James; Amani, Matin; Cabrini, Stefano; Chueh, Yu-Lun; Ager III, Joel W.; Chrzan, Daryl C.; Javey, Ali

    2016-01-01

    The III–V compound semiconductors exhibit superb electronic and optoelectronic properties. Traditionally, closely lattice-matched epitaxial substrates have been required for the growth of high-quality single-crystal III–V thin films and patterned microstructures. To remove this materials constraint, here we introduce a growth mode that enables direct writing of single-crystalline III–V's on amorphous substrates, thus further expanding their utility for various applications. The process utilizes templated liquid-phase crystal growth that results in user-tunable, patterned micro and nanostructures of single-crystalline III–V's of up to tens of micrometres in lateral dimensions. InP is chosen as a model material system owing to its technological importance. The patterned InP single crystals are configured as high-performance transistors and photodetectors directly on amorphous SiO2 growth substrates, with performance matching state-of-the-art epitaxially grown devices. The work presents an important advance towards universal integration of III–V's on application-specific substrates by direct growth. PMID:26813257

  12. Advanced Carbon Materials Center Established At UK

    Science.gov Websites

    UK Home Academics Athletics Medical Center Research Site Index Search UK University Master ] [research at UK] Advanced Carbon Materials Center Established At UK The tiny but mighty nanotube will continue to be the subject of several research projects at the University of Kentucky, thanks in part to a

  13. A living foundry for Synthetic Biological Materials: A synthetic biology roadmap to new advanced materials.

    PubMed

    Le Feuvre, Rosalind A; Scrutton, Nigel S

    2018-06-01

    Society is on the cusp of harnessing recent advances in synthetic biology to discover new bio-based products and routes to their affordable and sustainable manufacture. This is no more evident than in the discovery and manufacture of Synthetic Biological Materials , where synthetic biology has the capacity to usher in a new Materials from Biology era that will revolutionise the discovery and manufacture of innovative synthetic biological materials. These will encompass novel, smart, functionalised and hybrid materials for diverse applications whose discovery and routes to bio-production will be stimulated by the fusion of new technologies positioned across physical, digital and biological spheres. This article, which developed from an international workshop held in Manchester, United Kingdom, in 2017 [1], sets out to identify opportunities in the new materials from biology era. It considers requirements, early understanding and foresight of the challenges faced in delivering a Discovery to Manufacturing Pipeline for synthetic biological materials using synthetic biology approaches. This challenge spans the complete production cycle from intelligent and predictive design, fabrication, evaluation and production of synthetic biological materials to new ways of bringing these products to market. Pathway opportunities are identified that will help foster expertise sharing and infrastructure development to accelerate the delivery of a new generation of synthetic biological materials and the leveraging of existing investments in synthetic biology and advanced materials research to achieve this goal.

  14. Thin film transistors for flexible electronics: contacts, dielectrics and semiconductors.

    PubMed

    Quevedo-Lopez, M A; Wondmagegn, W T; Alshareef, H N; Ramirez-Bon, R; Gnade, B E

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed.

  15. Quantum size effects in layered VX{sub 2} (X = S, Se) materials: Manifestation of metal to semimetal or semiconductor transition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wasey, A. H. M. Abdul; Chakrabarty, Soubhik; Das, G. P., E-mail: msgpd@iacs.res.in

    2015-02-14

    Most of the two dimensional (2D) transition metal dichalcogenides (TMDC) are nonmagnetic in pristine form. However, 2D pristine VX{sub 2} (X = S, Se, Te) materials are found to be ferromagnetic. Using spin polarized density functional theory (DFT) calculations, we have studied the electronic, magnetic, and surface properties of this class of materials in both trigonal prismatic H- and octahedral T-phase. Our calculations reveal that they exhibit materially different properties in those two polymorphs. Most importantly, detailed investigation of electronic structure explored the quantum size effect in H-phase of these materials thereby leading to metal to semimetal (H-VS{sub 2}) or semiconductor (H-VSe{submore » 2}) transition when downsizing from bilayer to corresponding monolayer.« less

  16. Biodegradable Polymeric Materials in Degradable Electronic Devices

    PubMed Central

    2018-01-01

    Biodegradable electronics have great potential to reduce the environmental footprint of devices and enable advanced health monitoring and therapeutic technologies. Complex biodegradable electronics require biodegradable substrates, insulators, conductors, and semiconductors, all of which comprise the fundamental building blocks of devices. This review will survey recent trends in the strategies used to fabricate biodegradable forms of each of these components. Polymers that can disintegrate without full chemical breakdown (type I), as well as those that can be recycled into monomeric and oligomeric building blocks (type II), will be discussed. Type I degradation is typically achieved with engineering and material science based strategies, whereas type II degradation often requires deliberate synthetic approaches. Notably, unconventional degradable linkages capable of maintaining long-range conjugation have been relatively unexplored, yet may enable fully biodegradable conductors and semiconductors with uncompromised electrical properties. While substantial progress has been made in developing degradable device components, the electrical and mechanical properties of these materials must be improved before fully degradable complex electronics can be realized. PMID:29632879

  17. Mechanical Properties of Organic Semiconductors for Stretchable, Highly Flexible, and Mechanically Robust Electronics.

    PubMed

    Root, Samuel E; Savagatrup, Suchol; Printz, Adam D; Rodriquez, Daniel; Lipomi, Darren J

    2017-05-10

    Mechanical deformability underpins many of the advantages of organic semiconductors. The mechanical properties of these materials are, however, diverse, and the molecular characteristics that permit charge transport can render the materials stiff and brittle. This review is a comprehensive description of the molecular and morphological parameters that govern the mechanical properties of organic semiconductors. Particular attention is paid to ways in which mechanical deformability and electronic performance can coexist. The review begins with a discussion of flexible and stretchable devices of all types, and in particular the unique characteristics of organic semiconductors. It then discusses the mechanical properties most relevant to deformable devices. In particular, it describes how low modulus, good adhesion, and absolute extensibility prior to fracture enable robust performance, along with mechanical "imperceptibility" if worn on the skin. A description of techniques of metrology precedes a discussion of the mechanical properties of three classes of organic semiconductors: π-conjugated polymers, small molecules, and composites. The discussion of each class of materials focuses on molecular structure and how this structure (and postdeposition processing) influences the solid-state packing structure and thus the mechanical properties. The review concludes with applications of organic semiconductor devices in which every component is intrinsically stretchable or highly flexible.

  18. Outlook and emerging semiconducting materials for ambipolar transistors.

    PubMed

    Bisri, Satria Zulkarnaen; Piliego, Claudia; Gao, Jia; Loi, Maria Antonietta

    2014-02-26

    Ambipolar or bipolar transistors are transistors in which both holes and electrons are mobile inside the conducting channel. This device allows switching among several states: the hole-dominated on-state, the off-state, and the electron-dominated on-state. In the past year, it has attracted great interest in exotic semiconductors, such as organic semiconductors, nanostructured materials, and carbon nanotubes. The ability to utilize both holes and electrons inside one device opens new possibilities for the development of more compact complementary metal-oxide semiconductor (CMOS) circuits, and new kinds of optoelectronic device, namely, ambipolar light-emitting transistors. This progress report highlights the recent progresses in the field of ambipolar transistors, both from the fundamental physics and application viewpoints. Attention is devoted to the challenges that should be faced for the realization of ambipolar transistors with different material systems, beginning with the understanding of the importance of interface modification, which heavily affects injections and trapping of both holes and electrons. The recent development of advanced gating applications, including ionic liquid gating, that open up more possibility to realize ambipolar transport in materials in which one type of charge carrier is highly dominant is highlighted. Between the possible applications of ambipolar field-effect transistors, we focus on ambipolar light-emitting transistors. We put this new device in the framework of its prospective for general lightings, embedded displays, current-driven laser, as well as for photonics-electronics interconnection. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Ferroelectrics for semiconductor devices

    NASA Astrophysics Data System (ADS)

    Sayer, M.; Wu, Z.; Vasant Kumar, C. V. R.; Amm, D. T.; Griswold, E. M.

    1992-11-01

    The technology for the implementation of the integration of thin film ferroelectrics with silicon processing for various devices is described, and factors affecting the integration of ferroelectric films with semiconductor processing are discussed. Consideration is also given to film properties, the properties of electrode materials and structures, and the phenomena of ferroelectric fatigue and aging. Particular attention is given to the nonmemory device application of ferroelectrics.

  20. A multifunctional biphasic water splitting catalyst tailored for integration with high-performance semiconductor photoanodes

    DOE PAGES

    Yang, Jinhui; Cooper, Jason K.; Toma, Francesca M.; ...

    2016-11-07

    Artificial photosystems are advanced by the development of conformal catalytic materials that promote desired chemical transformations, while also maintaining stability and minimizing parasitic light absorption for integration on surfaces of semiconductor light absorbers. We demonstrate that multifunctional, nanoscale catalysts that enable high-performance photoelectrochemical energy conversion can be engineered by plasma-enhanced atomic layer deposition. The collective properties of tailored Co 3 O 4 /Co(OH) 2 thin films simultaneously provide high activity for water splitting, permit efficient interfacial charge transport from semiconductor substrates, and enhance durability of chemically sensitive interfaces. Furthermore, these films comprise compact and continuous nanocrystalline Co 3 O 4more » spinel that is impervious to phase transformation and impermeable to ions, thereby providing effective protection of the underlying substrate. Moreover, a secondary phase of structurally disordered and chemically labile Co(OH) 2 is introduced to ensure a high concentration of catalytically active sites. Application of this coating to photovoltaic p + n-Si junctions yields best reported performance characteristics for crystalline Si photoanodes.« less

  1. Photon-Electron Interactions in Dirac Quantum Materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Xiaodong

    The objective of this proposal was to explore the fundamental light-matter interactions in a new class of Dirac quantum materials, atomically thin transition metal dichalcogenides (TMDs). Monolayer TMDs are newly discovered two-dimensional semiconductors with direct bandgap. Due to their hexagonal lattice structure, the band edge localizes at corner of Brillouin zone, i.e. “Dirac valleys”. This gives the corresponding electron states a “valley index” (or pseudospin) in addition to the real spin. Remarkably, the valley pseudospins have circularly polarized optical selection rules, providing the first solid state system for dynamic control of the valley degree of freedom. During this award, wemore » have developed a suite of advanced nano-optical spectroscopy tools in the investigation and manipulation of charge, spin, and valley degrees of freedom in monolayer semiconductors. Emerging physical phenomena, such as quantum coherence between valley pseudospins, have been demonstrated for the first time in solids. In addition to monolayers, we have developed a framework in engineering, formulating, and understanding valley pseudospin physics in 2D heterostructures formed by different monolayer semiconductors. We demonstrated long-lived valley-polarized interlayer excitons with valley-dependent many-body interaction effects. These works push the research frontier in understanding the light-matter interactions in atomically-thin quantum materials for protentional transformative energy technologies.« less

  2. Wholly Aromatic Ether-Imides as n-Type Semiconductors

    NASA Technical Reports Server (NTRS)

    Weiser, Erik; St. Clair, Terry L.; Dingemans, Theo J.; Samulski, Edward T.; Irene, Gene

    2006-01-01

    Some wholly aromatic ether-imides consisting of rod-shaped, relatively-low-mass molecules that can form liquid crystals have been investigated for potential utility as electron-donor-type (ntype) organic semiconductors. It is envisioned that after further research to improve understanding of their physical and chemical properties, compounds of this type would be used to make thin film semiconductor devices (e.g., photovoltaic cells and field-effect transistors) on flexible electronic-circuit substrates. This investigation was inspired by several prior developments: Poly(ether-imides) [PEIs] are a class of engineering plastics that have been used extensively in the form of films in a variety of electronic applications, including insulating layers, circuit boards, and low-permittivity coatings. Wholly aromatic PEIs containing naphthalene and perylene moieties have been shown to be useful as electrochromic polymers. More recently, low-molecular-weight imides comprising naphthalene-based molecules with terminal fluorinated tails were shown to be useful as n-type organic semiconductors in such devices as field-effect transistors and Schottky diodes. Poly(etherimide)s as structural resins have been extensively investigated at NASA Langley Research Center for over 30 years. More recently, the need for multi-functional materials has become increasingly important. This n-type semiconductor illustrates the scope of current work towards new families of PEIs that not only can be used as structural resins for carbon-fiber reinforced composites, but also can function as sensors. Such a multi-functional material would permit so-called in-situ health monitoring of composite structures during service. The work presented here demonstrates that parts of the PEI backbone can be used as an n-type semiconductor with such materials being sensitive to damage, temperature, stress, and pressure. In the near future, multi-functional or "smart" composite structures are envisioned to be able

  3. Materials Sciences Research.

    DTIC Science & Technology

    1975-07-01

    Physics of Refractory Materials (ERDA) ..... 160 J. Holder - Mechanical Properties of Solids (NSF) ...... 163 A. Granato - Anharmonic Effects in Solids...ERDA) ........ 166 6. Semiconductor Materials and Devices. N. Holonyak - Luinescence, Lasers, Carrier and Impurity Effects in Compound Semiconductors...1975. Dr. P. A. Egelstaff, University of Guelph, Ontario, Canada, "Three-Body Effects in Simple Fluids," April 9, 1975. Professor G. Leibfried, Oak

  4. A Brief History of ... Semiconductors

    ERIC Educational Resources Information Center

    Jenkins, Tudor

    2005-01-01

    The development of studies in semiconductor materials is traced from its beginnings with Michael Faraday in 1833 to the production of the first silicon transistor in 1954, which heralded the age of silicon electronics and microelectronics. Prior to the advent of band theory, work was patchy and driven by needs of technology. However, the arrival…

  5. Advanced bulk processing of lightweight materials for utilization in the transportation sector

    NASA Astrophysics Data System (ADS)

    Milner, Justin L.

    The overall objective of this research is to develop the microstructure of metallic lightweight materials via multiple advanced processing techniques with potentials for industrial utilization on a large scale to meet the demands of the aerospace and automotive sectors. This work focused on (i) refining the grain structure to increase the strength, (ii) controlling the texture to increase formability and (iii) directly reducing processing/production cost of lightweight material components. Advanced processing is conducted on a bulk scale by several severe plastic deformation techniques including: accumulative roll bonding, isolated shear rolling and friction stir processing to achieve the multiple targets of this research. Development and validation of the processing techniques is achieved through wide-ranging experiments along with detailed mechanical and microstructural examination of the processed material. On a broad level, this research will make advancements in processing of bulk lightweight materials facilitating industrial-scale implementation. Where accumulative roll bonding and isolated shear rolling, currently feasible on an industrial scale, processes bulk sheet materials capable of replacing more expensive grades of alloys and enabling low-temperature and high-strain-rate formability. Furthermore, friction stir processing to manufacture lightweight tubes, made from magnesium alloys, has the potential to increase the utilization of these materials in the automotive and aerospace sectors for high strength - high formability applications. With the increased utilization of these advanced processing techniques will significantly reduce the cost associated with lightweight materials for many applications in the transportation sectors.

  6. Semiconductor nanowire thermoelectric materials and devices, and processes for producing same

    DOEpatents

    Lagally, Max G; Evans, Paul G; Ritz, Clark S

    2013-09-17

    The present invention provides nanowires and nanoribbons that are well suited for use in thermoelectric applications. The nanowires and nanoribbons are characterized by a periodic compositional longitudinal modulation. The nanowires are constructed using lithographic techniques from thin semiconductor membranes, or "nanomembranes."

  7. Semiconductor nanowire thermoelectric materials and devices, and processes for producing same

    DOEpatents

    Lagally, Max G.; Evans, Paul G.; Ritz, Clark S.

    2015-11-17

    The present invention provides nanowires and nanoribbons that are well suited for use in thermoelectric applications. The nanowires and nanoribbons are characterized by a periodic compositional longitudinal modulation. The nanowires are constructed using lithographic techniques from thin semiconductor membranes, or "nanomembranes."

  8. Nanotechnology Based Green Energy Conversion Devices with Multifunctional Materials at Low Temperatures.

    PubMed

    Lu, Yuzheng; Afzal, Muhammad; Zhu, Bin; Wang, Baoyuan; Wang, Jun; Xia, Chen

    2017-07-10

    Nanocomposites (integrating the nano and composite technologies) for advanced fuel cells (NANOCOFC) demonstrate the great potential to reduce the operational temperature of solid oxide fuel cell (SOFC) significantly in the low temperature (LT) range 300-600ºC. NANOCOFC has offered the development of multi-functional materials composed of semiconductor and ionic materials to meet the requirements of low temperature solid oxide fuel cell (LTSOFC) and green energy conversion devices with their unique mechanisms. This work reviews the recent developments relevant to the devices and the patents in LTSOFCs from nanotechnology perspectives that reports advances including fabrication methods, material compositions, characterization techniques and cell performances. Finally, the future scope of LTSOFC with nanotechnology and the practical applications are also discussed. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  9. Model-Based Infrared Metrology for Advanced Technology Nodes and 300 mm Wafer Processing

    NASA Astrophysics Data System (ADS)

    Rosenthal, Peter A.; Duran, Carlos; Tower, Josh; Mazurenko, Alex; Mantz, Ulrich; Weidner, Peter; Kasic, Alexander

    2005-09-01

    The use of infrared spectroscopy for production semiconductor process monitoring has evolved recently from primarily unpatterned, i.e. blanket test wafer measurements in a limited historical application space of blanket epitaxial, BPSG, and FSG layers to new applications involving patterned product wafer measurements, and new measurement capabilities. Over the last several years, the semiconductor industry has adopted a new set of materials associated with copper/low-k interconnects, and new structures incorporating exotic materials including silicon germanium, SOI substrates and high aspect ratio trenches. The new device architectures and more chemically sophisticated materials have raised new process control and metrology challenges that are not addressed by current measurement technology. To address the challenges we have developed a new infrared metrology tool designed for emerging semiconductor production processes, in a package compatible with modern production and R&D environments. The tool incorporates recent advances in reflectance instrumentation including highly accurate signal processing, optimized reflectometry optics, and model-based calibration and analysis algorithms. To meet the production requirements of the modern automated fab, the measurement hardware has been integrated with a fully automated 300 mm platform incorporating front opening unified pod (FOUP) interfaces, automated pattern recognition and high throughput ultra clean robotics. The tool employs a suite of automated dispersion-model analysis algorithms capable of extracting a variety of layer properties from measured spectra. The new tool provides excellent measurement precision, tool matching, and a platform for deploying many new production and development applications. In this paper we will explore the use of model based infrared analysis as a tool for characterizing novel bottle capacitor structures employed in high density dynamic random access memory (DRAM) chips. We will explore

  10. Charge transport in organic semiconductors.

    PubMed

    Bässler, Heinz; Köhler, Anna

    2012-01-01

    Modern optoelectronic devices, such as light-emitting diodes, field-effect transistors and organic solar cells require well controlled motion of charges for their efficient operation. The understanding of the processes that determine charge transport is therefore of paramount importance for designing materials with improved structure-property relationships. Before discussing different regimes of charge transport in organic semiconductors, we present a brief introduction into the conceptual framework in which we interpret the relevant photophysical processes. That is, we compare a molecular picture of electronic excitations against the Su-Schrieffer-Heeger semiconductor band model. After a brief description of experimental techniques needed to measure charge mobilities, we then elaborate on the parameters controlling charge transport in technologically relevant materials. Thus, we consider the influences of electronic coupling between molecular units, disorder, polaronic effects and space charge. A particular focus is given to the recent progress made in understanding charge transport on short time scales and short length scales. The mechanism for charge injection is briefly addressed towards the end of this chapter.

  11. Support apparatus for semiconductor wafer processing

    DOEpatents

    Griffiths, Stewart K.; Nilson, Robert H.; Torres, Kenneth J.

    2003-06-10

    A support apparatus for minimizing gravitational stress in semiconductor wafers, and particularly silicon wafers, during thermal processing. The support apparatus comprises two concentric circular support structures disposed on a common support fixture. The two concentric circular support structures, located generally at between 10 and 70% and 70 and 100% and preferably at 35 and 82.3% of the semiconductor wafer radius, can be either solid rings or a plurality of spaced support points spaced apart from each other in a substantially uniform manner. Further, the support structures can have segments removed to facilitate wafer loading and unloading. In order to withstand the elevated temperatures encountered during semiconductor wafer processing, the support apparatus, including the concentric circular support structures and support fixture can be fabricated from refractory materials, such as silicon carbide, quartz and graphite. The claimed wafer support apparatus can be readily adapted for use in either batch or single-wafer processors.

  12. Stable Defects in Semiconductor Nanowires.

    PubMed

    Sanchez, A M; Gott, J A; Fonseka, H A; Zhang, Y; Liu, H; Beanland, R

    2018-05-09

    Semiconductor nanowires are commonly described as being defect-free due to their ability to expel mobile defects with long-range strain fields. Here, we describe previously undiscovered topologically protected line defects with null Burgers vector that, unlike dislocations, are stable in nanoscale crystals. We analyze the defects present in semiconductor nanowires in regions of imperfect crystal growth, i.e., at the nanowire tip formed during consumption of the droplet in self-catalyzed vapor-liquid-solid growth and subsequent vapor-solid shell growth. We use a form of the Burgers circuit method that can be applied to multiply twinned material without difficulty. Our observations show that the nanowire microstructure is very different from bulk material, with line defects either (a) trapped by locks or other defects, (b) arranged as dipoles or groups with a zero total Burgers vector, or (c) have a zero Burgers vector. We find two new line defects with a null Burgers vector, formed from the combination of partial dislocations in twinned material. The most common defect is the three-monolayer high twin facet with a zero Burgers vector. Studies of individual nanowires using cathodoluminescence show that optical emission is quenched in defective regions, showing that they act as strong nonradiative recombination centers.

  13. Imaging the motion of electrons in 2D semiconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Dani, Keshav

    Technological progress since the late 20th century has centered on semiconductor devices, such as transistors, diodes, and solar cells. At the heart of these devices, is the internal motion of electrons through semiconductor materials due to applied electric fields or by the excitation of photocarriers. Imaging the motion of these electrons would provide unprecedented insight into this important phenomenon, but requires high spatial and temporal resolution. Current studies of electron dynamics in semiconductors are generally limited by the spatial resolution of optical probes, or by the temporal resolution of electronic probes. In this talk, we combine femtosecond pump-probe techniques with spectroscopic photoemission electron microscopy to image the motion of photoexcited electrons from high-energy to low-energy states in a 2D InSe/GaAs heterostructure exhibiting a type-II band alignment. At the instant of photoexcitation, energy-resolved photoelectron images reveal a highly non-equilibrium distribution of photocarriers in space and energy. Thereafter, in response to the out-of-equilibrium photocarriers, we observe the spatial redistribution of charges, thus forming internal electric fields, bending the semiconductor bands, and finally impeding further charge transfer. By assembling images taken at different time-delays, we make a movie lasting a few tens of picoseconds of the electron transfer process in the photoexcited type-II heterostructure - a fundamental phenomenon in semiconductor devices like solar cells. Quantitative analysis and theoretical modeling of spatial variations in the video provide insight into future solar cells, electron dynamics in 2D materials, and other semiconductor devices.

  14. Screenable contact structure and method for semiconductor devices

    DOEpatents

    Ross, Bernd

    1980-08-26

    An ink composition for deposition upon the surface of a semiconductor device to provide a contact area for connection to external circuitry is disclosed, the composition comprising an ink system containing a metal powder, a binder and vehicle, and a metal frit. The ink is screened onto the semiconductor surface in the desired pattern and is heated to a temperature sufficient to cause the metal frit to become liquid. The metal frit dissolves some of the metal powder and densifies the structure by transporting the dissolved metal powder in a liquid sintering process. The sintering process typically may be carried out in any type of atmosphere. A small amount of dopant or semiconductor material may be added to the ink systems to achieve particular results if desired.

  15. Rational In Silico Design of an Organic Semiconductor with Improved Electron Mobility.

    PubMed

    Friederich, Pascal; Gómez, Verónica; Sprau, Christian; Meded, Velimir; Strunk, Timo; Jenne, Michael; Magri, Andrea; Symalla, Franz; Colsmann, Alexander; Ruben, Mario; Wenzel, Wolfgang

    2017-11-01

    Organic semiconductors find a wide range of applications, such as in organic light emitting diodes, organic solar cells, and organic field effect transistors. One of their most striking disadvantages in comparison to crystalline inorganic semiconductors is their low charge-carrier mobility, which manifests itself in major device constraints such as limited photoactive layer thicknesses. Trial-and-error attempts to increase charge-carrier mobility are impeded by the complex interplay of the molecular and electronic structure of the material with its morphology. Here, the viability of a multiscale simulation approach to rationally design materials with improved electron mobility is demonstrated. Starting from one of the most widely used electron conducting materials (Alq 3 ), novel organic semiconductors with tailored electronic properties are designed for which an improvement of the electron mobility by three orders of magnitude is predicted and experimentally confirmed. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Rapid Thermal Processing (RTP) of semiconductors in space

    NASA Technical Reports Server (NTRS)

    Anderson, T. J.; Jones, K. S.

    1993-01-01

    The progress achieved on the project entitled 'Rapid Thermal Processing of Semiconductors in Space' for a 12 month period of activity ending March 31, 1993 is summarized. The activity of this group is being performed under the direct auspices of the ROMPS program. The main objective of this program is to develop and demonstrate the use of advanced robotics in space with rapid thermal process (RTP) of semiconductors providing the test technology. Rapid thermal processing is an ideal processing step for demonstration purposes since it encompasses many of the characteristics of other processes used in solid state device manufacturing. Furthermore, a low thermal budget is becoming more important in existing manufacturing practice, while a low thermal budget is critical to successful processing in space. A secondary objective of this project is to determine the influence of microgravity on the rapid thermal process for a variety of operating modes. In many instances, this involves one or more fluid phases. The advancement of microgravity processing science is an important ancillary objective.

  17. Electronic and optoelectronic materials and devices inspired by nature

    NASA Astrophysics Data System (ADS)

    Meredith, P.; Bettinger, C. J.; Irimia-Vladu, M.; Mostert, A. B.; Schwenn, P. E.

    2013-03-01

    Inorganic semiconductors permeate virtually every sphere of modern human existence. Micro-fabricated memory elements, processors, sensors, circuit elements, lasers, displays, detectors, etc are ubiquitous. However, the dawn of the 21st century has brought with it immense new challenges, and indeed opportunities—some of which require a paradigm shift in the way we think about resource use and disposal, which in turn directly impacts our ongoing relationship with inorganic semiconductors such as silicon and gallium arsenide. Furthermore, advances in fields such as nano-medicine and bioelectronics, and the impending revolution of the ‘ubiquitous sensor network’, all require new functional materials which are bio-compatible, cheap, have minimal embedded manufacturing energy plus extremely low power consumption, and are mechanically robust and flexible for integration with tissues, building structures, fabrics and all manner of hosts. In this short review article we summarize current progress in creating materials with such properties. We focus primarily on organic and bio-organic electronic and optoelectronic systems derived from or inspired by nature, and outline the complex charge transport and photo-physics which control their behaviour. We also introduce the concept of electrical devices based upon ion or proton flow (‘ionics and protonics’) and focus particularly on their role as a signal interface with biological systems. Finally, we highlight recent advances in creating working devices, some of which have bio-inspired architectures, and summarize the current issues, challenges and potential solutions. This is a rich new playground for the modern materials physicist.

  18. Organic Donor-Acceptor Complexes as Novel Organic Semiconductors.

    PubMed

    Zhang, Jing; Xu, Wei; Sheng, Peng; Zhao, Guangyao; Zhu, Daoben

    2017-07-18

    Organic donor-acceptor (DA) complexes have attracted wide attention in recent decades, resulting in the rapid development of organic binary system electronics. The design and synthesis of organic DA complexes with a variety of component structures have mainly focused on metallicity (or even superconductivity), emission, or ferroelectricity studies. Further efforts have been made in high-performance electronic investigations. The chemical versatility of organic semiconductors provides DA complexes with a great number of possibilities for semiconducting applications. Organic DA complexes extend the semiconductor family and promote charge separation and transport in organic field-effect transistors (OFETs) and organic photovoltaics (OPVs). In OFETs, the organic complex serves as an active layer across extraordinary charge pathways, ensuring the efficient transport of induced charges. Although an increasing number of organic semiconductors have been reported to exhibit good p- or n-type properties (mobilities higher than 1 or even 10 cm 2 V -1 s -1 ), critical scientific challenges remain in utilizing the advantages of existing semiconductor materials for more and wider applications while maintaining less complicated synthetic or device fabrication processes. DA complex materials have revealed new insight: their unique molecular packing and structure-property relationships. The combination of donors and acceptors could offer practical advantages compared with their unimolecular materials. First, growing crystals of DA complexes with densely packed structures will reduce impurities and traps from the self-assembly process. Second, complexes based on the original structural components could form superior mixture stacking, which can facilitate charge transport depending on the driving force in the coassembly process. Third, the effective use of organic semiconductors can lead to tunable band structures, allowing the operation mode (p- or n-type) of the transistor to be

  19. Two-Dimensional Semiconductor Optoelectronics Based on van der Waals Heterostructures.

    PubMed

    Lee, Jae Yoon; Shin, Jun-Hwan; Lee, Gwan-Hyoung; Lee, Chul-Ho

    2016-10-27

    Two-dimensional (2D) semiconductors such as transition metal dichalcogenides (TMDCs) and black phosphorous have drawn tremendous attention as an emerging optical material due to their unique and remarkable optical properties. In addition, the ability to create the atomically-controlled van der Waals (vdW) heterostructures enables realizing novel optoelectronic devices that are distinct from conventional bulk counterparts. In this short review, we first present the atomic and electronic structures of 2D semiconducting TMDCs and their exceptional optical properties, and further discuss the fabrication and distinctive features of vdW heterostructures assembled from different kinds of 2D materials with various physical properties. We then focus on reviewing the recent progress on the fabrication of 2D semiconductor optoelectronic devices based on vdW heterostructures including photodetectors, solar cells, and light-emitting devices. Finally, we highlight the perspectives and challenges of optoelectronics based on 2D semiconductor heterostructures.

  20. Two-Dimensional Semiconductor Optoelectronics Based on van der Waals Heterostructures

    PubMed Central

    Lee, Jae Yoon; Shin, Jun-Hwan; Lee, Gwan-Hyoung; Lee, Chul-Ho

    2016-01-01

    Two-dimensional (2D) semiconductors such as transition metal dichalcogenides (TMDCs) and black phosphorous have drawn tremendous attention as an emerging optical material due to their unique and remarkable optical properties. In addition, the ability to create the atomically-controlled van der Waals (vdW) heterostructures enables realizing novel optoelectronic devices that are distinct from conventional bulk counterparts. In this short review, we first present the atomic and electronic structures of 2D semiconducting TMDCs and their exceptional optical properties, and further discuss the fabrication and distinctive features of vdW heterostructures assembled from different kinds of 2D materials with various physical properties. We then focus on reviewing the recent progress on the fabrication of 2D semiconductor optoelectronic devices based on vdW heterostructures including photodetectors, solar cells, and light-emitting devices. Finally, we highlight the perspectives and challenges of optoelectronics based on 2D semiconductor heterostructures. PMID:28335321

  1. Unraveling atomic-level self-organization at the plasma-material interface

    NASA Astrophysics Data System (ADS)

    Allain, J. P.; Shetty, A.

    2017-07-01

    The intrinsic dynamic interactions at the plasma-material interface and critical role of irradiation-driven mechanisms at the atomic scale during exposure to energetic particles require a priori the use of in situ surface characterization techniques. Characterization of ‘active’ surfaces during modification at atomic-scale levels is becoming more important as advances in processing modalities are limited by an understanding of the behavior of these surfaces under realistic environmental conditions. Self-organization from exposure to non-equilibrium and thermalized plasmas enable dramatic control of surface morphology, topography, composition, chemistry and structure yielding the ability to tune material properties with an unprecedented level of control. Deciphering self-organization mechanisms of nanoscale morphology (e.g. nanodots, ripples) and composition on a variety of materials including: compound semiconductors, semiconductors, ceramics, polymers and polycrystalline metals via low-energy ion-beam assisted plasma irradiation are critical to manipulate functionality in nanostructured systems. By operating at ultra-low energies near the damage threshold, irradiation-driven defect engineering can be optimized and surface-driven mechanisms controlled. Tunability of optical, electronic, magnetic and bioactive properties is realized by reaching metastable phases controlled by atomic-scale irradiation-driven mechanisms elucidated by novel in situ diagnosis coupled to atomistic-level computational tools. Emphasis will be made on tailored surface modification from plasma-enhanced environments on particle-surface interactions and their subsequent modification of hard and soft matter interfaces. In this review, we examine current trends towards in situ and in operando surface and sub-surface characterization to unravel atomic-scale mechanisms at the plasma-material interface. This work will emphasize on recent advances in the field of plasma and ion

  2. Interfacial Materials for Organic Solar Cells: Recent Advances and Perspectives

    PubMed Central

    Yin, Zhigang; Wei, Jiajun

    2016-01-01

    Organic solar cells (OSCs) have shown great promise as low‐cost photovoltaic devices for solar energy conversion over the past decade. Interfacial engineering provides a powerful strategy to enhance efficiency and stability of OSCs. With the rapid advances of interface layer materials and active layer materials, power conversion efficiencies (PCEs) of both single‐junction and tandem OSCs have exceeded a landmark value of 10%. This review summarizes the latest advances in interfacial layers for single‐junction and tandem OSCs. Electron or hole transporting materials, including metal oxides, polymers/small‐molecules, metals and metal salts/complexes, carbon‐based materials, organic‐inorganic hybrids/composites, and other emerging materials, are systemically presented as cathode and anode interface layers for high performance OSCs. Meanwhile, incorporating these electron‐transporting and hole‐transporting layer materials as building blocks, a variety of interconnecting layers for conventional or inverted tandem OSCs are comprehensively discussed, along with their functions to bridge the difference between adjacent subcells. By analyzing the structure–property relationships of various interfacial materials, the important design rules for such materials towards high efficiency and stable OSCs are highlighted. Finally, we present a brief summary as well as some perspectives to help researchers understand the current challenges and opportunities in this emerging area of research. PMID:27812480

  3. Advanced Infrared Photodetectors (Materials Review)

    DTIC Science & Technology

    1993-12-01

    Telluride DMS Dilute Magnetic Semiconductor R)V Field of View FPP Focal Plane Processing IR Infrared LPE Liquid Phase Epitaxy LWIR Long Wave Infrared...operation is normal. Photoconductive (PC) cadmium mercury telluride (CdxHgl-xTe. x - 0.167) has a LWIR cutoff at room temperature; however, operation is...reliability, lightweight On-chip clocks and bias circuits An initial use of FPP is nonuniformity correction (NUC) since spatial response nonuniformity is

  4. Spectroscopic characterization of III-V semiconductor nanomaterials

    NASA Astrophysics Data System (ADS)

    Crankshaw, Shanna Marie

    III-V semiconductor materials form a broad basis for optoelectronic applications, including the broad basis of the telecom industry as well as smaller markets for high-mobility transistors. In a somewhat analogous manner as the traditional silicon logic industry has so heavily depended upon process manufacturing development, optoelectronics often relies instead on materials innovations. This thesis focuses particularly on III-V semiconductor nanomaterials, detailed characterization of which is invaluable for translating the exhibited behavior into useful applications. Specifically, the original research described in these thesis chapters is an investigation of semiconductors at a fundamental materials level, because the nanostructures in which they appear crystallize in quite atypical forms for the given semiconductors. Rather than restricting the experimental approaches to any one particular technique, many different types of optical spectroscopies are developed and applied where relevant to elucidate the connection between the crystalline structure and exhibited properties. In the first chapters, for example, a wurtzite crystalline form of the prototypical zincblende III-V binary semiconductor, GaAs, is explored through polarization-dependent Raman spectroscopy and temperature-dependent photoluminescence, as well as second-harmonic generation (SHG). The altered symmetry properties of the wurtzite crystalline structure are particularly evident in the Raman and SHG polarization dependences, all within a bulk material realm. A rather different but deeply elegant aspect of crystalline symmetry in GaAs is explored in a separate study on zincblende GaAs samples quantum-confined in one direction, i.e. quantum well structures, whose quantization direction corresponds to the (110) direction. The (110) orientation modifies the low-temperature electron spin relaxation mechanisms available compared to the usual (001) samples, leading to altered spin coherence times explored

  5. Assembly of mesoscale helices with near-unity enantiomeric excess and light-matter interactions for chiral semiconductors.

    PubMed

    Feng, Wenchun; Kim, Ji-Young; Wang, Xinzhi; Calcaterra, Heather A; Qu, Zhibei; Meshi, Louisa; Kotov, Nicholas A

    2017-03-01

    Semiconductors with chiral geometries at the nanoscale and mesoscale provide a rich materials platform for polarization optics, photocatalysis, and biomimetics. Unlike metallic and organic optical materials, the relationship between the geometry of chiral semiconductors and their chiroptical properties remains, however, vague. Homochiral ensembles of semiconductor helices with defined geometries open the road to understanding complex relationships between geometrical parameters and chiroptical properties of semiconductor materials. We show that semiconductor helices can be prepared with an absolute yield of ca 0.1% and an enantiomeric excess (e.e.) of 98% or above from cysteine-stabilized cadmium telluride nanoparticles (CdTe NPs) dispersed in methanol. This high e.e. for a spontaneously occurring chemical process is attributed to chiral self-sorting based on the thermodynamic preference of NPs to assemble with those of the same handedness. The dispersions of homochiral self-assembled helices display broadband visible and near-infrared (Vis-NIR) polarization rotation with anisotropy ( g ) factors approaching 0.01. Calculated circular dichroism (CD) spectra accurately reproduced experimental CD spectra and gave experimentally validated spectral predictions for different geometrical parameters enabling de novo design of chiroptical semiconductor materials. Unlike metallic, ceramic, and polymeric helices that serve predominantly as scatterers, chiroptical properties of semiconductor helices have nearly equal contribution of light absorption and scattering, which is essential for device-oriented, field-driven light modulation. Deconstruction of a helix into a series of nanorods provides a simple model for the light-matter interaction and chiroptical activity of helices. This study creates a framework for further development of polarization-based optics toward biomedical applications, telecommunications, and hyperspectral imaging.

  6. Semiconductor quantum dot scintillation under gamma-ray irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Letant, S E; Wang, T

    2006-08-23

    We recently demonstrated the ability of semiconductor quantum dots to convert alpha radiation into visible photons. In this letter, we report on the scintillation of quantum dots under gamma-ray irradiation, and compare the energy resolution of the 59 keV line of Americium 241 obtained with our quantum dot-glass nanocomposite material to that of a standard sodium iodide scintillator. A factor 2 improvement is demonstrated experimentally and interpreted theoretically using a combination of energy-loss and photon transport models. These results demonstrate the potential of quantum dots for room-temperature gamma-ray detection, which has applications in medical imaging, environmental monitoring, as well asmore » security and defense. Present technology in gamma radiation detection suffers from flexibility and scalability issues. For example, bulk Germanium provides fine energy resolution (0.2% energy resolution at 1.33 MeV) but requires operation at liquid nitrogen temperature. On the other hand, Cadmium-Zinc-Telluride is a good room temperature detector ( 1% at 662 keV) but the size of the crystals that can be grown is limited to a few centimeters in each direction. Finally, the most commonly used scintillator, Sodium Iodide (NaI), can be grown as large crystals but suffers from a lack of energy resolution (7% energy resolution at 662 keV). Recent advancements in nanotechnology6-10 have provided the possibility of controlling materials synthesis at the molecular level. Both morphology and chemical composition can now be manipulated, leading to radically new material properties due to a combination of quantum confinement and surface to volume ratio effects. One of the main consequences of reducing the size of semiconductors down to nanometer dimensions is to increase the energy band gap, leading to visible luminescence, which suggests that these materials could be used as scintillators. The visible band gap of quantum dots would also ensure both efficient photon

  7. Environmental and workplace contamination in the semiconductor industry: implications for future health of the workforce and community.

    PubMed Central

    Edelman, P

    1990-01-01

    The semiconductor industry has been an enormous worldwide growth industry. At the heart of computer and other electronic technological advances, the environment in and around these manufacturing facilities has not been scrutinized to fully detail the health effects to the workers and the community from such exposures. Hazard identification in this industry leads to the conclusion that there are many sources of potential exposure to chemicals including arsenic, solvents, photoactive polymers and other materials. As the size of the semiconductor work force expands, the potential for adverse health effects, ranging from transient irritant symptoms to reproductive effects and cancer, must be determined and control measures instituted. Risk assessments need to be effected for areas where these facilities conduct manufacturing. The predominance of women in the manufacturing areas requires evaluating the exposures to reproductive hazards and outcomes. Arsenic exposures must also be evaluated and minimized, especially for maintenance workers; evaluation for lung and skin cancers is also appropriate. PMID:2401268

  8. Preparation and Characterization of Biomass-Derived Advanced Carbon Materials for Lithium-Ion Battery Applications

    NASA Astrophysics Data System (ADS)

    Hardiansyah, Andri; Chaldun, Elsy Rahimi; Nuryadin, Bebeh Wahid; Fikriyyah, Anti Khoerul; Subhan, Achmad; Ghozali, Muhammad; Purwasasmita, Bambang Sunendar

    2018-04-01

    In this study, carbon-based advanced materials for lithium-ion battery applications were prepared by using soybean waste-based biomass material, through a straightforward process of heat treatment followed by chemical modification processes. Various types of carbon-based advanced materials were developed. Physicochemical characteristics and electrochemical performance of the resultant materials were characterized systematically. Scanning electron microscopy observation revealed that the activated carbon and graphene exhibits wrinkles structures and porous morphology. Electrochemical impedance spectroscopy (EIS) revealed that both activated carbon and graphene-based material exhibited a good conductivity. For instance, the graphene-based material exhibited equivalent series resistance value of 25.9 Ω as measured by EIS. The graphene-based material also exhibited good reversibility and cyclic performance. Eventually, it would be anticipated that the utilization of soybean waste-based biomass material, which is conforming to the principles of green materials, could revolutionize the development of advanced material for high-performance energy storage applications, especially for lithium-ion batteries application.

  9. Preparation and Characterization of Biomass-Derived Advanced Carbon Materials for Lithium-Ion Battery Applications

    NASA Astrophysics Data System (ADS)

    Hardiansyah, Andri; Chaldun, Elsy Rahimi; Nuryadin, Bebeh Wahid; Fikriyyah, Anti Khoerul; Subhan, Achmad; Ghozali, Muhammad; Purwasasmita, Bambang Sunendar

    2018-07-01

    In this study, carbon-based advanced materials for lithium-ion battery applications were prepared by using soybean waste-based biomass material, through a straightforward process of heat treatment followed by chemical modification processes. Various types of carbon-based advanced materials were developed. Physicochemical characteristics and electrochemical performance of the resultant materials were characterized systematically. Scanning electron microscopy observation revealed that the activated carbon and graphene exhibits wrinkles structures and porous morphology. Electrochemical impedance spectroscopy (EIS) revealed that both activated carbon and graphene-based material exhibited a good conductivity. For instance, the graphene-based material exhibited equivalent series resistance value of 25.9 Ω as measured by EIS. The graphene-based material also exhibited good reversibility and cyclic performance. Eventually, it would be anticipated that the utilization of soybean waste-based biomass material, which is conforming to the principles of green materials, could revolutionize the development of advanced material for high-performance energy storage applications, especially for lithium-ion batteries application.

  10. Ionic and electronic behaviors of earth-abundant semiconductor materials and their applications toward solar energy harvesting

    NASA Astrophysics Data System (ADS)

    Mayer, Matthew T.

    Semiconductor devices offer promise for efficient conversion of sunlight into other useful forms of energy, in either photovoltaic or photoelectrochemical cell configurations to produce electrical power or chemical energy, respectively. This dissertation examines ionic and electronic phenomena in some candidate semiconductors and seeks to understand their implications toward solar energy conversion applications. First, copper sulfide (Cu2S) was examined as a candidate photovoltaic material. It was discovered that its unique property of cation diffusion allows the room-temperature synthesis of vertically-aligned nanowire arrays, a morphology which facilitates study of the diffusion processes. This diffusivity was found to induce hysteresis in the electronic behavior, leading to the phenomena of resistive switching and negative differential resistance. The Cu2S were then demonstrated as morphological templates for solid-state conversion into different types of heterostructures, including segmented and rod-in-tube morphologies. Near-complete conversion to ZnS, enabled by the out-diffusion of Cu back into the substrate, was also achieved. While the ion diffusion property likely hinders the reliability of Cu 2S in photovoltaic applications, it was shown to enable useful electronic and ionic behaviors. Secondly, iron oxide (Fe2O3, hematite) was examined as a photoanode for photoelectrochemical water splitting. Its energetic limitations toward the water electrolysis reactions were addressed using two approaches aimed at achieving greater photovoltages and thereby improved water splitting efficiencies. In the first, a built-in n-p junction produced an internal field to drive charge separation and generate photovoltage. In the second, Fe 2O3 was deposited onto a smaller band gap material, silicon, to form a device capable of producing enhanced total photovoltage by a dual-absorber Z-scheme mechanism. Both approaches resulted in a cathodic shift of the photocurrent onset

  11. Integration of Photo-Patternable Low-κ Material into Advanced Cu Back-End-Of-The-Line

    NASA Astrophysics Data System (ADS)

    Lin, Qinghuang; Nelson, Alshakim; Chen, Shyng-Tsong; Brock, Philip; Cohen, Stephan A.; Davis, Blake; Kaplan, Richard; Kwong, Ranee; Liniger, Eric; Neumayer, Debra; Patel, Jyotica; Shobha, Hosadurga; Sooriyakumaran, Ratnam; Purushothaman, Sampath; Miller, Robert; Spooner, Terry; Wisnieff, Robert

    2010-05-01

    We report herein the demonstration of a simple, low-cost Cu back-end-of-the-line (BEOL) dual-damascene integration using a novel photo-patternable low-κ dielectric material concept that dramatically reduces Cu BEOL integration complexity. This κ=2.7 photo-patternable low-κ material is based on the SiCOH-based material platform and has sub-200 nm resolution capability with 248 nm optical lithography. Cu/photo-patternable low-κ dual-damascene integration at 45 nm node BEOL fatwire levels has been demonstrated with very high electrical yields using the current manufacturing infrastructure. The photo-patternable low-κ concept is, therefore, a promising technology for highly efficient semiconductor Cu BEOL manufacturing.

  12. Recent Advances in Porous Carbon Materials for Electrochemical Energy Storage.

    PubMed

    Wang, Libin; Hu, Xianluo

    2018-06-18

    Climate change and the energy crisis have promoted the rapid development of electrochemical energy-storage devices. Owing to many intriguing physicochemical properties, such as excellent chemical stability, high electronic conductivity, and a large specific surface area, porous carbon materials have always been considering as a promising candidate for electrochemical energy storage. To date, a wide variety of porous carbon materials based upon molecular design, pore control, and compositional tailoring have been proposed for energy-storage applications. This focus review summarizes recent advances in the synthesis of various porous carbon materials from the view of energy storage, particularly in the past three years. Their applications in representative electrochemical energy-storage devices, such as lithium-ion batteries, supercapacitors, and lithium-ion hybrid capacitors, are discussed in this review, with a look forward to offer some inspiration and guidelines for the exploitation of advanced carbon-based energy-storage materials. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Advanced numerical models and material characterisation techniques for composite materials subject to impact and shock wave loading

    NASA Astrophysics Data System (ADS)

    Clegg, R. A.; White, D. M.; Hayhurst, C.; Ridel, W.; Harwick, W.; Hiermaier, S.

    2003-09-01

    The development and validation of an advanced material model for orthotropic materials, such as fibre reinforced composites, is described. The model is specifically designed to facilitate the numerical simulation of impact and shock wave propagation through orthotropic materials and the prediction of subsequent material damage. Initial development of the model concentrated on correctly representing shock wave propagation in composite materials under high and hypervelocity impact conditions [1]. This work has now been extended to further concentrate on the development of improved numerical models and material characterisation techniques for the prediction of damage, including residual strength, in fibre reinforced composite materials. The work is focussed on Kevlar-epoxy however materials such as CFRP are also being considered. The paper describes our most recent activities in relation to the implementation of advanced material modelling options in this area. These enable refined non-liner directional characteristics of composite materials to be modelled, in addition to the correct thermodynamic response under shock wave loading. The numerical work is backed by an extensive experimental programme covering a wide range of static and dynamic tests to facilitate derivation of model input data and to validate the predicted material response. Finally, the capability of the developing composite material model is discussed in relation to a hypervelocity impact problem.

  14. 25th anniversary article: semiconductor nanowires--synthesis, characterization, and applications.

    PubMed

    Dasgupta, Neil P; Sun, Jianwei; Liu, Chong; Brittman, Sarah; Andrews, Sean C; Lim, Jongwoo; Gao, Hanwei; Yan, Ruoxue; Yang, Peidong

    2014-04-09

    Semiconductor nanowires (NWs) have been studied extensively for over two decades for their novel electronic, photonic, thermal, electrochemical and mechanical properties. This comprehensive review article summarizes major advances in the synthesis, characterization, and application of these materials in the past decade. Developments in the understanding of the fundamental principles of "bottom-up" growth mechanisms are presented, with an emphasis on rational control of the morphology, stoichiometry, and crystal structure of the materials. This is followed by a discussion of the application of nanowires in i) electronic, ii) sensor, iii) photonic, iv) thermoelectric, v) photovoltaic, vi) photoelectrochemical, vii) battery, viii) mechanical, and ix) biological applications. Throughout the discussion, a detailed explanation of the unique properties associated with the one-dimensional nanowire geometry will be presented, and the benefits of these properties for the various applications will be highlighted. The review concludes with a brief perspective on future research directions, and remaining barriers which must be overcome for the successful commercial application of these technologies. Copyright © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Advanced Packaging Materials and Techniques for High Power TR Module: Standard Flight vs. Advanced Packaging

    NASA Technical Reports Server (NTRS)

    Hoffman, James Patrick; Del Castillo, Linda; Miller, Jennifer; Jenabi, Masud; Hunter, Donald; Birur, Gajanana

    2011-01-01

    The higher output power densities required of modern radar architectures, such as the proposed DESDynI [Deformation, Ecosystem Structure, and Dynamics of Ice] SAR [Synthetic Aperture Radar] Instrument (or DSI) require increasingly dense high power electronics. To enable these higher power densities, while maintaining or even improving hardware reliability, requires advances in integrating advanced thermal packaging technologies into radar transmit/receive (TR) modules. New materials and techniques have been studied and compared to standard technologies.

  16. Synthesis of a potential semiconductor neutron detector crystal LiGa(Se/Te)2: materials purity and compatibility effects

    NASA Astrophysics Data System (ADS)

    Stowe, Ashley C.; Morrell, J.; Battacharya, Pijush; Tupitsyn, Eugene; Burger, Arnold

    2011-09-01

    Lithium containing AIBIIICVI semiconductors are being considered as alternative materials for room temperature neutron detection. One of the primary challenges in growing a high quality crystal of such a material is the reactivity of lithium metal. The presence of nitrides, oxides, and a variety of alkali and alkaline earth metal impurities prevent pure synthesis and truncate crystal growth by introducing multiple nucleation centers during growth. Multiple lithium metal purification methods have been investigated which ultimately raised the metal purity to 99.996%. Multi-cycle vacuum distillation removed all but 40 ppm of metal impurities in lithium metal. LiGa(Se/Te)2 was then synthesized with the high purity lithium metal by a variety of conditions. Lithium metal reacts violently with many standard crucible materials, and thermodynamic studies were undertaken to insure that an appropriate crucible choice was made, with high purity iron and boron nitride crucibles being the least reactive practical materials. Once conditions were optimized for synthesis of the chalcopyrite, vertical Bridgman crystal growth resulted in red crystals. The optical, electronic, and thermodynamic properties were collected.

  17. Engineering of Semiconductor Nanocrystals for Light Emitting Applications

    PubMed Central

    Todescato, Francesco; Fortunati, Ilaria; Minotto, Alessandro; Signorini, Raffaella; Jasieniak, Jacek J.; Bozio, Renato

    2016-01-01

    Semiconductor nanocrystals are rapidly spreading into the display and lighting markets. Compared with liquid crystal and organic LED displays, nanocrystalline quantum dots (QDs) provide highly saturated colors, wide color gamut, resolution, rapid response time, optical efficiency, durability and low cost. This remarkable progress has been made possible by the rapid advances in the synthesis of colloidal QDs and by the progress in understanding the intriguing new physics exhibited by these nanoparticles. In this review, we provide support to the idea that suitably engineered core/graded-shell QDs exhibit exceptionally favorable optical properties, photoluminescence and optical gain, while keeping the synthesis facile and producing QDs well suited for light emitting applications. Solid-state laser emitters can greatly profit from QDs as efficient gain materials. Progress towards fabricating low threshold, solution processed DFB lasers that are optically pumped using one- and two-photon absorption is reviewed. In the field of display technologies, the exploitation of the exceptional photoluminescence properties of QDs for LCD backlighting has already advanced to commercial levels. The next big challenge is to develop the electroluminescence properties of QD to a similar state. We present an overview of QLED devices and of the great perspectives for next generation display and lighting technologies. PMID:28773794

  18. Ferroelectric switching of poly(vinylidene difluoride-trifluoroethylene) in metal-ferroelectric-semiconductor non-volatile memories with an amorphous oxide semiconductor

    NASA Astrophysics Data System (ADS)

    Gelinck, G. H.; van Breemen, A. J. J. M.; Cobb, B.

    2015-03-01

    Ferroelectric polarization switching of poly(vinylidene difluoride-trifluoroethylene) is investigated in different thin-film device structures, ranging from simple capacitors to dual-gate thin-film transistors (TFT). Indium gallium zinc oxide, a high mobility amorphous oxide material, is used as semiconductor. We find that the ferroelectric can be polarized in both directions in the metal-ferroelectric-semiconductor (MFS) structure and in the dual-gate TFT under certain biasing conditions, but not in the single-gate thin-film transistors. These results disprove the common belief that MFS structures serve as a good model system for ferroelectric polarization switching in thin-film transistors.

  19. Advanced Materials and Processing for Drug Delivery: The Past and the Future

    PubMed Central

    Zhang, Ying; Chan, Hon Fai; Leong, Kam W.

    2012-01-01

    Design and synthesis of efficient drug delivery systems are of vital importance for medicine and healthcare. Materials innovation and nanotechnology have synergistically fueled the advancement of drug delivery. Innovation in material chemistry allows the generation of biodegradable, biocompatible, environment-responsive, and targeted delivery systems. Nanotechnology enables control over size, shape and multi-functionality of particulate drug delivery systems. In this review, we focus on the materials innovation and processing of drug delivery systems and how these advances have shaped the past and may influence the future of drug delivery. PMID:23088863

  20. Semiconductor quantum dot-sensitized solar cells.

    PubMed

    Tian, Jianjun; Cao, Guozhong

    2013-10-31

    Semiconductor quantum dots (QDs) have been drawing great attention recently as a material for solar energy conversion due to their versatile optical and electrical properties. The QD-sensitized solar cell (QDSC) is one of the burgeoning semiconductor QD solar cells that shows promising developments for the next generation of solar cells. This article focuses on recent developments in QDSCs, including 1) the effect of quantum confinement on QDSCs, 2) the multiple exciton generation (MEG) of QDs, 3) fabrication methods of QDs, and 4) nanocrystalline photoelectrodes for solar cells. We also make suggestions for future research on QDSCs. Although the efficiency of QDSCs is still low, we think there will be major breakthroughs in developing QDSCs in the future.

  1. Emergent properties resulting from type-II band alignment in semiconductor nanoheterostructures.

    PubMed

    Lo, Shun S; Mirkovic, Tihana; Chuang, Chi-Hung; Burda, Clemens; Scholes, Gregory D

    2011-01-11

    The development of elegant synthetic methodologies for the preparation of monocomponent nanocrystalline particles has opened many possibilities for the preparation of heterostructured semiconductor nanostructures. Each of the integrated nanodomains is characterized by its individual physical properties, surface chemistry, and morphology, yet, these multicomponent hybrid particles present ideal systems for the investigation of the synergetic properties that arise from the material combination in a non-additive fashion. Of particular interest are type-II heterostructures, where the relative band alignment of their constituent semiconductor materials promotes a spatial separation of the electron and hole following photoexcitation, a highly desirable property for photovoltaic applications. This article highlights recent progress in both synthetic strategies, which allow for material and architectural modulation of novel nanoheterostructures, as well as the experimental work that provides insight into the photophysical properties of type-II heterostructures. The effects of external factors, such as electric fields, temperature, and solvent are explored in conjunction with exciton and multiexciton dynamics and charge transfer processes typical for type-II semiconductor heterostructures.

  2. Active Control of Charge Density Waves at Degenerate Semiconductor Interfaces

    NASA Astrophysics Data System (ADS)

    Vinnakota, Raj; Genov, Dentcho

    We present numerical modeling of an active electronically controlled highly confined charge-density waves, i.e. surface plasmon polaritons (SPPs) at the metallurgic interfaces of degenerate semiconductor materials. An electro-optic switching element for fully-functional plasmonic circuits based on p-n junction semiconductor Surface Plasmon Polariton (SPP) waveguide is shown. Two figures of merits are introduced and parametric study has been performed identifying the device optimal operation range. The Indium Gallium Arsenide (In0.53Ga0.47As) is identified as the best semiconductor material for the device providing high optical confinement, reduced system size and fast operation. The electro-optic SPP switching element is shown to operate at signal modulation up to -24dB and switching rates surpassing 100GHz, thus potentially providing a new pathway toward bridging the gap between electronic and photonic devices. The current work is funded by the NSF EPSCoR CIMM project under award #OIA-1541079.

  3. Electronic Materials and Processing: Proceedings of the First Electronic Materials and Processing Congress Held in Conjunction with the 1988 World Materials Congress, Chicago, Illinois, USA, 24-30 September 1988

    DTIC Science & Technology

    1988-01-01

    usually be traced to a combination of new semiconductors one on top of the other, then concepts, materials, and device principles, the process is called...example, growth techniques. New combinations of compound semiconductors such as GaAs have an materials called heterostructures can be made intrinsically...of combinations of metals, have direct energy band gaps that facilitate semiconductor, and insulators. Quantum the efficient recombination of

  4. Semiconductor P-I-N detector

    DOEpatents

    Sudharsanan, Rengarajan; Karam, Nasser H.

    2001-01-01

    A semiconductor P-I-N detector including an intrinsic wafer, a P-doped layer, an N-doped layer, and a boundary layer for reducing the diffusion of dopants into the intrinsic wafer. The boundary layer is positioned between one of the doped regions and the intrinsic wafer. The intrinsic wafer can be composed of CdZnTe or CdTe, the P-doped layer can be composed of ZnTe doped with copper, and the N-doped layer can be composed of CdS doped with indium. The boundary layers is formed of an undoped semiconductor material. The boundary layer can be deposited onto the underlying intrinsic wafer. The doped regions are then typically formed by a deposition process or by doping a section of the deposited boundary layer.

  5. Analysis of the influence of advanced materials for aerospace products R&D and manufacturing cost

    NASA Astrophysics Data System (ADS)

    Shen, A. W.; Guo, J. L.; Wang, Z. J.

    2015-12-01

    In this paper, we pointed out the deficiency of traditional cost estimation model about aerospace products Research & Development (R&D) and manufacturing based on analyzing the widely use of advanced materials in aviation products. Then we put up with the estimating formulas of cost factor, which representing the influences of advanced materials on the labor cost rate and manufacturing materials cost rate. The values ranges of the common advanced materials such as composite materials, titanium alloy are present in the labor and materials two aspects. Finally, we estimate the R&D and manufacturing cost of F/A-18, F/A- 22, B-1B and B-2 aircraft based on the common DAPCA IV model and the modified model proposed by this paper. The calculation results show that the calculation precision improved greatly by the proposed method which considering advanced materials. So we can know the proposed method is scientific and reasonable.

  6. Materials and Area of Study for Advanced Placement Program in American History.

    ERIC Educational Resources Information Center

    Santos, Peter A.

    This paper describes and evaluates benefits of advanced placement programs and identifies materials which can help high school history classroom teachers develop effective advanced placement programs. An advanced placement program is defined as a program which requires a student to do extensive research and writing throughout the school year.…

  7. Metal Thio- and Selenophosphates as Multifunctional van der Waals Layered Materials.

    PubMed

    Susner, Michael A; Chyasnavichyus, Marius; McGuire, Michael A; Ganesh, Panchapakesan; Maksymovych, Petro

    2017-10-01

    Since the discovery of Dirac physics in graphene, research in 2D materials has exploded with the aim of finding new materials and harnessing their unique and tunable electronic and optical properties. The follow-on work on 2D dielectrics and semiconductors has led to the emergence and development of hexagonal boron nitride, black phosphorus, and transition metal disulfides. However, the spectrum of good insulating materials is still very narrow. Likewise, 2D materials exhibiting correlated phenomena such as superconductivity, magnetism, and ferroelectricity have yet to be developed or discovered. These properties will significantly enrich the spectrum of functional 2D materials, particularly in the case of high phase-transition temperatures. They will also advance a fascinating fundamental frontier of size and proximity effects on correlated ground states. Here, a broad family of layered metal thio(seleno)phosphate materials that are moderate- to wide-bandgap semiconductors with incipient ionic conductivity and a host of ferroic properties are reviewed. It is argued that this material class has the potential to merge the sought-after properties of complex oxides with electronic functions of 2D and quasi-2D electronic materials, as well as to create new avenues for both applied and fundamental materials research in structural and magnetic correlations. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Assembly of mesoscale helices with near-unity enantiomeric excess and light-matter interactions for chiral semiconductors

    PubMed Central

    Feng, Wenchun; Kim, Ji-Young; Wang, Xinzhi; Calcaterra, Heather A.; Qu, Zhibei; Meshi, Louisa; Kotov, Nicholas A.

    2017-01-01

    Semiconductors with chiral geometries at the nanoscale and mesoscale provide a rich materials platform for polarization optics, photocatalysis, and biomimetics. Unlike metallic and organic optical materials, the relationship between the geometry of chiral semiconductors and their chiroptical properties remains, however, vague. Homochiral ensembles of semiconductor helices with defined geometries open the road to understanding complex relationships between geometrical parameters and chiroptical properties of semiconductor materials. We show that semiconductor helices can be prepared with an absolute yield of ca 0.1% and an enantiomeric excess (e.e.) of 98% or above from cysteine-stabilized cadmium telluride nanoparticles (CdTe NPs) dispersed in methanol. This high e.e. for a spontaneously occurring chemical process is attributed to chiral self-sorting based on the thermodynamic preference of NPs to assemble with those of the same handedness. The dispersions of homochiral self-assembled helices display broadband visible and near-infrared (Vis-NIR) polarization rotation with anisotropy (g) factors approaching 0.01. Calculated circular dichroism (CD) spectra accurately reproduced experimental CD spectra and gave experimentally validated spectral predictions for different geometrical parameters enabling de novo design of chiroptical semiconductor materials. Unlike metallic, ceramic, and polymeric helices that serve predominantly as scatterers, chiroptical properties of semiconductor helices have nearly equal contribution of light absorption and scattering, which is essential for device-oriented, field-driven light modulation. Deconstruction of a helix into a series of nanorods provides a simple model for the light-matter interaction and chiroptical activity of helices. This study creates a framework for further development of polarization-based optics toward biomedical applications, telecommunications, and hyperspectral imaging. PMID:28275728

  9. Enhancing Hole Mobility in III-V Semiconductors

    DTIC Science & Technology

    2012-05-21

    acteristics of the digital superlattice (n¼1,0, andþ 1) that was used in the metamorphic buffer. The GaSb channel peak gets buried in the n¼ 0...materials have been used for a variety of analog and high frequency applications driven by the high electron mobilities in III-V materials. On the other...hand, the hole mobility in III-V materials has always lagged compared to group-IV semiconductors such as germanium. In this paper, we explore the use

  10. Materials Advance Chemical Propulsion Technology

    NASA Technical Reports Server (NTRS)

    2012-01-01

    In the future, the Planetary Science Division of NASA's Science Mission Directorate hopes to use better-performing and lower-cost propulsion systems to send rovers, probes, and observers to places like Mars, Jupiter, and Saturn. For such purposes, a new propulsion technology called the Advanced Materials Bipropellant Rocket (AMBR) was developed under NASA's In-Space Propulsion Technology (ISPT) project, located at Glenn Research Center. As an advanced chemical propulsion system, AMBR uses nitrogen tetroxide oxidizer and hydrazine fuel to propel a spacecraft. Based on current research and development efforts, the technology shows great promise for increasing engine operation and engine lifespan, as well as lowering manufacturing costs. In developing AMBR, ISPT has several goals: to decrease the time it takes for a spacecraft to travel to its destination, reduce the cost of making the propulsion system, and lessen the weight of the propulsion system. If goals like these are met, it could result in greater capabilities for in-space science investigations. For example, if the amount (and weight) of propellant required on a spacecraft is reduced, more scientific instruments (and weight) could be added to the spacecraft. To achieve AMBR s maximum potential performance, the engine needed to be capable of operating at extremely high temperatures and pressure. To this end, ISPT required engine chambers made of iridium-coated rhenium (strong, high-temperature metallic elements) that allowed operation at temperatures close to 4,000 F. In addition, ISPT needed an advanced manufacturing technique for better coating methods to increase the strength of the engine chamber without increasing the costs of fabricating the chamber.

  11. The Beginning of Semiconductor Research in Cuba

    NASA Astrophysics Data System (ADS)

    Veltfort, Theodore

    I was invited to Cuba in 1962 to initiate some efforts in semiconductor development. I had been a physicist and senior research engineer with various electronic companies of the "Silicon Valley" of California, south of San Francisco. I had heard of the efforts made by the new revolutionary government of Cuba to advance the level of science and technology, and I was anxious to see what I could do to help.

  12. Development of improved ablative materials for ASRM. [Advanced Solid Rocket Motor

    NASA Technical Reports Server (NTRS)

    Canfield, A.; Armour, W.; Clinton, R.

    1991-01-01

    A program to improve ablative materials for the Advanced Solid Rocket Motor (ASRM) is briefly discussed. The main concerns with the baseline material are summarized along with the measures being undertaken to obtain improvements. The materials involved in the program, all of which have been manufactured and are now being evaluated, are mentioned.

  13. Organo luminescent semiconductor nanocrystal probes for biological applications and process for making and using such probes

    DOEpatents

    Weiss, Shimon [Pinole, CA; Bruchez, Jr., Marcel; Alivisatos, Paul [Oakland, CA

    2008-01-01

    A semiconductor nanocrystal compound is described capable of linking to an affinity molecule. The compound comprises (1) a semiconductor nanocrystal capable of emitting electromagnetic radiation and/or absorbing energy, and/or scattering or diffracting electromagnetic radiation--when excited by an electromagnetic radiation source or a particle beam; and (2) an affinity molecule linked to the semiconductor nanocrystal. The semiconductor nanocrystal is linked to an affinity molecule to form a semiconductor nanocrystal probe capable of bonding with a detectable substance. Exposure of the semiconductor nanocrystal to excitation energy will excite the semiconductor nanocrystal causing the emission of electromagnetic radiation. Further described are processes for respectively: making the luminescent semiconductor nanocrystal compound; making the semiconductor nanocrystal probe; and using the probe to determine the presence of a detectable substance in a material.

  14. Abs-initio, Predictive Calculations for Optoelectronic and Advanced Materials Research

    NASA Astrophysics Data System (ADS)

    Bagayoko, Diola

    2010-10-01

    Most density functional theory (DFT) calculations find band gaps that are 30-50 percent smaller than the experimental ones. Some explanations of this serious underestimation by theory include self-interaction and the derivative discontinuity of the exchange correlation energy. Several approaches have been developed in the search for a solution to this problem. Most of them entail some modification of DFT potentials. The Green function and screened Coulomb approximation (GWA) is a non-DFT formalism that has led to some improvements. Despite these efforts, the underestimation problem has mostly persisted in the literature. Using the Rayleigh theorem, we describe a basis set and variational effect inherently associated with calculations that employ a linear combination of atomic orbitals (LCAO) in a variational approach of the Rayleigh-Ritz type. This description concomitantly shows a source of large underestimation errors in calculated band gaps, i.e., an often dramatic lowering of some unoccupied energies on account of the Rayleigh theorem as opposed to a physical interaction. We present the Bagayoko, Zhao, and Williams (BZW) method [Phys. Rev. B 60, 1563 (1999); PRB 74, 245214 (2006); and J. Appl. Phys. 103, 096101 (2008)] that systematically avoids this effect and leads (a) to DFT and LDA calculated band gaps of semiconductors in agreement with experiment and (b) theoretical predictions of band gaps that are confirmed by experiment. Unlike most calculations, BZW computations solve, self-consistently, a system of two coupled equations. DFT-BZW calculated effective masses and optical properties (dielectric functions) also agree with measurements. We illustrate ten years of success of the BZW method with its results for GaN, C, Si, 3C-SIC, 4H-SiC, ZnO, AlAs, Ge, ZnSe, w-InN, c-InN, InAs, CdS, AlN and nanostructures. We conclude with potential applications of the BZW method in optoelectronic and advanced materials research.

  15. Semiconductor nanowire thermoelectric materials and devices, and processes for producing same

    DOEpatents

    Lagally, Max G [Madison, WI; Evans, Paul G [Madison, WI; Ritz, Clark S [Middleton, WI

    2011-02-15

    The present invention provides nanowires and nanoribbons that are well suited for use in thermoelectric applications. The nanowires and nanoribbons are characterized by a periodic longitudinal modulation, which may be a compositional modulation or a strain-induced modulation. The nanowires are constructed using lithographic techniques from thin semiconductor membranes, or "nanomembranes."

  16. Electronic characterization of defects in narrow gap semiconductors

    NASA Technical Reports Server (NTRS)

    Patterson, James D.

    1993-01-01

    The study of point defects in semiconductors has a long and honorable history. In particular, the detailed understanding of shallow defects in common semiconductors traces back to the classic work of Kohn and Luttinger. However, the study of defects in narrow gap semiconductors represents a much less clear story. Here, both shallow defects (caused by long range potentials) and deep defects (from short range potentials) are far from being completely understood. In this study, all results are calculational and our focus is on the chemical trend of deep levels in narrow gap semiconductors. We study substitutional (including antisite), interstitial and ideal vacancy defects. For substitutional and interstitial impurities, the efects of relaxation are included. For materials like Hg(1-x)Cd(x)Te, we study how the deep levels vary with x, of particular interest is what substitutional and interstitial atoms yield energy levels in the gap i.e. actually produce deep ionized levels. Also, since the main technique utilized is Green's functions, we include some summary of that method.

  17. Novel Nanocomposite Materials for Advanced Li-Ion Rechargeable Batteries

    PubMed Central

    Cai, Chuan; Wang, Ying

    2009-01-01

    Nanostructured materials lie at the heart of fundamental advances in efficient energy storage and/or conversion, in which surface processes and transport kinetics play determining roles. Nanocomposite materials will have a further enhancement in properties compared to their constituent phases. This Review describes some recent developments of nanocomposite materials for high-performance Li-ion rechargeable batteries, including carbon-oxide nanocomposites, polymer-oxide nanocomposites, metal-oxide nanocomposites, and silicon-based nanocomposites, etc. The major goal of this Review is to highlight some new progress in using these nanocomposite materials as electrodes to develop Li-ion rechargeable batteries with high energy density, high rate capability, and excellent cycling stability.

  18. 2D Crystal Semiconductors New Materials for GHz-THz Devices

    DTIC Science & Technology

    2015-10-02

    semiconductors are most promising for GHz-THz electronics. 3) Identify the major scattering mechanisms limiting mobility in 2D crystals towards high...Devices that do not operate on the traditional transistor mechanism exist today and operate below the SS limit. An example is a nanoelectromechanical...system (NEMS), which is the analog of a mechanical relay. Sub- stantial progress has been made in this area [14]. Due to mechanical moving parts, these

  19. Technology readiness levels for advanced nuclear fuels and materials development

    DOE PAGES

    Carmack, W. J.; Braase, L. A.; Wigeland, R. A.; ...

    2016-12-23

    The Technology Readiness Level (TRL) process is used to quantitatively assess the maturity of a given technology. It was pioneered by the National Aeronautics and Space Administration (NASA) in the 1980s to develop and deploy new systems for space applications. The process was subsequently adopted by the Department of Defense (DoD) to develop and deploy new technology and systems for defense applications as well as the Department of Energy (DOE) to evaluate the maturity of new technologies in major construction projects. Advanced nuclear fuels and materials development is a critical technology needed for improving the performance and safety of currentmore » and advanced reactors, and ultimately closing the nuclear fuel cycle. Because deployment of new nuclear fuel forms requires a lengthy and expensive research, development, and demonstration program, applying the TRL concept to the advanced fuel development program is very useful as a management, communication and tracking tool. Furthermore, this article provides examples regarding the methods by which TRLs are currently used to assess the maturity of nuclear fuels and materials under development in the DOE Fuel Cycle Research and Development (FCRD) Program within the Advanced Fuels Campaign (AFC).« less

  20. Technology readiness levels for advanced nuclear fuels and materials development

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carmack, W. J.; Braase, L. A.; Wigeland, R. A.

    The Technology Readiness Level (TRL) process is used to quantitatively assess the maturity of a given technology. It was pioneered by the National Aeronautics and Space Administration (NASA) in the 1980s to develop and deploy new systems for space applications. The process was subsequently adopted by the Department of Defense (DoD) to develop and deploy new technology and systems for defense applications as well as the Department of Energy (DOE) to evaluate the maturity of new technologies in major construction projects. Advanced nuclear fuels and materials development is a critical technology needed for improving the performance and safety of currentmore » and advanced reactors, and ultimately closing the nuclear fuel cycle. Because deployment of new nuclear fuel forms requires a lengthy and expensive research, development, and demonstration program, applying the TRL concept to the advanced fuel development program is very useful as a management, communication and tracking tool. Furthermore, this article provides examples regarding the methods by which TRLs are currently used to assess the maturity of nuclear fuels and materials under development in the DOE Fuel Cycle Research and Development (FCRD) Program within the Advanced Fuels Campaign (AFC).« less