Sample records for al2o3 films deposited

  1. Preparation of γ-Al2O3 films by laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Gao, Ming; Ito, Akihiko; Goto, Takashi

    2015-06-01

    γ- and α-Al2O3 films were prepared by chemical vapor deposition using CO2, Nd:YAG, and InGaAs lasers to investigate the effects of varying the laser wavelength and deposition conditions on the phase composition and microstructure. The CO2 laser was found to mostly produce α-Al2O3 films, whereas the Nd:YAG and InGaAs lasers produced γ-Al2O3 films when used at a high total pressure. γ-Al2O3 films had a cauliflower-like structure, while the α-Al2O3 films had a dense and columnar structure. Of the three lasers, it was the Nd:YAG laser that interacted most with intermediate gas species. This promoted γ-Al2O3 nucleation in the gas phase at high total pressure, which explains the cauliflower-like structure of nanoparticles observed.

  2. Vanadium dioxide film protected with an atomic-layer-deposited Al{sub 2}O{sub 3} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiao; Cao, Yunzhen, E-mail: yzhcao@mail.sic.ac.cn; Yang, Chao

    2016-01-15

    A VO{sub 2} film exposed to ambient air is prone to oxidation, which will degrade its thermochromic properties. In this work, the authors deposited an ultrathin Al{sub 2}O{sub 3} film with atomic layer deposition (ALD) to protect the underlying VO{sub 2} film from degradation, and then studied the morphology and crystalline structure of the films. To assess the protectiveness of the Al{sub 2}O{sub 3} capping layer, the authors performed a heating test and a damp heating test. An ultrathin 5-nm-thick ALD Al{sub 2}O{sub 3} film was sufficient to protect the underlying VO{sub 2} film heated at 350 °C. However, in amore » humid environment at prolonged durations, a thicker ALD Al{sub 2}O{sub 3} film (15 nm) was required to protect the VO{sub 2}. The authors also deposited and studied a TiO{sub 2}/Al{sub 2}O{sub 3} bilayer, which significantly improved the protectiveness of the Al{sub 2}O{sub 3} film in a humid environment.« less

  3. Formation of epitaxial Al 2O 3/NiAl(1 1 0) films: aluminium deposition

    NASA Astrophysics Data System (ADS)

    Lykhach, Y.; Moroz, V.; Yoshitake, M.

    2005-02-01

    Structure of epitaxial Al 2O 3 layers formed on NiAl(1 1 0) substrates has been studied by means of reflection high-energy electron diffraction (RHEED). The elucidated structure was compared to the model suggested for 0.5 nm-thick Al 2O 3 layers [K. Müller, H. Lindner, D.M. Zehner, G. Ownby, Verh. Dtsch. Phys. Ges. 25 (1990) 1130; R.M. Jaeger, H. Kuhlenbeck, H.J. Freund, Surf. Sci. 259 (1991) 235]. The stepwise growth of Al 2O 3 film, involving deposition and subsequent oxidation of aluminium onto epitaxial 0.5 nm-thick Al 2O 3 layers, has been investigated. Aluminium was deposited at room temperature, whereas its oxidation took place during annealing at 1070 K. The Al 2O 3 thickness was monitored by means of Auger electron spectroscopy (AES). It was found that Al 2O 3 layer follows the structure of 0.5 nm thick Al 2O 3 film, although a tilting of Al 2O 3(1 1 1) surface plane with respect to NiAl(1 1 0) surface appeared after Al deposition.

  4. Post-deposition-annealing effect on current conduction in Al2O3 films formed by atomic layer deposition with H2O oxidant

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Matsumura, Daisuke; Okubo, Satoshi; Kawarada, Hiroshi

    2017-02-01

    Atomic-layer-deposition (ALD) Al2O3 films are promising as gate insulators of non-Si semiconductor devices. Although they allow relatively small leakage currents just after deposition, ALD Al2O3 films formed at low temperatures are subject to high temperature during fabrication or operation of devices. Therefore, the effect of post-deposition annealing (PDA) on the properties of Al2O3 films is investigated in this study. ALD Al2O3 films formed using H2O oxidant at low temperatures are compacted by PDA, but their mass density and dielectric constant remain approximately unchanged or slightly decrease owing to the desorption of methyl groups contained in the films as impurities. In accordance with these results, the wet etching rate of Al2O3 films is not much reduced by PDA. The conduction current in ALD Al2O3 films formed on Si is reduced by PDA and becomes smaller than that in films formed at the same ALD temperatures as those of PDA. The conduction current for PDA temperatures above 250 °C, however, increases and, accordingly, spoils the merit of low-temperature ALD. Therefore, given that the dielectric constant of annealed films remains low, high-temperature ALD is practically more significant than applying PDA to low-temperature ALD Al2O3 films from the viewpoint of leakage current under the same thermal budget. Space-charge-controlled field emission analysis revealed that, at the aforementioned threshold temperature, PDA abruptly increases the Al2O3/SiO2 interfacial dipoles and simultaneously reduces the amount of the positive charge near the interface. The so-called negative-charge buildup by PDA might be caused by this decrease in the positive charge.

  5. Atomic layer deposition of Al2O3 on V2O5 xerogel film for enhanced lithium-ion intercalation stability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Dawei; Liu, Yanyi; Candelaria, Stephanie L.

    V2O5 xerogel films were fabricated by casting V2O5 sols onto fluorine-doped tin oxide glass substrates at room temperature. Five, ten and twenty atomic layers of Al2O3 were grown onto as-fabricated films respectively. The bare film and Al2O3-deposited films all exhibited hydrous V2O5 phase only. Electrochemical impedance spectroscopy study revealed increased surface charge-transfer resistance of V2O5 films as more Al2O3 atomic layers were deposited. Lithium-ion intercalation tests at 600 mAg_1 showed that bare V2O5 xerogel film possessed high initial discharge capacity of 219 mAhg_1 but suffered from severe capacity degradation, i.e., having only 136 mAhg_1 after 50 cycles. After deposition ofmore » ten atomic layers of Al2O3, the initial discharge capacity was 195 mAhg_1 but increased over cycles before stabilizing; after 50 cycles, the discharge capacity was as high as 225 mAhg_1. The noticeably improved cyclic stability of Al2O3-deposited V2O5 xerogel film could be attributed to the improved surface chemistry and enhanced mechanical strength. During repeated lithium-ion intercalation/de-intercalation, atomic layers of Al2O3 which were coated onto V2O5 surface could prevent V2O5 electrode dissolution into electrolyte by reducing direct contact between active electrode and electrolyte while at the same time acting as binder to maintain good mechanical contact between nanoparticles inside the film. VC 2012 American Vacuum Society.« less

  6. The Study of Electrical Properties for Multilayer La2O3/Al2O3 Dielectric Stacks and LaAlO3 Dielectric Film Deposited by ALD.

    PubMed

    Feng, Xing-Yao; Liu, Hong-Xia; Wang, Xing; Zhao, Lu; Fei, Chen-Xi; Liu, He-Lei

    2017-12-01

    The capacitance and leakage current properties of multilayer La 2 O 3 /Al 2 O 3 dielectric stacks and LaAlO 3 dielectric film are investigated in this paper. A clear promotion of capacitance properties is observed for multilayer La 2 O 3 /Al 2 O 3 stacks after post-deposition annealing (PDA) at 800 °C compared with PDA at 600 °C, which indicated the recombination of defects and dangling bonds performs better at the high-k/Si substrate interface for a higher annealing temperature. For LaAlO 3 dielectric film, compared with multilayer La 2 O 3 /Al 2 O 3 dielectric stacks, a clear promotion of trapped charges density (N ot ) and a degradation of interface trap density (D it ) can be obtained simultaneously. In addition, a significant improvement about leakage current property is observed for LaAlO 3 dielectric film compared with multilayer La 2 O 3 /Al 2 O 3 stacks at the same annealing condition. We also noticed that a better breakdown behavior for multilayer La 2 O 3 /Al 2 O 3 stack is achieved after annealing at a higher temperature for its less defects.

  7. Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks.

    PubMed

    Xiang, Yuren; Zhou, Chunlan; Jia, Endong; Wang, Wenjing

    2015-01-01

    In order to obtain a good passivation of a silicon surface, more and more stack passivation schemes have been used in high-efficiency silicon solar cell fabrication. In this work, we prepared a-Si:H(i)/Al2O3 stacks on KOH solution-polished n-type solar grade mono-silicon(100) wafers. For the Al2O3 film deposition, both thermal atomic layer deposition (T-ALD) and plasma enhanced atomic layer deposition (PE-ALD) were used. Interface trap density spectra were obtained for Si passivation with a-Si films and a-Si:H(i)/Al2O3 stacks by a non-contact corona C-V technique. After the fabrication of a-Si:H(i)/Al2O3 stacks, the minimum interface trap density was reduced from original 3 × 10(12) to 1 × 10(12) cm(-2) eV(-1), the surface total charge density increased by nearly one order of magnitude for PE-ALD samples and about 0.4 × 10(12) cm(-2) for a T-ALD sample, and the carrier lifetimes increased by a factor of three (from about 10 μs to about 30 μs). Combining these results with an X-ray photoelectron spectroscopy analysis, we discussed the influence of an oxidation precursor for ALD Al2O3 deposition on Al2O3 single layers and a-Si:H(i)/Al2O3 stack surface passivation from field-effect passivation and chemical passivation perspectives. In addition, the influence of the stack fabrication process on the a-Si film structure was also discussed in this study.

  8. Thermo-Optical Properties of Thin-Film TiO2Al2O3 Bilayers Fabricated by Atomic Layer Deposition

    PubMed Central

    Ali, Rizwan; Saleem, Muhammad Rizwan; Pääkkönen, Pertti; Honkanen, Seppo

    2015-01-01

    We investigate the optical and thermo-optical properties of amorphous TiO2Al2O3 thin-film bilayers fabricated by atomic layer deposition (ALD). Seven samples of TiO2Al2O3 bilayers are fabricated by growing Al2O3 films of different thicknesses on the surface of TiO2 films of constant thickness (100 nm). Temperature-induced changes in the optical refractive indices of these thin-film bilayers are measured by a variable angle spectroscopic ellipsometer VASE®. The optical data and the thermo-optic coefficients of the films are retrieved and calculated by applying the Cauchy model and the linear fitting regression algorithm, in order to evaluate the surface porosity model of TiO2 films. The effects of TiO2 surface defects on the films’ thermo-optic properties are reduced and modified by depositing ultra-thin ALD-Al2O3 diffusion barrier layers. Increasing the ALD-Al2O3 thickness from 20 nm to 30 nm results in a sign change of the thermo-optic coefficient of the ALD-TiO2. The thermo-optic coefficients of the 100 nm-thick ALD-TiO2 film and 30 nm-thick ALD-Al2O3 film in a bilayer are (0.048 ± 0.134) × 10−4 °C−1 and (0.680 ± 0.313) × 10−4 °C−1, respectively, at a temperature T = 62 °C.

  9. Thermal stability of atomic layer deposition Al2O3 film on HgCdTe

    NASA Astrophysics Data System (ADS)

    Zhang, P.; Sun, C. H.; Zhang, Y.; Chen, X.; He, K.; Chen, Y. Y.; Ye, Z. H.

    2015-06-01

    Thermal stability of Atomic Layer Deposition Al2O3 film on HgCdTe was investigated by Al2O3 film post-deposition annealing treatment and Metal-Insulator-Semiconductor device low-temperature baking treatment. The effectiveness of Al2O3 film was evaluated by measuring the minority carrier lifetime and capacitance versus voltage characteristics. After annealing treatment, the minority carrier lifetime of the HgCdTe sample presented a slight decrease. Furthermore, the fixed charge density and the slow charge density decreased significantly in the annealed MIS device. After baking treatment, the fixed charge density and the slow charge density of the unannealed and annealed MIS devices decreased and increased, respectively.

  10. Synthesis of Vertically-Aligned Carbon Nanotubes from Langmuir-Blodgett Films Deposited Fe Nanoparticles on Al2O3/Al/SiO2/Si Substrate.

    PubMed

    Takagiwa, Shota; Kanasugi, Osamu; Nakamura, Kentaro; Kushida, Masahito

    2016-04-01

    In order to apply vertically-aligned carbon nanotubes (VA-CNTs) to a new Pt supporting material of polymer electrolyte fuel cell (PEFC), number density and outer diameter of CNTs must be controlled independently. So, we employed Langmuir-Blodgett (LB) technique for depositing CNT growth catalysts. A Fe nanoparticle (NP) was used as a CNT growth catalyst. In this study, we tried to thicken VA-CNT carpet height and inhibit thermal aggregation of Fe NPs by using Al2O3/Al/SiO2/Si substrate. Fe NP LB films were deposited on three typed of substrates, SiO2/Si, as-deposited Al2O3/Al/SiO2/Si and annealed Al2O3/Al/SiO2/Si at 923 K in Ar atmosphere of 16 Pa. It is known that Al2O3/Al catalyzes hydrocarbon reforming, inhibits thermal aggregation of CNT growth catalysts and reduces CNT growth catalysts. It was found that annealed Al2O3/Al/SiO2/Si exerted three effects more strongly than as-deposited Al2O3/Al/SiO2/Si. VA-CNTs were synthesized from Fe NPs-C16 LB films by thermal chemical vapor deposition (CVD) method. As a result, at the distance between two nearest CNTs 28 nm or less, VA-CNT carpet height on annealed Al2O3/Al/SiO2/Si was about twice and ten times thicker than that on SiO2/Si and that on as-deposited Al2O3/Al/SiO2/Si, respectively. Moreover, distribution of CNT outer diameter on annealed Al2O3/Al/SiO2/Si was inhibited compared to that on SiO2/Si. These results suggest that since thermal aggregation of Fe NPs is inhibited, catalyst activity increases and distribution of Fe NP size is inhibited.

  11. Microstructural and mechanical properties of Al2O3/ZrO2 nanomultilayer thin films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Balakrishnan, G.; Sastikumar, D.; Kuppusami, P.; Babu, R. Venkatesh; Song, Jung Il

    2018-02-01

    Single layer aluminium oxide (Al2O3), zirconium oxide (ZrO2) and Al2O3/ZrO2 nano multilayer films were deposited on Si (100) substrates at room temperature by pulsed laser deposition. The development of Al2O3/ZrO2 nanolayered structure is an important method used to stabilize the high temperature phase (tetragonal and cubic) of ZrO2 at room temperature. In the Al2O3/ZrO2 multilayer structure, the Al2O3 layer was kept constant at 5 nm, while the ZrO2 layer thickness varied from 5 to 20 nm (5/5, 5/10, 5/15 and 5/20 nm) with a total of 40 bilayers. The X-ray diffraction studies of single layer Al2O3 indicated the γ-Al2O3 of cubic structure, while the single layer ZrO2 indicated both monoclinic and tetragonal phases. The 5/5 and 5/10 nm multilayer films showed the nanocrystalline nature of ZrO2 with tetragonal phase. The high resolution transmission electron microscopy studies indicated the formation of well-defined Al2O3 and ZrO2 layers and that they are of uniform thickness. The atomic force microscopy studies revealed the uniform and dense distribution of nanocrystallites. The nanoindentation studies indicated the hardness of 20.8 ± 1.10 and 10 ± 0.60 GPa, for single layer Al2O3 and ZrO2, respectively, and the hardness of multilayer films varied with bilayer thickness.

  12. Growth mechanism of Al2O3 film on an organic layer in plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Lee, J. Y.; Kim, D. W.; Kang, W. S.; Lee, J. O.; Hur, M.; Han, S. H.

    2018-01-01

    Differences in the physical and chemical properties of Al2O3 films on a Si wafer and a C x H y layer were investigated in the case of plasma-enhanced atomic layer deposition. The Al2O3 film on the Si had a sharper interface and lower thickness than the Al2O3 film on the C x H y . The amount of carbon-impurity near the interface was larger for Al2O3 on the C x H y than for Al2O3 on the Si. In order to understand these differences, the concentrations of Al, O, C, and Si atoms through the Al2O3 films were evaluated by using x-ray photoelectron spectroscopy (XPS) depth profiling. The emission intensities of CO molecule were analyzed for different numbers of deposition cycles, by using time-resolved optical emission spectroscopy (OES). Finally, a growth mechanism for Al2O3 on an organic layer was proposed, based on the XPS and OES results for the Si wafer and the C x H y layer.

  13. Nano-Al{sub 2}O{sub 3} multilayer film deposition on cotton fabrics by layer-by-layer deposition method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ugur, Sule S., E-mail: sule@mmf.sdu.edu.tr; Sariisik, Merih; Aktas, A. Hakan

    Highlights: {yields} Cationic charges were created on the cotton fibre surfaces with 2,3-epoxypropyltrimethylammonium chloride. {yields} Al{sub 2}O{sub 3} nanoparticles were deposited on the cotton fabrics by layer-by-layer deposition. {yields} The fabrics deposited with the Al{sub 2}O{sub 3} nanoparticles exhibit better UV-protection and significant flame retardancy properties. {yields} The mechanical properties were improved after surface film deposition. -- Abstract: Al{sub 2}O{sub 3} nanoparticles were used for fabrication of multilayer nanocomposite film deposition on cationic cotton fabrics by electrostatic self-assembly to improve the mechanical, UV-protection and flame retardancy properties of cotton fabrics. Cotton fabric surface was modified with a chemical reaction tomore » build-up cationic charge known as cationization. Attenuated Total Reflectance Fourier Transform Infrared Spectroscopy, X-ray Photoelectron Spectroscopy and Scanning Electron Microscopy were used to verify the presence of deposited nanolayers. Air permeability, whiteness value, tensile strength, UV-transmittance and Limited Oxygen Index properties of cotton fabrics were analyzed before and after the treatment of Al{sub 2}O{sub 3} nanoparticles by electrostatic self-assemblies. It was proved that the flame retardancy, tensile strength and UV-transmittance of cotton fabrics can be improved by Al{sub 2}O{sub 3} nanoparticle additive through electrostatic self-assembly process.« less

  14. Suppressing the Photocatalytic Activity of TiO2 Nanoparticles by Extremely Thin Al2O3 Films Grown by Gas-Phase Deposition at Ambient Conditions

    PubMed Central

    Guo, Jing; Valdesueiro, David; Yuan, Shaojun; Liang, Bin; van Ommen, J. Ruud

    2018-01-01

    This work investigated the suppression of photocatalytic activity of titanium dioxide (TiO2) pigment powders by extremely thin aluminum oxide (Al2O3) films deposited via an atomic-layer-deposition-type process using trimethylaluminum (TMA) and H2O as precursors. The deposition was performed on multiple grams of TiO2 powder at room temperature and atmospheric pressure in a fluidized bed reactor, resulting in the growth of uniform and conformal Al2O3 films with thickness control at sub-nanometer level. The as-deposited Al2O3 films exhibited excellent photocatalytic suppression ability. Accordingly, an Al2O3 layer with a thickness of 1 nm could efficiently suppress the photocatalytic activities of rutile, anatase, and P25 TiO2 nanoparticles without affecting their bulk optical properties. In addition, the influence of high-temperature annealing on the properties of the Al2O3 layers was investigated, revealing the possibility of achieving porous Al2O3 layers. Our approach demonstrated a fast, efficient, and simple route to coating Al2O3 films on TiO2 pigment powders at the multigram scale, and showed great potential for large-scale production development. PMID:29364840

  15. Atomic layer deposition for fabrication of HfO2/Al2O3 thin films with high laser-induced damage thresholds.

    PubMed

    Wei, Yaowei; Pan, Feng; Zhang, Qinghua; Ma, Ping

    2015-01-01

    Previous research on the laser damage resistance of thin films deposited by atomic layer deposition (ALD) is rare. In this work, the ALD process for thin film generation was investigated using different process parameters such as various precursor types and pulse duration. The laser-induced damage threshold (LIDT) was measured as a key property for thin films used as laser system components. Reasons for film damaged were also investigated. The LIDTs for thin films deposited by improved process parameters reached a higher level than previously measured. Specifically, the LIDT of the Al2O3 thin film reached 40 J/cm(2). The LIDT of the HfO2/Al2O3 anti-reflector film reached 18 J/cm(2), the highest value reported for ALD single and anti-reflect films. In addition, it was shown that the LIDT could be improved by further altering the process parameters. All results show that ALD is an effective film deposition technique for fabrication of thin film components for high-power laser systems.

  16. Electric-field control of magnetic properties for α-Fe2O3/Al2O3 films

    NASA Astrophysics Data System (ADS)

    Cheng, Bin; Qin, Hongwei; Liu, Liang; Xie, Jihao; Zhou, Guangjun; Chen, Lubin; Hu, Jifan

    2018-06-01

    α-Fe2O3/Al2O3 films can exhibit weak ferromagnetism at room temperature. The saturation magnetization of the thinner film is larger than that of the thick one deposited at the same temperature of 500 °C, which implies that the weak ferromagnetism at room temperature comes not only from the intrinsic canted magnetic structure, but also from the effects of interface between α-Fe2O3/Al2O3, such as the effect of Al diffusion into α-Fe2O3 film. Perpendicular electric field upon α-Fe2O3/Al2O3 film at room temperature could adjust the magnetic properties (saturation magnetization, magnetic remanence, coercivity and saturation magnetizing field). The positive electric field can enhance the magnetism of α-Fe2O3/Al2O3 thin film, while negative electric field can reduce it. The change induced by electric field may be connected with the migration effects of Al3+ ions. The steps of curve for saturation magnetization versus the electric field may reflect these complicated processes. The magnetization of the film deposited at a higher temperature can be changed by electric field more easily. This study may inspire more in-depth research and lead to an alternative approach to future magneto-electronic devices.

  17. Laser damage properties of TiO{sub 2}/Al{sub 2}O{sub 3} thin films grown by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei Yaowei; Liu Hao; Sheng Ouyang

    2011-08-20

    Research on thin film deposited by atomic layer deposition (ALD) for laser damage resistance is rare. In this paper, it has been used to deposit TiO{sub 2}/Al{sub 2}O{sub 3} films at 110 deg. C and 280 deg. C on fused silica and BK7 substrates. Microstructure of the thin films was investigated by x-ray diffraction. The laser-induced damage threshold (LIDT) of samples was measured by a damage test system. Damage morphology was studied under a Nomarski differential interference contrast microscope and further checked under an atomic force microscope. Multilayers deposited at different temperatures were compared. The results show that the filmsmore » deposited by ALD had better uniformity and transmission; in this paper, the uniformity is better than 99% over 100 mm {Phi} samples, and the transmission is more than 99.8% at 1064 nm. Deposition temperature affects the deposition rate and the thin film microstructure and further influences the LIDT of the thin films. As to the TiO{sub 2}/Al{sub 2}O{sub 3} films, the LIDTs were 6.73{+-}0.47 J/cm{sup 2} and 6.5{+-}0.46 J/cm{sup 2} at 110 deg. C on fused silica and BK7 substrates, respectively. The LIDTs at 110 deg. C are notably better than 280 deg. C.« less

  18. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  19. Highly conductive homoepitaxial Si-doped Ga2O3 films on (010) β-Ga2O3 by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Leedy, Kevin D.; Chabak, Kelson D.; Vasilyev, Vladimir; Look, David C.; Boeckl, John J.; Brown, Jeff L.; Tetlak, Stephen E.; Green, Andrew J.; Moser, Neil A.; Crespo, Antonio; Thomson, Darren B.; Fitch, Robert C.; McCandless, Jonathan P.; Jessen, Gregg H.

    2017-07-01

    Si-doped Ga2O3 thin films were fabricated by pulsed laser deposition on semi-insulating (010) β-Ga2O3 and (0001) Al2O3 substrates. Films deposited on β-Ga2O3 showed single crystal, homoepitaxial growth as determined by high resolution transmission electron microscopy and x-ray diffraction. Corresponding films deposited on Al2O3 were mostly single phase, polycrystalline β-Ga2O3 with a preferred (20 1 ¯ ) orientation. An average conductivity of 732 S cm-1 with a mobility of 26.5 cm2 V-1 s-1 and a carrier concentration of 1.74 × 1020 cm-3 was achieved for films deposited at 550 °C on β-Ga2O3 substrates as determined by Hall-Effect measurements. Two orders of magnitude improvement in conductivity were measured using native substrates versus Al2O3. A high activation efficiency was obtained in the as-deposited condition. The high carrier concentration Ga2O3 thin films achieved by pulsed laser deposition enable application as a low resistance ohmic contact layer in β-Ga2O3 devices.

  20. Passivation Effect of Atomic Layer Deposition of Al2O3 Film on HgCdTe Infrared Detectors

    NASA Astrophysics Data System (ADS)

    Zhang, Peng; Ye, Zhen-Hua; Sun, Chang-Hong; Chen, Yi-Yu; Zhang, Tian-Ning; Chen, Xin; Lin, Chun; Ding, Ring-Jun; He, Li

    2016-09-01

    The passivation effect of atomic layer deposition of (ALD) Al2O3 film on a HgCdTe infrared detector was investigated in this work. The passivation effect of Al2O3 film was evaluated by measuring the minority carrier lifetime, capacitance versus voltage ( C- V) characteristics of metal-insulator-semiconductor devices, and resistance versus voltage ( R- V) characteristics of variable-area photodiodes. The minority carrier lifetime, C- V characteristics, and R- V characteristics of HgCdTe devices passivated by ALD Al2O3 film was comparable to those of HgCdTe devices passivated by e-beam evaporation of ZnS/CdTe film. However, the baking stability of devices passivated by Al2O3 film is inferior to that of devices passivated by ZnS/CdTe film. In future work, by optimizing the ALD Al2O3 film growing process and annealing conditions, it may be feasible to achieve both excellent electrical properties and good baking stability.

  1. Interface Properties of Atomic-Layer-Deposited Al2O3 Thin Films on Ultraviolet/Ozone-Treated Multilayer MoS2 Crystals.

    PubMed

    Park, Seonyoung; Kim, Seong Yeoul; Choi, Yura; Kim, Myungjun; Shin, Hyunjung; Kim, Jiyoung; Choi, Woong

    2016-05-11

    We report the interface properties of atomic-layer-deposited Al2O3 thin films on ultraviolet/ozone (UV/O3)-treated multilayer MoS2 crystals. The formation of S-O bonds on MoS2 after low-power UV/O3 treatment increased the surface energy, allowing the subsequent deposition of uniform Al2O3 thin films. The capacitance-voltage measurement of Au-Al2O3-MoS2 metal oxide semiconductor capacitors indicated n-type MoS2 with an electron density of ∼10(17) cm(-3) and a minimum interface trap density of ∼10(11) cm(-2) eV(-1). These results demonstrate the possibility of forming a high-quality Al2O3-MoS2 interface by proper UV/O3 treatment, providing important implications for their integration into field-effect transistors.

  2. CuAlO2 and CuAl2O4 thin films obtained by stacking Cu and Al films using physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Castillo-Hernández, G.; Mayén-Hernández, S.; Castaño-Tostado, E.; DeMoure-Flores, F.; Campos-González, E.; Martínez-Alonso, C.; Santos-Cruz, J.

    2018-06-01

    CuAlO2 and CuAl2O4 thin films were synthesized by the deposition of the precursor metals using the physical vapor deposition technique and subsequent annealing. Annealing was carried out for 4-6 h in open and nitrogen atmospheres respectively at temperatures of 900-1000 °C with control of heating and cooling ramps. The band gap measurements ranged from 3.3 to 4.5 eV. Electrical properties were measured using the van der Pauw technique. The preferred orientations of CuAlO2 and CuAl2O4 were found to be along the (1 1 2) and (3 1 1) planes, respectively. The phase percentages were quantified using a Rietveld refinement simulation and the energy dispersive X-ray spectroscopy indicated that the composition is very close to the stoichiometry of CuAlO2 samples and with excess of aluminum and deficiency of copper for CuAl2O4 respectively. High resolution transmission electron microscopy identified the principal planes in CuAlO2 and in CuAl2O4. Higher purities were achieved in nitrogen atmosphere with the control of the cooling ramps.

  3. Alternative Dielectric Films for rf MEMS Capacitive Switches Deposited using Atomic Layer Deposited Al2O3/ZnO Alloys

    DTIC Science & Technology

    2006-07-02

    A s c c s r t h s l © K 1 b c A a e t s C t o 0 d Sensors and Actuators A 135 (2007) 262–272 Alternative dielectric films for rf MEMS capacitive...Zn concentrations in the alloy films , which was lower than expected. Atomic force microscopy images evealed an average surface roughness of 0.27 nm...that was independent of deposition temperature and film composition. The dielectric constants of he Al2O3/ZnO ALD alloys films were calculated to be

  4. Enhanced photoelectrocatalytic performance of α-Fe2O3 thin films by surface plasmon resonance of Au nanoparticles coupled with surface passivation by atom layer deposition of Al2O3.

    PubMed

    Liu, Yuting; Xu, Zhen; Yin, Min; Fan, Haowen; Cheng, Weijie; Lu, Linfeng; Song, Ye; Ma, Jing; Zhu, Xufei

    2015-12-01

    The short lifetime of photogenerated charge carriers of hematite (α-Fe2O3) thin films strongly hindered the PEC performances. Herein, α-Fe2O3 thin films with surface nanowire were synthesized by electrodeposition and post annealing method for photoelectrocatalytic (PEC) water splitting. The thickness of the α-Fe2O3 films can be precisely controlled by adjusting the duration of the electrodeposition. The Au nanoparticles (NPs) and Al2O3 shell by atom layer deposition were further introduced to modify the photoelectrodes. Different constructions were made with different deposition orders of Au and Al2O3 on Fe2O3 films. The Fe2O3-Au-Al2O3 construction shows the best PEC performance with 1.78 times enhancement by localized surface plasmon resonance (LSPR) of NPs in conjunction with surface passivation of Al2O3 shells. Numerical simulation was carried out to investigate the promotion mechanisms. The high PEC performance for Fe2O3-Au-Al2O3 construction electrode could be attributed to the Al2O3 intensified LSPR, effective surface passivation by Al2O3 coating, and the efficient charge transfer due to the Fe2O3-Au Schottky junctions.

  5. W:Al 2O 3 nanocomposite thin films with tunable optical properties prepared by atomic layer deposition

    DOE PAGES

    Babar, Shaista; Mane, Anil U.; Yanguas-Gil, Angel; ...

    2016-06-17

    Here, a systematic alteration in the optical properties of W:Al 2O 3 nanocomposite films is demonstrated by precisely varying the W cycle percentage (W%) from 0 to 100% in Al 2O 3 during atomic layer deposition. The direct and indirect band energies of the nanocomposite materials decrease from 5.2 to 4.2 eV and from 3.3 to 1.8 eV, respectively, by increasing the W% from 10 to 40. X-ray absorption spectroscopy reveals that, for W% < 50, W is present in both metallic and suboxide states, whereas, for W% ≥ 50, only metallic W is seen. This transition from dielectric tomore » metallic character at W% ~ 50 is accompanied by an increase in the electrical and thermal conductivity and the disappearance of a clear band gap in the absorption spectrum. The density of the films increases monotonically from 3.1 g/cm 3 for pure Al 2O 3 to 17.1 g/cm 3 for pure W, whereas the surface roughness is greatest for the W% = 50 films. The W:Al 2O 3 nanocomposite films are thermally stable and show little change in optical properties upon annealing in air at 500 °C. These W:Al 2O 3 nanocomposite films show promise as selective solar absorption coatings for concentrated solar power applications.« less

  6. Deposition temperature dependence of material and Si surface passivation properties of O{sub 3}-based atomic layer deposited Al{sub 2}O{sub 3}-based films and stacks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bordihn, Stefan, E-mail: s.bordihn2@q-cells.com; Mertens, Verena; Müller, Jörg W.

    2014-01-15

    The material composition and the Si surface passivation of aluminum oxide (Al{sub 2}O{sub 3}) films prepared by atomic layer deposition using Al(CH{sub 3}){sub 3} and O{sub 3} as precursors were investigated for deposition temperatures (T{sub Dep}) between 200 °C and 500 °C. The growth per cycle decreased with increasing deposition temperature due to a lower Al deposition rate. In contrast the material composition was hardly affected except for the hydrogen concentration, which decreased from [H] = 3 at. % at 200 °C to [H] < 0.5 at. % at 400 °C and 500 °C. The surface passivation performance was investigated after annealing at 300 °C–450 °C and also after firing stepsmore » in the typical temperature range of 800 °C–925 °C. A similar high level of the surface passivation performance, i.e., surface recombination velocity values <10 cm/s, was obtained after annealing and firing. Investigations of Al{sub 2}O{sub 3}/SiN{sub x} stacks complemented the work and revealed similar levels of surface passivation as single-layer Al{sub 2}O{sub 3} films, both for the chemical and field-effect passivation. The fixed charge density in the Al{sub 2}O{sub 3}/SiN{sub x} stacks, reflecting the field-effect passivation, was reduced by one order of magnitude from 3·10{sup 12} cm{sup −2} to 3·10{sup 11} cm{sup −2} when T{sub Dep} was increased from 300 °C to 500 °C. The level of the chemical passivation changed as well, but the total level of the surface passivation was hardly affected by the value of T{sub Dep}. When firing films prepared at of low T{sub Dep}, blistering of the films occurred and this strongly reduced the surface passivation. These results presented in this work demonstrate that a high level of surface passivation can be achieved for Al{sub 2}O{sub 3}-based films and stacks over a wide range of conditions when the combination of deposition temperature and annealing or firing temperature is carefully chosen.« less

  7. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    NASA Astrophysics Data System (ADS)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  8. Effect of atomic layer deposition temperature on current conduction in Al2O3 films formed using H2O oxidant

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Matsumura, Daisuke; Kawarada, Hiroshi

    2016-08-01

    To develop high-performance, high-reliability gate insulation and surface passivation technologies for wide-bandgap semiconductor devices, the effect of atomic layer deposition (ALD) temperature on current conduction in Al2O3 films is investigated based on the recently proposed space-charge-controlled field emission model. Leakage current measurement shows that Al2O3 metal-insulator-semiconductor capacitors formed on the Si substrates underperform thermally grown SiO2 capacitors at the same average field. However, using equivalent oxide field as a more practical measure, the Al2O3 capacitors are found to outperform the SiO2 capacitors in the cases where the capacitors are negatively biased and the gate material is adequately selected to reduce virtual dipoles at the gate/Al2O3 interface. The Al2O3 electron affinity increases with the increasing ALD temperature, but the gate-side virtual dipoles are not affected. Therefore, the leakage current of negatively biased Al2O3 capacitors is approximately independent of the ALD temperature because of the compensation of the opposite effects of increased electron affinity and permittivity in Al2O3. By contrast, the substrate-side sheet of charge increases with increasing ALD temperature above 210 °C and hence enhances the current of positively biased Al2O3 capacitors more significantly at high temperatures. Additionally, an anomalous oscillatory shift of the current-voltage characteristics with ALD temperature was observed in positively biased capacitors formed by low-temperature (≤210 °C) ALD. This shift is caused by dipoles at the Al2O3/underlying SiO2 interface. Although they have a minimal positive-bias leakage current, the low-temperature-grown Al2O3 films cause the so-called blisters problem when heated above 400 °C. Therefore, because of the absence of blistering, a 450 °C ALD process is presently the most promising technology for growing high-reliability Al2O3 films.

  9. Corrosion Protection of Copper Using Al2O3, TiO2, ZnO, HfO2, and ZrO2 Atomic Layer Deposition.

    PubMed

    Daubert, James S; Hill, Grant T; Gotsch, Hannah N; Gremaud, Antoine P; Ovental, Jennifer S; Williams, Philip S; Oldham, Christopher J; Parsons, Gregory N

    2017-02-01

    Atomic layer deposition (ALD) is a viable means to add corrosion protection to copper metal. Ultrathin films of Al 2 O 3 , TiO 2 , ZnO, HfO 2 , and ZrO 2 were deposited on copper metal using ALD, and their corrosion protection properties were measured using electrochemical impedance spectroscopy (EIS) and linear sweep voltammetry (LSV). Analysis of ∼50 nm thick films of each metal oxide demonstrated low electrochemical porosity and provided enhanced corrosion protection from aqueous NaCl solution. The surface pretreatment and roughness was found to affect the extent of the corrosion protection. Films of Al 2 O 3 or HfO 2 provided the highest level of initial corrosion protection, but films of HfO 2 exhibited the best coating quality after extended exposure. This is the first reported instance of using ultrathin films of HfO 2 or ZrO 2 produced with ALD for corrosion protection, and both are promising materials for corrosion protection.

  10. Low-temperature atomic layer deposition of SiO2/Al2O3 multilayer structures constructed on self-standing films of cellulose nanofibrils

    NASA Astrophysics Data System (ADS)

    Putkonen, Matti; Sippola, Perttu; Svärd, Laura; Sajavaara, Timo; Vartiainen, Jari; Buchanan, Iain; Forsström, Ulla; Simell, Pekka; Tammelin, Tekla

    2017-12-01

    In this paper, we have optimized a low-temperature atomic layer deposition (ALD) of SiO2 using AP-LTO® 330 and ozone (O3) as precursors, and demonstrated its suitability to surface-modify temperature-sensitive bio-based films of cellulose nanofibrils (CNFs). The lowest temperature for the thermal ALD process was 80°C when the silicon precursor residence time was increased by the stop-flow mode. The SiO2 film deposition rate was dependent on the temperature varying within 1.5-2.2 Å cycle-1 in the temperature range of 80-350°C, respectively. The low-temperature SiO2 process that resulted was combined with the conventional trimethyl aluminium + H2O process in order to prepare thin multilayer nanolaminates on self-standing CNF films. One to six stacks of SiO2/Al2O3 were deposited on the CNF films, with individual layer thicknesses of 3.7 nm and 2.6 nm, respectively, combined with a 5 nm protective SiO2 layer as the top layer. The performance of the multilayer hybrid nanolaminate structures was evaluated with respect to the oxygen and water vapour transmission rates. Six stacks of SiO2/Al2O with a total thickness of approximately 35 nm efficiently prevented oxygen and water molecules from interacting with the CNF film. The oxygen transmission rates analysed at 80% RH decreased from the value for plain CNF film of 130 ml m-2 d-1 to 0.15 ml m-2 d-1, whereas the water transmission rates lowered from 630 ± 50 g m-2 d-1 down to 90 ± 40 g m-2 d-1. This article is part of a discussion meeting issue `New horizons for cellulose nanotechnology'.

  11. Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources

    NASA Astrophysics Data System (ADS)

    Haiying, WEI; Hongge, GUO; Lijun, SANG; Xingcun, LI; Qiang, CHEN

    2018-04-01

    In this paper, Al2O3 thin films are deposited on a hydrogen-terminated Si substrate by using two home-built electron cyclotron resonance (ECR) and magnetic field enhanced radio frequency plasma-assisted atomic layer deposition (PA-ALD) devices with Al(CH3)3 (trimethylaluminum, TMA) and oxygen plasma used as precursor and oxidant, respectively. The thickness, chemical composition, surface morphology and group reactions are characterized by in situ spectroscopic ellipsometer, x-ray photoelectric spectroscopy, atomic force microscopy, scanning electron microscopy, a high-resolution transmission electron microscope and in situ mass spectrometry (MS), respectively. We obtain that both ECR PA-ALD and the magnetic field enhanced PA-ALD can deposit thin films with high density, high purity, and uniformity at a high deposition rate. MS analysis reveals that the Al2O3 deposition reactions are not simple reactions between TMA and oxygen plasma to produce alumina, water and carbon dioxide. In fact, acetylene, carbon monoxide and some other by-products also appear in the exhaustion gas. In addition, the presence of bias voltage has a certain effect on the deposition rate and surface morphology of films, which may be attributed to the presence of bias voltage controlling the plasma energy and density. We conclude that both plasma sources have a different deposition mechanism, which is much more complicated than expected.

  12. Characterization of ZrO2 and (ZrO2)x(Al2O3)1-X thin films on Si substrates: effect of the Al2O3 component

    NASA Astrophysics Data System (ADS)

    Vitanov, P.; Harizanova, A.; Ivanova, T.

    2014-05-01

    ZrO2 and (ZrO2)x(Al2O3)1-x films were deposited by the sol-gel technique on Si substrates. The effect of the Al2O3 additive on the film surface morphology was studied by atomic force microscopy (AFM). The mixed oxide films showed a smoother morphology and lower values of the root-mean-square (RMS) roughness compared to ZrO2. Further, FTIR spectra indicated that ZrO2 underwent crystallization. The electrical measurements of the MIS structure revealed that the presence of Al2O3 and the amorphization affects its dielectric properties. The MIS structure with (ZrO2)x(Al2O3)1-x showed a lower fixed charge (~ 6×1010 cm-2) and an interface state density in the middle of the band gap of 6×1011 eV-1 cm-2). The dielectric constant measured was 22, with the leakage current density decreasing to 2×10-8 A cm-2 at 1×106 V cm-1.

  13. Al2O3 and TiO2 atomic layer deposition on copper for water corrosion resistance.

    PubMed

    Abdulagatov, A I; Yan, Y; Cooper, J R; Zhang, Y; Gibbs, Z M; Cavanagh, A S; Yang, R G; Lee, Y C; George, S M

    2011-12-01

    Al(2)O(3) and TiO(2) atomic layer deposition (ALD) were employed to develop an ultrathin barrier film on copper to prevent water corrosion. The strategy was to utilize Al(2)O(3) ALD as a pinhole-free barrier and to protect the Al(2)O(3) ALD using TiO(2) ALD. An initial set of experiments was performed at 177 °C to establish that Al(2)O(3) ALD could nucleate on copper and produce a high-quality Al(2)O(3) film. In situ quartz crystal microbalance (QCM) measurements verified that Al(2)O(3) ALD nucleated and grew efficiently on copper-plated quartz crystals at 177 °C using trimethylaluminum (TMA) and water as the reactants. An electroplating technique also established that the Al(2)O(3) ALD films had a low defect density. A second set of experiments was performed for ALD at 120 °C to study the ability of ALD films to prevent copper corrosion. These experiments revealed that an Al(2)O(3) ALD film alone was insufficient to prevent copper corrosion because of the dissolution of the Al(2)O(3) film in water. Subsequently, TiO(2) ALD was explored on copper at 120 °C using TiCl(4) and water as the reactants. The resulting TiO(2) films also did not prevent the water corrosion of copper. Fortunately, Al(2)O(3) films with a TiO(2) capping layer were much more resilient to dissolution in water and prevented the water corrosion of copper. Optical microscopy images revealed that TiO(2) capping layers as thin as 200 Å on Al(2)O(3) adhesion layers could prevent copper corrosion in water at 90 °C for ~80 days. In contrast, the copper corroded almost immediately in water at 90 °C for Al(2)O(3) and ZnO films by themselves on copper. Ellipsometer measurements revealed that Al(2)O(3) films with a thickness of ~200 Å and ZnO films with a thickness of ~250 Å dissolved in water at 90 °C in ~10 days. In contrast, the ellipsometer measurements confirmed that the TiO(2) capping layers with thicknesses of ~200 Å on the Al(2)O(3) adhesion layers protected the copper for ~80 days in

  14. Thermochromic VO2 Films Deposited by RF Magnetron Sputtering Using V2O3 or V2O5 Targets

    NASA Astrophysics Data System (ADS)

    Shigesato, Yuzo; Enomoto, Mikiko; Odaka, Hidehumi

    2000-10-01

    Thermochromic monoclinic-tetragonal VO2 films were successfully deposited on glass substrates with high reproducibility by rf magnetron sputtering using V2O3 or V2O5 targets. In the case of reactive sputtering using a V-metal target, the VO2 films could be obtained only under the very narrow deposition conditions of the “transition region” where the deposition rate decreases drastically with increasing oxygen gas flow rate. In the case of a V2O3 target, polycrystalline VO2 films with a thickness of 400 to 500 nm were obtained by the introduction of oxygen gas [O2/(Ar+O2)=1--1.5%], whereas hydrogen gas [H2/(Ar+H2)=2.5--10%] was introduced in the case of a V2O5 target. Furthermore, the VO2 films were successfully grown heteroepitaxially on a single-crystal sapphire [α-Al2O3(001)] substrate, where the epitaxial relationship was confirmed to be VO2(010)[100]\\parallelAl2O3(001)[100], [010], [\\bar{1}\\bar{1}0] by an X-ray diffraction pole figure measurement. The resistivity ratio between semiconductor and metal phases for the heteroepitaxial VO2 films was much larger than the ratio of the polycrystalline films on glass substrates under the same deposition conditions.

  15. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    PubMed

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  16. Low-temperature atomic layer deposition of SiO2/Al2O3 multilayer structures constructed on self-standing films of cellulose nanofibrils.

    PubMed

    Putkonen, Matti; Sippola, Perttu; Svärd, Laura; Sajavaara, Timo; Vartiainen, Jari; Buchanan, Iain; Forsström, Ulla; Simell, Pekka; Tammelin, Tekla

    2018-02-13

    In this paper, we have optimized a low-temperature atomic layer deposition (ALD) of SiO 2 using AP-LTO® 330 and ozone (O 3 ) as precursors, and demonstrated its suitability to surface-modify temperature-sensitive bio-based films of cellulose nanofibrils (CNFs). The lowest temperature for the thermal ALD process was 80°C when the silicon precursor residence time was increased by the stop-flow mode. The SiO 2 film deposition rate was dependent on the temperature varying within 1.5-2.2 Å cycle -1 in the temperature range of 80-350°C, respectively. The low-temperature SiO 2 process that resulted was combined with the conventional trimethyl aluminium + H 2 O process in order to prepare thin multilayer nanolaminates on self-standing CNF films. One to six stacks of SiO 2 /Al 2 O 3 were deposited on the CNF films, with individual layer thicknesses of 3.7 nm and 2.6 nm, respectively, combined with a 5 nm protective SiO 2 layer as the top layer. The performance of the multilayer hybrid nanolaminate structures was evaluated with respect to the oxygen and water vapour transmission rates. Six stacks of SiO 2 /Al 2 O with a total thickness of approximately 35 nm efficiently prevented oxygen and water molecules from interacting with the CNF film. The oxygen transmission rates analysed at 80% RH decreased from the value for plain CNF film of 130 ml m -2  d -1 to 0.15 ml m -2  d -1 , whereas the water transmission rates lowered from 630 ± 50 g m -2  d -1 down to 90 ± 40 g m -2  d -1 This article is part of a discussion meeting issue 'New horizons for cellulose nanotechnology'. © 2017 The Author(s).

  17. Influence of Content of Al2O3 on Structure and Properties of Nanocomposite Nb-B-Al-O films

    NASA Astrophysics Data System (ADS)

    Liu, Na; Dong, Lei; Dong, Lei; Yu, Jiangang; Pan, Yupeng; Wan, Rongxin; Gu, Hanqing; Li, Dejun

    2015-11-01

    Nb-B-Al-O nanocomposite films with different power of Al2O3 were successfully deposited on the Si substrate via multi-target magnetron co-sputtering method. The influences of Al2O3's content on structure and properties of obtained nanocomposite films through controlling Al2O3's power were investigated. Increasing the power of Al2O3 can influence the bombarding energy and cause the momentum transfer of NbB2. This can lead to the decreasing content of Al2O3. Furthermore, the whole films showed monocrystalline NbB2's (100) phase, and Al2O3 shaded from amorphous to weak cubic-crystalline when decreasing content of Al2O3. This structure and content changes were proof by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). When NbB2 grains were far from each other in lower power of Al2O3, the whole films showed a typical nanocomposite microstructure with crystalline NbB2 grains embedded in a matrix of an amorphous Al2O3 phase. Continuing increasing the power of Al2O3, the less content of Al2O3 tended to cause crystalline of cubic-Al2O3 between the close distances of different crystalline NbB2 grains. The appearance of cubic-crystallization Al2O3 can help to raise the nanocomposite films' mechanical properties to some extent. The maximum hardness and elastic modulus were up to 21.60 and 332.78 GPa, which were higher than the NbB2 and amorphous Al2O3 monolithic films. Furthermore, this structure change made the chemistry bond of O atom change from the existence of O-Nb, O-B, and O-Al bonds to single O-Al bond and increased the specific value of Al and O. It also influenced the hardness in higher temperature, which made the hardness variation of different Al2O3 content reduced. These results revealed that it can enhance the films' oxidation resistance properties and keep the mechanical properties at high temperature. The study highlighted the importance of controlling the Al2O3's content to prepare

  18. Ultrahigh vacuum dc magnetron sputter-deposition of epitaxial Pd(111)/Al2O3(0001) thin films.

    PubMed

    Aleman, Angel; Li, Chao; Zaid, Hicham; Kindlund, Hanna; Fankhauser, Joshua; Prikhodko, Sergey V; Goorsky, Mark S; Kodambaka, Suneel

    2018-05-01

    Pd(111) thin films, ∼245 nm thick, are deposited on Al 2 O 3 (0001) substrates at ≈0.5 T m , where T m is the Pd melting point, by ultrahigh vacuum dc magnetron sputtering of Pd target in pure Ar discharges. Auger electron spectra and low-energy electron diffraction patterns acquired in situ from the as-deposited samples reveal that the surfaces are compositionally pure 111-oriented Pd. Double-axis x-ray diffraction (XRD) ω-2θ scans show only the set of Pd 111 peaks from the film. In triple-axis high-resolution XRD, the full width at half maximum intensity Γ ω of the Pd 111 ω-rocking curve is 630 arc sec. XRD 111 pole figure obtained from the sample revealed six peaks 60°-apart at a tilt angles corresponding to Pd 111 reflections. XRD ϕ scans show six 60°-rotated 111 peaks of Pd at the same ϕ angles for 11[Formula: see text]3 of Al 2 O 3 based on which the epitaxial crystallographic relationships between the film and the substrate are determined as [Formula: see text]ǁ[Formula: see text] with two in-plane orientations of [Formula: see text]ǁ[Formula: see text] and [Formula: see text]ǁ[Formula: see text]. Using triple axis symmetric and asymmetric reciprocal space maps, interplanar spacings of out-of-plane (111) and in-plane (11[Formula: see text]) are found to be 0.2242 ± 0.0003 and 0.1591 ± 0.0003 nm, respectively. These values are 0.18% lower than 0.2246 nm for (111) and the same, within the measurement uncertainties, as 0.1588 nm for (11[Formula: see text]) calculated from the bulk Pd lattice parameter, suggesting a small out-of-plane compressive strain and an in-plane tensile strain related to the thermal strain upon cooling the sample from the deposition temperature to room temperature. High-resolution cross-sectional transmission electron microscopy coupled with energy dispersive x-ray spectra obtained from the Pd(111)/Al 2 O 3 (0001) samples indicate that the Pd-Al 2 O 3 interfaces are essentially atomically abrupt and

  19. Defect analysis in low temperature atomic layer deposited Al{sub 2}O{sub 3} and physical vapor deposited SiO barrier films and combination of both to achieve high quality moisture barriers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maindron, Tony, E-mail: tony.maindron@cea.fr; Jullien, Tony; André, Agathe

    2016-05-15

    Al{sub 2}O{sub 3} [20 nm, atomic layer deposition (ALD)] and SiO films' [25 nm, physical vacuum deposition (PVD)] single barriers as well as hybrid barriers of the Al{sub 2}O{sub 3}/SiO or SiO/Al{sub 2}O{sub 3} have been deposited onto single 100 nm thick tris-(8-hydroxyquinoline) aluminum (AlQ{sub 3}) organic films made onto silicon wafers. The defects in the different barrier layers could be easily observed as nonfluorescent AlQ{sub 3} black spots, under ultraviolet light on the different systems stored into accelerated aging conditions (85 °C/85% RH, ∼2000 h). It has been observed that all devices containing an Al{sub 2}O{sub 3} layer present a lag time τ frommore » which defect densities of the different systems start to increase significantly. This is coherent with the supposed pinhole-free nature of fresh, ALD-deposited, Al{sub 2}O{sub 3} films. For t > τ, the number of defect grows linearly with storage time. For devices with the single Al{sub 2}O{sub 3} barrier layer, τ has been estimated to be 64 h. For t > τ, the defect occurrence rate has been calculated to be 0.268/cm{sup 2}/h. Then, a total failure of fluorescence of the AlQ{sub 3} film appears between 520 and 670 h, indicating that the Al{sub 2}O{sub 3} barrier has been totally degraded by the hot moisture. Interestingly, the device with the hybrid barrier SiO/Al{sub 2}O{sub 3} shows the same characteristics as the device with the single Al{sub 2}O{sub 3} barrier (τ = 59 h; 0.246/cm{sup 2}/h for t > τ), indicating that Al{sub 2}O{sub 3} ALD is the factor that limits the performance of the barrier system when it is directly exposed to moisture condensation. At the end of the storage period (1410 h), the defect density for the system with the hybrid SiO/Al{sub 2}O{sub 3} barrier is 120/cm{sup 2}. The best sequence has been obtained when Al{sub 2}O{sub 3} is passivated by the SiO layer (Al{sub 2}O{sub 3}/SiO). In that case, a large lag time of 795 h and a

  20. Investigations of nanodimensional Al{sup 2}O{sup 3} films deposited by ion-plasma sputtering onto porous silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Lenshin, A. S.; Goloshchapov, D. L.

    2015-07-15

    The purpose of this study is the deposition of nanodimensional Al{sup 2}O{sup 3} films on the surface of nanoporous silicon and also fundamental investigations of the structural, optical, and morphological properties of these materials. Analyzing the results obtained here, it is possible to state that ultrathin nanostructured Al{sup 2}O{sup 3} films can be obtained in the form of threads oriented in one direction and located at a distance of 300–500 nm from each other using ion-plasma sputtering on a layer of porous silicon. Such a mechanism of aluminum-oxide growth is conditioned by the crystallographic orientation of the initial single-crystalline siliconmore » wafer used to fabricate the porous layer. The results of optical spectroscopy show that the Al{sup 2}O{sup 3}/por-Si/Si(111) heterophase structure perfectly transmits electromagnetic radiation in the range of 190–900 nm. The maximum in the dispersion of the refractive index obtained for the Al{sup 2}O{sup 3} film grown on por-Si coincides with the optical-absorption edge for aluminum oxide and is located in the region of ∼5.60 eV. This fact is confirmed by the results of calculations of the optical-absorption spectrum of the Al{sup 2}O{sup 3}/por-Si/Si(lll) heterophase structure. The Al{sup 2}O{sup 3} films formed on the heterophase-structure surface in the form of nanodimensional structured threads can serve as channels of optical conduction and can be rather efficiently introduced into conventional technologies, which are of great importance in microelectronics and optoelectronics.« less

  1. Dimethylaluminum hydride for atomic layer deposition of Al2O3 passivation for amorphous InGaZnO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Corsino, Dianne C.; Bermundo, Juan Paolo S.; Fujii, Mami N.; Takahashi, Kiyoshi; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2018-06-01

    Atomic layer deposition (ALD) of Al2O3 using dimethylaluminum hydride (DMAH) was demonstrated as an effective passivation for amorphous InGaZnO thin-film transistors (TFTs). Compared with the most commonly used precursor, trimethylaluminum, TFTs fabricated with DMAH showed improved stability, resulting from the lower amount of oxygen vacancies, and hence fewer trap sites, as shown by X-ray photoelectron spectroscopy (XPS) depth profiling analysis. We found that prolonged plasma exposure during ALD can eliminate the hump phenomenon, which is only present for DMAH. The higher Al2O3 deposition rate when using DMAH is in line with the requirements of emerging techniques, such as spatial ALD, for improving fabrication throughput.

  2. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-07-01

    Thin films grown by Al2O3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al2O3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5×10-5 g/m2 day at 38 °C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H2O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ˜7×10-3 g/m2 day at 38 °C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al2O3 ALD film. An Al2O3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ˜7×10-3 to ≤5×10-5 g/m2 day at 38 °C/85% RH. The reduction in the permeability for Al2O3 ALD on the SiN PECVD films was attributed to either Al2O3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al2O3 ALD on SiN.

  3. Atomic layer deposition of high-density Pt nanodots on Al2O3 film using (MeCp)Pt(Me)3 and O2 precursors for nonvolatile memory applications

    PubMed Central

    2013-01-01

    Pt nanodots have been grown on Al2O3 film via atomic layer deposition (ALD) using (MeCp)Pt(Me)3 and O2 precursors. Influence of the substrate temperature, pulse time of (MeCp)Pt(Me)3, and deposition cycles on ALD Pt has been studied comprehensively by scanning electron microscopy, transmission electron microscopy, and X-ray photoelectron spectroscopy. Therefore, Pt nanodots with a high density of approximately 2 × 1012 cm-2 have been achieved under optimized conditions: 300°C substrate temperature, 1 s pulse time of (MeCp)Pt(Me)3, and 70 deposition cycles. Further, metal-oxide-semiconductor capacitors with Pt nanodots embedded in ALD Al2O3 dielectric have been fabricated and characterized electrically, indicating noticeable electron trapping capacity, efficient programmable and erasable characteristics, and good charge retention. PMID:23413837

  4. Enhanced self-repairing capability of sol-gel derived SrTiO3/nano Al2O3 composite films

    NASA Astrophysics Data System (ADS)

    Yao, Manwen; Peng, Yong; Xiao, Ruihua; Li, Qiuxia; Yao, Xi

    2016-08-01

    SrTiO3/nano Al2O3 inorganic nanocomposites were prepared by using a conventional sol-gel spin coating process. For comparison, SrTiO3 films doped by equivalent amount of sol-Al2O3 have also been investigated. Aluminum deposited by using vacuum evaporation was used as the top electrode. The nanocomposites exhibited a significantly enhanced dielectric strength of 506.9 MV/m, which was increased by 97.4% as compared with the SrTiO3 films doped with sol-Al2O3. The leakage current maintained of the same order of microampere until the ultimate breakdown of the nanocomposites. The excellent electrical performances are ascribed to the anodic oxidation reaction in origin, which can repair the internal and/or surface defects of the films.

  5. Band Offset Measurements in Atomic-Layer-Deposited Al2O3/Zn0.8Al0.2O Heterojunction Studied by X-ray Photoelectron Spectroscopy.

    PubMed

    Yan, Baojun; Liu, Shulin; Heng, Yuekun; Yang, Yuzhen; Yu, Yang; Wen, Kaile

    2017-12-01

    Pure aluminum oxide (Al 2 O 3 ) and zinc aluminum oxide (Zn x Al 1-x O) thin films were deposited by atomic layer deposition (ALD). The microstructure and optical band gaps (E g ) of the Zn x Al 1-x O (0.2 ≤ x ≤ 1) films were studied by X-ray diffractometer and Tauc method. The band offsets and alignment of atomic-layer-deposited Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction were investigated in detail using charge-corrected X-ray photoelectron spectroscopy. In this work, different methodologies were adopted to recover the actual position of the core levels in insulator materials which were easily affected by differential charging phenomena. Valence band offset (ΔE V ) and conduction band offset (ΔE C ) for the interface of the Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction have been constructed. An accurate value of ΔE V  = 0.82 ± 0.12 eV was obtained from various combinations of core levels of heterojunction with varied Al 2 O 3 thickness. Given the experimental E g of 6.8 eV for Al 2 O 3 and 5.29 eV for Zn 0.8 Al 0.2 O, a type-I heterojunction with a ΔE C of 0.69 ± 0.12 eV was found. The precise determination of the band alignment of Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction is of particular importance for gaining insight to the design of various electronic devices based on such heterointerface.

  6. Electrical characterization of amorphous Al2O3 dielectric films on n-type 4H-SiC

    NASA Astrophysics Data System (ADS)

    Khosa, R. Y.; Thorsteinsson, E. B.; Winters, M.; Rorsman, N.; Karhu, R.; Hassan, J.; Sveinbjörnsson, E. Ö.

    2018-02-01

    We report on the electrical properties of Al2O3 films grown on 4H-SiC by successive thermal oxidation of thin Al layers at low temperatures (200°C - 300°C). MOS capacitors made using these films contain lower density of interface traps, are more immune to electron injection and exhibit higher breakdown field (5MV/cm) than Al2O3 films grown by atomic layer deposition (ALD) or rapid thermal processing (RTP). Furthermore, the interface state density is significantly lower than in MOS capacitors with nitrided thermal silicon dioxide, grown in N2O, serving as the gate dielectric. Deposition of an additional SiO2 film on the top of the Al2O3 layer increases the breakdown voltage of the MOS capacitors while maintaining low density of interface traps. We examine the origin of negative charges frequently encountered in Al2O3 films grown on SiC and find that these charges consist of trapped electrons which can be released from the Al2O3 layer by depletion bias stress and ultraviolet light exposure. This electron trapping needs to be reduced if Al2O3 is to be used as a gate dielectric in SiC MOS technology.

  7. Effect of atomic layer deposition temperature on current conduction in Al{sub 2}O{sub 3} films formed using H{sub 2}O oxidant

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp; Matsumura, Daisuke; Kawarada, Hiroshi, E-mail: kawarada@waseda.jp

    To develop high-performance, high-reliability gate insulation and surface passivation technologies for wide-bandgap semiconductor devices, the effect of atomic layer deposition (ALD) temperature on current conduction in Al{sub 2}O{sub 3} films is investigated based on the recently proposed space-charge-controlled field emission model. Leakage current measurement shows that Al{sub 2}O{sub 3} metal-insulator-semiconductor capacitors formed on the Si substrates underperform thermally grown SiO{sub 2} capacitors at the same average field. However, using equivalent oxide field as a more practical measure, the Al{sub 2}O{sub 3} capacitors are found to outperform the SiO{sub 2} capacitors in the cases where the capacitors are negatively biased andmore » the gate material is adequately selected to reduce virtual dipoles at the gate/Al{sub 2}O{sub 3} interface. The Al{sub 2}O{sub 3} electron affinity increases with the increasing ALD temperature, but the gate-side virtual dipoles are not affected. Therefore, the leakage current of negatively biased Al{sub 2}O{sub 3} capacitors is approximately independent of the ALD temperature because of the compensation of the opposite effects of increased electron affinity and permittivity in Al{sub 2}O{sub 3}. By contrast, the substrate-side sheet of charge increases with increasing ALD temperature above 210 °C and hence enhances the current of positively biased Al{sub 2}O{sub 3} capacitors more significantly at high temperatures. Additionally, an anomalous oscillatory shift of the current-voltage characteristics with ALD temperature was observed in positively biased capacitors formed by low-temperature (≤210 °C) ALD. This shift is caused by dipoles at the Al{sub 2}O{sub 3}/underlying SiO{sub 2} interface. Although they have a minimal positive-bias leakage current, the low-temperature-grown Al{sub 2}O{sub 3} films cause the so-called blisters problem when heated above 400 °C. Therefore, because of the absence of blistering

  8. Growth of C60 thin films on Al2O3/NiAl(100) at early stages

    NASA Astrophysics Data System (ADS)

    Hsu, S.-C.; Liao, C.-H.; Hung, T.-C.; Wu, Y.-C.; Lai, Y.-L.; Hsu, Y.-J.; Luo, M.-F.

    2018-03-01

    The growth of thin films of C60 on Al2O3/NiAl(100) at the earliest stage was studied with scanning tunneling microscopy and synchrotron-based photoelectron spectroscopy under ultrahigh-vacuum conditions. C60 molecules, deposited from the vapor onto an ordered thin film of Al2O3/NiAl(100) at 300 K, nucleated into nanoscale rectangular islands, with their longer sides parallel to direction either [010] or [001] of NiAl. The particular island shape resulted because C60 diffused rapidly, and adsorbed and nucleated preferentially on the protrusion stripes of the crystalline Al2O3 surface. The monolayer C60 film exhibited linear protrusions of height 1-3 Å, due to either the structure of the underlying Al2O3 or the lattice mismatch at the boundaries of the coalescing C60 islands; such protrusions governed also the growth of the second layer. The second layer of the C60 film grew only for a C60 coverage >0.60 ML, implying a layer-by-layer growth mode, and also ripened in rectangular shapes. The thin film of C60 was thermally stable up to 400 K; above 500 K, the C60 islands dissociated and most C60 desorbed.

  9. Effect of atomic layer deposited Al2O3:ZnO alloys on thin-film silicon photovoltaic devices

    NASA Astrophysics Data System (ADS)

    Abdul Hadi, Sabina; Dushaq, Ghada; Nayfeh, Ammar

    2017-12-01

    In this work, we present the effects of the Al2O3:ZnO ratio on the optical and electrical properties of aluminum doped ZnO (AZO) layers deposited by atomic layer deposition, along with AZO application as the anti-reflective coating (ARC) layer and in heterojunction configurations. Here, we report complex refractive indices for AZO layers with different numbers of aluminum atomic cycles (ZnO:Al2O3 = 1:0, 39:1, 19:1, and 9:1) and we confirm their validity by fitting models to experimental data. Furthermore, the most conductive layer (ZnO:Al2O3 = 19:1, conductivity ˜4.6 mΩ cm) is used to fabricate AZO/n+/p-Si thin film solar cells and AZO/p-Si heterojunction devices. The impact of the AZO layer on the photovoltaic properties of these devices is studied by different characterization techniques, resulting in the extraction of recombination and energy band parameters related to the AZO layer. Our results confirm that AZO 19:1 can be used as a low cost and effective conductive ARC layer for solar cells. However, AZO/p-Si heterojunctions suffer from an insufficient depletion region width (˜100 nm) and recombination at the interface states, with an estimated potential barrier of ˜0.6-0.62 eV. The work function of AZO (ZnO:Al2O3 = 19:1) is estimated to be in the range between 4.36 and 4.57 eV. These material properties limit the use of AZO as an emitter in Si solar cells. However, the results imply that AZO based heterojunctions could have applications as low-cost photodetectors or photodiodes, operating under relatively low reverse bias.

  10. Isotope analysis of diamond-surface passivation effect of high-temperature H{sub 2}O-grown atomic layer deposition-Al{sub 2}O{sub 3} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp; Saito, Tatsuya; Matsumura, Daisuke

    2015-06-07

    The Al{sub 2}O{sub 3} film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H{sub 2}O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D{sub 2}O instead of H{sub 2}O in the ALD and found that the Al{sub 2}O{sub 3} film formed at a conventional temperature (100 °C) incorporates 50 times more CH{sub 3} groups thanmore » the high-temperature film. This CH{sub 3} is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H{sub 2}O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H{sub 2}O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D{sub 2}O-oxidant ALD but found that the mass density and dielectric constant of D{sub 2}O-grown Al{sub 2}O{sub 3} films are smaller than those of H{sub 2}O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al{sub 2}O{sub 3} films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the

  11. Characterization of plasma-enhanced atomic layer deposition of Al{sub 2}O{sub 3} using dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Jialing; Eller, Brianna S.; Nemanich, Robert J.

    2014-03-15

    In this research, Al{sub 2}O{sub 3} films were grown by remote plasma-enhanced atomic layer deposition using a nonpyrophoric precursor, dimethylaluminum isopropoxide (DMAI), and oxygen plasma. After optimization, the growth rate was determined to be ∼1.5 Å/cycle within a growth window of 25–220 °C; the higher growth rate than reported for thermal atomic layer deposition was ascribed to the higher reactivity of the plasma species compared with H{sub 2}O and the adsorption of active oxygen at the surface, which was residual from the oxygen plasma exposure. Both effects enhance DMAI chemisorption and increase the saturation density. In addition, a longer oxygen plasma timemore » was required at room temperature to complete the reaction and decrease the carbon contamination below the detection limit of x-ray photoemission spectroscopy. The properties of the subsequent Al{sub 2}O{sub 3} films were measured for different temperatures. When deposited at 25 °C and 200 °C, the Al{sub 2}O{sub 3} films demonstrated a single Al-O bonding state as measured by x-ray photoemission spectroscopy, a similar band gap of 6.8±0.2 eV as determined by energy loss spectroscopy, a similar index of refraction of 1.62±0.02 as determined by spectroscopic ellipsometry, and uniform growth with a similar surface roughness before and after growth as confirmed by atomic force microscopy. However, the room temperature deposited Al{sub 2}O{sub 3} films had a lower mass density (2.7 g/cm{sup 3} compared with 3.0 g/cm{sup 3}) and a higher atomic ratio of O to Al (2.1 compared with 1.6) as indicated by x-ray reflectivity and Rutherford backscattering spectroscopy, respectively.« less

  12. Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition.

    PubMed

    Kim, Lae Ho; Jeong, Yong Jin; An, Tae Kyu; Park, Seonuk; Jang, Jin Hyuk; Nam, Sooji; Jang, Jaeyoung; Kim, Se Hyun; Park, Chan Eon

    2016-01-14

    Encapsulation is essential for protecting the air-sensitive components of organic light-emitting diodes (OLEDs), such as the active layers and cathode electrodes. Thin film encapsulation approaches based on an oxide layer are suitable for flexible electronics, including OLEDs, because they provide mechanical flexibility, the layers are thin, and they are easy to prepare. This study examined the effects of the oxide ratio on the water permeation barrier properties of Al2O3/TiO2 nanolaminate films prepared by plasma-enhanced atomic layer deposition. We found that the Al2O3/TiO2 nanolaminate film exhibited optimal properties for a 1 : 1 atomic ratio of Al2O3/TiO2 with the lowest water vapor transmission rate of 9.16 × 10(-5) g m(-2) day(-1) at 60 °C and 90% RH. OLED devices that incorporated Al2O3/TiO2 nanolaminate films prepared with a 1 : 1 atomic ratio showed the longest shelf-life, in excess of 2000 hours under 60 °C and 90% RH conditions, without forming dark spots or displaying edge shrinkage.

  13. RHEED and EELS study of Pd/Al bimetallic thin film growth on different α-Al 2O 3 substrates

    NASA Astrophysics Data System (ADS)

    Moroz, V.; Rajs, K.; Mašek, K.

    2002-06-01

    Pd/Al bimetallic thin films were grown by molecular beam epitaxy on single-crystalline α-Al 2O 3(0 0 0 1) and (1 1 2¯ 0) surfaces. Substrate and deposit crystallographic structures and evolution of deposit lattice parameter during the growth were studied by reflection high-energy electron diffraction. The electron energy loss spectroscopy was used as an auxiliary method for chemical analysis. The bimetallic films were prepared by successive deposition of both Pd and Al metals. The structure of Pd and Al deposits in early stages of the growth and its dependence on the preparation conditions were studied. Two phases of Pd clusters covered by Al overlayer have been found. The formation of Al overlayer strongly influenced the lattice parameter of Pd clusters.

  14. Sputtering characteristics, crystal structures, and transparent conductive properties of TiOxNy films deposited on α-Al2O3(0 0 0 1) and glass substrates

    NASA Astrophysics Data System (ADS)

    Akazawa, Housei

    2012-12-01

    Adding N2 gas during reactive sputtering of a Ti target prevented the target surface from being severely poisoned by oxygen atoms and sustained a high deposition rate for titanium oxynitride films under metal-mode-like sputtering conditions. With progress in the degree of oxidization, films deposited onto a glass substrate varied from TiO1-xNx having a face-centered cubic (fcc) structure to TiO2-xNx having an anatase structure. Titanium oxynitride films deposited on an Al2O3(0 0 0 1) substrate were epitaxial with major orientations toward the (1 1 1) and (2 0 0) directions for fcc-TiO1-xNx and (1 1 2) for anatase-TiO2-xNx. Intermediately oxidized films between TiO1-xNx and TiO2-xNx were amorphous on the glass substrate but crystallized into a Magneli phase, TinO(N)2n-1, on the Al2O3(0 0 0 1) substrate. Partially substituting oxygen in TiO2 with nitrogen as well as continuously irradiating the growing film surface with a Xe plasma stream preferentially formed anatase rather than rutile. However, the occupation of anion sites with enough oxygen rather than nitrogen was the required condition for anatase crystals to form. The transparent conductive properties of epitaxial TiO2-xNx films on Al2O3(0 0 0 1) were superior to those of microcrystalline films on the glass substrate. Since resistivity and optical transmittance of TiOxNy films vary continuously with changing N2 flow rate, their transparent conductive properties can be controlled more easily than TiOx. Nb5+ ions could be doped as donors in TiO2-xNx anatase crystals.

  15. Passivation mechanism of thermal atomic layer-deposited Al2O3 films on silicon at different annealing temperatures.

    PubMed

    Zhao, Yan; Zhou, Chunlan; Zhang, Xiang; Zhang, Peng; Dou, Yanan; Wang, Wenjing; Cao, Xingzhong; Wang, Baoyi; Tang, Yehua; Zhou, Su

    2013-03-02

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density (Qf) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Qf can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Qf obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Qf. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiOx/Si interface region decreased with increased temperature. Measurement results of Qf proved that the Al vacancy of the bulk film may not be related to Qf. The defect density in the SiOx region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C.

  16. Passivation mechanism of thermal atomic layer-deposited Al2O3 films on silicon at different annealing temperatures

    PubMed Central

    2013-01-01

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density (Qf) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Qf can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Qf obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Qf. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiOx/Si interface region decreased with increased temperature. Measurement results of Qf proved that the Al vacancy of the bulk film may not be related to Qf. The defect density in the SiOx region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C. PMID:23452508

  17. Dependence of the critical temperature of laser-ablated YBa2Cu3O(7-delta) thin films on LaAlO3 substrate growth technique

    NASA Technical Reports Server (NTRS)

    Warner, Joseph D.; Bhasin, Kul B.; Miranda, Felix A.

    1991-01-01

    Samples of LaAlO3 made by flame fusion and Czochralski method were subjected to the same temperature conditions that they have to undergo during the laser ablation deposition of YBa2Cu3O(7 - delta) thin films. After oxygen annealing at 750 C, the LaAlO3 substrate made by two methods experienced surface roughening. The degree of roughening on the substrate made by Czochralski method was three times greater than that on the substrate made by flame fusion. This excessive surface roughening may be the origin of the experimentally observed lowering of the critical temperature of a film deposited by laser ablation on a LaAlO3 substrate made by Czochralski method with respect to its counterpart deposited on LaAlO3 substrates made by flame fusion.

  18. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    NASA Astrophysics Data System (ADS)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  19. Influence of deposition temperature of thermal ALD deposited Al{sub 2}O{sub 3} films on silicon surface passivation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir

    2015-06-15

    The effect of deposition temperature (T{sub dep}) and subsequent annealing time (t{sub anl}) of atomic layer deposited aluminum oxide (Al{sub 2}O3) films on silicon surface passivation (in terms of surface recombination velocity, SRV) is investigated. The pristine samples (as-deposited) show presence of positive fixed charges, Q{sub F}. The interface defect density (D{sub it}) decreases with increase in T{sub dep} which further decreases with t{sub anl} up to 100s. An effective surface passivation (SRV<8 cm/s) is realized for T{sub dep} ≥ 200 °C. The present investigation suggests that low thermal budget processing provides the same quality of passivation as realized bymore » high thermal budget process (t{sub anl} between 10 to 30 min)« less

  20. Effect of Al2O3 encapsulation on multilayer MoSe2 thin-film transistors

    NASA Astrophysics Data System (ADS)

    Lee, Hyun Ah; Yeoul Kim, Seong; Kim, Jiyoung; Choi, Woong

    2017-03-01

    We report the effect of Al2O3 encapsulation on the device performance of multilayer MoSe2 thin-film transistors based on statistical investigation of 29 devices with a SiO2 bottom-gate dielectric. On average, Al2O3 encapsulation by atomic layer deposition increased the field-effect mobility from 10.1 cm2 V-1 s-1 to 14.8 cm2 V-1 s-1, decreased the on/off-current ratio from 8.5  ×  105 to 2.3  ×  105 and negatively shifted the threshold voltage from  -1.1 V to  -8.1 V. Calculation based on the Y-function method indicated that the enhancement of intrinsic carrier mobility occurred independently of the reduction of contact resistance after Al2O3 encapsulation. Furthermore, contrary to previous reports in the literature, we observe a negligible effect of thermal annealing on contact resistance and carrier mobility during the atomic layer deposition of Al2O3. These results demonstrate that Al2O3 encapsulation is a useful method for improving the carrier mobility of multilayer MoSe2 transistors, providing important implications on the application of MoSe2 and other 2D materials into high-performance transistors.

  1. Elastic and fracture properties of free-standing amorphous ALD Al2O3 thin films measured with bulge test

    NASA Astrophysics Data System (ADS)

    Rontu, Ville; Nolvi, Anton; Hokkanen, Ari; Haeggström, Edward; Kassamakov, Ivan; Franssila, Sami

    2018-04-01

    We have investigated elastic and fracture properties of amorphous Al2O3 thin films deposited by atomic layer deposition (ALD) with bulge test technique using a free-standing thin film membrane and extended applicability of bulge test technique. Elastic modulus was determined to be 115 GPa for a 50 nm thick film and 170 GPa for a 15 nm thick film. Residual stress was 142 MPa in the 50 nm Al2O3 film while it was 116 MPa in the 15 nm Al2O3 film. Density was 3.11 g cm‑3 for the 50 nm film and 3.28 g cm‑3 for the 15 nm film. Fracture strength at 100 hPa s‑1 pressure ramp rate was 1.72 GPa for the 50 nm film while for the 15 nm film it was 4.21 GPa, almost 2.5-fold. Fracture strength was observed to be positively strain-rate dependent. Weibull moduli of these films were very high being around 50. The effective volume of a circular film in bulge test was determined from a FEM model enabling future comparison of fracture strength data between different techniques.

  2. Atomic layer deposition and properties of ZrO2/Fe2O3 thin films

    PubMed Central

    Seemen, Helina; Ritslaid, Peeter; Rähn, Mihkel; Tamm, Aile; Kukli, Kaupo; Kasikov, Aarne; Link, Joosep; Stern, Raivo; Dueñas, Salvador; Castán, Helena; García, Héctor

    2018-01-01

    Thin solid films consisting of ZrO2 and Fe2O3 were grown by atomic layer deposition (ALD) at 400 °C. Metastable phases of ZrO2 were stabilized by Fe2O3 doping. The number of alternating ZrO2 and Fe2O3 deposition cycles were varied in order to achieve films with different cation ratios. The influence of annealing on the composition and structure of the thin films was investigated. Additionally, the influence of composition and structure on electrical and magnetic properties was studied. Several samples exhibited a measurable saturation magnetization and most of the samples exhibited a charge polarization. Both phenomena were observed in the sample with a Zr/Fe atomic ratio of 2.0. PMID:29441257

  3. Heteroepitaxial growth of ɛ-(AlxGa1-x)2O3 alloy films on c-plane AlN templates by mist chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Tahara, Daisuke; Nishinaka, Hiroyuki; Morimoto, Shota; Yoshimoto, Masahiro

    2018-04-01

    In this study, ɛ-(AlxGa1-x)2O3 alloy films were grown on c-plane AlN templates by mist chemical vapor deposition. The Al content of two samples was determined by Rutherford backscattering analysis. The lattice constant of the ɛ-(AlxGa1-x)2O3 alloy films followed Vegard's law, and the Al contents of other samples were determined to be as high as x = 0.395 by Vegard's law. The direct bandgap was obtained in the range of 5.0-5.9 eV by transmittance measurements. The valence-band offset between ɛ-(Al0.395Ga0.605)2O3 and ɛ-Ga2O3 was analyzed to be 0.2 eV, and the conduction-band offset was calculated to be 0.7 eV by X-ray photoelectron spectroscopy. The ɛ-(AlxGa1-x)2O3/ɛ-Ga2O3 interface band discontinuity was type I. Our experimental results will be important for the actual application of ɛ-(AlxGa1-x)2O3/ɛ-Ga2O3 heterojunction devices.

  4. Atomic-layer-deposited Al2O3 and HfO2 on InAlAs: A comparative study of interfacial and electrical characteristics

    NASA Astrophysics Data System (ADS)

    Wu, Li-Fan; Zhang, Yu-Ming; Lv, Hong-Liang; Zhang, Yi-Men

    2016-10-01

    Al2O3 and HfO2 thin films are separately deposited on n-type InAlAs epitaxial layers by using atomic layer deposition (ALD). The interfacial properties are revealed by angle-resolved x-ray photoelectron spectroscopy (AR-XPS). It is demonstrated that the Al2O3 layer can reduce interfacial oxidation and trap charge formation. The gate leakage current densities are 1.37 × 10-6 A/cm2 and 3.22 × 10-6 A/cm2 at +1 V for the Al2O3/InAlAs and HfO2/InAlAs MOS capacitors respectively. Compared with the HfO2/InAlAs metal-oxide-semiconductor (MOS) capacitor, the Al2O3/InAlAs MOS capacitor exhibits good electrical properties in reducing gate leakage current, narrowing down the hysteresis loop, shrinking stretch-out of the C-V characteristics, and significantly reducing the oxide trapped charge (Q ot) value and the interface state density (D it). Project supported by the National Basic Research Program of China (Grant No. 2010CB327505), the Advanced Research Foundation of China (Grant No. 914xxx803-051xxx111), the National Defense Advance Research Project, China (Grant No. 513xxxxx306), the National Natural Science Foundation of China (Grant No. 51302215), the Scientific Research Program Funded by Shaanxi Provincial Education Department, China (Grant No. 14JK1656), and the Science and Technology Project of Shaanxi Province, China (Grant No. 2016KRM029).

  5. Enhanced photoluminescence of corrugated Al2O3 film assisted by colloidal CdSe quantum dots.

    PubMed

    Bai, Zhongchen; Hao, Licai; Zhang, Zhengping; Huang, Zhaoling; Qin, Shuijie

    2017-05-19

    We present the enhanced photoluminescence (PL) of a corrugated Al 2 O 3 film enabled by colloidal CdSe quantum dots. The colloidal CdSe quantum dots are fabricated directly on a corrugated Al 2 O 3 substrate using an electrochemical deposition (ECD) method in a microfluidic system. The photoluminescence is excited by using a 150 nm diameter ultraviolet laser spot of a scanning near-field optical microscope. Owing to the electron transfer from the conduction band of the CdSe quantum dots to that of Al 2 O 3 , the enhanced photoluminescence effect is observed, which results from the increase in the recombination rate of electrons and holes on the Al 2 O 3 surface and the reduction in the fluorescence of the CdSe quantum dots. A periodically-fluctuating fluorescent spectrum was exhibited because of the periodical wire-like corrugated Al 2 O 3 surface serving as an optical grating. The spectral topographic map around the fluorescence peak from the Al 2 O 3 areas covered with CdSe quantum dots was unique and attributed to the uniform deposition of CdSe QDs on the corrugated Al 2 O 3 surface. We believe that the microfluidic ECD system and the surface enhanced fluorescence method described in this paper have potential applications in forming uniform optoelectronic films of colloidal quantum dots with controllable QD spacing and in boosting the fluorescent efficiency of weak PL devices.

  6. Atomic Layer Deposition of Al2O3-Ga2O3 Alloy Coatings for Li[Ni0.5Mn0.3Co0.2]O2 Cathode to Improve Rate Performance in Li-Ion Battery.

    PubMed

    Laskar, Masihhur R; Jackson, David H K; Guan, Yingxin; Xu, Shenzhen; Fang, Shuyu; Dreibelbis, Mark; Mahanthappa, Mahesh K; Morgan, Dane; Hamers, Robert J; Kuech, Thomas F

    2016-04-27

    Metal oxide coatings can improve the electrochemical stability of cathodes and hence, their cycle-life in rechargeable batteries. However, such coatings often impose an additional electrical and ionic transport resistance to cathode surfaces leading to poor charge-discharge capacity at high C-rates. Here, a mixed oxide (Al2O3)1-x(Ga2O3)x alloy coating, prepared via atomic layer deposition (ALD), on Li[Ni0.5Mn0.3Co0.2]O2 (NMC) cathodes is developed that has increased electron conductivity and demonstrated an improved rate performance in comparison to uncoated NMC. A "co-pulsing" ALD technique was used which allows intimate and controlled ternary mixing of deposited film to obtain nanometer-thick mixed oxide coatings. Co-pulsing allows for independent control over film composition and thickness in contrast to separate sequential pulsing of the metal sources. (Al2O3)1-x(Ga2O3)x alloy coatings were demonstrated to improve the cycle life of the battery. Cycle tests show that increasing Al-content in alloy coatings increases capacity retention; whereas a mixture of compositions near (Al2O3)0.5(Ga2O3)0.5 was found to produce the optimal rate performance.

  7. Al{sub 2}O{sub 3} multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Hyunsoo; Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741; Jeon, Heeyoung

    2014-02-21

    Al{sub 2}O{sub 3} films deposited by remote plasma atomic layer deposition have been used for thin film encapsulation of organic light emitting diode. In this study, a multi-density layer structure consisting of two Al{sub 2}O{sub 3} layers with different densities are deposited with different deposition conditions of O{sub 2} plasma reactant time. This structure improves moisture permeation barrier characteristics, as confirmed by a water vapor transmission rate (WVTR) test. The lowest WVTR of the multi-density layer structure was 4.7 × 10{sup −5} gm{sup −2} day{sup −1}, which is one order of magnitude less than WVTR for the reference single-density Al{submore » 2}O{sub 3} layer. This improvement is attributed to the location mismatch of paths for atmospheric gases, such as O{sub 2} and H{sub 2}O, in the film due to different densities in the layers. This mechanism is analyzed by high resolution transmission electron microscopy, elastic recoil detection, and angle resolved X-ray photoelectron spectroscopy. These results confirmed that the multi-density layer structure exhibits very good characteristics as an encapsulation layer via location mismatch of paths for H{sub 2}O and O{sub 2} between the two layers.« less

  8. Enhanced carrier mobility of multilayer MoS2 thin-film transistors by Al2O3 encapsulation

    NASA Astrophysics Data System (ADS)

    Kim, Seong Yeoul; Park, Seonyoung; Choi, Woong

    2016-10-01

    We report the effect of Al2O3 encapsulation on the carrier mobility and contact resistance of multilayer MoS2 thin-film transistors by statistically investigating 70 devices with SiO2 bottom-gate dielectric. After Al2O3 encapsulation by atomic layer deposition, calculation based on Y-function method indicates that the enhancement of carrier mobility from 24.3 cm2 V-1 s-1 to 41.2 cm2 V-1 s-1 occurs independently from the reduction of contact resistance from 276 kΩ.μm to 118 kΩ.μm. Furthermore, contrary to the previous literature, we observe a negligible effect of thermal annealing on contact resistance and carrier mobility during the atomic layer deposition of Al2O3. These results demonstrate that Al2O3 encapsulation is a useful method of improving the carrier mobility of multilayer MoS2 transistors, providing important implications on the application of MoS2 and other two-dimensional materials into high-performance transistors.

  9. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    PubMed

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  10. Tribological properties of Ag/Ti films on Al2O3 ceramic substrates

    NASA Technical Reports Server (NTRS)

    Dellacorte, Christopher; Pepper, Stephen V.; Honecy, Frank S.

    1991-01-01

    Ag solid lubricant films, with a thin Ti interlayer for enhanced adhesion, were sputter deposited on Al2O3 substrate disks to reduce friction and wear. The dual Ag/Ti films were tested at room temperature in a pin-on-disk tribometer sliding against bare, uncoated Al2O3 pins under a 4.9 N load at a sliding velocity of 1 m/s. The Ag/Ti films reduced the friction coefficient by 50 percent to about 0.41 compared to unlubricated baseline specimens. Pin wear was reduced by a factor of 140 and disk wear was reduced by a factor of 2.5 compared to the baseline. These films retain their good tribological properties including adhesion after heat treatments at 850 C and thus may be able to lubricate over a wide temperature range. This lubrication technique is applicable to space lubrication, advanced heat engines, and advanced transportation systems.

  11. Structural and interfacial defects in c-axis oriented LiNbO3 thin films grown by pulsed laser deposition on Si using Al : ZnO conducting layer

    NASA Astrophysics Data System (ADS)

    Shandilya, Swati; Tomar, Monika; Sreenivas, K.; Gupta, Vinay

    2009-05-01

    Highly c-axis oriented LiNbO3 films are deposited using pulsed laser deposition on a silicon substrate using a transparent conducting Al doped ZnO layer. X-ray diffraction and Raman spectroscopic analysis show the fabrication of single phase and oriented LiNbO3 films under the optimized deposition condition. An extra peak at 905 cm-1 was observed in the Raman spectra of LiNbO3 film deposited at higher substrate temperature and higher oxygen pressure, and attributed to the presence of niobium antisite defects in the lattice. Dielectric constant and ac conductivity of oriented LiNbO3 films deposited under the static and rotating substrate modes have been studied. Films deposited under the rotating substrate mode exhibit dielectric properties close to the LiNbO3 single crystal. The cause of deviation in the dielectric properties of the film deposited under the static substrate mode, in comparison with the bulk, are discussed in the light of the possible formation of an interdiffusion layer at the interface of the LiNbO3 film and the Al : ZnO layer.

  12. Strain Effects in Epitaxial VO2 Thin Films on Columnar Buffer-Layer TiO2/Al2O3 Virtual Substrates.

    PubMed

    Breckenfeld, Eric; Kim, Heungsoo; Burgess, Katherine; Charipar, Nicholas; Cheng, Shu-Fan; Stroud, Rhonda; Piqué, Alberto

    2017-01-18

    Epitaxial VO 2 /TiO 2 thin film heterostructures were grown on (100) (m-cut) Al 2 O 3 substrates via pulsed laser deposition. We have demonstrated the ability to reduce the semiconductor-metal transition (SMT) temperature of VO 2 to ∼44 °C while retaining a 4 order of magnitude SMT using the TiO 2 buffer layer. A combination of electrical transport and X-ray diffraction reciprocal space mapping studies help examine the specific strain states of VO 2 /TiO 2 /Al 2 O 3 heterostructures as a function of TiO 2 film growth temperatures. Atomic force microscopy and transmission electron microscopy analyses show that the columnar microstructure present in TiO 2 buffer films is responsible for the partially strained VO 2 film behavior and subsequently favorable transport characteristics with a lower SMT temperature. Such findings are of crucial importance for both the technological implementation of the VO 2 system, where reduction of its SMT temperature is widely sought, as well as the broader complex oxide community, where greater understanding of the evolution of microstructure, strain, and functional properties is a high priority.

  13. Temperature dependence of the dielectric response of anodized Al-Al2O3-metal capacitors

    NASA Astrophysics Data System (ADS)

    Hickmott, T. W.

    2003-03-01

    The temperature dependence of capacitance, CM, and conductance, GM, of Al-Al2O3-metal capacitors with Cu, Ag, and Au electrodes has been measured between 100 and 340 K at seven frequencies between 10 kHz and 1 MHz. Al2O3 films between 15 and 64 nm thick were formed by anodizing evaporated Al films in borate-glycol or borate-H2O electrolyte. The interface capacitance at the Al2O3-metal interface, CI, which is in series with the capacitance CD due to the Al2O3 dielectric, is determined from plots of 1/CM versus insulator thickness. CI is not fixed for a given metal-insulator interface but depends on the vacuum system used to deposit the metal electrode. CI is nearly temperature independent. When CI is taken into account the dielectric constant of Al2O3 determined from capacitance measurements is ˜8.3 at 295 K. The dielectric constant does not depend on anodizing electrolyte, insulator thickness, metal electrode, deposition conditions for the metal electrode or measurement frequency. By contrast, GM of Al-Al2O3-metal capacitors depends on both the deposition conditions of the metal and on the metal. For Al-Al2O3-Cu capacitors, GM is larger for capacitors with large values of 1/CI that result when Cu is evaporated in an oil-pumped vacuum system. For Al-Al2O3-Ag capacitors, GM does not depend on the Ag deposition conditions.

  14. Fabrication and electrical properties of a (Pb,La)(Zr,Ti)O3 capacitor with pulsed laser deposited Sn-doped In2O3 bottom electrode on Al2O3(0001)

    NASA Astrophysics Data System (ADS)

    Takada, Yoko; Tamano, Rika; Okamoto, Naoki; Saito, Takeyasu; Yoshimura, Takeshi; Fujimura, Norifumi; Higuchi, Koji; Kitajima, Akira

    2017-07-01

    A Sn-doped In2O3 (ITO) electrode was deposited on Al2O3(0001) using pulsed laser deposition at different oxygen pressures to create the bottom electrode of a (Pb,La)(Zr,Ti)O3 (PLZT) capacitor. The crystallographic orientation of the ITO films was controlled via the oxygen pressure. At 600 °C the (111) peak became dominant when the O2 pressure was increased, and when the pressure reached 2.0 Pa the ITO films became preferentially (111) oriented. The remnant polarization was 58.8-90.7 and 46.0-47.5 µC/cm2 for the Pt/PLZT/ITO and ITO/PLZT/ITO capacitors, respectively; the ferroelectric properties of these capacitors were also determined.

  15. Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al{sub 2}O{sub 3} films deposited by remote plasma atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Hyunsoo; Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741; Choi, Hagyoung

    2013-11-07

    In the present study, we investigated the gas and moisture permeation barrier properties of Al{sub 2}O{sub 3} films deposited on polyethersulfone films (PES) by capacitively coupled plasma (CCP) type Remote Plasma Atomic Layer Deposition (RPALD) at Radio Frequency (RF) plasma powers ranging from 100 W to 400 W in 100 W increments using Trimethylaluminum [TMA, Al(CH{sub 3}){sub 3}] as the Al source and O{sub 2} plasma as the reactant. To study the gas and moisture permeation barrier properties of 100-nm-thick Al{sub 2}O{sub 3} at various plasma powers, the Water Vapor Transmission Rate (WVTR) was measured using an electrical Ca degradationmore » test. WVTR decreased as plasma power increased with WVTR values for 400 W and 100 W of 2.6 × 10{sup −4} gm{sup −2}day{sup −1} and 1.2 × 10{sup −3} gm{sup −2}day{sup −1}, respectively. The trends for life time, Al-O and O-H bond, density, and stoichiometry were similar to that of WVTR with improvement associated with increasing plasma power. Further, among plasma power ranging from 100 W to 400 W, the highest power of 400 W resulted in the best moisture permeation barrier properties. This result was attributed to differences in volume and amount of ion and radical fluxes, to join the ALD process, generated by O{sub 2} plasma as the plasma power changed during ALD process, which was determined using a plasma diagnosis technique called the Floating Harmonic Method (FHM). Plasma diagnosis by FHM revealed an increase in ion flux with increasing plasma power. With respect to the ALD process, our results indicated that higher plasma power generated increased ion and radical flux compared with lower plasma power. Thus, a higher plasma power provides the best gas and moisture permeation barrier properties.« less

  16. β-Ga2O3 versus ε-Ga2O3: Control of the crystal phase composition of gallium oxide thin film prepared by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Pei, Yanli; Wang, Gang

    2017-10-01

    Gallium oxide thin films of β and ε phase were grown on c-plane sapphire using metal-organic chemical vapor deposition and the phase compositions were analyzed using X-ray diffraction. The epitaxial phase diagram was constructed as a function of the growth temperature and VI/III ratio. A low growth temperature and low VI/III ratio were beneficial for the formation of hexagonal-type ε-Ga2O3. Further structure analysis revealed that the epitaxial relationship between ε-Ga2O3 and c-plane sapphire is ε-Ga2O3 (0001) || Al2O3 (0001) and ε-Ga2O3 || Al2O3 . The structural evolution of the mixed-phase sample during film thickening was investigated. By reducing the growth rate, the film evolved from a mixed phase to the energetically favored ε phase. Based on these results, a Ga2O3 thin film with a phase-pure ε-Ga2O3 upper layer was successfully obtained.

  17. Crystalline gamma-Al2O3 physical vapour deposition-coating for steel thixoforging tools.

    PubMed

    Bobzin, K; Hirt, G; Bagcivan, N; Khizhnyakova, L; Ewering, M

    2011-10-01

    The process of thixoforming, which has been part of many researches during the last decades, combines the advantages of forging and casting for the shaping of metallic components. But due to the high temperatures of semi-solid steel alloys high demands on the tools are requested. To resists the thermal and mechanical loads (wear, friction, thermal and thermomechanical fatigue) protecting thin films are necessary. In this regard crystalline gamma-Al2O3 deposited via Physical Vapour Deposition (PVD) is a promising candidate: It exhibits high thermal stability, high oxidation resistance and high hot hardness. In the present work the application of a (Ti, Al)N/gamma-Al2O3 coating deposited by means of Magnetron Sputter Ion Plating in an industrial coating unit is presented. The coating was analysed by means of Rockwell test, nanoindentation, and Scanning Electron Microscopy (SEM). The coated tool was tested in thixoforging experiments with steel grade X210CrW12 (AlSI D6). The surface of the coated dies was examined with Scanning Electron Microscope (SEM) after 22, 42, 90 and 170 forging cycles.

  18. Thermoelectric Properties and Microstructure of Ca3 Co 4 O 9 thin films on SrTiO3 and Al2 O 3 Substrates

    NASA Astrophysics Data System (ADS)

    Paulauskas, T.; Qiao, Q.; Gulec, A.; Klie, R. F.; Ozdemir, M.; Boyraz, C.; Mazumdar, D.; Gupta, A.

    2011-03-01

    Ca 3 Co 4 O9 (CCO), a misfit layered structure exhibiting large Seebeck coefficient at temperatures up to 1000K has attracted increasing attention as a novel high-temperature thermoelectric material. In this work, we investigate CCO thin films grown on SrTi O3 (001) and Al 2 O3 (0001) using pulsed laser deposition. Quality of the thin films was examined using high-resolution transmission electron microscopy and thermoelectric transport measurements. HRTEM images show incommensurate stacks of Cd I2 -type Co O2 layer alternating with rock-salt-type Ca 2 Co O3 layer along the c-axis. Perovskite buffer layer about 10nm thick was found present between CCO and SrTi O3 accompanied by higher density of stacking faults. The CCO grown on Al 2 O3 exhibited numerous misoriented grains and presence of Ca x Co O2 phase. Seebeck coefficient measurements yield an improvement for both samples compared to the bulk value. We suggest that thermoelectric properties of CCO increase due to additional phonon scattering at the stacking faults as well as at the film surfaces/interfaces. This research was supported by the US Army Research Office (W911NF-10-1-0147) and the Sivananthan Undergraduate Research Fellowship.

  19. Enhanced Performance in Al-Doped ZnO Based Transparent Flexible Transparent Thin-Film Transistors Due to Oxygen Vacancy in ZnO Film with Zn-Al-O Interfaces Fabricated by Atomic Layer Deposition.

    PubMed

    Li, Yang; Yao, Rui; Wang, Huanhuan; Wu, Xiaoming; Wu, Jinzhu; Wu, Xiaohong; Qin, Wei

    2017-04-05

    Highly conductive and optical transparent Al-doped ZnO (AZO) thin film composed of ZnO with a Zn-Al-O interface was fabricated by thermal atomic layer deposition (ALD) method. The as-prepared AZO thin film exhibits excellent electrical and optical properties with high stability and compatibility with temperature-sensitive flexible photoelectronic devices; film resistivity is as low as 5.7 × 10 -4 Ω·cm, the carrier concentration is high up to 2.2 × 10 21 cm -3 . optical transparency is greater than 80% in a visible range, and the growth temperature is below 150 °C on the PEN substrate. Compared with the conventional AZO film containing by a ZnO-Al 2 O 3 interface, we propose that the underlying mechanism of the enhanced electrical conductivity for the current AZO thin film is attributed to the oxygen vacancies deficiency derived from the free competitive growth mode of Zn-O and Al-O bonds in the Zn-Al-O interface. The flexible transparent transistor based on this AZO electrode exhibits a favorable threshold voltage and I on /I off ratio, showing promising for use in high-resolution, fully transparent, and flexible display applications.

  20. Negative charge trapping effects in Al{sub 2}O{sub 3} films grown by atomic layer deposition onto thermally oxidized 4H-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schilirò, Emanuela, E-mail: emanuela.schiliro@imm.cnr.it; Dipartimento di Scienze Chimiche, Università degli Studi di Catania, and INSTM udr Catania, viale Andrea Doria 6, 95125, Catania; Lo Nigro, Raffaella

    This letter reports on the negative charge trapping in Al{sub 2}O{sub 3} thin films grown by atomic layer deposition onto oxidized silicon carbide (4H-SiC). The films exhibited a permittivity of 8.4, a breakdown field of 9.2 MV/cm and small hysteresis under moderate bias cycles. However, severe electron trapping inside the Al{sub 2}O{sub 3} film (1 × 10{sup 12} cm{sup −2}) occurs upon high positive bias stress (>10 V). Capacitance-voltage measurements at different temperatures and stress conditions have been used to determine an activation energy of 0.1 eV. The results provide indications on the possible nature of the trapping defects and,more » hence, on the strategies to improve this technology for 4H-SiC devices.« less

  1. Engineering epitaxial γ-Al2O3 gate dielectric films on 4H-SiC

    NASA Astrophysics Data System (ADS)

    Tanner, Carey M.; Toney, Michael F.; Lu, Jun; Blom, Hans-Olof; Sawkar-Mathur, Monica; Tafesse, Melat A.; Chang, Jane P.

    2007-11-01

    The formation of epitaxial γ-Al2O3 thin films on 4H-SiC was found to be strongly dependent on the film thickness. An abrupt interface was observed in films up to 200 Å thick with an epitaxial relationship of γ-Al2O3(111)‖4H-SiC(0001) and γ-Al2O3(44¯0)‖4H-SiC(112¯0). The in-plane alignment between the film and the substrate is nearly complete for γ-Al2O3 films up to 115 Å thick, but quickly diminishes in thicker films. The films are found to be slightly strained laterally in tension; the strain increases with thickness and then decreases in films thicker than 200 Å, indicating strain relaxation which is accompanied by increased misorientation. By controlling the structure of ultrathin Al2O3 films, metal-oxide-semiconductor capacitors with Al2O3 gate dielectrics on 4H-SiC were found to have a very low leakage current density, suggesting suitability of Al2O3 for SiC device integration.

  2. Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization

    PubMed Central

    2017-01-01

    A novel method to form ultrathin, uniform Al2O3 layers on graphene using reversible hydrogen plasma functionalization followed by atomic layer deposition (ALD) is presented. ALD on pristine graphene is known to be a challenge due to the absence of dangling bonds, leading to nonuniform film coverage. We show that hydrogen plasma functionalization of graphene leads to uniform ALD of closed Al2O3 films down to 8 nm in thickness. Hall measurements and Raman spectroscopy reveal that the hydrogen plasma functionalization is reversible upon Al2O3 ALD and subsequent annealing at 400 °C and in this way does not deteriorate the graphene’s charge carrier mobility. This is in contrast with oxygen plasma functionalization, which can lead to a uniform 5 nm thick closed film, but which is not reversible and leads to a reduction of the charge carrier mobility. Density functional theory (DFT) calculations attribute the uniform growth on both H2 and O2 plasma functionalized graphene to the enhanced adsorption of trimethylaluminum (TMA) on these surfaces. A DFT analysis of the possible reaction pathways for TMA precursor adsorption on hydrogenated graphene predicts a binding mechanism that cleans off the hydrogen functionalities from the surface, which explains the observed reversibility of the hydrogen plasma functionalization upon Al2O3 ALD. PMID:28405059

  3. Temperature-induced structural evolution of Sm nanoparticles on Al2O3 thin film: An in-situ investigation using SRPES, XPS and STM

    NASA Astrophysics Data System (ADS)

    Xu, Qian; Hu, Shanwei; Wang, Weijia; Wang, Yan; Ju, Huanxin; Zhu, Junfa

    2018-02-01

    The structural evolution of Sm nanoclusters on ultrathin film of Al2O3 epitaxially grown on Ni3Al(111) substrate at elevated temperatures was investigated in-situ using synchrotron radiation photoemission spectroscopy (SRPES), X-ray photoelectron spectroscopy (XPS) and scanning tunneling microscopy (STM). The vapor-deposited metallic Sm onto the Al2O3 thin film at 300 K is partially oxidized, leading to the appearance of both Sm2+ and Sm3+ states at low coverages, due to the charge transfer from Sm to oxide film. The complete oxidation of the Sm, i.e., all Sm2+ converted to Sm3+, occurs when the sample is annealed to 500 K. Further annealing results in the diffusion of Sm into the Al2O3 lattice. At ∼900 K, the formation of a SmAlO3 complex is observed. However, this complex starts to decompose and desorb from the surface at temperature higher than 1200 K. Interestingly, it is found that Sm can promote the oxidation of Ni3Al substrate and thicken the alumina film when Sm is deposited at room temperature onto the Al2O3/Ni3Al(111) substrate followed by annealing in oxygen environment at ∼800 K.

  4. Fabrication of Al2O3 coated 2D TiO2 nanoparticle photonic crystal layers by reverse nano-imprint lithography and plasma enhanced atomic layer deposition.

    PubMed

    Kim, Ki-Kang; Ko, Ki-Young; Ahn, Jinho

    2013-10-01

    This paper reports simple process to enhance the extraction efficiency of photoluminescence (PL) from Eu-doped yttrium oxide (Y2O3:Eu3+) thin-film phosphor (TFP). Two-dimensional (2D) photonic crystal layer (PCL) was fabricated on Y2O3:Eu3+ phosphor films by reverse nano-imprint method using TiO2 nanoparticle solution as a nano-imprint resin and a 2D hole-patterned PDMS stamp. Atomic scale controlled Al2O3 deposition was performed onto this 2D nanoparticle PCL for the optimization of the photonic crystal pattern size and stabilization of TiO2 nanoparticle column structure. As a result, the light extraction efficiency of the Y2O3:Eu3+ phosphor film was improved by 2.0 times compared to the conventional Y2O3:Eu3+ phosphor film.

  5. Structural and electrical properties of atomic layer deposited Al-doped ZrO2 films and of the interface with TaN electrode

    NASA Astrophysics Data System (ADS)

    Spiga, S.; Rao, R.; Lamagna, L.; Wiemer, C.; Congedo, G.; Lamperti, A.; Molle, A.; Fanciulli, M.; Palma, F.; Irrera, F.

    2012-07-01

    Al-doped ZrO2 (Al-ZrO2) films deposited by atomic layer deposition onto silicon substrates and the interface with the TaN metal gate are investigated. In particular, structural properties of as-grown and annealed films in the 6-26 nm thickness range, as well as leakage and capacitive behavior of metal-oxide-semiconductor stacks are characterized. As-deposited Al-ZrO2 films in the mentioned thickness range are amorphous and crystallize in the ZrO2 cubic phase after thermal treatment at 900 °C. Correspondingly, the dielectric constant (k) value increases from 20 ± 1 to 27 ± 2. The Al-ZrO2 layers exhibit uniform composition through the film thickness and are thermally stable on Si, whereas chemical reactions take place at the TaN/Al-ZrO2 interface. A transient capacitance technique is adopted for monitoring charge trapping and flat band instability at short and long time scales. The role of traps nearby the TaN/Al-ZrO2 interface is discussed and compared with other metal/high-k oxide films. Further, analytical modeling of the flat band voltage shift with a power-law dependence on time allows extracting features of bulk traps close to the silicon/oxide interface, which exhibit energy levels in the 1.4-1.9 eV range above the valence band of the Al-ZrO2.

  6. Al2O3 Passivation Effect in HfO2·Al2O3 Laminate Structures Grown on InP Substrates.

    PubMed

    Kang, Hang-Kyu; Kang, Yu-Seon; Kim, Dae-Kyoung; Baik, Min; Song, Jin-Dong; An, Youngseo; Kim, Hyoungsub; Cho, Mann-Ho

    2017-05-24

    The passivation effect of an Al 2 O 3 layer on the electrical properties was investigated in HfO 2 -Al 2 O 3 laminate structures grown on indium phosphide (InP) substrate by atomic-layer deposition. The chemical state obtained using high-resolution X-ray photoelectron spectroscopy showed that interfacial reactions were dependent on the presence of the Al 2 O 3 passivation layer and its sequence in the HfO 2 -Al 2 O 3 laminate structures. Because of the interfacial reaction, the Al 2 O 3 /HfO 2 /Al 2 O 3 structure showed the best electrical characteristics. The top Al 2 O 3 layer suppressed the interdiffusion of oxidizing species into the HfO 2 films, whereas the bottom Al 2 O 3 layer blocked the outdiffusion of In and P atoms. As a result, the formation of In-O bonds was more effectively suppressed in the Al 2 O 3 /HfO 2 /Al 2 O 3 /InP structure than that in the HfO 2 -on-InP system. Moreover, conductance data revealed that the Al 2 O 3 layer on InP reduces the midgap traps to 2.6 × 10 12 eV -1 cm -2 (compared to that of HfO 2 /InP, that is, 5.4 × 10 12 eV -1 cm -2 ). The suppression of gap states caused by the outdiffusion of In atoms significantly controls the degradation of capacitors caused by leakage current through the stacked oxide layers.

  7. Atomically Thin Al2O3 Films for Tunnel Junctions

    NASA Astrophysics Data System (ADS)

    Wilt, Jamie; Gong, Youpin; Gong, Ming; Su, Feifan; Xu, Huikai; Sakidja, Ridwan; Elliot, Alan; Lu, Rongtao; Zhao, Shiping; Han, Siyuan; Wu, Judy Z.

    2017-06-01

    Metal-insulator-metal tunnel junctions are common throughout the microelectronics industry. The industry standard AlOx tunnel barrier, formed through oxygen diffusion into an Al wetting layer, is plagued by internal defects and pinholes which prevent the realization of atomically thin barriers demanded for enhanced quantum coherence. In this work, we employ in situ scanning tunneling spectroscopy along with molecular-dynamics simulations to understand and control the growth of atomically thin Al2O3 tunnel barriers using atomic-layer deposition. We find that a carefully tuned initial H2O pulse hydroxylated the Al surface and enabled the creation of an atomically thin Al2O3 tunnel barrier with a high-quality M -I interface and a significantly enhanced barrier height compared to thermal AlOx . These properties, corroborated by fabricated Josephson junctions, show that atomic-layer deposition Al2O3 is a dense, leak-free tunnel barrier with a low defect density which can be a key component for the next generation of metal-insulator-metal tunnel junctions.

  8. Fabrication and kinetics study of nano-Al/NiO thermite film by electrophoretic deposition.

    PubMed

    Zhang, Daixiong; Li, Xueming

    2015-05-21

    Nano-Al/NiO thermites were successfully prepared as film by electrophoretic deposition (EPD). For the key issue of this EPD, a mixture solvent of ethanol-acetylacetone (1:1 in volume) containing 0.00025 M nitric acid was proved to be a suitable dispersion system for EPD. The kinetics of electrophoretic deposition for both nano-Al and nano-NiO were investigated; the linear relation between deposition weight and deposition time in short time and parabolic relation in prolonged time were observed in both EPDs. The critical transition time between linear deposition kinetics and parabolic deposition kinetics for nano-Al and nano-NiO were 20 and 10 min, respectively. The theoretical calculation of the kinetics of electrophoretic deposition revealed that the equivalence ratio of nano-Al/NiO thermites film would be affected by the behavior of electrophoretic deposition for nano-Al and nano-NiO. The equivalence ratio remained steady when the linear deposition kinetics dominated for both nano-Al and nano-NiO. The equivalence ratio would change with deposition time when deposition kinetics for nano-NiO changed into parabolic kinetics dominated after 10 min. Therefore, the rule was suggested to be suitable for other EPD of bicomposites. We also studied thermodynamic properties of electrophoretic nano-Al/NiO thermites film as well as combustion performance.

  9. Capability for Fine Tuning of the Refractive Index Sensing Properties of Long-Period Gratings by Atomic Layer Deposited Al2O3 Overlays

    PubMed Central

    Śmietana, Mateusz; Myśliwiec, Marcin; Mikulic, Predrag; Witkowski, Bartłomiej S.; Bock, Wojtek J.

    2013-01-01

    This work presents an application of thin aluminum oxide (Al2O3) films obtained using atomic layer deposition (ALD) for fine tuning the spectral response and refractive-index (RI) sensitivity of long-period gratings (LPGs) induced in optical fibers. The technique allows for an efficient and well controlled deposition at monolayer level (resolution ∼ 0.12 nm) of excellent quality nano-films as required for optical sensors. The effect of Al2O3 deposition on the spectral properties of the LPGs is demonstrated experimentally and numerically. We correlated both the increase in Al2O3 thickness and changes in optical properties of the film with the shift of the LPG resonance wavelength and proved that similar films are deposited on fibers and oxidized silicon reference samples in the same process run. Since the thin overlay effectively changes the distribution of the cladding modes and thus also tunes the device's RI sensitivity, the tuning can be simply realized by varying number of cycles, which is proportional to thickness of the high-refractive-index (n > 1.6 in infrared spectral range) Al2O3 film. The advantage of this approach is the precision in determining the film properties resulting in RI sensitivity of the LPGs. To the best of our knowledge, this is the first time that an ultra-precise method for overlay deposition has been applied on LPGs for RI tuning purposes and the results have been compared with numerical simulations based on LP mode approximation.

  10. Oblique angle deposition-induced anisotropy in Co2FeAl films

    NASA Astrophysics Data System (ADS)

    Zhou, W.; Brock, J.; Khan, M.; Eid, K. F.

    2018-06-01

    A series of Co2FeAl Heusler alloy films, fabricated on Si/SiO2 substrates by magnetron sputtering-oblique angle deposition technique, have been investigated by magnetization and transport measurements. The morphology and magnetic anisotropy of the films strongly depended on the deposition angle. While the film deposited at zero degree (i.e. normal incidence) did not show any anisotropy, the films deposited at higher angles showed unusually strong in-plane anisotropy that increased with deposition angle. The enhanced anisotropy was well-reflected in the direction-dependent magnetization and the coercivity of the films that increased dramatically from 30 Oe to 490 Oe. In a similar vein, the electrical resistivity of the films also increased drastically, especially for deposition angles larger than 60°. These anisotropic effects and their relation to the morphology of the films are discussed.

  11. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores.

    PubMed

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-11

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al(2)O(3)) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al(2)O(3) layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al(2)O(3) using ALD.

  12. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    NASA Astrophysics Data System (ADS)

    Pardon, Gaspard; Gatty, Hithesh K.; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al2O3) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al2O3 layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al2O3 using ALD.

  13. Investigating the electronic properties of Al2O3/Cu(In,Ga)Se2 interface

    NASA Astrophysics Data System (ADS)

    Kotipalli, R.; Vermang, B.; Joel, J.; Rajkumar, R.; Edoff, M.; Flandre, D.

    2015-10-01

    Atomic layer deposited (ALD) Al2O3 films on Cu(In,Ga)Se2 (CIGS) surfaces have been demonstrated to exhibit excellent surface passivation properties, which is advantageous in reducing recombination losses at the rear metal contact of CIGS thin-film solar cells. Here, we report, for the first time, experimentally extracted electronic parameters, i.e. fixed charge density (Qf) and interface-trap charge density (Dit), for as-deposited (AD) and post-deposition annealed (PDA) ALD Al2O3 films on CIGS surfaces using capacitance-voltage (C-V) and conductance-frequency (G-f) measurements. These results indicate that the AD films exhibit positive fixed charges Qf (approximately 1012 cm-2), whereas the PDA films exhibit a very high density of negative fixed charges Qf (approximately 1013 cm-2). The extracted Dit values, which reflect the extent of chemical passivation, were found to be in a similar range of order (approximately 1012 cm-2 eV-1) for both AD and PDA samples. The high density of negative Qf in the bulk of the PDA Al2O3 film exerts a strong Coulomb repulsive force on the underlying CIGS minority carriers (ns), preventing them to recombine at the CIGS/Al2O3 interface. Using experimentally extracted Qf and Dit values, SCAPS simulation results showed that the surface concentration of minority carriers (ns) in the PDA films was approximately eight-orders of magnitude lower than in the AD films. The electrical characterization and estimations presented in this letter construct a comprehensive picture of the interfacial physics involved at the Al2O3/CIGS interface.

  14. Stabilization of Hydrogen Production via Methanol Steam Reforming in Microreactor by Al2O3 Nano-Film Enhanced Catalyst Adhesion.

    PubMed

    Jeong, Heondo; Na, Jeong-Geol; Jang, Min Su; Ko, Chang Hyun

    2016-05-01

    In hydrogen production by methanol steam reforming reaction with microchannel reactor, Al2O3 thin film formed by atomic layer deposition (ALD) was introduced on the surface of microchannel reactor prior to the coating of catalyst particles. Methanol conversion rate and hydrogen production rate, increased in the presence of Al2O3 thin film. Over-view and cross-sectional scanning electron microscopy study showed that the adhesion between catalyst particles and the surface of microchannel reactor enhanced due to the presence of Al2O3 thin film. The improvement of hydrogen production rate inside the channels of microreactor mainly came from the stable fixation of catalyst particles on the surface of microchannels.

  15. Room-Temperature Atomic Layer Deposition of Al2 O3 : Impact on Efficiency, Stability and Surface Properties in Perovskite Solar Cells.

    PubMed

    Kot, Malgorzata; Das, Chittaranjan; Wang, Zhiping; Henkel, Karsten; Rouissi, Zied; Wojciechowski, Konrad; Snaith, Henry J; Schmeisser, Dieter

    2016-12-20

    In this work, solar cells with a freshly made CH 3 NH 3 PbI 3 perovskite film showed a power conversion efficiency (PCE) of 15.4 % whereas the one with 50 days aged perovskite film only 6.1 %. However, when the aged perovskite was covered with a layer of Al 2 O 3 deposited by atomic layer deposition (ALD) at room temperature (RT), the PCE value was clearly enhanced. X-ray photoelectron spectroscopy study showed that the ALD precursors are chemically active only at the perovskite surface and passivate it. Moreover, the RT-ALD-Al 2 O 3 -covered perovskite films showed enhanced ambient air stability. © 2016 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics for metal-insulator-metal capacitor applications

    NASA Astrophysics Data System (ADS)

    Ding, Shi-Jin; Zhu, Chunxiang; Li, Ming-Fu; Zhang, David Wei

    2005-08-01

    Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics have been investigated to replace conventional silicon oxide and nitride for radio frequency and analog metal-insulator-metal capacitors applications. In the case of 1-nm-Al2O3, sufficiently good electrical performances are achieved, including a high dielectric constant of ˜17, a small dissipation factor of 0.018 at 100kHz, an extremely low leakage current of 7.8×10-9A/cm2 at 1MV/cm and 125°C, perfect voltage coefficients of capacitance (74ppm/V2 and 10ppm/V). The quadratic voltage coefficient of capacitance decreases with the applied frequency due to the change of relaxation time with different carrier mobility in insulator, and correlates with the dielectric composition and thickness, which is of intrinsic property owing to electric field polarization. Furthermore, the conduction mechanism of the AHA dielectrics is also discussed, indicating the Schottky emission dominated at room temperature.

  17. Effect of TiO2/Al2O3 film coated diamond abrasive particles by sol-gel technique

    NASA Astrophysics Data System (ADS)

    Hu, Weida; Wan, Long; Liu, Xiaopan; Li, Qiang; Wang, Zhiqi

    2011-04-01

    The diamond abrasive particles were coated with the TiO2/Al2O3 film by the sol-gel technique. Compared with the uncoated diamonds, the TiO2/Al2O3 film was excellent material for the protection of the diamonds. The results showed that the incipient oxidation temperature of the TiO2/Al2O3 film coated diamonds in air atmosphere was 775 °C, which was higher 175 °C than that of the uncoated diamonds. And the coated diamonds also had better the diamond's single particle compressive strength and the impact toughness than that of uncoated diamonds after sintering at 750 °C. For the vitrified bond grinding wheels, replacing the uncoated diamonds with the TiO2/Al2O3 film coated diamonds, the volume expansion of the grinding wheels decreased from 6.2% to 3.4%, the porosity decreased from 35.7% to 25.7%, the hardness increased from 61.2HRC to 66.5HRC and the grinding ratio of the vitrified bond grinding wheels to carbide alloy (YG8) increased from 11.5 to 19.1.

  18. Grain size engineering for ferroelectric Hf{sub 0.5}Zr{sub 0.5}O{sub 2} films by an insertion of Al{sub 2}O{sub 3} interlayer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Han Joon; Park, Min Hyuk; Kim, Yu Jin

    2014-11-10

    The degradation of ferroelectric (FE) properties of atomic layer deposited Hf{sub 0.5}Zr{sub 0.5}O{sub 2} films with increasing thickness was mitigated by inserting 1 nm-thick Al{sub 2}O{sub 3} interlayer at middle position of the thickness of the FE film. The large P{sub r} of 10 μC/cm{sup 2}, which is 11 times larger than that of single layer Hf{sub 0.5}Zr{sub 0.5}O{sub 2} film with equivalent thickness, was achieved from the films as thick as 40 nm. The Al{sub 2}O{sub 3} interlayer could interrupt the continual growth of Hf{sub 0.5}Zr{sub 0.5}O{sub 2} films, and the resulting decrease of grain size prevented the formation of non-ferroelectricmore » monoclinic phase. The Al{sub 2}O{sub 3} interlayer also largely decreased the leakage current of the Hf{sub 0.5}Zr{sub 0.5}O{sub 2} films.« less

  19. Stoichiometric control for heteroepitaxial growth of smooth ɛ-Ga2O3 thin films on c-plane AlN templates by mist chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Tahara, Daisuke; Nishinaka, Hiroyuki; Morimoto, Shota; Yoshimoto, Masahiro

    2017-07-01

    Epitaxial ɛ-Ga2O3 thin films with smooth surfaces were successfully grown on c-plane AlN templates by mist chemical vapor deposition. Using X-ray diffraction 2θ-ω and φ scans, the out-of-plane and in-plane epitaxial relationship was determined to be (0001) ɛ-Ga2O3 [10\\bar{1}0] ∥ (0001)AlN[10\\bar{1}0]. The gallium/oxygen ratio was controlled by varying the gallium precursor concentration in the solution. While scanning electron microscopy showed the presence of large grains on the surfaces of the films formed for low concentrations of oxygen species, no large grains were observed under stoichiometric conditions. Cathodoluminescence measurements showed a deep-level emission ranging from 1.55-3.7 eV; however, no band-edge emission was observed.

  20. Effect of substrate on thermoelectric properties of Al-doped ZnO thin films

    NASA Astrophysics Data System (ADS)

    Mele, P.; Saini, S.; Honda, H.; Matsumoto, K.; Miyazaki, K.; Hagino, H.; Ichinose, A.

    2013-06-01

    We have prepared 2% Al doped ZnO (AZO) thin films on SrTiO3 (STO) and Al2O3 substrates by Pulsed Laser Deposition technique at various deposition temperatures (Tdep = 300 °C-600 °C). Transport and thermoelectric properties of AZO thin films were studied in low temperature range (300 K-600 K). AZO/STO films present superior performance respect to AZO/Al2O3 films deposited at the same temperature, except for films deposited at 400 °C. Best film is the fully c-axis oriented AZO/STO deposited at 300 °C, which epitaxial strain and dislocation density are the lowest: electrical conductivity 310 S/cm, Seebeck coefficient -65 μV/K, and power factor 0.13 × 10-3 W m-1 K-2 at 300 K. Its performance increases with temperature. For instance, power factor is enhanced up to 0.55 × 10-3 W m-1 K-2 at 600 K, surpassing the best AZO film previously reported in literature.

  1. Atomic layer deposition of highly-doped Er:Al2O3 and Tm:Al2O3 for silicon-based waveguide amplifiers (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Roenn, John; Karvonen, Lasse; Pyymäki-Perros, Alexander; Peyghambarian, Nasser; Lipsanen, Harri; Säynätjoki, Antti; Sun, Zhipei

    2016-05-01

    Recently, rare-earth doped waveguide amplifiers (REDWAs) have drawn significant attention as a promising solution to on-chip amplification of light in silicon photonics and integrated optics by virtue of their high excited state lifetime (up to 10 ms) and broad emission spectrum (up to 200 nm) at infrared wavelengths. In the family of rare-earths, at least erbium, holmium, thulium, neodymium and ytterbium have been demonstrated to be good candidates for amplifier operation at moderate concentrations (< 0.1 %). However, efficient amplifier operation in REDWAs is a very challenging task because high concentration of ions (<0.1%) is required in order to produce reasonable amplification over short device length. Inevitably, high concentration of ions leads to energy-transfer between neighboring ions, which results as decreased gain and increased noise in the amplifier system. It has been shown that these energy-transfer mechanisms in highly-doped gain media are inversely proportional to the sixth power of the distance between the ions. Therefore, novel fabrication techniques with the ability to control the distribution of the rare-earth ions within the gain medium are urgently needed in order to fabricate REDWAs with high efficiency and low noise. Here, we show that atomic layer deposition (ALD) is an excellent technique to fabricate highly-doped (<1%) RE:Al2O3 gain materials by using its nanoscale engineering ability to delicately control the incorporation of RE ions during the deposition. In our experiment, we fabricated Er:Al2O3 and Tm:Al2O3 thin films with ALD by varying the concentration of RE ions from 1% to 7%. By measuring the photoluminescence response of the fabricated samples, we demonstrate that it is possible to incorporate up to 5% of either Er- or Tm-ions in Al2O3 host before severe quenching occurs. We believe that this technique can be extended to other RE ions as well. Therefore, our results show the exceptionality of ALD as a deposition technique for

  2. Nanostructural origin of semiconductivity and large magnetoresistance in epitaxial NiCo2O4/Al2O3 thin films

    NASA Astrophysics Data System (ADS)

    Zhen, Congmian; Zhang, XiaoZhe; Wei, Wengang; Guo, Wenzhe; Pant, Ankit; Xu, Xiaoshan; Shen, Jian; Ma, Li; Hou, Denglu

    2018-04-01

    Despite low resistivity (~1 mΩ cm), metallic electrical transport has not been commonly observed in inverse spinel NiCo2O4, except in certain epitaxial thin films. Previous studies have stressed the effect of valence mixing and the degree of spinel inversion on the electrical conduction of NiCo2O4 films. In this work, we studied the effect of nanostructural disorder by comparing the NiCo2O4 epitaxial films grown on MgAl2O4 (1 1 1) and on Al2O3 (0 0 1) substrates. Although the optimal growth conditions are similar for the NiCo2O4 (1 1 1)/MgAl2O4 (1 1 1) and the NiCo2O4 (1 1 1)/Al2O3 (0 0 1) films, they show metallic and semiconducting electrical transport, respectively. Post-growth annealing decreases the resistivity of NiCo2O4 (1 1 1)/Al2O3 (0 0 1) films, but the annealed films are still semiconducting. While the semiconductivity and the large magnetoresistance in NiCo2O4 (1 1 1)/Al2O3 (0 0 1) films cannot be accounted for in terms of non-optimal valence mixing and spinel inversion, the presence of anti-phase boundaries between nano-sized crystallites, generated by the structural mismatch between NiCo2O4 and Al2O3, may explain all the experimental observations in this work. These results reveal nanostructural disorder as being another key factor for controlling the electrical transport of NiCo2O4, with potentially large magnetoresistance for spintronics applications.

  3. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE PAGES

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.; ...

    2014-10-15

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  4. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  5. TiN/Al2O3/ZnO gate stack engineering for top-gate thin film transistors by combination of post oxidation and annealing

    NASA Astrophysics Data System (ADS)

    Kato, Kimihiko; Matsui, Hiroaki; Tabata, Hitoshi; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    Control of fabrication processes for a gate stack structure with a ZnO thin channel layer and an Al2O3 gate insulator has been examined for enhancing the performance of a top-gate ZnO thin film transistor (TFT). The Al2O3/ZnO interface and the ZnO layer are defective just after the Al2O3 layer formation by atomic layer deposition. Post treatments such as plasma oxidation, annealing after the Al2O3 deposition, and gate metal formation (PMA) are promising to improve the interfacial and channel layer qualities drastically. Post-plasma oxidation effectively reduces the interfacial defect density and eliminates Fermi level pinning at the Al2O3/ZnO interface, which is essential for improving the cut-off of the drain current of TFTs. A thermal effect of post-Al2O3 deposition annealing at 350 °C can improve the crystalline quality of the ZnO layer, enhancing the mobility. On the other hand, impacts of post-Al2O3 deposition annealing and PMA need to be optimized because the annealing can also accompany the increase in the shallow-level defect density and the resulting electron concentration, in addition to the reduction in the deep-level defect density. The development of the interfacial control technique has realized the excellent TFT performance with a large ON/OFF ratio, steep subthreshold characteristics, and high field-effect mobility.

  6. Interface composition of InAs nanowires with Al2O3 and HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Timm, R.; Hjort, M.; Fian, A.; Borg, B. M.; Thelander, C.; Andersen, J. N.; Wernersson, L.-E.; Mikkelsen, A.

    2011-11-01

    Vertical InAs nanowires (NWs) wrapped by a thin high-κ dielectric layer may be a key to the next generation of high-speed metal-oxide-semiconductor devices. Here, we have investigated the structure and chemical composition of the interface between InAs NWs and 2 nm thick Al2O3 and HfO2 films. The native oxide on the NWs is significantly reduced upon high-κ deposition, although less effective than for corresponding planar samples, resulting in a 0.8 nm thick interface layer with an In-/As-oxide composition of about 0.7/0.3. The exact oxide reduction and composition including As-suboxides and the role of the NW geometry are discussed in detail.

  7. Spectroscopy analysis of graphene like deposition using DC unbalanced magnetron sputtering on γ‐Al{sub 2}O{sub 3} buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aji, A. S., E-mail: yudi@fi.itb.ac.id; Darma, Y., E-mail: yudi@fi.itb.ac.id

    In this work, graphene-like deposition using DC unbalanced magnetron-sputtering technique on γ‐Al{sub 2}O{sub 3} layer at low temperature has been systematically studied. The γ‐Al{sub 2}O{sub 3} was growth on silicon substrate using thermal evaporation of Al wire and continuing with dry oxidation of Al at 550 °C. Sputtering process were carried out using Fe-doped carbon pellet as a target by maintain the chamber pressure of 4.6×10{sup −2} Torr at substrate temperature of 300 °C for time deposition range of 1 to 4 hours. The quality of Al{sub 2}O{sub 3} on Si(100) and the characteristic of carbon thin film on γ‐Al{submore » 2}O{sub 3} were analized by mean XRD, opctical microscopy, EDAX, FTIR, and Raman spectra. XRD and optical microscopy analysis shows that Al{sub 2}O{sub 3} film is growth uniformly on Si substrate and forming the γ phase of Al{sub 2}O{sub 3}. Raman and FTIR spectra confirm the formation of graphene like carbon layer on Al{sub 2}O{sub 3}. Additionally, thermal annealing for some sample series have been performed to study their structural stability. The change of atomic structure due to thermal annealing were analized by XRD spectra. The quality and the number of graphene layers are investigated by using Raman spectra peaks analysis.« less

  8. Tuning metal-insulator transitions in epitaxial V2O3 thin films

    NASA Astrophysics Data System (ADS)

    Thorsteinsson, Einar B.; Shayestehaminzadeh, Seyedmohammad; Arnalds, Unnar B.

    2018-04-01

    We present a study of the synthesis of epitaxial V2O3 films on c-plane Al2O3 substrates by reactive dc-magnetron sputtering. The results reveal a temperature window, at substantially lower values than previously reported, wherein epitaxial films can be obtained when deposited on [0001] oriented surfaces. The films display a metal-insulator transition with a change in the resistance of up to four orders of magnitude, strongly dependent on the O2 partial pressure during deposition. While the electronic properties of the films show sensitivity to the amount of O2 present during deposition of the films, their crystallographic structure and surface morphology of atomically flat terraced structures with up to micrometer dimensions are maintained. The transition temperature, as well as the scale of the metal-insulator transition, is correlated with the stoichiometry and local strain in the films controllable by the deposition parameters.

  9. Mobility Optimization in LaxBa1-xSnO3 Thin Films Deposited via High Pressure Oxygen Sputtering

    NASA Astrophysics Data System (ADS)

    Postiglione, William Michael

    BaSnO3 (BSO) is one of the most promising semiconducting oxides currently being explored for use in future electronic applications. BSO possesses a unique combination of high room temperature mobility (even at very high carrier concentrations, > 1019 cm-3), wide band gap, and high temperature stability, making it a potentially useful material for myriad applications. Significant challenges remain however in optimizing the properties and processing of epitaxial BSO, a critical step towards industrial applications. In this study we investigate the viability of using high pressure oxygen sputtering to produce high mobility La-doped BSO thin films. In the first part of our investigation we synthesized, using solid state reaction, phase-pure stoichiometric polycrystalline 2% La-doped BaSnO 3 for use as a target material in our sputtering system. We verified the experimental bulk lattice constant, 4.117 A, to be in good agreement with literature values. Next, we set out to optimize the growth conditions for DC sputtering of La doped BaSnO3. We found that mobility for all our films increased monotonically with deposition temperature, suggesting the optimum temperature for deposition is > 900 °C and implicating a likely improvement in transport properties with post-growth thermal anneal. We then preformed systematic studies aimed at probing the effects of varying thickness and deposition rate to optimize the structural and electronic transport properties in unbuffered BSO films. In this report we demonstrate the ability to grow 2% La BSO thin films with an effective dopant activation of essentially 100%. Our films showed fully relaxed (bulk), out-of-plane lattice parameter values when deposited on LaAlO3, MgO, and (LaAlO3)0.3(Sr2 TaAlO6)0.7 substrates, and slightly expanded out-of-plane lattice parameters for films deposited on SrTiO3, GdScO3, and PrScO3 substrates. The surface roughness's of our films were measured via AFM, and determined to be on the nm scale or better

  10. Studies on morphology, electrical and optical characteristics of Al-doped ZnO thin films grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Chen, Li; Chen, Xinliang; Zhou, Zhongxin; Guo, Sheng; Zhao, Ying; Zhang, Xiaodan

    2018-03-01

    Al doped ZnO (AZO) films deposited on glass substrates through the atomic layer deposition (ALD) technique are investigated with various temperatures from 100 to 250 °C and different Zn : Al cycle ratios from 20 : 0 to 20 : 3. Surface morphology, structure, optical and electrical properties of obtained AZO films are studied in detail. The Al composition of the AZO films is varied by controlling the ratio of Zn : Al. We achieve an excellent AZO thin film with a resistivity of 2.14 × 10‑3 Ω·cm and high optical transmittance deposited at 150 °C with 20 : 2 Zn : Al cycle ratio. This kind of AZO thin films exhibit great potential for optoelectronics device application. Project supported by the State Key Development Program for Basic Research of China (Nos. 2011CBA00706, 2011CBA00707) and the Tianjin Applied Basic Research Project and Cutting-Edge Technology Research Plan (No. 13JCZDJC26900).

  11. Ta2O5/ Al2O3/ SiO2 - antireflective coating for non-planar optical surfaces by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Pfeiffer, K.; Schulz, U.; Tünnermann, A.; Szeghalmi, A.

    2017-02-01

    Antireflective coatings are essential to improve transmittance of optical elements. Most research and development of AR coatings has been reported on a wide variety of plane optical surfaces; however, antireflection is also necessary on nonplanar optical surfaces. Physical vapor deposition (PVD), a common method for optical coatings, often results in thickness gradients on strongly curved surfaces, leading to a failure of the desired optical function. In this work, optical thin films of tantalum pentoxide, aluminum oxide and silicon dioxide were prepared by atomic layer deposition (ALD), which is based on self-limiting surface reactions. The results demonstrate that ALD optical layers can be deposited on both vertical and horizontal substrate surfaces with uniform thicknesses and the same optical properties. A Ta2O5/Al2O3/ SiO2 multilayer AR coating (400-700 nm) was successfully applied to a curved aspheric glass lens with a diameter of 50 mm and a center thickness of 25 mm.

  12. Thickness and surface roughness study of co-sputtered nanostructured alumina/tungsten (Al2O3/W) thin films

    NASA Astrophysics Data System (ADS)

    Naveen, A.; Krishnamurthy, L.; Shridhar, T. N.

    2018-04-01

    Tungsten (W) and Alumina (Al2O3) thin films have been developed using co-sputtering technique on SS304, Copper (Cu) and Glass slides using Direct Current magnetron sputtering (DC) and Radio Frequency (RF) magnetron sputtering methods respectively. Central Composite Design (CCD) method approach has been adopted to determine the number of experimental plans for deposition and DC power, RF power and Argon gas flow rate have been input parameters, each at 5 levels for development of thin films. In this research paper, study has been carried out determine the optimized condition of deposition parameters for thickness and surface roughness of the thin films. Thickness and average Surface roughness in terms of nanometer (nm) have been characterized by thickness profilometer and atomic force microscopy respectively. The maximum and minimum average thickness observed to be 445 nm and 130 respectively. The optimum deposition condition for W/Al2O3 thin film growth was determined to be at 1000 watts of DC power and 800 watts of RF power, 20 minutes of deposition time, and almost 300 Standard Cubic Centimeter(SCCM) of Argon gas flow. It was observed that average roughness difference found to be less than one nanometer on SS substrate and one nanometer on copper approximately.

  13. Characterization of MgO/Al2O3 Composite Film Prepared by DC Magnetron Sputtering and Its Secondary Electron Emission Properties

    NASA Astrophysics Data System (ADS)

    Wang, Feifei; Zhou, Fan; Wang, Jinshu; Liu, Wei; Zhang, Quan; Yin, Qiao

    2018-07-01

    Magnesium oxide (MgO) and MgO/Al2O3 composite thin films were prepared on silver substrates by DC magnetron sputtering technique and their secondary electron yields ( δ) and working durability under constant electron bombardment were investigated. X-ray photoelectron spectroscopy and Auger electron spectroscopy analyses reveal that uniform MgO/Al2O3 composite films were developed and residual Al exists in the films after sputtering of the Mg-Al alloy in an Ar-O2 mixed atmosphere on silver substrates heated at 400°C. The MgO/Al2O3 composite films show superior δ as high as 11.6 and much better resistance to electron bombardment than that of pure MgO films. Good secondary electron emission (SEE) properties of the MgO/Al2O3 film are probably due to the presence of alumina in the film, which has higher bond dissociation energy than MgO, as well as the presence of residual Al in the film, which contributes to effective electron transport in the film and diminished surface charging during SEE. With superior SEE performance, MgO/Al2O3 films have potential for practical electron multipliers in various vacuum electron devices.

  14. Deposition of undoped and Al doped ZnO thin films using RF magnetron sputtering and study of their structural, optical and electrical properties

    NASA Astrophysics Data System (ADS)

    Parvathy Venu, M.; Shrisha B., V.; Balakrishna, K. M.; Naik, K. Gopalakrishna

    2017-05-01

    Undoped ZnO and Al doped ZnO thin films were deposited on glass and p-Si(100) substrates by RF magnetron sputtering technique at room temperature using homemade targets. ZnO target containing 5 at% of Al2O3 as doping source was used for the growth of Al doped ZnO thin films. XRD revealed that the films have hexagonal wurtzite structure with high crystallinity. Morphology and chemical composition of the films have been indicated by FESEM and EDAX studies. A blue shift of the band gap energy and higher optical transmittance has been observed in the case of Al doped ZnO (ZnO:Al) thin films with respect to the ZnO thin films. The as deposited films on p-Si were used to fabricate n-ZnO/p-Si(100) and n-ZnO:Al/p-Si(100) heterojunction diodes and their room temperature current-voltage characteristics were studied.

  15. Low voltage operation of IGZO thin film transistors enabled by ultrathin Al2O3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Ma, Pengfei; Du, Lulu; Wang, Yiming; Jiang, Ran; Xin, Qian; Li, Yuxiang; Song, Aimin

    2018-01-01

    An ultrathin, 5 nm, Al2O3 film grown by atomic-layer deposition was used as a gate dielectric for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). The Al2O3 layer showed a low surface roughness of 0.15 nm, a low leakage current, and a high breakdown voltage of 6 V. In particular, a very high gate capacitance of 720 nF/cm2 was achieved, making it possible for the a-IGZO TFTs to not only operate at a low voltage of 1 V but also exhibit desirable properties including a low threshold voltage of 0.3 V, a small subthreshold swing of 100 mV/decade, and a high on/off current ratio of 1.2 × 107. Furthermore, even under an ultralow operation voltage of 0.6 V, well-behaved transistor characteristics were still observed with an on/off ratio as high as 3 × 106. The electron transport through the Al2O3 layer has also been analyzed, indicating the Fowler-Nordheim tunneling mechanism.

  16. Preparation and characterization of α-Al2O3 film by low temperature thermal oxidation of Al8Cr5 coating

    NASA Astrophysics Data System (ADS)

    Zhang, Min; Xu, Bajin; Ling, Guoping

    2015-03-01

    In this paper, α-Al2O3 film was prepared by low temperature thermal oxidation of Al8Cr5 coating. The Al8Cr5 alloy coating was prepared on SUS430 stainless steel through a two-step approach including electrodepositing Cr/Al composite coating and subsequent heat treatment at 740 °C for 16 h. After mechanical polishing removal of voids on the surface, the Al8Cr5 coating was thermal oxidized at 720 °C in argon for 100 h. The samples were characterized by SEM, EDX, XRD, XPS and TEM. XPS detection on the surface of oxidized Al8Cr5 coating showed that the oxide film mainly consisted of Al2O3. TEM characterization of the oxide film showed that it was α-Al2O3 films ca. 110 nm. The formation of α-Al2O3 films at low temperature can be attributed to the formation of Cr2O3 nuclei at the initial stage of oxidation which lowers the nucleation energy barrier of α-Al2O3.

  17. What determines the interfacial configuration of Nb/Al2O3 and Nb/MgO interface

    PubMed Central

    Du, J. L.; Fang, Y.; Fu, E. G.; Ding, X.; Yu, K. Y.; Wang, Y. G.; Wang, Y. Q.; Baldwin, J. K.; Wang, P. P.; Bai, Q.

    2016-01-01

    Nb films are deposited on single crystal Al2O3 (110) and MgO(111) substrates by e-beam evaporation technique. Structure of Nb films and orientation relationships (ORs) of Nb/Al2O3 and Nb/MgO interface are studied and compared by the combination of experiments and simulations. The experiments show that the Nb films obtain strong (110) texture, and the Nb film on Al2O3(110) substrate shows a higher crystalline quality than that on MgO(111) substrate. First principle calculations show that both the lattice mismatch and the strength of interface bonding play major roles in determining the crystalline perfection of Nb films and ORs between Nb films and single crystal ceramic substrates. The fundamental mechanisms for forming the interfacial configuration in terms of the lattice mismatch and the strength of interface bonding are discussed. PMID:27698458

  18. Analysis of SAW properties in ZnO/AlxGa1-xN/c-Al2O3 structures.

    PubMed

    Chen, Ying; Emanetoglu, Nuri William; Saraf, Gaurav; Wu, Pan; Lu, Yicheng; Parekh, Aniruddh; Merai, Vinod; Udovich, Eric; Lu, Dong; Lee, Dong S; Armour, Eric A; Pophristic, Milan

    2005-07-01

    Piezoelectric thin films on high acoustic velocity nonpiezoelectric substrates, such as ZnO, AlN, or GaN deposited on diamond or sapphire substrates, are attractive for high frequency and low-loss surface acoustic wave devices. In this work, ZnO films are deposited on AlxGa1-xN/c-Al2O3 (0 < or = chi < or = 1) substrates using the radio frequency (RF) sputtering technique. In comparison with a single AlxGa1-xN layer deposited on c-Al2O3 with the same total film thickness, a ZnO/AlxGa1-xN/c-Al2O3 multilayer structure provides several advantages, including higher order wave modes with higher velocity and larger electromechanical coupling coefficient (K2). The surface acoustic wave (SAW) velocities and coupling coefficients of the ZnO/AlxGa1-xN/c-Al2O3 structure are tailored as a function of the Al mole percentage in AlxGa1-xN films, and as a function of the ZnO (h1) to AlxGa1-xN (h2) thickness ratio. It is found that a wide thickness-frequency product (hf) region in which coupling is close to its maximum value, K(2)max, can be obtained. The K(2)max of the second order wave mode (h1 = h2) is estimated to be 4.3% for ZnO/GaN/c-Al2O3, and 3.8% for ZnO/AlN/c-Al2O3. The bandwidth of second and third order wave modes, in which the coupling coefficient is within +/- 0.3% of K(2)max, is calculated to be 820 hf for ZnO/GaN/c-Al2O3, and 3620 hf for ZnO/AlN/c-Al2O3. Thus, the hf region in which the coupling coefficient is close to the maximum value broadens with increasing Al content, while K(2)max decreases slightly. When the thickness ratio of AlN to ZnO increases, the K(2)max and hf bandwidth of the second and third higher wave modes increases. The SAW test devices are fabricated and tested. The theoretical and experimental results of velocity dispersion in the ZnO/AlxGa1-xN/c-Al2O3 structures are found to be well matched.

  19. Electrically programmable-erasable In-Ga-Zn-O thin-film transistor memory with atomic-layer-deposited Al{sub 2}O{sub 3}/Pt nanocrystals/Al{sub 2}O{sub 3} gate stack

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qian, Shi-Bing; Zhang, Wen-Peng; Liu, Wen-Jun

    Amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistor (TFT) memory is very promising for transparent and flexible system-on-panel displays; however, electrical erasability has always been a severe challenge for this memory. In this article, we demonstrated successfully an electrically programmable-erasable memory with atomic-layer-deposited Al{sub 2}O{sub 3}/Pt nanocrystals/Al{sub 2}O{sub 3} gate stack under a maximal processing temperature of 300 {sup o}C. As the programming voltage was enhanced from 14 to 19 V for a constant pulse of 0.2 ms, the threshold voltage shift increased significantly from 0.89 to 4.67 V. When the programmed device was subjected to an appropriate pulse under negative gatemore » bias, it could return to the original state with a superior erasing efficiency. The above phenomena could be attributed to Fowler-Nordheim tunnelling of electrons from the IGZO channel to the Pt nanocrystals during programming, and inverse tunnelling of the trapped electrons during erasing. In terms of 0.2-ms programming at 16 V and 350-ms erasing at −17 V, a large memory window of 3.03 V was achieved successfully. Furthermore, the memory exhibited stable repeated programming/erasing (P/E) characteristics and good data retention, i.e., for 2-ms programming at 14 V and 250-ms erasing at −14 V, a memory window of 2.08 V was still maintained after 10{sup 3} P/E cycles, and a memory window of 1.1 V was retained after 10{sup 5} s retention time.« less

  20. Improvement of Self-Heating of Indium Gallium Zinc Aluminum Oxide Thin-Film Transistors Using Al2O3 Barrier Layer

    NASA Astrophysics Data System (ADS)

    Jian, Li-Yi; Lee, Hsin-Ying; Lin, Yung-Hao; Lee, Ching-Ting

    2018-02-01

    To study the self-heating effect, aluminum oxide (Al2O3) barrier layers of various thicknesses have been inserted between the channel layer and insulator layer in bottom-gate-type indium gallium zinc aluminum oxide (IGZAO) thin-film transistors (TFTs). Each IGZAO channel layer was deposited on indium tin oxide (ITO)-coated glass substrate by using a magnetron radiofrequency cosputtering system with dual targets composed of indium gallium zinc oxide (IGZO) and Al. The 3 s orbital of Al cation provided an extra transport pathway and widened the conduction-band bottom, thus increasing the electron mobility of the IGZAO films. The Al-O bonds were able to sustain the oxygen stability of the IGZAO films. The self-heating behavior of the resulting IGZAO TFTs was studied by Hall measurements on the IGZAO films as well as the electrical performance of the IGZAO TFTs with Al2O3 barrier layers of various thicknesses at different temperatures. IGZAO TFTs with 50-nm-thick Al2O3 barrier layer were stressed by positive gate bias stress (PGBS, at gate-source voltage V GS = 5 V and drain-source voltage V DS = 0 V); at V GS = 5 V and V DS = 10 V, the threshold voltage shifts were 0.04 V and 0.2 V, respectively, much smaller than for the other IGZAO TFTs without Al2O3 barrier layer, which shifted by 0.2 V and 1.0 V when stressed under the same conditions.

  1. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    PubMed

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  2. Structural and mechanical characterization of Al/Al2O3 nanotube thin film on TiV alloy

    NASA Astrophysics Data System (ADS)

    Sarraf, M.; Zalnezhad, E.; Bushroa, A. R.; Hamouda, A. M. S.; Baradaran, S.; Nasiri-Tabrizi, B.; Rafieerad, A. R.

    2014-12-01

    In this study, the fabrication and characterization of Al/Al2O3 nanotubular arrays on Ti-6Al-4V substrate were carried out. To this end, aluminum thin films were deposited as a first coating layer by direct current (DC) magnetron sputtering with the coating conditions of 300 W, 150 °C and 75 V substrate bias voltage. Al2O3 nanotube array as a second layer was grown on the Al layer by electrochemical anodisation at the constant potential of 20 V within different time periods in an electrolyte solution. For annealing the coated substrates, plasma treatment (PT) technique was utilized under various conditions to get the best adhesion strength of coating to the substrate. To characterize the coating layers, micro scratch test, Vickers hardness and field emission of scanning electron microscopy (FESEM) were used. Results show that after the deposition of pure aluminum on the substrate the scratch length, load and failure point were 794.37 μm, 1100 mN and 411.43 μm, respectively. After PT, the best adhesion strength (2038 mN) was obtained at RF power of 60 W. With the increase of the RF power up to 80 W, a reduction in adhesion strength was observed (1525.22 mN). From the microstructural point of view, a homogenous porous structure with an average pore size of 40-60 nm was formed after the anodisation for 10-45 min. During PT, the porous structure was converted to dense alumina layer when the RF power rose from 40 to 80 W. This led to an increase in hardness value from 2.7 to 3.4 GPa. Based on the obtained data, the RF power of 60 W was the optimum condition for plasma treatment of Al/Al2O3 nanotubular arrays on Ti-6Al-4V substrate.

  3. TI--CR--AL--O thin film resistors

    DOEpatents

    Jankowski, Alan F.; Schmid, Anthony P.

    2000-01-01

    Thin films of Ti--Cr--Al--O are used as a resistor material. The films are rf sputter deposited from ceramic targets using a reactive working gas mixture of Ar and O.sub.2. Resistivity values from 10.sup.4 to 10.sup.10 Ohm-cm have been measured for Ti--Cr--Al--O film <1 .mu.m thick. The film resistivity can be discretely selected through control of the target composition and the deposition parameters. The application of Ti--Cr--Al--O as a thin film resistor has been found to be thermodynamically stable, unlike other metal-oxide films. The Ti--Cr--Al--O film can be used as a vertical or lateral resistor, for example, as a layer beneath a field emission cathode in a flat panel display; or used to control surface emissivity, for example, as a coating on an insulating material such as vertical wall supports in flat panel displays.

  4. Influence of Al content on the properties of ternary Al{sub 2x}In{sub 22x}O{sub 3} alloy films prepared on YSZ (1 1 1) substrates by MOCVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Feng, Xianjin; Zhao, Cansong; Li, Zhao

    2015-10-15

    Highlights: • Al{sub 2x}In{sub 22x}O{sub 3} films were prepared on the Y-stabilized ZrO{sub 2} (1 1 1) substrates by MOCVD at 700 °C. • A phase transition from the bixbyite In{sub 2}O{sub 3} structure to the amorphous structure was observed. • The lowest resistivity of 4.7 × 10{sup −3} Ω cm was obtained for the Al{sub 0.4}In{sub 1.6}O{sub 3} film. • Tunable optical band gap from 3.7 to 4.8 eV was obtained. - Abstract: The ternary Al{sub 2x}In{sub 22x}O{sub 3} films with different Al contents of x [Al/(Al + In) atomic ratio] have been fabricated on the Y-stabilized ZrO{sub 2}more » (1 1 1) substrates by metal organic chemical vapor deposition at 700 °C. The structural, electrical and optical properties of the films as a result of different Al contents (x = 0.1–0.9) were investigated in detail. With the increase of Al content from 10% to 90%, a phase transition from the bixbyite In{sub 2}O{sub 3} structure with a single orientation along (1 1 1) to the amorphous structure was observed. The minimum resistivity of 4.7 × 10{sup −3} Ω cm, a carrier concentration of 1.4 × 10{sup 20} cm{sup −3} and a Hall mobility of 9.8 cm{sup 2} v{sup −1} s{sup −1} were obtained for the sample with x = 0.2. The average transmittances for the Al{sub 2x}In{sub 22x}O{sub 3} films in the visible range were all over 78% and the optical band gap of the films could be tuned from 3.7 to 4.8 eV.« less

  5. Image reconstruction algorithm for optically stimulated luminescence 2D dosimetry using laser-scanned Al2O3:C and Al2O3:C,Mg films

    NASA Astrophysics Data System (ADS)

    Ahmed, M. F.; Schnell, E.; Ahmad, S.; Yukihara, E. G.

    2016-10-01

    The objective of this work was to develop an image reconstruction algorithm for 2D dosimetry using Al2O3:C and Al2O3:C,Mg optically stimulated luminescence (OSL) films imaged using a laser scanning system. The algorithm takes into account parameters associated with detector properties and the readout system. Pieces of Al2O3:C films (~8 mm  ×  8 mm  ×  125 µm) were irradiated and used to simulate dose distributions with extreme dose gradients (zero and non-zero dose regions). The OSLD film pieces were scanned using a custom-built laser-scanning OSL reader and the data obtained were used to develop and demonstrate a dose reconstruction algorithm. The algorithm includes corrections for: (a) galvo hysteresis, (b) photomultiplier tube (PMT) linearity, (c) phosphorescence, (d) ‘pixel bleeding’ caused by the 35 ms luminescence lifetime of F-centers in Al2O3, (e) geometrical distortion inherent to Galvo scanning system, and (f) position dependence of the light collection efficiency. The algorithm was also applied to 6.0 cm  ×  6.0 cm  ×  125 μm or 10.0 cm  ×  10.0 cm  ×  125 µm Al2O3:C and Al2O3:C,Mg films exposed to megavoltage x-rays (6 MV) and 12C beams (430 MeV u-1). The results obtained using pieces of irradiated films show the ability of the image reconstruction algorithm to correct for pixel bleeding even in the presence of extremely sharp dose gradients. Corrections for geometric distortion and position dependence of light collection efficiency were shown to minimize characteristic limitations of this system design. We also exemplify the application of the algorithm to more clinically relevant 6 MV x-ray beam and a 12C pencil beam, demonstrating the potential for small field dosimetry. The image reconstruction algorithm described here provides the foundation for laser-scanned OSL applied to 2D dosimetry.

  6. Determining oxide trapped charges in Al2O3 insulating films on recessed AlGaN/GaN heterostructures by gate capacitance transients measurements

    NASA Astrophysics Data System (ADS)

    Fiorenza, Patrick; Greco, Giuseppe; Schilirò, Emanuela; Iucolano, Ferdinando; Lo Nigro, Raffaella; Roccaforte, Fabrizio

    2018-05-01

    This letter presents time-dependent gate-capacitance transient measurements (C–t) to determine the oxide trapped charges (N ot) in Al2O3 films deposited on recessed AlGaN/GaN heterostructures. The C–t transients acquired at different temperatures under strong accumulation allowed to accurately monitor the gradual electron trapping, while hindering the re-emission by fast traps that may affect conventional C–V hysteresis measurements. Using this method, an increase of N ot from 2 to 6 × 1012 cm‑2 was estimated between 25 and 150 °C. The electron trapping is ruled by an Arrhenius dependence with an activation energy of 0.12 eV which was associated to points defects present in the Al2O3 films.

  7. Optimization of the deposition conditions and structural characterization of Y1Ba2Cu3O(7-x) thin superconducting films

    NASA Technical Reports Server (NTRS)

    Chrzanowski, J.; Meng-Burany, S.; Xing, W. B.; Curzon, A. E.; Heinrich, B.; Irwin, J. C.; Cragg, R. A.; Zhou, H.; Habib, F.; Angus, V.

    1995-01-01

    Two series of Y1Ba2Cu3O(z) thin films deposited on (001) LaAl03 single crystals by excimer laser ablation under two different protocols have been investigated. The research has yielded well defined deposition conditions in terms of oxygen partial pressure p(O2) and substrate temperature of the deposition process Th, for the growth of high quality epitaxial films of YBCO. The films grown under conditions close to optimal for both j(sub c) and T(sub c) exhibited T(sub c) greater than or equal to 91 K and j(sub c) greater than or equal to 4 x 106 A/sq cm, at 77 K. Close correlations between the structural quality of the film, the growth parameters (p(O2), T(sub h)) and j(sub c) and T(sub c) have been found.

  8. Annealing effects on electron-beam evaporated Al 2O 3 films

    NASA Astrophysics Data System (ADS)

    Shuzhen, Shang; Lei, Chen; Haihong, Hou; Kui, Yi; Zhengxiu, Fan; Jianda, Shao

    2005-04-01

    The effects of post-deposited annealing on structure and optical properties of electron-beam evaporated Al 2O 3 single layers were investigated. The films were annealed in air for 1.5 h at different temperatures from 250 to 400 °C. The optical constants and cut-off wavelength were deduced. Microstructure of the samples was characterized by X-ray diffraction (XRD). Profile and surface roughness measurement instrument was used to determine the rms surface roughness. It was found that the cut-off wavelength shifted to short wavelength as the annealing temperature increased and the total optical loss decreased. The film structure remained amorphous even after annealing at 400 °C temperature and the samples annealed at higher temperature had the higher rms surface roughness. The decreasing total optical loss with annealing temperature was attributed to the reduction of absorption owing to oxidation of the film by annealing. Guidance to reduce the optical loss of excimer laser mirrors was given.

  9. Rapid fabrication of superhydrophobic Al/Fe2O3 nanothermite film with excellent energy-release characteristics and long-term storage stability

    NASA Astrophysics Data System (ADS)

    Ke, Xiang; Zhou, Xiang; Hao, Gaozi; Xiao, Lei; Liu, Jie; Jiang, Wei

    2017-06-01

    One of the challenges for the application of energetic materials is their energy-retaining capabilities after long-term storage. In this study, we report a facile method to fabricate superhydrophobic Al/Fe2O3 nanothermite film by combining electrophoretic deposition and surface modification technologies. Different concentrations of dispersion solvents and additives are investigated to optimize the deposition parameters. Meanwhile, the dependence of deposition rates on nanoparticle concentrations is also studied. The surface morphology and chemical composition are characterized by field-emission scanning electron microscopy, X-ray diffraction, X-ray energy-dispersive spectroscopy, and X-ray photoelectron spectroscopy. A static contact angles as high as 156° shows the superhydrophobicity of the nanothermite film. Natural and accelerated aging tests are performed and the thermal behavior is analyzed. Thermal analysis shows that the surface modification contributes to significantly improved energy-release characteristics for both fresh and aged samples, which is supposed to be attributed to the preignition reaction between Al2O3 shell and FAS-17. Superhydrophobic Al/Fe2O3 nanothermite film exhibits excellent long-time storage stability with 83.4% of energy left in natural aging test and 60.5% in accelerated aging test. This study is instructive to the practical applications of nanothermites, especially in highly humid environment.

  10. The Preparation of (Al2O3)x(SiO2)y Thin Films Using (Al(OSiEt3)3)2 as a Single Source Precursor

    DTIC Science & Technology

    1992-05-12

    point AI(OSiEt 3)3(NH3 ) cannot itself readily be used as a volatile precursor. If, however, NH 3 is used as the carrier gas [AI(OSiEt3)3]2 rapidly melts ...situ formation of the low melting Lewis acid-base adduct Al(OSiEt 3)3(NH 3), however, no nitrogen incorporation was observed in these deposited films...in situ formation of the low melting Lewis acid-base adduct AI(OSiEt3)3(NH3), however, no nitrogen incorporation was observed in these deposited

  11. Thermoelectric Properties of Al-Doped ZnO Thin Films

    NASA Astrophysics Data System (ADS)

    Saini, S.; Mele, P.; Honda, H.; Matsumoto, K.; Miyazaki, K.; Ichinose, A.

    2014-06-01

    We have prepared 2 % Al-doped ZnO (AZO) thin films on SrTiO3 substrates by a pulsed laser deposition technique at various deposition temperatures ( T dep = 300-600 °C). The thermoelectric properties of AZO thin films were studied in a low temperature range (300-600 K). Thin film deposited at 300 °C is fully c-axis-oriented and presents electrical conductivity 310 S/cm with Seebeck coefficient -65 μV/K and power factor 0.13 × 10-3 Wm-1 K-2 at 300 K. The performance of thin films increases with temperature. For instance, the power factor is enhanced up to 0.55 × 10-3 Wm-1 K-2 at 600 K, surpassing the best AZO film previously reported in the literature.

  12. Improved interface and electrical properties of atomic layer deposited Al2O3/4H-SiC

    NASA Astrophysics Data System (ADS)

    Suvanam, Sethu Saveda; Usman, Muhammed; Martin, David; Yazdi, Milad. G.; Linnarsson, Margareta; Tempez, Agnès; Götelid, Mats; Hallén, Anders

    2018-03-01

    In this paper we demonstrate a process optimization of atomic layer deposited Al2O3 on 4H-SiC resulting in an improved interface and electrical properties. For this purpose the samples have been treated with two pre deposition surface cleaning processes, namely CP1 and CP2. The former is a typical surface cleaning procedure used in SiC processing while the latter have an additional weak RCA1 cleaning step. In addition to the cleaning and deposition, the effects of post dielectric annealing (PDA) at various temperatures in N2O ambient have been investigated. Analyses by scanning electron microscopy show the presence of structural defects on the Al2O3 surface after annealing at 500 and 800 °C. These defects disappear after annealing at 1100 °C, possibly due to densification of the Al2O3 film. Interface analyses have been performed using X-ray photoelectron spectroscopy (XPS) and time-of-flight medium energy ion scattering (ToF MEIS). Both these measurements show the formation of an interfacial SiOx (0 < x < 2) layer for both the CP1 and CP2, displaying an increased thickness for higher temperatures. Furthermore, the quality of the sub-oxide interfacial layer was found to depend on the pre deposition cleaning. In conclusion, an improved interface with better electrical properties is shown for the CP2 sample annealed at 1100 °C, resulting in lower oxide charges, strongly reduced flatband voltage and leakage current, as well as higher breakdown voltage.

  13. Vacuum ultraviolet thin films. I - Optical constants of BaF2, CaF2, LaF3, MgF2, Al2O3, HfO2, and SiO2 thin films. II - Vacuum ultraviolet all-dielectric narrowband filters

    NASA Technical Reports Server (NTRS)

    Zukic, Muamer; Torr, Douglas G.; Spann, James F.; Torr, Marsha R.

    1990-01-01

    An iteration process matching calculated and measured reflectance and transmittance values in the 120-230 nm VUV region is presently used to ascertain the optical constants of bulk MgF2, as well as films of BaF2, CaF2, LaF3, MgF2, Al2O3, HfO2, and SiO2 deposited on MgF2 substrates. In the second part of this work, a design concept is demonstrated for two filters, employing rapidly changing extinction coefficients, centered at 135 nm for BaF2 and 141 nm for SiO2. These filters are shown to yield excellent narrowband spectral performance in combination with narrowband reflection filters.

  14. Al2O3 Coatings on Magnesium Alloy Deposited by the Fluidized Bed (FB) Technique

    PubMed Central

    Rubino, Gianluca; Ucciardello, Nadia

    2018-01-01

    Magnesium alloys are widely employed in several industrial domains for their outstanding properties. They have a high strength-weight ratio, with a density that is lower than aluminum (33% less), and feature good thermal properties, dimensional stability, and damping characteristics. However, they are vulnerable to oxidation and erosion-corrosion phenomena when applied in harsh service conditions. To avoid the degradation of magnesium, several coating methods have been presented in the literature; however, all of them deal with drawbacks that limit their application in an industrial environment, such as environmental pollution, toxicity of the coating materials, and high cost of the necessary machinery. In this work, a plating of Al2O3 film on a magnesium alloy realized by the fluidized bed (FB) technique and using alumina powder is proposed. The film growth obtained through this cold deposition process is analyzed, investigating the morphology as well as tribological and mechanical features and corrosion behavior of the plated samples. The resulting Al2O3 coatings show consistent improvement of the tribological and anti-corrosive performance of the magnesium alloy. PMID:29315222

  15. Optimization of Al2O3/TiO2/Al 2O3 Multilayer Antireflection Coating With X-Ray Scattering Techniques

    NASA Astrophysics Data System (ADS)

    Li, Chao

    Broadband multilayer antireflection coatings (ARCs) are keys to improving solar cell efficiencies. The goal of this dissertation is to optimize the multilayer Al2O3/TiO2/Al2O 3 ARC designed for a III-V space multi-junction solar cell with understanding influences of post-annealing and varying deposition parameters on the optical properties. Accurately measuring optical properties is important in accessing optical performances of ARCs. The multilayer Al2O3/TiO 2/Al2O3 ARC and individual Al2O 3 and TiO2 layers were characterized by a novel X-ray reflectivity (XRR) method and a combined method of grazing-incidence small angle X-ray scattering (GISAXS), atomic force microscopy (AFM), and XRR developed in this study. The novel XRR method combining an enhanced Fourier analysis with specular XRR simulation effectively determines layer thicknesses and surface and interface roughnesses and/or grading with sub-nanometer precision, and densities less than three percent uncertainty. Also, the combined method of GISAXS, AFM, and XRR characterizes the distribution of pore size with one-nanometer uncertainty. Unique to this method, the diffuse scattering from surface and interface roughnesses is estimated with surface parameters (root mean square roughness sigma, lateral correlation length ξ, and Hurst parameter h) obtained from AFM, and layer densities, surface grading and interface roughness/grading obtained from specular XRR. It is then separated from pore scattering. These X-ray scattering techniques obtained consistent results and were validated by other techniques including optical reflectance, spectroscopic ellipsometry (SE), glancing incidence X-ray diffraction, transmission electron microscopy and energy dispersive X-ray spectroscopy. The ARCs were deposited by atomic layer deposition with standard parameters at 200 °C. The as-deposited individual Al2O3 layer on Si is porous and amorphous as indicated by the combined methods of GISAXS, AFM, and XRR. Both post

  16. Enhanced pH sensitivity of AlGaN/GaN ion-sensitive field effect transistor with Al2O3 synthesized by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Wang, Lei; Li, Liuan; Zhang, Tong; Liu, Xinke; Ao, Jin-Ping

    2018-01-01

    In this study, we evaluated the pH sensitivity enhancement of AlGaN/GaN ion-sensitive field-effect transistor (ISFET) coated by Al2O3 film on the sensing area utilizing atomic layer deposition (ALD). The presence of the Al2O3 film leads to an obvious reduction of surface state density as well as leakage current in the solution, which is beneficial for improving the stability of the ISFET. Furthermore, the sensitivity of the ISFET was improved to 57.8 mV/pH, which is very close to the Nernstian limit at room temperature. The pH sensitivity enhancement can be explained by the higher density of sensing site as well as better surface hydrophilicity.

  17. Formation of CuAlO2 Film by Ultrasonic Spray Pyrolysis

    NASA Astrophysics Data System (ADS)

    Iping, S.; Lockman, Zainovia; Hutagalung, S. D.; Kamsul, A.; Matsuda, Atsunori

    2011-10-01

    Smooth, crack free and homogenous CuAlO2 film was produced by chemical solution deposition process via spray pyrolysis technique on a cleaned Si substrate. The precursor solution used was comprised of a mixture of 45.87 mmol Cu(NO3)2.3H2O and 90 mmol Al(NO3)3.9H2O at ratio of Cu:Al = 1.2:1. The precursor solution was placed in a mist chamber and was atomized by a nebulizer to produce precursor mist. The precursor mist was then carried out by Ar gas and was sprayed onto a heated Si. Two main parameters were studied: the distance between the nozzle of the precursor mist chamber and the Si and the temperature of the Si substrate. It appears that from the XRD data, CuAlO2 can be detected for samples prepared by spraying the precursor mist at temperature of > 550 °C with distance between the nozzle and the substrate of 3cm. Reaction of the Cu and Al ions in the mist near the substrate may have promoted the crystallisation of CuAlO2.

  18. Low-pressure large-area magnetron sputter deposition of YBa2Cu3O7-δ films for industrial applications

    NASA Astrophysics Data System (ADS)

    Wördenweber, Roger; Hollmann, Eugen; Poltiasev, Michael; Neumüller, Heinz-Werner

    2003-05-01

    This paper addresses the development of a technically relevant sputter-deposition process for YBa2Cu3O7-delta films. First, the simulation of the particle transport from target to substrate indicates that only at a reduced pressure of p approx 1-10 Pa can a sufficiently large deposition rate and homogeneous stoichiometric distribution of the particles during large-area deposition be expected. The results of the simulations are generally confirmed by deposition experiments on CeO2 buffered sapphire and LaAlO3 substrates using a magnetron sputtering system suitable for large-area deposition. However, it is shown that in addition to the effect of scattering during particle transport, the conditions at the substrate lead to a selective growth of Y-Ba-Cu-O phases that, among others, strongly affect the growth rate. For example, the growth rate is more than three times larger for optimized parameters compared to the same set of parameters but at 100 K lower substrate temperature. Stoichiometrical and structural perfect films can be grown at low pressure (p < 10 Pa). However, the superconducting transition temperature of these films is reduced. The Tc reduction seems to be correlated with the c-axis length of YBa2Cu3O7-delta. Two possible explanations for the increased c-axis length and the correlated reduced transition temperature are discussed, i.e. reduced oxygen content and strong cation site disorder due to the heavy particle bombardment.

  19. Preparation of epitaxial TlBa2Ca2Cu3O9 high Tc thin films on LaAlO3 (100) substrates

    NASA Astrophysics Data System (ADS)

    Piehler, A.; Reschauer, N.; Spreitzer, U.; Ströbel, J. P.; Schönberger, R.; Renk, K. F.; Saemann-Ischenko, G.

    1994-09-01

    Epitaxial TlBa2Ca2Cu3O9 high Tc thin films were prepared on LaAlO3 (100) substrates by a combination of laser ablation and thermal evaporation of thallium oxide. X-ray diffraction patterns of θ-2θ scans showed that the films consisted of highly c axis oriented TlBa2Ca2Cu3O9. φ scan measurements revealed an epitaxial growth of the TlBa2Ca2Cu3O9 thin films on the LaAlO3 (100) substrates. Ac inductive measurements indicated the onset of superconductivity at 110 K. At 6 K, the critical current density was 4×106 A/cm2 in zero magnetic field and 6×105 A/cm2 at a magnetic field of 3 T parallel to the c axis.

  20. Fast spatial atomic layer deposition of Al{sub 2}O{sub 3} at low temperature (<100 °C) as a gas permeation barrier for flexible organic light-emitting diode displays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choi, Hagyoung; Shin, Seokyoon; Jeon, Hyeongtag, E-mail: hjeon@hanyang.ac.kr

    2016-01-15

    The authors developed a high throughput (70 Å/min) and scalable space-divided atomic layer deposition (ALD) system for thin film encapsulation (TFE) of flexible organic light-emitting diode (OLED) displays at low temperatures (<100 °C). In this paper, the authors report the excellent moisture barrier properties of Al{sub 2}O{sub 3} films deposited on 2G glass substrates of an industrially relevant size (370 × 470 mm{sup 2}) using the newly developed ALD system. This new ALD system reduced the ALD cycle time to less than 1 s. A growth rate of 0.9 Å/cycle was achieved using trimethylaluminum as an Al source and O{sub 3} as an O reactant. Themore » morphological features and step coverage of the Al{sub 2}O{sub 3} films were investigated using field emission scanning electron microscopy. The chemical composition was analyzed using Auger electron spectroscopy. These deposited Al{sub 2}O{sub 3} films demonstrated a good optical transmittance higher than 95% in the visible region based on the ultraviolet visible spectrometer measurements. Water vapor transmission rate lower than the detection limit of the MOCON test (less than 3.0 × 10{sup −3} g/m{sup 2} day) were obtained for the flexible substrates. Based on these results, Al{sub 2}O{sub 3} deposited using our new high-throughput and scalable spatial ALD is considered a good candidate for preparation of TFE films of flexible OLEDs.« less

  1. Fabrication and mechanical properties of Al2O3/SiC/ZrO2 functionally graded material by electrophoretic deposition.

    PubMed

    Askari, E; Mehrali, M; Metselaar, I H S C; Kadri, N A; Rahman, Md M

    2012-08-01

    This study describes the synthesis of Al(2)O(3)/SiC/ZrO(2) functionally graded material (FGM) in bio-implants (artificial joints) by electrophoretic deposition (EPD). A suitable suspension that was based on 2-butanone was applied for the EPD of Al(2)O(3)/SiC/ZrO(2), and a pressureless sintering process was applied as a presintering. Hot isostatic pressing (HIP) was used to densify the deposit, with beneficial mechanical properties after 2 h at 1800 °C in Ar atmosphere. The maximum hardness in the outer layer (90 vol.% Al(2)O(3)+10 vol.% SiC) and maximum fracture toughness in the core layer (75 vol.% Al(2)O(3)+10 vol.% SiC + 15 vol.% ZrO(2)) composite were 20.8±0.3 GPa and 8±0.1 MPa m(1/2), respectively. The results, when compared with results from Al(2)O(3)/ZrO(2) FGM, showed that SiC increased the compressive stresses in the outer layers, while the inner layers were under a residual tensile stress. Copyright © 2012 Elsevier Ltd. All rights reserved.

  2. Analysis of the co-deposition of Al2O3 particles with nickel by an electrolytic route: The influence of organic additives presence and Al2O3 concentration

    NASA Astrophysics Data System (ADS)

    Temam, H. B.; Temam, E. G.

    2016-04-01

    Alloy coatings were prepared by co-deposition of Al2O3 particles in Ni matrix on carbon steel substrate from nickel chloride bath in which metallic powders were held in suspension. The influence of metal powder amount in the bath on chemical composition, morphology, thickness, microhardness and corrosion behavior of obtained coatings, has been investigated. It was shown that the presence of Al2O3 particles in deposit greatly improves the hardness and the wear resistance of alloy coatings. Characterization by microanalysis (EDX) of the various deposits elaborated confirms that the rate of particles incorporated increases as the concentration of solid particles increasing. The results showed that the presence of organic additives in Ni-Al2O3 electrolyte deposition led to an increase in the hardness and corrosion resistance of the deposits.

  3. Epitaxial Growth of YBa2Cu3O7 Films onto LaAlO3 (100) by Using Oxalates

    NASA Astrophysics Data System (ADS)

    Dominguez, A. Bustamante; Felix, L. León; Garcia, J.; Santibañez, J. Flores; Valladares, L. De Los Santos; Gonzalez, J. C.; Anaya, A. Osorio; Pillaca, M.

    Due to the current necessity to obtain epitaxial superconductor films at low cost, we report the growth of YBa2Cu3O7 (Y123) films by chemical deposition. The procedure involved simple steps such as precipitation of stoichiometric amounts of yttrium, barium and copper acetates in oxalic acid (H2C2O4). The precursor solution was dripped onto LaAlO3 (100) substrates with the help of a Fisher pipette. The films were annealed in oxygen atmosphere during 12 h at three different temperatures: 820 °C, 840 °C and 860 °C. After 820 °C and 860 °C annealing, X-ray diffraction (XRD) analysis revealed high intensity of the (00l) reflections denoting that most of the Y123 grains were c-axis oriented. In addition, we also observed a-axis oriented grains ((h00) reflexion), minor randomly oriented grains and other phases (such as Y2BaCuO5 and CuO). In contrast, the sample treated at 840 °C, we noticed c - and a-axis oriented grains, very small amounts of randomly oriented grains without formation of other phases. From the magnetization versus temperature measurements, the critical temperatures were estimated at 70K and 90K for the samples annealed at 820 °C and 860 °C respectively.

  4. Thermal conductivity of Er{sup +3}:Y{sub 2}O{sub 3} films grown by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Raeisi Fard, Hafez; Hess, Andrew; Pashayi, Kamyar

    2013-11-04

    Cross-plane thermal conductivity of 800, 458, and 110 nm erbium-doped crystalline yttria (Er{sup +3}:Y{sub 2}O{sub 3}) films deposited via atomic layer deposition was measured using the 3ω method at room temperature. Thermal conductivity results show 16-fold increase in thermal conductivity from 0.49 W m{sup −1}K{sup −1} to 8 W m{sup −1}K{sup −1} upon post deposition annealing, partially due to the suppression of the number of the -OH/H{sub 2}O bonds in the films after annealing. Thermal conductivity of the annealed film was ∼70% lower than undoped bulk single crystal yttria. The cumulative interface thermal resistivity of substrate-Er{sup +3}:Y{sub 2}O{sub 3}-metal heater was determined tomore » be ∼2.5 × 10{sup −8} m{sup 2} K/W.« less

  5. Epitaxial growth of γ-Al{sub 2}O{sub 3} on Ti{sub 2}AlC(0001) by reactive high-power impulse magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eklund, Per, E-mail: perek@ifm.liu.se; Frodelius, Jenny; Hultman, Lars

    2014-01-15

    Al{sub 2}O{sub 3} was deposited by reactive high-power impulse magnetron sputtering at 600 °C onto pre-deposited Ti{sub 2}AlC(0001) thin films on α-Al{sub 2}O{sub 3}(0001) substrates. The Al{sub 2}O{sub 3} was deposited to a thickness of 65 nm and formed an adherent layer of epitaxial γ-Al{sub 2}O{sub 3}(111) as shown by transmission electron microscopy. The demonstration of epitaxial growth of γ-Al{sub 2}O{sub 3} on Ti{sub 2}AlC(0001) open prospects for growth of crystalline alumina as protective coatings on Ti{sub 2}AlC and related nanolaminated materials. The crystallographic orientation relationships are γ-Al{sub 2}O{sub 3}(111)//Ti{sub 2}AlC(0001) (out-of-plane) and γ- Al {sub 2}O{sub 3}(22{sup ¯}0)// Timore » {sub 2} AlC (112{sup ¯}0) (in-plane) as determined by electron diffraction. Annealing in vacuum at 900 °C resulted in partial decomposition of the Ti{sub 2}AlC by depletion of Al and diffusion into and through the γ-Al{sub 2}O{sub 3} layer.« less

  6. Dependence of millimeter wave surface resistance on the deposition parameters of laser ablated YBa2Cu3O(x) thin films

    NASA Technical Reports Server (NTRS)

    Wosik, J.; Robin, T.; Davis, M.; Wolfe, J. C.; Forster, K.; Deshmukh, S.; Bensaoula, A.; Sega, R.; Economou, D.; Ignatiev, A.

    1990-01-01

    Measurements of millimeter-wave surface resistance versus temperature have been performed for YBa2Cu3O(x) thin films on 100 line-type SrTiO(3) substrates using a TE(011) cylindrical copper cavity at 80 GHz. The 0.6-micron thick films were grown at several deposition temperatures in the range 690 C to 810 C by means of a pulsed excimer laser ablation technique. A surface resistance minimum (60 milliohm at 77 K) near 770 C is shown to correlate with a minimum in c-axis lattice parameter (11.72 A). The highest value of Tc also occurs near this temperature. The surface resistance of films deposited at 790 C on 110 line-type LaAlO3 subtrates is lower, reaching 8 milliohm at 98 GHz and 80 K, demonstrating the influence of substate material on film quality.

  7. RF plasma MOCVD of Y2O3 thin films: Effect of RF self-bias on the substrates during deposition

    NASA Astrophysics Data System (ADS)

    Chopade, S. S.; Barve, S. A.; Thulasi Raman, K. H.; Chand, N.; Deo, M. N.; Biswas, A.; Rai, Sanjay; Lodha, G. S.; Rao, G. M.; Patil, D. S.

    2013-11-01

    Yttrium oxide (Y2O3) thin films have been deposited by radio frequency plasma assisted metal organic chemical vapor deposition (MOCVD) process using (2,2,6,6-tetramethyl-3,5-heptanedionate) yttrium (commonly known as Y(thd)3) precursor in a plasma of argon and oxygen gases at a substrate temperature of 350 °C. The films have been deposited under influence of varying RF self-bias (-50 V to -175 V) on silicon, quartz, stainless steel and tantalum substrates. The deposited coatings are characterized by glancing angle X-ray diffraction (GIXRD), Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), spectroscopic ellipsometry and scanning electron microscopy (SEM). GIXRD and FTIR results indicate deposition of Y2O3 (BCC structure) in all cases. However, XPS results indicate nonstoichiometric cubic phase deposition on the surface of deposited films. The degree of nonstoichiometry varies with bias during deposition. Ellipsometry results indicate that the refractive index for the deposited films is varying from 1.70 to 1.83 that is typical for Y2O3. All films are transparent in the investigated wavelength range 300-1200 nm. SEM results indicate that the microstructure of the films is changing with applied bias. Results indicate that it is possible to deposit single phase cubic Y2O3 thin films at low substrate temperature by RF plasma MOCVD process. RF self-bias that decides about the energy of impinging ions on the substrates plays an important role in controlling the texture of deposited Y2O3 films on the substrates. Results indicate that to control the structure of films and its texture, it is important to control the bias on the substrate during deposition. The films deposited at high bias level show degradation in the crystallinity and reduction of thickness.

  8. Vacancy-type defects in Al2O3/GaN structure probed by monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, Akira; Nabatame, Toshihide; Egger, Werner; Koschine, Tönjes; Hugenschmidt, Christoph; Dickmann, Marcel; Sumiya, Masatomo; Ishibashi, Shoji

    2018-04-01

    Defects in the Al2O3(25 nm)/GaN structure were probed by using monoenergetic positron beams. Al2O3 films were deposited on GaN by atomic layer deposition at 300 °C. Temperature treatment above 800 °C leads to the introduction of vacancy-type defects in GaN due to outdiffusion of atoms from GaN into Al2O3. The width of the damaged region was determined to be 40-50 nm from the Al2O3/GaN interface, and some of the vacancies were identified to act as electron trapping centers. In the Al2O3 film before and after annealing treatment at 300-900 °C, open spaces with three different sizes were found to coexist. The density of medium-sized open spaces started to decrease above 800 °C, which was associated with the interaction between GaN and Al2O3. Effects of the electron trapping/detrapping processes of interface states on the flat band voltage and the defects in GaN were also discussed.

  9. Processing and optical properties of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides

    NASA Astrophysics Data System (ADS)

    Xiang, Qing; Zhou, Yan; Ooi, Boon Siew; Lam, Yee Loy; Chan, Yuen Chuen; Kam, Chan Hin

    2000-05-01

    We report here the processing and optical characterization of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides deposited on SOS substrates by the sol-gel route combined with spin-coating and rapid thermal annealing. The recipes used for preparing the solutions by sol-gel route are in mole ratio of 93SiO2:20AlO1.5: x ErO1.5. In order to verify the residual OH content in the films, FTIR spectra were measured and the morphology of the material by the XRD analysis. Five 2-layer films annealed at a maximum temperature of 500 degrees C, 700 degrees C, 900 degrees, 1000 degrees C, 1100 degrees C respectively were fabricated on silicon. The FTIR and XRD curves show that annealing at 1050 degrees C for 15s effectively removes the OH in the materia and keeps the material amorphous. The propagation loss of the planar waveguides was measured by using the method based on scattering in measurements and the result was obtained to be 1.54dB/cm. The fluorescence spectra were measured with 514nm wavelength of Ar+ laser by directly shining the pump beam on the film instead of prism coupling. The results show that the 1 mole Nd3+ content recipe has the strongest emission efficiency among the four samples investigated.

  10. A Nanocrystalline Fe2O3 Film Anode Prepared by Pulsed Laser Deposition for Lithium-Ion Batteries

    NASA Astrophysics Data System (ADS)

    Teng, Xiaoling; Qin, Youzhi; Wang, Xia; Li, Hongsen; Shang, Xiantao; Fan, Shuting; Li, Qiang; Xu, Jie; Cao, Derang; Li, Shandong

    2018-02-01

    Nanocrystalline Fe2O3 thin films are deposited directly on the conduct substrates by pulsed laser deposition as anode materials for lithium-ion batteries. We demonstrate the well-designed Fe2O3 film electrodes are capable of excellent high-rate performance (510 mAh g- 1 at high current density of 15,000 mA g- 1) and superior cycling stability (905 mAh g- 1 at 100 mA g- 1 after 200 cycles), which are among the best reported state-of-the-art Fe2O3 anode materials. The outstanding lithium storage performances of the as-synthesized nanocrystalline Fe2O3 film are attributed to the advanced nanostructured architecture, which not only provides fast kinetics by the shortened lithium-ion diffusion lengths but also prolongs cycling life by preventing nanosized Fe2O3 particle agglomeration. The electrochemical performance results suggest that this novel Fe2O3 thin film is a promising anode material for all-solid-state thin film batteries.

  11. Wide band antireflective coatings Al2O3 / HfO2 / MgF2 for UV region

    NASA Astrophysics Data System (ADS)

    Winkowski, P.; Marszałek, Konstanty W.

    2013-07-01

    Deposition technology of the three layers antireflective coatings consists of hafnium compound are presented in this paper. Oxide films were deposited by means of e-gun evaporation in vacuum of 5x10-5 mbar in presence of oxygen and fluoride films by thermal evaporation. Substrate temperature was 250°C. Coatings were deposited onto optical lenses made from quartz glass (Corning HPFS). Thickness and deposition rate were controlled by thickness measuring system Inficon XTC/2. Simulations leading to optimization of thickness and experimental results of optical measurements carried during and after deposition process were presented. Physical thickness measurements were made during deposition process and were equal to 43 nm/74 nm/51 nm for Al2O3 / HfO2 / MgF2 respectively. Optimization was carried out for ultraviolet region from 230nm to the beginning of visible region 400 nm. In this region the average reflectance of the antireflective coating was less than 0.5% in the whole range of application.

  12. Domain matched epitaxial growth of (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} thin films on (0001) Al{sub 2}O{sub 3} with ZnO buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Krishnaprasad, P. S., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Jayaraj, M. K., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Antony, Aldrin

    2015-03-28

    Epitaxial (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} (BST) thin films have been grown by pulsed laser deposition on (0001) Al{sub 2}O{sub 3} substrate with ZnO as buffer layer. The x-ray ω-2θ, Φ-scan and reciprocal space mapping indicate epitaxial nature of BST thin films. The domain matched epitaxial growth of BST thin films over ZnO buffer layer was confirmed using Fourier filtered high resolution transmission electron microscope images of the film-buffer interface. The incorporation of ZnO buffer layer effectively suppressed the lattice mismatch and promoted domain matched epitaxial growth of BST thin films. Coplanar inter digital capacitors fabricated on epitaxial (111) BSTmore » thin films show significantly improved tunable performance over polycrystalline thin films.« less

  13. Cu-Doped ZnO Thin Films Grown by Co-deposition Using Pulsed Laser Deposition for ZnO and Radio Frequency Sputtering for Cu

    NASA Astrophysics Data System (ADS)

    Shin, Hyun Wook; Son, Jong Yeog

    2018-05-01

    Cu-doped ZnO (CZO) thin films were fabricated on single-crystalline (0001) Al2O3 substrates by co-deposition using pulsed laser deposition for ZnO and radio frequency sputtering for Cu. CZO thin films with 0-20% molar concentrations are obtained by adjusting the deposition rates of ZnO and Cu. The CZO thin films exhibit room temperature ferromagnetism, and CZO with 5% Cu molar concentration has maximum remanent magnetization, which is consistent with theoretical results.

  14. Electron-stimulated reactions in nanoscale water films adsorbed on α-Al 2O 3 (0001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petrik, Nikolay G.; Kimmel, Gregory A.

    The radiation-induced decomposition and desorption of nanoscale amorphous solid water (D2O) films adsorbed on -Al2O3(0001) surface was studied at low temperature in ultrahigh vacuum using temperature programmed desorption (TPD) and electron stimulated desorption (ESD) with a mono-energetic, low energy electron source. ESD yields of molecular products ( D2, O2 and D¬2O) and the total sputtering yield increased with increasing D2O coverage up to ~15 water monolayers (i.e. ~15 1015 cm-2) to a coverage-independent level for thicker water films. Experiments with isotopically-layered water films (D2O and H2O) demonstrated that the highest water decomposition yields occurred at the interfaces of the nanoscalemore » water films with the alumina substrate and vacuum. However, the increased reactivity of the water/alumina interface is relatively small compared to the enhancements in the non-thermal reactions previously observed at the water/Pt(111) and water/TiO2(110) interfaces. We propose that the relatively low activity of Al2O3(0001) for the radiation-induced production of molecular hydrogen is associated with lower reactivity of this surface with hydrogen atoms, which are likely precursors for the molecular hydrogen.100 eV electrons are stopped in the H 2O portion of the isotopically-layered nanoscale film on α-Al 2O 3(0001) but D 2is produced at the D 2O/alumina interface by mobile electronic excitations and/or hydronium ions.« less

  15. A Comparison of MOCLD With PLD Ba(x)Sr(1-x)TiO3 Thin Films on LaAlO3 for Tunable Microwave Applications

    NASA Technical Reports Server (NTRS)

    VanKeuls, F. W.; Mueller, C. H.; Romanofsky, R. R.; Warner, J. D.; Miranda, F. A.; Jiang, H.

    2002-01-01

    Historically, tunable dielectric devices using thin crystalline Ba(x)Sr(1-x)TiO3 (BST) films deposited on lattice-matched substrates, such as LaAlO3, have generally been grown using pulsed laser deposition (PLD). Highly oriented BST films can be grown by PLD but large projects are hampered by constraints of deposition area, deposition time and expense. The Metal-Organic Chemical Liquid Deposition (MOCLD) process allows for larger areas, faster turnover and lower cost. Several BST films deposited on LaAlO3 by MOCLD have been tested in 16 GHz coupled microstrip phase shifters. They can be compared with many PLD BST films tested in the same circuit design. The MOCLD phase shifter performance of 293 deg. phase shift with 53 V/micron dc bias and a figure of merit of 47 deg./dB is comparable to the most highly oriented PLD BST films. The PLD BST films used here have measured XRD full-width-at-half-maxima (FWHM) as low as 0.047 deg.. The best FWHM of these MOCLD BST films has been measured to be 0.058 deg.

  16. As-Deposited (La1-xSrx)(Ga1-y-zMgyCoz)O3-(x+y+z)/2 Crystallized Thin Films Prepared by Pulsed Laser Deposition for Application to Solid Oxide Fuel Cell Electrolyte

    NASA Astrophysics Data System (ADS)

    Mitsugi, Fumiaki; Kanazawa, Seiji; Ohkubo, Toshikazu; Nomoto, Yukiharu; Ishihara, Tatsumi; Takita, Yusaku

    2004-01-01

    Doped lanthanum gallate (La1-xSrx)(Ga1-y-zMgyCoz)O3-(x+y+z)/2 (LSGMCO) perovskite oxide films were deposited on a quartz glass, LaAlO3 single-crystal substrate and porous anode electrode of a solid oxide fuel cell (SOFC) by pulsed laser deposition. It was necessary to increase the substrate temperature up to 800°C for a crystallization of the LSGMCO films. The film deposited on the LaAlO3 single-crystal substrate grew along the c-axis. The as-deposited LSGMCO thick film fabricated on the porous substrate at 800°C and at an oxygen pressure of 20Pa was formed from polycrystal columns and showed a high conductivity of 0.7S/cm at a measurement temperature of 800°C. The activation energies were 0.72 eV at 600-800°C and 1.05 eV at 400-600°C.

  17. Single liquid source plasma-enhanced metalorganic chemical vapor deposition of high-quality YBa2Cu3O(7-x) thin films

    NASA Technical Reports Server (NTRS)

    Zhang, Jiming; Gardiner, Robin A.; Kirlin, Peter S.; Boerstler, Robert W.; Steinbeck, John

    1992-01-01

    High quality YBa2Cu3O(7-x) films were grown in-situ on LaAlO3 (100) by a novel single liquid source plasma-enhanced metalorganic chemical vapor deposition process. The metalorganic complexes M(thd) (sub n), (thd = 2,2,6,6-tetramethyl-3,5-heptanedionate; M = Y, Ba, Cu) were dissolved in an organic solution and injected into a vaporizer immediately upstream of the reactor inlet. The single liquid source technique dramatically simplifies current CVD processing and can significantly improve the process reproducibility. X-ray diffraction measurements indicated that single phase, highly c-axis oriented YBa2Cu3O(7-x) was formed in-situ at substrate temperature 680 C. The as-deposited films exhibited a mirror-like surface, had transition temperature T(sub cO) approximately equal to 89 K, Delta T(sub c) less than 1 K, and Jc (77 K) = 10(exp 6) A/sq cm.

  18. Crystalline and magnetooptical characteristics of (Tb,Bi)3(Fe,Ga)5O12 deposited on (Y,Nd)3Al5O12

    NASA Astrophysics Data System (ADS)

    Morimoto, Ryohei; Goto, Taichi; Nakamura, Yuichi; Boey Lim, Pang; Uchida, Hironaga; Inoue, Mitsuteru

    2018-06-01

    We prepared Bi- and Ga-substituted Tb3Fe5O12 (BiGa:TIG) films on a Nd-substituted Y3Al5O12 (Nd:YAG) single crystal substrate by pulsed laser deposition, and investigated their magnetic, optical, and magnetooptical properties. A BiGa:TIG film deposited with a substrate temperature of 700 °C shows the easy axis of magnetization along the out-of-plane direction of the film and the Faraday rotation angle of 900°/cm at a wavelength of 1064 nm. The epitaxial growth of the film is confirmed by X-ray diffraction analysis.

  19. Growth and characterization of Al2O3 films on fluorine functionalized epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Robinson, Zachary R.; Jernigan, Glenn G.; Wheeler, Virginia D.; Hernández, Sandra C.; Eddy, Charles R.; Mowll, Tyler R.; Ong, Eng Wen; Ventrice, Carl A.; Geisler, Heike; Pletikosic, Ivo; Yang, Hongbo; Valla, Tonica

    2016-08-01

    Intelligent engineering of graphene-based electronic devices on SiC(0001) requires a better understanding of processes used to deposit gate-dielectric materials on graphene. Recently, Al2O3 dielectrics have been shown to form conformal, pinhole-free thin films by functionalizing the top surface of the graphene with fluorine prior to atomic layer deposition (ALD) of the Al2O3 using a trimethylaluminum (TMA) precursor. In this work, the functionalization and ALD-precursor adsorption processes have been studied with angle-resolved photoelectron spectroscopy, low energy electron diffraction, and X-ray photoelectron spectroscopy. It has been found that the functionalization process has a negligible effect on the electronic structure of the graphene, and that it results in a twofold increase in the adsorption of the ALD-precursor. In situ TMA-dosing and XPS studies were also performed on three different Si(100) substrates that were terminated with H, OH, or dangling Si-bonds. This dosing experiment revealed that OH is required for TMA adsorption. Based on those data along with supportive in situ measurements that showed F-functionalization increases the amount of oxygen (in the form of adsorbed H2O) on the surface of the graphene, a model for TMA-adsorption on graphene is proposed that is based on a reaction of a TMA molecule with OH.

  20. Characterization of Al2O3 optically stimulated luminescence films for 2D dosimetry using a 6 MV photon beam

    NASA Astrophysics Data System (ADS)

    Ahmed, M. F.; Shrestha, N.; Schnell, E.; Ahmad, S.; Akselrod, M. S.; Yukihara, E. G.

    2016-11-01

    This work evaluates the dosimetric properties of newly developed optically stimulated luminescence (OSL) films, fabricated with either Al2O3:C or Al2O3:C,Mg, using a prototype laser scanning reader, a developed image reconstruction algorithm, and a 6 MV therapeutic photon beam. Packages containing OSL films (Al2O3:C and Al2O3:C,Mg) and a radiochromic film (Gafchromic EBT3) were irradiated using a 6 MV photon beam using different doses, field sizes, with and without wedge filter. Dependence on film orientation of the OSL system was also tested. Diode-array (MapCHECK) and ionization chamber measurements were performed for comparison. The OSLD film doses agreed with the MapCHECK and ionization chamber data within the experimental uncertainties (<2% at 1.5 Gy). The system background and minimum detectable dose (MDD) were  <0.5 mGy, and the dose response was approximately linear from the MDD up to a few grays (the linearity correction was  <10% up to ~2-4 Gy), with no saturation up to 30 Gy. The dose profiles agreed with those obtained using EBT3 films (analyzed using the triple channel method) in the high dose regions of the images. In the low dose regions, the dose profiles from the OSLD films were more reproducible than those from the EBT3 films. We also demonstrated that the OSL film data are independent on scan orientation and field size over the investigated range. The results demonstrate the potential of OSLD films for 2D dosimetry, particularly for the characterization of small fields, due to their wide dynamic range, linear response, resolution and dosimetric properties. The negligible background and potential simple calibration make these OSLD films suitable for remote audits. The characterization presented here may motivate further commercial development of a 2D dosimetry system based on the OSL from Al2O3:C or Al2O3:C,Mg.

  1. Optimization of the deposition conditions and structural characterization of Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7-x} thin superconducting films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chrzanowski, J.; Meng-Burany, S.; Xing, W.B.

    1994-12-31

    Two series of Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub z} thin films deposited on (001) LaAlO{sub 3} single crystals by excimer laser ablation under two different protocols have been investigated. The research has yielded well defined deposition conditions in terms of oxygen partial pressure p(O{sub 2}) and substrate temperature of the deposition process T{sub h}, for the growth of high quality epitaxial films of YBCO. The films grown under conditions close to optimal for both j{sub c} and T{sub c} exhibited T{sub c}{ge}91 K and j{sub c}{ge}4 x 10{sup 6} A/cm{sup 2}, at 77 K. Close correlations between the structural quality ofmore » the film, the growth parameters (p(O{sub 2}), T{sub h}) and j{sub c} and T{sub c} have been found.« less

  2. Mechanism and kinetics of electrophoretic deposition of Al{sub 2}O{sub 3}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sarkar, P.; Nicholson, P.S.

    1996-06-01

    The four main electrophoretic deposition (EPD) mechanisms are discussed and their shortcomings pointed out. The Hamaker constant for Al{sub 2}O{sub 3} in ethanol suspension is determined by modelling the relationship between particle interaction energy and suspension stability. The Derjagun-Landau-Verwey-Overbeek (DLVO) interaction energy curve for Al{sub 2}O{sub 3} particles in ethanol suspension is calculated and the minimum deposition voltage determined. Three probe dc measurements were conducted to explain discrepancies between the calculated and experimentally-observed voltage. A mechanism proposed is based on the DLVO theory and particle-lyosphere destortion/thinning. Kinetic equations for EPD are developed for constant current and constant voltage deposition usingmore » mass balance conditions and verified by experimental data.« less

  3. Atomic layer deposition of Al-incorporated Zn(O,S) thin films with tunable electrical properties

    NASA Astrophysics Data System (ADS)

    Park, Helen Hejin; Jayaraman, Ashwin; Heasley, Rachel; Yang, Chuanxi; Hartle, Lauren; Mankad, Ravin; Haight, Richard; Mitzi, David B.; Gunawan, Oki; Gordon, Roy G.

    2014-11-01

    Zinc oxysulfide, Zn(O,S), films grown by atomic layer deposition were incorporated with aluminum to adjust the carrier concentration. The electron carrier concentration increased up to one order of magnitude from 1019 to 1020 cm-3 with aluminum incorporation and sulfur content in the range of 0 ≤ S/(Zn+Al) ≤ 0.16. However, the carrier concentration decreased by five orders of magnitude from 1019 to 1014 cm-3 for S/(Zn+Al) = 0.34 and decreased even further when S/(Zn+Al) > 0.34. Such tunable electrical properties are potentially useful for graded buffer layers in thin-film photovoltaic applications.

  4. High-performance flexible resistive memory devices based on Al2O3:GeOx composite

    NASA Astrophysics Data System (ADS)

    Behera, Bhagaban; Maity, Sarmistha; Katiyar, Ajit K.; Das, Samaresh

    2018-05-01

    In this study a resistive switching random access memory device using Al2O3:GeOx composite thin films on flexible substrate is presented. A bipolar switching characteristic was observed for the co-sputter deposited Al2O3:GeOx composite thin films. Al/Al2O3:GeOx/ITO/PET memory device shows excellent ON/OFF ratio (∼104) and endurance (>500 cycles). GeOx nanocrystals embedded in the Al2O3 matrix have been found to play a significant role in enhancing the switching characteristics by facilitating oxygen vacancy formation. Mechanical endurance was retained even after several bending. The conduction mechanism of the device was qualitatively discussed by considering Ohmic and SCLC conduction. This flexible device is a potential candidate for next-generation electronics device.

  5. Oxidant effect of La(NO3)3·6H2O solution on the crystalline characteristics of nanocrystalline ZrO2 films grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Oh, Nam Khen; Kim, Jin-Tae; Kang, Goru; An, Jong-Ki; Nam, Minwoo; Kim, So Yeon; Park, In-Sung; Yun, Ju-Young

    2017-02-01

    Nanocrystalline ZrO2 films were synthesized by atomic layer deposition method using CpZr[N(CH3)2]3 (Cp = C5H5) as the metal precursor and La(NO3)3·6H2O solution as the oxygen source. La element in the deposited ZrO2 films could not be detected as its content was below the resolution limit of the X-ray photoelectron spectroscopy. The alternative introduction of La(NO3)3·6H2O solution to conventionally used H2O as the oxidant effectively altered the crystalline structure, grain size, and surface roughness of the grown ZrO2 films. Specifically, the crystalline structure of the ZrO2 film changed from a mixture of tetragonal and monoclinic phases to monoclinic phase. The average grain size also increased, and the resulting film surface became rougher. The average grain sizes of the ZrO2 films prepared from La(NO3)3·6H2O solution at concentrations of 10, 20, 30, and 40% were 280, 256, 208, and 200 nm, respectively, whereas that prepared using H2O oxidant was 142 nm. However, the concentration of La(NO3)3·6H2O solution minimally influenced the crystalline characteristics of the nanocrystalline ZrO2 films i.e., the crystalline structure, grain size, and surface roughness except for crystallite size.

  6. Epitaxial structure and electronic property of β-Ga2O3 films grown on MgO (100) substrates by pulsed-laser deposition

    NASA Astrophysics Data System (ADS)

    Wakabayashi, Ryo; Yoshimatsu, Kohei; Hattori, Mai; Ohtomo, Akira

    2017-10-01

    We investigated heteroepitaxial growth of Si-doped Ga2O3 films on MgO (100) substrates by pulsed-laser deposition as a function of growth temperature (Tg) to find a strong correlation between the structural and electronic properties. The films were found to contain cubic γ-phase and monoclinic β-phase, the latter of which indicated rotational twin domains when grown at higher Tg. The formation of the metastable γ-phase and twin-domain structure in the stable β-phase are discussed in terms of the in-plane epitaxial relationships with a square MgO lattice, while crystallinity of the β-phase degraded monotonically with decreasing Tg. The room-temperature conductivity indicated a maximum at the middle of Tg, where the β-Ga2O3 layer was relatively highly crystalline and free from the twin-domain structure. Moreover, both crystallinity and conductivity of β-Ga2O3 films on the MgO substrates were found superior to those on α-Al2O3 (0001) substrates. A ratio of the conductivity, attained to the highest quantity on each substrate, was almost three orders of magnitude.

  7. Properties of NiZnO Thin Films with Different Amounts of Al Doping

    NASA Astrophysics Data System (ADS)

    Kayani, Zohra N.; Fatima, Gulnaz; Zulfiqar, Bareera; Riaz, Saira; Naseem, Shahzad

    2017-10-01

    Transparent Al-doped NiZnO thin films have been fabricated by sol-gel dip coating and investigated using scanning electron microscopy, x-ray diffraction analysis, ultraviolet-visible-near infrared (UV-Vis-NIR) spectrophotometry, vibrating-sample magnetometry, and Fourier-transform infrared spectroscopy. The Al-doped NiZnO films consisted of ZnO hexagonal and α-Al2O3 rhombohedral phases as the Al incorporation was gradually increased from 1 at.% up to 3 at.%. A decrease in the optical bandgap from 3.90 eV to 3.09 eV was observed for films grown with Al content of 1 at.% to 2.5 at.%, but at 3 at.% Al, the bandgap increased to 3.87 eV. Optical transmittance of 96% was achieved for these transparent oxide films. Study of their magnetic properties revealed that increasing Al percentage resulted in enhanced ferromagnetism. The saturated magnetization increased with increasing Al percentage. The ferromagnetic properties of Al-doped NiZnO are mediated by electrons. The surface of the deposited thin films consisted of nanowires, nanorods, porous surface, and grains.

  8. CROSS-DISCIPLINARY PHYSICS AND RELATED AREAS OF SCIENCE AND TECHNOLOGY: Excellent Passivation of p-Type Si Surface by Sol-Gel Al2O3 Films

    NASA Astrophysics Data System (ADS)

    Xiao, Hai-Qing; Zhou, Chun-Lan; Cao, Xiao-Ning; Wang, Wen-Jing; Zhao, Lei; Li, Hai-Ling; Diao, Hong-Wei

    2009-08-01

    Al2O3 films with a thickness of about 100 nm synthesized by spin coating and thermally treated are applied for field-induced surface passivation of p-type crystalline silicon. The level of surface passivation is determined by techniques based on photoconductance. An effective surface recombination velocity below 100 cm/s is obtained on 10Ω ·cm p-type c-Si wafers (Cz Si). A high density of negative fixed charges in the order of 1012 cm-2 is detected in the Al2O3 films and its impact on the level of surface passivation is demonstrated experimentally. Furthermore, a comparison between the surface passivation achieved for thermal SiO2 and plasma enhanced chemical vapor deposition SiNx:H films on the same c-Si is presented. The high negative fixed charge density explains the excellent passivation of p-type c-Si by Al2O3.

  9. Microfabrication of SrRuO3 thin films on various oxide substrates using LaAlO3/BaOx sacrificial bilayers

    NASA Astrophysics Data System (ADS)

    Harada, Takayuki; Tsukazaki, Atsushi

    2018-02-01

    Oxides provide various fascinating physical properties that could find use in future device applications. However, the physical properties of oxides are often affected by formation of oxygen vacancies during device fabrication processes. In this study, to develop a damage-free patterning process for oxides, we focus on a lift-off process using a sacrificial template layer, by which we can pattern oxide thin films without severe chemical treatment or plasma bombardment. As oxides need high thin-film growth temperature, a sacrificial template needs to be made of thermally stable and easily etchable materials. To meet these requirements, we develop a sacrificial template with a carefully designed bilayer structure. Combining a thermally and chemically stable LaAlO3 and a water-soluble BaOx, we fabricated a LaAlO3/BaOx sacrificial bilayer. The patterned LaAlO3/BaOx sacrificial bilayers were prepared on oxide substrates by room-temperature pulsed laser deposition and standard photolithography process. The structure of the sacrificial bilayer can be maintained even in rather tough conditions needed for oxide thin film growth: several hundred degrees Celsius under high oxygen pressure. Indeed, the LaAlO3/BaOx bilayer is easily removable by sonication in water. We applied the lift-off method using the LaAlO3/BaOx sacrificial bilayer to a representative oxide conductor SrRuO3 and fabricated micron-scale Hall-bar devices. The SrRuO3 channels with the narrowest line width of 5 μm exhibit an almost identical transport property to that of the pristine film, evidencing that the developed process is beneficial for patterning oxides. We show that the LaAlO3/BaOx lift-off process is applicable to various oxide substrates: SrTiO3, MgO, and Al2O3. The new versatile patterning process will expand the range of application of oxide thin films in electronic and photonic devices.

  10. Deposition of Cubic AlN Films on MgO (100) Substrates by Laser Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Mo, Z. K.; Yang, W. J.; Weng, Y.; Fu, Y. C.; He, H.; Shen, X. M.

    2017-12-01

    Cubic AlN (c-AlN) films were deposited on MgO (100) substrates by laser molecular beam epitaxy (LMBE) technique. The crystal structure and surface morphology of deposited films with various laser pulse energy and substrate temperature were investigated. The results indicate that c-AlN films exhibit the (200) preferred orientation, showing a good epitaxial relationship with the substrate. The surface roughness of c-AlN films increases when the laser pulse energy and substrate temperature increase. The film grown at laser pulse energy of 150 mJ and substrate temperature of 700 °C shows the best crystalline quality and relatively smooth surface.

  11. Al2 O3 Underlayer Prepared by Atomic Layer Deposition for Efficient Perovskite Solar Cells.

    PubMed

    Zhang, Jinbao; Hultqvist, Adam; Zhang, Tian; Jiang, Liangcong; Ruan, Changqing; Yang, Li; Cheng, Yibing; Edoff, Marika; Johansson, Erik M J

    2017-10-09

    Perovskite solar cells, as an emergent technology for solar energy conversion, have attracted much attention in the solar cell community by demonstrating impressive enhancement in power conversion efficiencies. However, the high temperature and manually processed TiO 2 underlayer prepared by spray pyrolysis significantly limit the large-scale application and device reproducibility of perovskite solar cells. In this study, lowtemperature atomic layer deposition (ALD) is used to prepare a compact Al 2 O 3 underlayer for perovskite solar cells. The thickness of the Al 2 O 3 layer can be controlled well by adjusting the deposition cycles during the ALD process. An optimal Al 2 O 3 layer effectively blocks electron recombination at the perovskite/fluorine-doped tin oxide interface and sufficiently transports electrons through tunneling. Perovskite solar cells fabricated with an Al 2 O 3 layer demonstrated a highest efficiency of 16.2 % for the sample with 50 ALD cycles (ca. 5 nm), which is a significant improvement over underlayer-free PSCs, which have a maximum efficiency of 11.0 %. Detailed characterization confirms that the thickness of the Al 2 O 3 underlayer significantly influences the charge transfer resistance and electron recombination processes in the devices. Furthermore, this work shows the feasibility of using a high band-gap semiconductor such as Al 2 O 3 as the underlayer in perovskite solar cells and opens up pathways to use ALD Al 2 O 3 underlayers for flexible solar cells. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Polarization and Fowler-Nordheim tunneling in anodized Al-Al2O3-Au diodes

    NASA Astrophysics Data System (ADS)

    Hickmott, T. W.

    2000-06-01

    Polarization in anodic Al2O3 films is measured by using quasi-dc current-voltage (I-V) curves of Al-Al2O3-Au diodes. A reproducible polarization state is established by applying a negative voltage to the Au electrode of a rectifying Al-Al2O3-Au diode. The difference between subsequent I-V curves with Au positive is a measure of polarization in the sample. The magnitude of polarization charge in Al2O3 depends on the anodizing electrolyte. Al2O3 films formed in H2O-based electrolytes have approximately ten times the polarization charge of Al2O3 films formed in ethylene glycol-based electrolyte. Anodizing conditions that produce greater polarizing charge in anodic Al2O3 result in voltage-time curves during anodization under galvanostatic conditions that are nonlinear. Anodic films with greater polarizing charge also have a greater apparent interface capacitance which is independent of Al2O3 thickness. I-V curves of Al-Al2O3-Au diodes for increasing voltage are dominated by polarization. I-V curves for decreasing voltage are reproducible and parallel but depend on the maximum current and voltage reached during the measurement. There is no single current corresponding to a given voltage. I-V curves for decreasing voltage are analyzed assuming that the conduction mechanism is Fowler-Nordheim (FN) tunneling. There is a qualitative difference between the FN tunneling parameters for Al2O3 films formed in H2O-based electrolytes and those formed in ethylene glycol-based electrolyte. For the former the value of the exponential term in the FN analysis increases as the value of maximum voltage and current in an I-V characteristic increases, while the value of the pre-exponential term is nearly constant. For the latter, the exponential term is nearly constant as maximum voltage and current increase, but the pre-exponential term decreases by about 5 decades. Thus polarization charge incorporated during formation of anodized Al2O3 strongly affects the formation of the insulating

  13. Spatial structure of radio frequency ring-shaped magnetized discharge sputtering plasma using two facing ZnO/Al2O3 cylindrical targets for Al-doped ZnO thin film preparation

    NASA Astrophysics Data System (ADS)

    Sumiyama, Takashi; Fukumoto, Takaya; Ohtsu, Yasunori; Tabaru, Tatsuo

    2017-05-01

    Spatial structure of high-density radio frequency ring-shaped magnetized discharge plasma sputtering with two facing ZnO/Al2O3 cylindrical targets mounted in ring-shaped hollow cathode has been measured and Al-doped ZnO (AZO) thin film is deposited without substrate heating. The plasma density has a peak at ring-shaped hollow trench near the cathode. The radial profile becomes uniform with increasing the distance from the target cathode. A low ion current flowing to the substrate of 0.19 mA/cm2 is attained. Large area AZO films with a resistivity of 4.1 - 6.7×10-4 Ω cm can be prepared at a substrate room temperature. The transmittance is 84.5 % in a visible region. The surface roughnesses of AZO films are 0.86, 0.68, 0.64, 1.7 nm at radial positions of r = 0, 15, 30, 40 mm, respectively, while diffraction peak of AZO films is 34.26°. The grains exhibit a preferential orientation along (002) axis.

  14. Post deposition annealing effect on the properties of Al2O3/InP interface

    NASA Astrophysics Data System (ADS)

    Kim, Hogyoung; Kim, Dong Ha; Choi, Byung Joon

    2018-02-01

    Post deposition in-situ annealing effect on the interfacial and electrical properties of Au/Al2O3/n-InP junctions were investigated. With increasing the annealing time, both the barrier height and ideality factor changed slightly but the series resistance decreased significantly. Photoluminescence (PL) measurements showed that the intensities of both the near band edge (NBE) emission from InP and defect-related bands (DBs) from Al2O3 decreased with 30 min annealing. With increasing the annealing time, the diffusion of oxygen (indium) atoms into Al2O3/InP interface (into Al2O3 layer) occurred more significantly, giving rise to the increase of the interface state density. Therefore, the out-diffusion of oxygen atoms from Al2O3 during the annealing process should be controlled carefully to optimize the Al2O3/InP based devices.

  15. Structural, magnetic and electronic properties of pulsed-laser-deposition grown SrFeO3-δ thin films and SrFeO3-δ /La2/3Ca1/3MnO3 multilayers

    NASA Astrophysics Data System (ADS)

    Perret, E.; Sen, K.; Khmaladze, J.; Mallett, B. P. P.; Yazdi-Rizi, M.; Marsik, P.; Das, S.; Marozau, I.; Uribe-Laverde, M. A.; de Andrés Prada, R.; Strempfer, J.; Döbeli, M.; Biškup, N.; Varela, M.; Mathis, Y.-L.; Bernhard, C.

    2017-12-01

    We studied the structural, magnetic and electronic properties of SrFeO3-δ (SFO) thin films and SrFeO3-δ /La2/3 Ca1/3 MnO3 (LCMO) superlattices that have been grown with pulsed laser deposition (PLD) on La0.3 Sr0.7 Al0.65 Ta0.35 O3 (LSAT) substrates. X-ray reflectometry and scanning transmission electron microscopy (STEM) confirm the high structural quality of the films and flat and atomically sharp interfaces of the superlattices. The STEM data also reveal a difference in the interfacial layer stacking with a SrO layer at the LCMO/SFO and a LaO layer at the SFO/LCMO interfaces along the PLD growth direction. The x-ray diffraction (XRD) data suggest that the as grown SFO films and SFO/LCMO superlattices have an oxygen-deficient SrFeO3-δ structure with I4/ mmm space group symmetry (δ≤slant 0.2 ). Subsequent ozone annealed SFO films are consistent with an almost oxygen stoichiometric structure (δ ≈ 0 ). The electronic and magnetic properties of these SFO films are similar to the ones of corresponding single crystals. In particular, the as grown SrFeO3films are insulating whereas the ozone annealed films are metallic. The magneto-resistance effects of the as grown SFO films have a similar magnitude as in the single crystals, but extend over a much wider temperature range. Last but not least, for the SFO/LCMO superlattices we observe a rather large exchange bias effect that varies as a function of the cooling field.

  16. High rate DC-reactive sputter deposition of Y 2O 3 film on the textured metal substrate for the superconducting coated conductor

    NASA Astrophysics Data System (ADS)

    Kim, Ho-Sup; Park, Chan; Ko, Rock-Kil; Shi, Dongqui; Chung, Jun-Ki; Ha, Hong-Soo; Park, Yu-Mi; Song, Kyu-Jeong; Youm, Do-Jun

    2005-10-01

    Y2O3 film was directly deposited on Ni-3at%W substrate by DC reactive sputtering. DC reactive sputtering was carried out using metallic Y target and water vapor for oxidizing the elements of metallic target on the substrate. The detailed conditions of DC reactive sputtering for depositions of Y2O3 films were investigated. The window of water vapor for proper growth of Y2O3 films was determined by sufficient oxidations of the Y2O3 films and the non-oxidation of the target surface, which was required for high rate sputtering. The window turned out to be fairly wide in the chamber used. As the sputtering power was raised, the deposition rate increased without narrowing the window. The fabricated Y2O3 films showed good texture qualities and surface morphologies. The YBCO film deposited directly on the Y2O3 buffered Ni-3at%W substrate showed Tc, Ic (77 K, self field), and Jc (77 K, self field) of 89 K, 64 A/cm and 1.1 MA/cm2, respectively.

  17. Effect of composition on properties of In2O3-Ga2O3 thin films

    NASA Astrophysics Data System (ADS)

    Demin, I. E.; Kozlov, A. G.

    2017-06-01

    The In2O3-Ga2O3 mixed oxide polycrystalline thin films with various ratios of components were obtained by pulsed laser deposition. The effect of films composition on surface morphology, electrophysical and gas sensing properties and energies of adsorption and desorption of combustible gases was studied. The films with50%In2O3-50%Ga2O3 composition showed maximum gas response (˜25 times) combined with minimum optimal working temperature (˜530 °C) as compared with the other films. The optical transmittance of the films in visible range was investigated. For 50%In2O3-50%Ga2O3 films, the transmittance is higher in comparison with the other films. The explanation of the dependency of films behaviors on their composition was presented.The In2O3-Ga2O3 films were assumed to have perspectives as gas sensing material for semiconducting gas sensors.

  18. Dopant Distribution in Atomic Layer Deposited ZnO:Al Films Visualized by Transmission Electron Microscopy and Atom Probe Tomography.

    PubMed

    Wu, Yizhi; Giddings, A Devin; Verheijen, Marcel A; Macco, Bart; Prosa, Ty J; Larson, David J; Roozeboom, Fred; Kessels, Wilhelmus M M

    2018-02-27

    The maximum conductivity achievable in Al-doped ZnO thin films prepared by atomic layer deposition (ALD) is limited by the low doping efficiency of Al. To better understand the limiting factors for the doping efficiency, the three-dimensional distribution of Al atoms in the ZnO host material matrix has been examined on the atomic scale using a combination of high-resolution transmission electron microscopy (TEM) and atom probe tomography (APT). Although the Al distribution in ZnO films prepared by so-called "ALD supercycles" is often presented as atomically flat δ-doped layers, in reality a broadening of the Al-dopant layers is observed with a full-width-half-maximum of ∼2 nm. In addition, an enrichment of the Al at grain boundaries is observed. The low doping efficiency for local Al densities > ∼1 nm -3 can be ascribed to the Al solubility limit in ZnO and to the suppression of the ionization of Al dopants from adjacent Al donors.

  19. Process for producing Ti-Cr-Al-O thin film resistors

    DOEpatents

    Jankowski, Alan F.; Schmid, Anthony P.

    2001-01-01

    Thin films of Ti-Cr-Al-O are used as a resistor material. The films are rf sputter deposited from ceramic targets using a reactive working gas mixture of Ar and O.sub.2. Resistivity values from 10.sup.4 to 10.sup.10 Ohm-cm have been measured for Ti-Cr-Al-O film <1 .mu.m thick. The film resistivity can be discretely selected through control of the target composition and the deposition parameters. The application of Ti-Cr-Al-O as a thin film resistor has been found to be thermodynamically stable, unlike other metal-oxide films. The Ti-Cr-Al-O film can be used as a vertical or lateral resistor, for example, as a layer beneath a field emission cathode in a flat panel display; or used to control surface emissivity, for example, as a coating on an insulating material such as vertical wall supports in flat panel displays.

  20. Flat panel display using Ti-Cr-Al-O thin film

    DOEpatents

    Jankowski, Alan F.; Schmid, Anthony P.

    2002-01-01

    Thin films of Ti--Cr--Al--O are used as a resistor material. The films are rf sputter deposited from ceramic targets using a reactive working gas mixture of Ar and O.sub.2. Resistivity values from 10.sup.4 to 10.sup.10 Ohm-cm have been measured for Ti--Cr--Al--O film <1 .mu.m thick. The film resistivity can be discretely selected through control of the target composition and the deposition parameters. The application of Ti--Cr--Al--O as a thin film resistor has been found to be thermodynamically stable, unlike other metal-oxide films. The Ti--Cr--Al--O film can be used as a vertical or lateral resistor, for example, as a layer beneath a field emission cathode in a flat panel display; or used to control surface emissivity, for example, as a coating on an insulating material such as vertical wall supports in flat panel displays.

  1. Strong electroluminescence from SiO{sub 2}-Tb{sub 2}O{sub 3}-Al{sub 2}O{sub 3} mixed layers fabricated by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rebohle, L., E-mail: l.rebohle@hzdr.de; Braun, M.; Wutzler, R.

    2014-06-23

    We report on the bright green electroluminescence (EL) with power efficiencies up to 0.15% of SiO{sub 2}-Tb{sub 2}O{sub 3}-mixed layers fabricated by atomic layer deposition and partly co-doped with Al{sub 2}O{sub 3}. The electrical, EL, and breakdown behavior is investigated as a function of the Tb and the Al concentration. Special attention has been paid to the beneficial role of Al{sub 2}O{sub 3} co-doping which improves important device parameters. In detail, it increases the maximum EL power efficiency and EL decay time, it nearly doubles the fraction of excitable Tb{sup 3+} ions, it shifts the region of high EL powermore » efficiencies to higher injection currents, and it reduces the EL quenching over the device lifetime by an approximate factor of two. It is assumed that the presence of Al{sub 2}O{sub 3} interferes the formation of Tb clusters and related defects. Therefore, the system SiO{sub 2}-Tb{sub 2}O{sub 3}-Al{sub 2}O{sub 3} represents a promising alternative for integrated, Si-based light emitters.« less

  2. Transparent Al+3 doped MgO thin films for functional applications

    NASA Astrophysics Data System (ADS)

    Maiti, Payel; Sekhar Das, Pradip; Bhattacharya, Manjima; Mukherjee, Smita; Saha, Biswajit; Mullick, Awadesh Kumar; Mukhopadhyay, Anoop Kumar

    2017-08-01

    The present work reports the utilization of a relatively simple, cost effective sol-gel technique based route to synthesize highly transparent, spin coated 4.1 at% Al+3 doped MgO thin films on quartz substrates. The films were characterized by XRD, XPS, Raman spectroscopy, and SIMS techniques. The microstructures were characterized by FESEM and TEM while the nanomechanical properties were assessed by the nanoindentation technique. Finally the optical transmittance was measured by UV-vis technique. The x-ray diffraction (XRD) study suggests the crystal facet (2 0 0) of MgO lattice to be distorted after incorporation of Al+3 into MgO lattice. From FESEM the doped films were found to have a dense microstructure with a crystallite size of about 20 nm as revealed by the TEM studies. Nanoindentation measurements indicated drastic increase of elastic modulus for the Al+3 doped MgO thin films by ~73% compared to that of the pristine MgO thin films along with retaining the nanohardness at ~8 GPa. The transmittance of Al+3 doped MgO thin films in the visible range was significantly higher (~99%) than that of pristine MgO (~90%) thin films. The films also had a relatively higher refractive index of about 1.45 as evaluated from the optical properties. The enhanced transmittance as well as the improved elastic modulus of Al+3 doped MgO thin films suggest its promising candidature in magnetic memory devices and as buffer layers of solar cells.

  3. A photochemical proposal for the preparation of ZnAl{sub 2}O{sub 4} and MgAl{sub 2}O{sub 4} thin films from β-diketonate complex precursors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cabello, G., E-mail: gerardocabelloguzman@hotmail.com; Lillo, L.; Caro, C.

    2016-05-15

    Highlights: • ZnAl{sub 2}O{sub 4} and MgAl{sub 2}O{sub 4} thin films were prepared by photo-chemical method. • The Zn(II), Mg(II) and Al(III) β-diketonate complexes were used as precursors. • The photochemical reaction was monitored by UV–vis and FT-IR spectroscopy. • The results reveal spinel oxide formation and the generation of intermediate products. - Abstract: ZnAl{sub 2}O{sub 4} and MgAl{sub 2}O{sub 4} thin films were grown on Si(100) and quartz plate substrates using a photochemical method in the solid phase with thin films of β-diketonate complexes as the precursors. The films were deposited by spin-coating and subsequently photolyzed at room temperaturemore » using 254 nm UV light. The photolysis of these films results in the deposition of metal oxide thin films and fragmentation of the ligands from the coordination sphere of the complexes. The obtained samples were post-annealed at different temperatures (350–1100 °C) for 2 h and characterized by FT-Infrared spectroscopy, X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), atomic force miscroscopy (AFM), and UV–vis spectroscopy. The results indicate the formation of spinel-type structures and other phases. These characteristics determined the quality of the films, which were obtained from the photodeposition of ternary metal oxides.« less

  4. Development and demonstration of 2D dosimetry using optically stimulated luminescence from new Al2O3 films for radiotherapy applications

    NASA Astrophysics Data System (ADS)

    Ahmed, Md Foiez

    Scope and Method of Study: The goal of this work was to develop and demonstrate a 2D dosimetry system based on the optically stimulated luminescence (OSL) from new Al2O3 films for radiotherapy applications. A 2D laser-scanning system was developed for the readout and two OSL films (Al2O3:C and Al2O3:C,Mg) were tested. A dose reconstruction algorithm addressing corrections required for the characteristic material properties and the properties related to the system design was developed. The dosimetric properties of the system were tested using clinical X-ray (6 MV) beam. The feasibility of small field dosimetry was tested using heavy ion beams (221 MeV proton and 430 MeV 12C beam). For comparison, clinical tests were performed with ionization chamber, diode arrays and the commercial radiochromic films (Gafchromic EBT3) when applicable. Findings and Conclusions: The results demonstrate that the developed image reconstruction algorithm enabled > 300x faster laser-scanning readout of the Al2O3 films, eliminating the restriction imposed by its slow luminescence decay. The algorithm facilitates submillimeter spatial resolution, reduces the scanner position dependence (of light collection efficiency) and removes the inherent galvo geometric distortion, among other corrections. The system has a background signal < 1 mGy, linearity correction factor of < 10% up to ˜4.0 Gy and < 2% dose uncertainty over the clinically relevant dose range of 0.1 - 30 Gy. The system has a dynamic range of 4 - 5 orders, only limited by PMT linearity. The absolute response from Al2O2:C films is higher than Al2O 2:C,Mg films, but with lower image signal-to-noise ratio due to lower concentration of fast F+-center emission. As a result, Al2O2:C,Mg films are better suited than Al2O3:C films for small field dosimetry, which requires precise dosimetry with sub-millimeter spatial resolution. The dose uncertainty associated with OSL film dosimetry is lower than that associated with EBT3 film dosimetry

  5. Characterization of nanocrystalline ZnO:Al films by sol-gel spin coating method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gareso, P. L., E-mail: pgareso@gmail.com; Rauf, N., E-mail: pgareso@gmail.com; Juarlin, E., E-mail: pgareso@gmail.com

    2014-09-25

    Nanocrystalline ZnO films doped with aluminium by sol-gel spin coating method have been investigated using optical transmittance UV-Vis and X-ray diffraction (X-RD) measurements. ZnO films were prepared using zinc acetate dehydrate (Zn(CH{sub 3}COO){sub 2}@@‡2H{sub 2}O), ethanol, and diethanolamine (DEA) as a starting material, solvent, and stabilizer, respectively. For doped films, AlCl{sub 3} was added to the mixture. The ZnO:Al films were deposited on a transparent conductive oxide (TCO) substrate using spin coating technique at room temperature with a rate of 3000 rpm in 30 sec. The deposited films were annealed at various temperatures from 400°C to 600°C during 60 minutes.more » The transmittance UV-Vis measurement results showed that after annealing at 400°C, the energy band gap profile of nanocrystalline ZnO:Al film was a blue shift. This indicated that the band gap of ZnO:Al increased after annealing due to the increase of crystalline size. As the annealing temperature increased the bandgap energy was a constant. In addition to this, there was a small oscillation occurring after annealing compared to the as–grown samples. In the case of X-RD measurements, the crystalinity of the films were amorphous before annealing, and after annealing the crystalinity became enhance. Also, X-RD results showed that structure of nanocrystalline ZnO:Al films were hexagonal polycrystalline with lattice parameters are a = 3.290 Å and c = 5.2531 Å.« less

  6. RAPID COMMUNICATION: Large-area uniform ultrahigh-Jc YBa2Cu3O7-x film fabricated by the metalorganic deposition method using trifluoroacetates

    NASA Astrophysics Data System (ADS)

    Araki, Takeshi; Yamagiwa, Katsuya; Hirabayashi, Izumi; Suzuki, Katsumi; Tanaka, Shoji

    2001-07-01

    Ultrahigh-Jc YBa2Cu3O7-x (YBCO) films have been successfully fabricated by the metalorganic deposition method using a trifluoroacetate coating solution which is prepared by a newly developed purification technique, the solvent-into-gel (SIG) method. The prepared pure coating solution has less than 0.25% impurities and has a wide flexibility in process conditions to obtain high-Jc YBCO film. Using this feature, we have successfully formed 50 mm diameter YBCO films, which have a critical current density over 10 MA cm-2 (77 K, 0 T) on LaAlO3 single crystalline substrates.

  7. Structural and electromagnetic properties of NiAlxFe2-xO4/SiO2 nanocomposite films deposited using a sol-gel spin-coating method

    NASA Astrophysics Data System (ADS)

    Wang, Li; Li, Xuejian; Li, Ji; Liu, Mei; Xu, Shichong; Li, Haibo

    2017-12-01

    NiAlxFe2-xO4/SiO2 (0 ≤ x ≤ 1.0) nanocomposite films deposited on Si(1 0 0) substrates were prepared by a sol-gel spin-coating method. The influences of Al3+ content and annealing temperature on the structural and electromagnetic properties of the nanocomposite films were investigated. The results indicated that NiAlxFe2-xO4 in the nanocomposite films crystallized after annealing at 1073 K and above. When the doping content x increased from 0 to 1.0, the lattice constants and the average crystallite sizes of the NiAlxFe2-xO4 nanoparticles decreased. The saturation magnetization and coercivity of the films were inversely proportional to the Al3+ content. The maximum value of saturation magnetization (361.6 emu/cm3) and the minimum value of coercivity (18.6 kA/m) were obtained for x of 0.2. When the annealing temperature increased from 1073 to 1473 K, the lattice constant and the average crystallite size of the NiAl0.2Fe1.8O4 nanoparticles increased from 0.8322 to 0.8349 nm and 4 to 28 nm, respectively, and the saturation magnetization and coercivity of the films increased from 214.8 to 464.5 emu/cm3 and 8.2 to 26.9 kA/m, respectively. Moreover, the DC resistivity of the films increased with increasing Al3+ content and annealing temperature.

  8. Electron-stimulated reactions in nanoscale water films adsorbed on (alpha)-Al2O3(0001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petrik, Nikolay G.; Kimmel, Gregory A.

    2018-05-11

    The radiation-induced decomposition and desorption of nanoscale amorphous solid water (D2O) films adsorbed on -Al2O3(0001) surface was studied at low temperature in ultrahigh vacuum using temperature programmed desorption (TPD) and electron stimulated desorption (ESD) with a mono-energetic, low energy electron source. ESD yields of molecular products ( D2, O2 and D¬2O) and the total sputtering yield increased with increasing D2O coverage up to ~15 water monolayers (i.e. ~15 1015 cm-2) to a coverage-independent level for thicker water films. Experiments with isotopically-layered water films (D2O and H2O) demonstrated that the highest water decomposition yields occurred at the interfaces of the nanoscalemore » water films with the alumina substrate and vacuum. However, the increased reactivity of the water/alumina interface is relatively small compared to the enhancements in the non-thermal reactions previously observed at the water/Pt(111) and water/TiO2(110) interfaces. We propose that the relatively low activity of Al2O3(0001) for the radiation-induced production of molecular hydrogen is associated with lower reactivity of this surface with hydrogen atoms, which are likely precursors for the molecular hydrogen.« less

  9. Luminescence behaviour and deposition of Sc2O3 thin films from scandium(III) acetylacetonate at ambient pressure

    NASA Astrophysics Data System (ADS)

    Dixon, Sebastian C.; Jiamprasertboon, Arreerat; Carmalt, Claire J.; Parkin, Ivan P.

    2018-05-01

    Scandium(III) oxide thin film deposition has been historically difficult to achieve without the use of vacuum-based or wet chemical systems due to precursor limitations of low vapour pressure or ambient instability. In this letter, the adoption of aerosol-assisted delivery of scandium(III) acetylacetonate has enabled the chemical vapour deposition of polycrystalline and amorphous Sc2O3 thin films at ambient pressure with high growth rates (ca. 500 nm h-1). The scandia films were intrinsically highly photoluminescent, exhibiting broad emission bands centred at 3.6 and 3.0 eV, which increased significantly in intensity upon aerobic annealing, accompanying a transition from amorphous to crystalline, while bands appearing at 2.1 and 2.3 eV seemed to occur only in the crystalline films. In addition, both amorphous and crystalline scandia films exhibited blue-green vibronic fine structure between 2.3 and 3.2 eV attributed to the electronic transition B→κ Σ+ 2 Σ+ in surface ⋯ O - ⋯ O - S c = O groups and split by a vibrational mode observed at 920 ± 60 cm - 1 by infrared spectroscopy. Band gaps of amorphous and crystalline Sc2O3 were determined to be 5.3 and 5.7 eV, respectively via diffuse reflectance. All films had high refractive indices, varying between 1.8 and 2.0 at 400 nm depending on film thickness and carrier gas used in the deposition; film thicknesses less than ca. 300 nm were observed to have a strong influence on the refractive index measured, while there was little variation for films thicker than this. The synthesis process itself is exceedingly low-cost and facile thus promising streamlined industrial scalability.

  10. Structural, XPS and magnetic studies of pulsed laser deposited Fe doped Eu{sub 2}O{sub 3} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Sandeep; Prakash, Ram, E-mail: rpgiuc@gmail.com; Choudhary, R.J.

    2015-10-15

    Highlights: • Growth of Fe doped Eu{sub 2}O{sub 3} thin films by PLD. • XRD and Raman’s spectroscopy used for structure confirmation. • The electronic states of Eu and Fe are confirmed by XPS. • Magnetic properties reveals room temperature magnetic ordering in deposited film. - Abstract: Fe (4 at.%) doped europium (III) oxide thin film was deposited on silicon (1 0 0) substrate by pulsed laser deposition technique. Structural, spectral and magnetic properties were studied by X-ray diffraction (XRD), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS) and magnetization measurements. XRD and Raman spectroscopy reveal that the grown film is singlemore » phased and belongs to the cubic structure of Eu{sub 2}O{sub 3}. XPS study of the Eu{sub 1.92}Fe{sub 0.08}O{sub 3} film shows that Fe exists in Fe{sup 3+} ionic state in the film. The film exhibits magnetic ordering at room temperature.« less

  11. Structural and dielectric properties of thin ZrO2 films on silicon grown by atomic layer deposition from cyclopentadienyl precursor

    NASA Astrophysics Data System (ADS)

    Niinistö, J.; Putkonen, M.; Niinistö, L.; Kukli, K.; Ritala, M.; Leskelä, M.

    2004-01-01

    ZrO2 thin films with thicknesses below 20 nm were deposited by the atomic layer deposition process on Si(100) substrates at 350 °C. An organometallic precursor, Cp2Zr(CH3)2 (Cp=cyclopentadienyl, C5H5) was used as the zirconium source and water or ozone as oxygen source. The influence of oxygen source and substrate pretreatment on the dielectric properties of ZrO2 films was investigated. Structural characterization with high-resolution transmission electron microscopy was performed to films grown onto HF-etched or native oxide covered silicon. Strong inhibition of ZrO2 film growth was observed with the water process on HF-etched Si. Ozone process on HF-etched Si resulted in interfacial SiO2 formation between the dense and uniform film and the substrate while water process produced interfacial layer with intermixing of SiO2 and ZrO2. The effective permittivity of ZrO2 in Al/ZrO2/Si/Al capacitor structures was dependent on the ZrO2 layer thickness and oxygen source used. The interfacial layer formation increased the capacitance equivalent oxide thickness (CET). CET of 2.0 nm was achieved with 5.9 nm ZrO2 film deposited with the H2O process on HF-stripped Si. The ozone-processed films showed good dielectric properties such as low hysteresis and nearly ideal flatband voltage. The leakage current density was lower and breakdown field higher for the ozone-processed ZrO2 films.

  12. Spatial atomic layer deposition on flexible porous substrates: ZnO on anodic aluminum oxide films and Al{sub 2}O{sub 3} on Li ion battery electrodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, Kashish; Routkevitch, Dmitri; Varaksa, Natalia

    2016-01-15

    Spatial atomic layer deposition (S-ALD) was examined on flexible porous substrates utilizing a rotating cylinder reactor to perform the S-ALD. S-ALD was first explored on flexible polyethylene terephthalate polymer substrates to obtain S-ALD growth rates on flat surfaces. ZnO ALD with diethylzinc and ozone as the reactants at 50 °C was the model S-ALD system. ZnO S-ALD was then performed on nanoporous flexible anodic aluminum oxide (AAO) films. ZnO S-ALD in porous substrates depends on the pore diameter, pore aspect ratio, and reactant exposure time that define the gas transport. To evaluate these parameters, the Zn coverage profiles in the poresmore » of the AAO films were measured using energy dispersive spectroscopy (EDS). EDS measurements were conducted for different reaction conditions and AAO pore geometries. Substrate speeds and reactant pulse durations were defined by rotating cylinder rates of 10, 100, and 200 revolutions per minute (RPM). AAO pore diameters of 10, 25, 50, and 100 nm were utilized with a pore length of 25 μm. Uniform Zn coverage profiles were obtained at 10 RPM and pore diameters of 100 nm. The Zn coverage was less uniform at higher RPM values and smaller pore diameters. These results indicate that S-ALD into porous substrates is feasible under certain reaction conditions. S-ALD was then performed on porous Li ion battery electrodes to test S-ALD on a technologically important porous substrate. Li{sub 0.20}Mn{sub 0.54}Ni{sub 0.13}Co{sub 0.13}O{sub 2} electrodes on flexible metal foil were coated with Al{sub 2}O{sub 3} using 2–5 Al{sub 2}O{sub 3} ALD cycles. The Al{sub 2}O{sub 3} ALD was performed in the S-ALD reactor at a rotating cylinder rate of 10 RPM using trimethylaluminum and ozone as the reactants at 50 °C. The capacity of the electrodes was then tested versus number of charge–discharge cycles. These measurements revealed that the Al{sub 2}O{sub 3} S-ALD coating on the electrodes enhanced the capacity stability

  13. Electroluminescent Yb2O3:Er and Yb2Si2O7:Er nanolaminate films fabricated by atomic layer deposition on silicon

    NASA Astrophysics Data System (ADS)

    Ouyang, Zhongtao; Yang, Yang; Sun, Jiaming

    2018-06-01

    Atomic layer doped Yb2O3:Er and Yb2Si2O7:Er nanolaminate films are fabricated on silicon by atomic layer deposition, and ∼1530 nm electroluminescence (EL) is obtained from the metal-oxide-semiconductor light-emitting devices (MOSLEDs) based on these films. The Yb2O3 films transfer to Yb2Si2O7 phase after annealing above 1000 °C. Intense photoluminescence from Yb2Si2O7 film confirms high efficiency and energy transfer under optical excitation, but the limited electron conduction restricts the EL performance. EL from the Yb2O3:Er MOSLED outperforms, presenting an external quantum efficiency up to 8.5% and the power efficiency of 1 × 10-3. The EL is derived to result from the impact excitation of Er3+ ions by hot electrons, which stem from Fowler-Nordheim tunneling mechanism under sufficient bias voltage. The critical distance for the cross relaxation of doped Er3+ ions in nanolaminate Yb2O3 matrix is experimentally determined to be ∼3 nm. Such devices manifest the technological potential of Er-doped Yb-oxides for applications in silicon-based optoelectronics.

  14. Formation Mechanism of CuAlO2 Prepared by Rapid Thermal Annealing of Al2O3/Cu2O/Sapphire Sandwich Structure

    NASA Astrophysics Data System (ADS)

    Shih, C. H.; Tseng, B. H.

    Single-phase CuAlO2 films were successfully prepared by thin-film reaction of an Al2O3/Cu2O/sapphire sandwich structure. We found that the processing parameters, such as heating rate, holding temperature and annealing ambient, were all crucial to form CuAlO2 without second phases. Thermal annealing in pure oxygen ambient with a lower temperature ramp rate might result in the formation of CuAl2O4 in addition to CuAlO2, since part of Cu2O was oxidized to form CuO and caused the change in reaction path, i.e. CuO + Al2O3 → CuAl2O4. Typical annealing conditions successful to prepare single-phase CuAlO2 would be to heat the sample with a temperature rampt rate higher than 7.3 °C/sec and hold the temperature at 1100 °C in air ambient. The formation mechanism of CuAlO2 has also been studied by interrupting the reaction after a short period of annealing. TEM observations showed that the top Al2O3 layer with amorphous structure reacted immediately with Cu2O to form CuAlO2 in the early stage and then the remaining Cu2O reacted with the sapphire substrate.

  15. Temperature dependent electrical properties of pulse laser deposited Au/Ni/β-(AlGa)2O3 Schottky diode

    NASA Astrophysics Data System (ADS)

    Feng, Qian; Feng, Zhaoqing; Hu, Zhuangzhuang; Xing, Xiangyu; Yan, Guangshuo; Zhang, Jincheng; Xu, Yongkuan; Lian, Xiaozheng; Hao, Yue

    2018-02-01

    We have demonstrated the epitaxial growth of a β-(Al0.08Ga0.92)2O3 film on a β-Ga2O3 (010) substrate through pulsed laser deposition. The temperature-dependent electrical characteristics of Au/Ni/β-(Al0.08Ga0.92)2O3 Schottky diodes were investigated in the temperature range of 300-573 K, using thermionic emission theory to calculate the Schottky diode parameters. The barrier height ϕb was found to increase, while the ideality factor n and the series resistance Rs were found to decrease with increasing temperatures. The calculated values of ϕb and n varied from 0.81 eV and 2.29 at 300 K to 1.02 eV and 1.65 at 573 K. The temperature-dependent I-V characteristics of the Schottky diode have shown the Gaussian distribution, yielding a mean barrier height of 1.23 eV and a standard deviation of 0.147 V, respectively. A modified Richardson plot of ln (Is /T2 )-(q2σs2 /2 k2T2 ) versus q/2kT gives ϕb 0 ¯ and A* as 1.24 eV and 44.3 A cm-2 K-2, showing the promise of Ni/β-(AlGa)2O3 as a Schottky diode rectifier.

  16. Comparative analysis of the effects of tantalum doping and annealing on atomic layer deposited (Ta2O5)x(Al2O3)1-x as potential gate dielectrics for GaN/AlxGa1-xN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Partida-Manzanera, T.; Roberts, J. W.; Bhat, T. N.; Zhang, Z.; Tan, H. R.; Dolmanan, S. B.; Sedghi, N.; Tripathy, S.; Potter, R. J.

    2016-01-01

    This paper describes a method to optimally combine wide band gap Al2O3 with high dielectric constant (high-κ) Ta2O5 for gate dielectric applications. (Ta2O5)x(Al2O3)1-x thin films deposited by thermal atomic layer deposition (ALD) on GaN-capped AlxGa1-xN/GaN high electron mobility transistor (HEMT) structures have been studied as a function of the Ta2O5 molar fraction. X-ray photoelectron spectroscopy shows that the bandgap of the oxide films linearly decreases from 6.5 eV for pure Al2O3 to 4.6 eV for pure Ta2O5. The dielectric constant calculated from capacitance-voltage measurements also increases linearly from 7.8 for Al2O3 up to 25.6 for Ta2O5. The effect of post-deposition annealing in N2 at 600 °C on the interfacial properties of undoped Al2O3 and Ta-doped (Ta2O5)0.12(Al2O3)0.88 films grown on GaN-HEMTs has been investigated. These conditions are analogous to the conditions used for source/drain contact formation in gate-first HEMT technology. A reduction of the Ga-O to Ga-N bond ratios at the oxide/HEMT interfaces is observed after annealing, which is attributed to a reduction of interstitial oxygen-related defects. As a result, the conduction band offsets (CBOs) of the Al2O3/GaN-HEMT and (Ta2O5)0.16(Al2O3)0.84/GaN-HEMT samples increased by ˜1.1 eV to 2.8 eV and 2.6 eV, respectively, which is advantageous for n-type HEMTs. The results demonstrate that ALD of Ta-doped Al2O3 can be used to control the properties of the gate dielectric, allowing the κ-value to be increased, while still maintaining a sufficient CBO to the GaN-HEMT structure for low leakage currents.

  17. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    PubMed

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  18. Electron-stimulated reactions in nanoscale water films adsorbed on α-Al 2 O 3 (0001)

    DOE PAGES

    Petrik, Nikolay G.; Kimmel, Greg A.

    2018-04-11

    The radiation-induced decomposition and desorption of nanoscale amorphous solid water (D 2O) films adsorbed on an α-Al 2O 3(0001) surface was studied at low temperature in ultrahigh vacuum using temperature programmed desorption (TPD) and electron stimulated desorption (ESD) with a mono-energetic, low energy electron source. ESD yields of molecular products (D 2, O 2 and D 2O) and the total sputtering yield increased with increasing D 2O coverage up to ~15 water monolayers (i.e. ~15 x 10 15 cm -2) to a coverage-independent level for thicker water films. Experiments with isotopically-layered water films (D 2O and H 2O) demonstrated thatmore » the highest water decomposition yields occurred at the interfaces of the nanoscale water films with the alumina substrate and vacuum. However, the increased reactivity of the water/alumina interface is relatively small compared to the enhancements in the non-thermal reactions previously observed at the water/Pt(111) and water/TiO 2(110) interfaces. Here, we propose that the relatively low activity of Al 2O 3(0001) for the radiation-induced production of molecular hydrogen is associated with lower reactivity of this surface with hydrogen atoms, which are likely precursors for the formation of molecular hydrogen.« less

  19. Electron-stimulated reactions in nanoscale water films adsorbed on α-Al 2 O 3 (0001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petrik, Nikolay G.; Kimmel, Greg A.

    The radiation-induced decomposition and desorption of nanoscale amorphous solid water (D 2O) films adsorbed on an α-Al 2O 3(0001) surface was studied at low temperature in ultrahigh vacuum using temperature programmed desorption (TPD) and electron stimulated desorption (ESD) with a mono-energetic, low energy electron source. ESD yields of molecular products (D 2, O 2 and D 2O) and the total sputtering yield increased with increasing D 2O coverage up to ~15 water monolayers (i.e. ~15 x 10 15 cm -2) to a coverage-independent level for thicker water films. Experiments with isotopically-layered water films (D 2O and H 2O) demonstrated thatmore » the highest water decomposition yields occurred at the interfaces of the nanoscale water films with the alumina substrate and vacuum. However, the increased reactivity of the water/alumina interface is relatively small compared to the enhancements in the non-thermal reactions previously observed at the water/Pt(111) and water/TiO 2(110) interfaces. Here, we propose that the relatively low activity of Al 2O 3(0001) for the radiation-induced production of molecular hydrogen is associated with lower reactivity of this surface with hydrogen atoms, which are likely precursors for the formation of molecular hydrogen.« less

  20. Nanoindentation investigation of HfO2 and Al2O3 films grown by atomic layer deposition

    Treesearch

    K. Tapily; Joseph E. Jakes; D. S. Stone; P. Shrestha; D. Gu; H. Baumgart; A. A. Elmustafa

    2008-01-01

    The challenges of reducing gate leakage current and dielectric breakdown beyond the 45 nm technology node have shifted engineers’ attention from the traditional and proven dielectric SiO2 to materials of higher dielectric constant also known as high-k materials such as hafnium oxide (HfO2) and aluminum oxide (Al2O3). These high-k materials are projected to...

  1. Phase Evolution of YBa2Cu3O7-x films by all-chemical solution deposition route for coated conductors

    NASA Astrophysics Data System (ADS)

    Zhao, Yue; Tang, Xiao; Wu, Wei; Grivel, Jean-Claude

    2014-05-01

    In order to understand the all-chemical-solution-deposition (CSD) processes for manufacturing coated conductors, we investigated the phase evolution of YBa2Cu3O7 (YBCO) films deposited by a low-fluorine metal-organic solution deposition (LF-MOD) method on CSD derived Ce0.9La0.1O2/Gd2Zr2O7/NiW. It is shown that the phase transition from the pyrolyzed film to fully converted YBCO film in the LF-MOD process is similar to that in typical trifluoroacetates-metal organic deposition (TFA-MOD) processes even though the amount of TFA in the solution is reduced by almost one half compared with typical TFA-MOD cases. Moreover, we found that the formation of impurities (mainly BaCeO3, NiWO4 and NiO) is strongly related to the annealing temperature, i.e., the diffusion controlled reactions become intensive from 760 oC, which might be connected with the poor structural and superconducting properties of the films deposited at high sintering temperatures. Based on these results, the optimized growth conditions of YBCO films were established, and a high critical current density (Jc) of about 2 MA/cm2 (77 K, self field) is achieved in a 200 nm thick YBCO film in the architecture made by our all CSD route.

  2. Dopant Distribution in Atomic Layer Deposited ZnO:Al Films Visualized by Transmission Electron Microscopy and Atom Probe Tomography

    PubMed Central

    2018-01-01

    The maximum conductivity achievable in Al-doped ZnO thin films prepared by atomic layer deposition (ALD) is limited by the low doping efficiency of Al. To better understand the limiting factors for the doping efficiency, the three-dimensional distribution of Al atoms in the ZnO host material matrix has been examined on the atomic scale using a combination of high-resolution transmission electron microscopy (TEM) and atom probe tomography (APT). Although the Al distribution in ZnO films prepared by so-called “ALD supercycles” is often presented as atomically flat δ-doped layers, in reality a broadening of the Al-dopant layers is observed with a full-width–half-maximum of ∼2 nm. In addition, an enrichment of the Al at grain boundaries is observed. The low doping efficiency for local Al densities > ∼1 nm–3 can be ascribed to the Al solubility limit in ZnO and to the suppression of the ionization of Al dopants from adjacent Al donors. PMID:29515290

  3. Role of interfacial transition layers in VO2/Al2O3 heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Honghui; Chisholm, Matthew F; Yang, Tsung-Han

    2011-01-01

    Epitaxial VO2 films grown by pulsed laser deposition (PLD) on c-cut sapphire substrates ((0001) Al2O3) were studied by aberration-corrected scanning transmission electron microscopy (STEM). A number of film/substrate orientation relationships were found and are discussed in the context of the semiconductor-metal transition (SMT) characteristics. A structurally and electronically modified buffer layer was revealed on the interface and was attributed to the interface free-energy minimization process of accommodating the symmetry mismatch between the substrate and the film. This interfacial transition layer is expected to affect the SMT behavior when the interfacial region is a significant fraction of the VO2 film thickness.

  4. Electrical and structural characterizations of crystallized Al{sub 2}O{sub 3}/GaN interfaces formed by in situ metalorganic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, X., E-mail: xliu@ece.ucsb.edu; Yeluri, R.; Kim, J.

    2016-01-07

    Al{sub 2}O{sub 3} films were grown in situ by metalorganic chemical vapor deposition at 900 °C on GaN of both Ga- and N-face polarities. High-resolution transmission electron microscopy revealed that the Al{sub 2}O{sub 3} films were crystalline and primarily γ-phase. The Al{sub 2}O{sub 3}/Ga-GaN and Al{sub 2}O{sub 3}/N-GaN interfaces were both atomically sharp, and the latter further exhibited a biatomic step feature. The corresponding current-voltage (J-V) characteristics were measured on a metal-Al{sub 2}O{sub 3}-semiconductor capacitor (MOSCAP) structure. The leakage current was very high when the Al{sub 2}O{sub 3} thickness was comparable with the size of the crystalline defects, but was suppressedmore » to the order of 1 × 10{sup −8} A/cm{sup 2} with larger Al{sub 2}O{sub 3} thicknesses. The interface states densities (D{sub it}) were measured on the same MOSCAPs by using combined ultraviolet (UV)-assisted capacitance-voltage (C-V), constant capacitance deep level transient spectroscopy (CC-DLTS), and constant capacitance deep level optical spectroscopy (CC-DLOS) techniques. The average D{sub it} measured by CC-DLTS and CC-DLOS were 6.6 × 10{sup 12} and 8.8 × 10{sup 12} cm{sup −2} eV{sup −1} for Al{sub 2}O{sub 3}/Ga-GaN and 8.6 × 10{sup 12} and 8.6 × 10{sup 12 }cm{sup −2} eV{sup −1} for Al{sub 2}O{sub 3}/N-GaN, respectively. The possible origins of the positive (negative) polarization compensation charges in Al{sub 2}O{sub 3}/Ga-GaN (Al{sub 2}O{sub 3}/N-GaN), including the filling of interface states and the existence of structure defects and impurities in the Al{sub 2}O{sub 3} layer, were discussed in accordance with the experimental results and relevant studies in the literature.« less

  5. Stable and Flexible CuInS2/ZnS:Al-TiO2 Film for Solar-Light-Driven Photodegradation of Soil Fumigant.

    PubMed

    Yan, Lili; Li, Zhichun; Sun, Mingxing; Shen, Guoqing; Li, Liang

    2016-08-10

    Semiconductor quantum dots (QDs) are suitable light absorbers for photocatalysis because of their unique properties. However, QDs generally suffer from poor photochemical stability against air, limiting their applications in photocatalysis. In this study, a stable solar-light-driven QDs-containing photocatalytic film was developed to facilitate photocatalytic degradation of the soil fumigant 1,3-dichloropropene (1,3-D). Highly stable CuInS2/ZnS:Al core/shell QDs (CIS/ZnS:Al QDs) were synthesized by doping Al into the ZnS shell and controlling ZnS:Al shell thickness; the CIS/ZnS:Al QDs were subsequently combined with TiO2 to form a CIS/ZnS:Al-TiO2 photocatalyst. The optimized ZnS:Al shell thickness for 1,3-D photodegradation was approximately 1.3 nm, which guaranteed and balanced the good photocatalytic activity and stability of the CIS/ZnS:Al-TiO2 photocatalyst. The photodegradation efficiency of 1,3-D can be maintained up to more than 80% after five cycles during recycling experiment. When CIS/ZnS:Al-TiO2 was deposited as photocatalytic film on a flexible polyethylene terephthalate substrate, over 99% of cis-1,3-D and 98% of trans-1,3-D were depleted as they passed through the film during 15 h of irradiation under natural solar light. This study demonstrated that the stable CIS/ZnS:Al-TiO2 photocatalyst both in powder and film form is a promising agent for photodegradation and emission reduction of soil fumigants.

  6. Epitaxial BiFeO3 thin films fabricated by chemical solution deposition

    NASA Astrophysics Data System (ADS)

    Singh, S. K.; Kim, Y. K.; Funakubo, H.; Ishiwara, H.

    2006-04-01

    Epitaxial BiFeO3 (BFO) thin films were fabricated on (001)-, (110)-, and (111)-oriented single-crystal SrRuO3(SRO )/SrTiO3(STO) structures by chemical solution deposition. X-ray diffraction indicates the formation of an epitaxial single-phase perovskite structure and pole figure measurement confirms the cube-on-cube epitaxial relationship of BFO ‖SRO‖STO. Chemical-solution-deposited BFO films have a rhombohedral structure with lattice parameter of 0.395nm, which is the same structure as that of a bulk single crystal. The remanent polarization of approximately 50μC/cm2 was observed in BFO (001) thin films at 80K.

  7. Improved interfacial and electrical properties of atomic layer deposition HfO2 films on Ge with La2O3 passivation

    NASA Astrophysics Data System (ADS)

    Li, Xue-Fei; Liu, Xiao-Jie; Cao, Yan-Qiang; Li, Ai-Dong; Li, Hui; Wu, Di

    2013-01-01

    We report the characteristics of HfO2 films deposited on Ge substrates with and without La2O3 passivation at 250 °C by atomic layer deposition (ALD) using La[N(SiMe3)2]3 and Hf[N(CH3)(C2H5)]4 as the precursors. The HfO2 is observed to form defective HfGeOx at its interface during 500 °C postdeposition annealing. The insertion of an ultrathin La2O3 interfacial passivation layer effectively prevents the Ge outdiffusion and improves interfacial and electrical properties. Capacitance equivalent thickness (CET) of 1.35 nm with leakage current density JA of 8.3 × 10-4 A/cm2 at Vg = 1 V is achieved for the HfO2/La2O3 gate stacks on Ge substrates.

  8. Electron-stimulated reactions in nanoscale water films adsorbed on α-Al 2 O 3 (0001)

    DOE PAGES

    Petrik, Nikolay G.; Kimmel, Greg A.

    2018-01-01

    100 eV electrons are stopped in the H 2 O portion of the isotopically-layered nanoscale film on α-Al 2 O 3 (0001) but D 2 is produced at the D 2 O/alumina interface by mobile electronic excitations and/or hydronium ions.

  9. Transparent and flexible capacitors based on nanolaminate Al2O3/TiO2/Al2O3.

    PubMed

    Zhang, Guozhen; Wu, Hao; Chen, Chao; Wang, Ti; Yue, Jin; Liu, Chang

    2015-01-01

    Transparent and flexible capacitors based on nanolaminate Al2O3/TiO2/Al2O3 dielectrics have been fabricated on indium tin oxide-coated polyethylene naphthalate substrates by atomic layer deposition. A capacitance density of 7.8 fF/μm(2) at 10 KHz was obtained, corresponding to a dielectric constant of 26.3. Moreover, a low leakage current density of 3.9 × 10(-8) A/cm(2) at 1 V has been realized. Bending test shows that the capacitors have better performances in concave conditions than in convex conditions. The capacitors exhibit an average optical transmittance of about 70% in visible range and thus open the door for applications in transparent and flexible integrated circuits.

  10. Electron Trap Energy Distribution in ALD Al2O3, LaAl4Ox, and GdyAl2-yO3 Layers on Silicon

    NASA Astrophysics Data System (ADS)

    Wang, W. C.; Badylevich, M.; Adelmann, C.; Swerts, J.; Kittl, J. A.; Afanas'ev, V. V.

    2012-12-01

    The energy distribution of electron trap density in atomic layer deposited Al2O3, LaAl4Ox and GdyAl2-yO3 insulating layers was studied by using the exhaustive photodepopulation spectroscopy. Upon filling the traps by electron tunneling from Si substrate, a broad energy distribution of trap levels in the energy range 2-4 eV is found in all studied insulators with trap densities in the range of 1012 cm-2eV-1. The incorporation of La and Gd cations reduces the trap density in aluminate layers as compared to Al2O3. Crystallization of the insulator by the post-deposition annealing is found to increase the trap density while the energy distribution remains unchanged. The similar trap spectra in the Al2O3 and La or Gd aluminate layers suggest the common nature of the traps, probably originating from imperfections in the AlOx sub-network.

  11. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    NASA Astrophysics Data System (ADS)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  12. Microstructure of epitaxial ferroelectric/metal oxide electrode thin film heterostructures on LaAlO{sub 3} and silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ghonge, S.G.; Goo, E.; Ramesh, R.

    1994-12-31

    TEM and X-ray diffraction studies of PZT, PLZT, lead titanate and bismuth titanate ferroelectric thin films and YBa{sub 2}Cu{sub 3}O{sub 7{minus}x}(YBCO), Bi{sub 2}Sr{sub 2}CaCu{sub 2}O{sub 8}(BSCCO) and La{sub 0.5}Sr{sub 0.5}CoO{sub 3}(LSCO) electrically conductive oxide thin films, that are sequentially deposited by pulsed laser ablation, show that these films may be deposited epitaxially onto LaAlO{sub 3}(LAO) or Si substrates. The conductive oxides are promising candidates for use is electrodes in place of metal electrodes in integrated ferroelectric device applications. The oxide electrodes are more chemically compatible with the ferroelectric films. High resolution electron microscopy his been used to investigate the interfacemore » between the ferroelectric and metal oxide thin films and no reaction was detected. Epitaxial growth is possible due to the similar crystal structures and the small lattice mismatch. The lattice mismatch that is present causes the domains in the ferroelectric films to be preferentially oriented and in the case of lead titanate, the film is single domain. These films may also have potential applications in integrated optical devices.« less

  13. Fabrication and characterization of Al{sub 2}O{sub 3} /Si composite nanodome structures for high efficiency crystalline Si thin film solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Ruiying, E-mail: ryzhang2008@sinano.ac.cn; State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, 865 Changning Road, Shanghai 200050 China; Zhu, Jian

    2015-12-15

    We report on our fabrication and characterization of Al{sub 2}O{sub 3}/Si composite nanodome (CND) structures, which is composed of Si nanodome structures with a conformal cladding Al{sub 2}O{sub 3} layer to evaluate its optical and electrical performance when it is applied to thin film solar cells. It has been observed that by application of Al{sub 2}O{sub 3}thin film coating using atomic layer deposition (ALD) to the Si nanodome structures, both optical and electrical performances are greatly improved. The reflectivity of less than 3% over the wavelength range of from 200 nm to 2000 nm at an incident angle from 0°more » to 45° is achieved when the Al{sub 2}O{sub 3} film is 90 nm thick. The ultimate efficiency of around 27% is obtained on the CND textured 2 μm-thick Si solar cells, which is compared to the efficiency of around 25.75% and 15% for the 2 μm-thick Si nanodome surface-decorated and planar samples respectively. Electrical characterization was made by using CND-decorated MOS devices to measure device’s leakage current and capacitance dispersion. It is found the electrical performance is sensitive to the thickness of the Al{sub 2}O{sub 3} film, and the performance is remarkably improved when the dielectric layer thickness is 90 nm thick. The leakage current, which is less than 4x10{sup −9} A/cm{sup 2} over voltage range of from -3 V to 3 V, is reduced by several orders of magnitude. C-V measurements also shows as small as 0.3% of variation in the capacitance over the frequency range from 10 kHz to 500 kHz, which is a strong indication of surface states being fully passivated. TEM examination of CND-decorated samples also reveals the occurrence of SiO{sub x} layer formed between the interface of Si and the Al{sub 2}O{sub 3} film, which is thin enough that ensures the presence of field-effect passivation, From our theoretical and experimental study, we believe Al{sub 2}O{sub 3} coated CND structures is a truly viable approach to achieving higher

  14. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    PubMed

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  15. Structural and mechanical properties of CVD deposited titanium aluminium nitride (TiAlN) thin films

    NASA Astrophysics Data System (ADS)

    Das, Soham; Guha, Spandan; Ghadai, Ranjan; Kumar, Dhruva; Swain, Bibhu P.

    2017-06-01

    Titanium aluminium nitride (TiAlN) thin films were deposited by chemical vapour deposition using TiO2 powder, Al powder and N2 gas. The morphology and mechanical properties of the films were characterized by scanning electron microscopy and nanoindentation technique, respectively. The structural properties were characterized by Raman spectroscopy and X-ray diffraction. The XRD result shows TiAlN films are of NaCl-type metal nitride structure. Micro-Raman peaks of the TiAlN thin film were observed within 450 and 642 cm-1 for acoustic and optic range, respectively. A maximum hardness and Young modulus up to 22 and 272.15 GPa, respectively, were observed in the TiAlN film deposited at 1200 °C.

  16. Structural and morphological study on ZnO:Al thin films grown using DC magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Astuti, B.; Sugianto; Mahmudah, S. N.; Zannah, R.; Putra, N. M. D.; Marwoto, P.; Aryanto, D.; Wibowo, E.

    2018-03-01

    ZnO doped Al (ZnO:Al ) thin film was deposited on corning glass substrate using DC magnetron sputtering method. Depositon process of the ZnO:Al thin films was kept constant at plasma power, deposition temperature and deposition time are 40 watt, 400°C and 2 hours, respectivelly. Furthermore, for annealing process has been done on the variation of oxygen pressure are 0, 50, and 100 mTorr. X-ray diffraction (XRD), and SEM was used to characterize ZnO:Al thin film was obtained. Based on XRD characterization results of the ZnO:Al thin film shows that deposited thin film has a hexagonal structure with the dominant diffraction peak at according to the orientation of the (002) plane and (101). Finally, the crystal structure of the ZnO:Al thin films that improves with an increasing the oxygen pressure at annealing process up to 100 mTorr and its revealed by narrow FWHM value and also with dense crystal structure.

  17. Twin-induced phase transition from β-Ga2O3 to α-Ga2O3 in Ga2O3 thin films

    NASA Astrophysics Data System (ADS)

    Choi, Byeongdae; Allabergenov, Bunyod; Lyu, Hong-Kun; Lee, Seong Eui

    2018-06-01

    We deposited a 300-nm-thick Ga2O3 thin film on an amorphous SiO2/Si substrate via pulsed laser deposition. X-ray diffraction patterns revealed the formation of β-Ga2O3 phase at a substrate temperature of 700 °C. X-ray photoelectron spectra indicated that the degree of oxidation increased after annealing at 700 °C. Further annealings at higher temperatures led to a transition of the β-Ga2O3 phase to the α-Ga2O3 phase; this transition was caused by the twin structure formed during the crystallinity improvement process. In addition, we discuss the mechanism of the transition from the β phase to the α phase in the β-Ga2O3 thin films.

  18. Understanding the Reaction Chemistry of 2,2':5',2''-Terthiophene Films with Vapor-Deposited Ag, Al, and Ca

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sang, Lingzi; Matz, Dallas L.; Pemberton, Jeanne E.

    The reaction chemistry of vapor-deposited 2,2':5',2''-terthiophene (α-3T) solid-state thin films with postdeposited Ag, Al, and Ca is investigated in ultrahigh vacuum using Raman spectroscopy. Vapor-deposited Ag forms nanoparticles on these films and induces considerable surface enhanced Raman scattering (SERS) along with a change in molecular symmetry of adjacent α-3T and formation of Ag–S bonds; no other reaction chemistry is observed. Vapor-deposited Al and Ca undergo chemical reaction with α-3T initiated by metal-to-α-3T electron transfer. For Al, the resulting product is predominantly amorphous carbon through initial radical formation and subsequent decomposition reactions. For Ca, the spectral evidence suggests two pathways: onemore » leading to α-3T polymerization and the other resulting in thiophene ring opening, both initiated by radical formation through Ca-to-α-3T electron transfer. These interfacial reactions reflect the complex chemistry that can occur between low work function metals and thiophene-based oligomers. This reactivity is strongly correlated with metal work function.« less

  19. Understanding the Reaction Chemistry of 2,2':5',2"-Terthiophene Films with Vapor-Deposited Ag, Al, and Ca

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sang, Lingzi; Matz, Dallas L.; Pemberton, Jeanne E.

    The reaction chemistry of vapor-deposited 2,2':5',2''-terthiophene (α-3T) solid-state thin films with postdeposited Ag, Al, and Ca is investigated in ultrahigh vacuum using Raman spectroscopy. Vapor-deposited Ag forms nanoparticles on these films and induces considerable surface enhanced Raman scattering (SERS) along with a change in molecular symmetry of adjacent α-3T and formation of Ag–S bonds; no other reaction chemistry is observed. Vapor-deposited Al and Ca undergo chemical reaction with α-3T initiated by metal-to-α-3T electron transfer. For Al, the resulting product is predominantly amorphous carbon through initial radical formation and subsequent decomposition reactions. For Ca, the spectral evidence suggests two pathways: onemore » leading to α-3T polymerization and the other resulting in thiophene ring opening, both initiated by radical formation through Ca-to-α-3T electron transfer. These interfacial reactions reflect the complex chemistry that can occur between low work function metals and thiophene-based oligomers. This reactivity is strongly correlated with metal work function.« less

  20. Epitaxial Ba2IrO4 thin-films grown on SrTiO3 substrates by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Nichols, J.; Korneta, O. B.; Terzic, J.; Cao, G.; Brill, J. W.; Seo, S. S. A.

    2014-03-01

    We have synthesized epitaxial Ba2IrO4 (BIO) thin-films on SrTiO3 (001) substrates by pulsed laser deposition and studied their electronic structure by dc-transport and optical spectroscopic experiments. We have observed that BIO thin-films are insulating but close to the metal-insulator transition boundary with significantly smaller transport and optical gap energies than its sister compound, Sr2IrO4. Moreover, BIO thin-films have both an enhanced electronic bandwidth and electronic-correlation energy. Our results suggest that BIO thin-films have great potential for realizing the interesting physical properties predicted in layered iridates.

  1. Low-frequency dielectric properties of intrinsic and Al-doped rutile TiO{sub 2} thin films grown by the atomic layer deposition technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kassmi, M.; LMOP, El Manar University, Tunis 2092; Pointet, J.

    2016-06-28

    Dielectric spectroscopy is carried out for intrinsic and aluminum-doped TiO{sub 2} rutile films which are deposited on RuO{sub 2} by the atomic layer deposition technique. Capacitance and conductance are measured in the 0.1 Hz–100 kHz range, for ac electric fields up to 1 MV{sub rms}/cm. Intrinsic films have a much lower dielectric constant than rutile crystals. This is ascribed to the presence of oxygen vacancies which depress polarizability. When Al is substituted for Ti, the dielectric constant further decreases. By considering Al-induced modification of polarizability, a theoretical relationship between the dielectric constant and the Al concentration is proposed. Al doping drastically decreasesmore » the loss in the very low frequency part of the spectrum. However, Al doping has almost no effect on the loss at high frequencies. The effect of Al doping on loss is discussed through models of hopping transport implying intrinsic oxygen vacancies and Al related centers. When increasing the ac electric field in the MV{sub rms}/cm range, strong voltage non-linearities are evidenced in undoped films. The conductance increases exponentially with the ac field and the capacitance displays negative values (inductive behavior). Hopping barrier lowering is proposed to explain high-field effects. Finally, it is shown that Al doping strongly improves the high-field dielectric behavior.« less

  2. Luminescent properties of Al2O3:Ce single crystalline films under synchrotron radiation excitation

    NASA Astrophysics Data System (ADS)

    Zorenko, Yu.; Zorenko, T.; Gorbenko, V.; Savchyn, V.; Voznyak, T.; Fabisiak, K.; Zhusupkalieva, G.; Fedorov, A.

    2016-09-01

    The paper is dedicated to study the luminescent and scintillation properties of the Al2O3:Ce single crystalline films (SCF) grown by LPE method onto saphire substrates from PbO based flux. The structural quality of SCF samples was investigated by XRD method. For characterization of luminescent properties of Al2O3:Ce SCFs the cathodoluminescence spectra, scintillation light yield (LY) and decay kinetics under excitation by α-particles of Pu239 source were used. We have found that the scintillation LY of Al2O3:Ce SCF samples is relatively large and can reach up to 50% of the value realized in the reference YAG:Ce SCF. Using the synchrotron radiation excitation in the 3.7-25 eV range at 10 K we have also determined the basic parameters of the Ce3+ luminescence in Al2O3 host.

  3. Electrical properties of Bi2Mg2/3Nb4/3O7 (BMN) pyrochlore thin films deposited on Pt and Cu metal at low temperatures for embedded capacitor applications

    NASA Astrophysics Data System (ADS)

    Xian, Cheng-Ji; Park, Jong-Hyun; Ahn, Kyung-Chan; Yoon, Soon-Gil; Lee, Jeong-Won; Kim, Woon-Chun; Lim, Sung-Taek; Sohn, Seung-Hyun; Moon, Jin-Seok; Jung, Hyung-Mi; Lee, Seung-Eun; Lee, In-Hyung; Chung, Yul-Kyo; Jeon, Min-Ku; Woo, Seong-Ihl

    2007-01-01

    200-nm-thick BMN films were deposited on Pt /TiO2/SiO2/Si and Cu /Ti/SiO2/Si substrates at various temperatures by pulsed laser deposition. The dielectric constant and capacitance density of the films deposited on Pt and Cu electrodes show similar tendency with increasing deposition temperature. On the other hand, dielectric loss of the films deposited on Cu electrode varies from 0.7% to 1.3%, while dielectric loss of films on Pt constantly shows 0.2% even though the deposition temperature increases. The low value of breakdown strength in BMN films on Pt compared to films deposited on Cu electrode was attributed to the increase of surface roughness by the formation of secondary phases at interface between BMN films and Pt electrodes.

  4. Characterization of high-{kappa} LaLuO{sub 3} thin film grown on AlGaN/GaN heterostructure by molecular beam deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang Shu; Huang Sen; Chen Hongwei

    2011-10-31

    We report the study of high-dielectric-constant (high-{kappa}) dielectric LaLuO{sub 3} (LLO) thin film that is grown on AlGaN/GaN heterostructure by molecular beam deposition (MBD). The physical properties of LLO on AlGaN/GaN heterostrucure have been investigated with atomic force microscopy, x-ray photoelectron spectroscopy, and TEM. It is revealed that the MBD-grown 16 nm-thick LLO film is polycrystalline with a thin ({approx}2 nm) amorphous transition layer at the LLO/GaN interface. The bandgap of LLO is derived as 5.3 {+-} 0.04 eV from O1s energy loss spectrum. Capacitance-voltage (C-V) characteristics of a Ni-Au/LLO/III-nitride metal-insulator-semiconductor diode exhibit small frequency dispersion (<2%) and reveal amore » high effective dielectric constant of {approx}28 for the LLO film. The LLO layer is shown to be effective in suppressing the reverse and forward leakage current in the MIS diode. In particular, the MIS diode forward current is reduced by 7 orders of magnitude at a forward bias of 1 V compared to a conventional Ni-Au/III-nitride Schottky diode.« less

  5. Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition.

    PubMed

    Wang, Wei-Cheng; Tsai, Meng-Chen; Yang, Jason; Hsu, Chuck; Chen, Miin-Jang

    2015-05-20

    In this study, efficient nanotextured black silicon (NBSi) solar cells composed of silicon nanowire arrays and an Al2O3/TiO2 dual-layer passivation stack on the n(+) emitter were fabricated. The highly conformal Al2O3 and TiO2 surface passivation layers were deposited on the high-aspect-ratio surface of the NBSi wafers using atomic layer deposition. Instead of the single Al2O3 passivation layer with a negative oxide charge density, the Al2O3/TiO2 dual-layer passivation stack treated with forming gas annealing provides a high positive oxide charge density and a low interfacial state density, which are essential for the effective field-effect and chemical passivation of the n(+) emitter. In addition, the Al2O3/TiO2 dual-layer passivation stack suppresses the total reflectance over a broad range of wavelengths (400-1000 nm). Therefore, with the Al2O3/TiO2 dual-layer passivation stack, the short-circuit current density and efficiency of the NBSi solar cell were increased by 11% and 20%, respectively. In conclusion, a high efficiency of 18.5% was achieved with the NBSi solar cells by using the n(+)-emitter/p-base structure passivated with the Al2O3/TiO2 stack.

  6. Deposition of high quality YBa2Cu3O(7-delta) thin films over large areas by pulsed laser ablation with substrate scanning

    NASA Technical Reports Server (NTRS)

    Davis, M. F.; Wosik, J.; Forster, K.; Deshmukh, S. C.; Rampersad, H. R.

    1991-01-01

    The paper describes thin films deposited in a system where substrates are scanned over areas up to 3.5 x 3.5 cm through the stationary plume of an ablated material defined by an aperture. These YBCO films are deposited on LaAlO3 and SrTiO3 substrates with the thickness of 90 and 160 nm. Attention is focused on the main features of the deposition system: line focusing of the laser beam on the target; an aperture defining the area of the plume; computerized stepper motor-driven X-Y stage translating the heated sampler holder behind the plume-defining aperture in programmed patterns; and substrate mounting block with uniform heating at high temperatures over large areas. It is noted that the high degree of uniformity of the properties in each film batch illustrates that the technique of pulsed laser deposition can be applied to produce large YBCO films of high quality.

  7. Optimization of the Al2O3/GaSb Interface and a High-Mobility GaSb pMOSFET

    DTIC Science & Technology

    2011-10-01

    explored the use of in situ deposition of Al2O3 on GaSb grown on InP using molecular beam epitaxy and reported Dit values in the low 1012/cm2eV range near...M. Heyns, M. Caymax, and J. Dekoster, “GaSb mole- cular beam epitaxial growth on p-InP(001) and passivation with in situ deposited Al2O3 gate oxide...transmission electron microscopy. Capacitors were made on these films using platinum (Pt) electrode deposited in an e- beam evaporator through a shadow

  8. Preparation of W–Sc{sub 2}O{sub 3} targets and scandate cathodes with film prepared by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Xizhu; Wang, Jinshu, E-mail: wangjsh@bjut.edu.cn; Liu, Wei

    2013-12-15

    Graphical abstract: - Highlights: • W–Sc{sub 2}O{sub 3} film containing 5% Sc{sub 2}O{sub 3} and 95% W were prepared by pulsed laser deposition. • W–Sc{sub 2}O{sub 3} film on scandate cathode surface improves emission property. • The film improves Sc distribution uniformity and is favorable for forming Ba–Sc–O layer. - Abstract: Sub-micrometer Sc{sub 2}O{sub 3}–W powder with a narrow particle size distribution has been obtained by a sol–gel method combined with two-step hydrogen reduction process. Based on the obtained powder, the W–Sc{sub 2}O{sub 3} targets have been sintered via spark plasma sintering (SPS) at 1300 °C. The W–Sc{sub 2}O{sub 3}more » targets have the average grain size of about 1 μm. Both the sintering temperature and holding time are much lower than those of the targets prepared with micrometer sized powders. The obtained W–Sc{sub 2}O{sub 3} targets have a high comparative density of 96.4% and rockwell hardness of 86.4 HRC. Using the target, the scandate cathode deposited with a film containing 5% Sc{sub 2}O{sub 3} and 95% W has been obtained by pulsed laser deposition (PLD) method. This cathode has good emission property, i.e., the highest thermionic emission current density reaches 43.09 A/cm{sup 2} of J{sub div} at 900 °C{sub b} after being activated for 8 h, which is much higher than that of scandate cathode without film. Scandium (Sc) supplied by the film on the surface during the activation forms a Ba–Sc–O active layer, which helps to the emission.« less

  9. Ac Conduction in Mixed Oxides Al-In2O3-SnO2-Al Structure Deposited by Co-Evaporation

    NASA Astrophysics Data System (ADS)

    Anwar, M.; Siddiqi, S. A.; Ghauri, I. M.

    Conductivity-frequency and capacitance-frequency characteristics of mixed oxides Al-In2O3-SnO2-Al structure are examined to elicit any correlation with the conduction mechanisms most often observed in thin film work. The existence of Schottky barriers is believed to be due to a strong donor band in the insulator established during the vacuum evaporation when a layer of mixed oxides In2O3-SnO2 system is sandwiched between two metal electrodes. Low values of activation energy at low temperatures indicate that the transport of the carriers between localized states is mainly due to electronic hopping over the barrier separating the two nearest neighbor sites. The increase in the formation of ionized donors with increase in temperature during electrical measurements indicates that electronic part of the conductivity is higher than the ionic part. The initial increase in conductivity with increase in Sn content in In2O3 lattice is caused by the Sn atom substitution of In atom, giving out one extra electron. The decrease in electrical conductivity above the critical Sn content (10 mol% SnO2) is caused by the defects formed by Sn atoms, which act as carrier traps rather than electron donors. The increase in electrical conductivity with film thickness is caused by the increase in free carriers density, which is generated by oxygen vacancy acting as two electron donor. The increase in conductivity with substrate and annealing temperatures is due to either the severe deficiency of oxygen, which deteriorates the film properties and reduces the mobility of the carriers or to the diffusion of Sn atoms from interstitial locations into the In cation sites and formation of indium species of lower oxidation state (In2+). Calculations of C and σac from tan δ measurements suggest that there is some kind of space-charge polarization in the material, caused by the storage of carriers at the electrodes. Capacitance decreases not only with the rise of frequency but also with the

  10. Interfacial development of electrophoretically deposited graphene oxide films on Al alloys

    DOE PAGES

    Jin, Sumin; Dickerson, James H.; Pham, Viet Hung; ...

    2015-07-28

    Adhesion between film and substrate is critical for electronic device and coating applications. Interfacial development between electrophoretically deposited graphene oxide films on Al 1100 and Al 5052 alloys were investigated using FT-IR and XPS depth profiling techniques. Obtained results suggest metal ion permeation from the substrates into deposited graphene oxide films. The interface between the films and the substrates were primarily composed of Al-O-C bonds from oxygenated defects on graphene oxide plane rather than expected Al-C formation. Films heat treated at 150 °C had change in microstructure and peak shifts in XPS spectra suggesting change in chemical structure of bondsmore » between the films and the substrates.« less

  11. Hydrogen passivation of poly-Si/SiO x contacts for Si solar cells using Al 2O 3 studied with deuterium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William

    Here, the interplay between hydrogenation and passivation of poly-Si/SiO x contacts to n-type Si wafers is studied using atomic layer deposited Al 2O 3 and anneals in forming gas and nitrogen. The poly-Si/SiO x stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiO x contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al 2O 3 is derived from its role as amore » hydrogen source for chemically passivating defects at SiO x; Al 2O 3 layers are found to hydrogenate poly-Si/SiO x much better than a forming gas anneal. By labelling Al 2O 3 and the subsequent anneal with different hydrogen isotopes, it is found that Al 2O 3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.« less

  12. Hydrogen passivation of poly-Si/SiO x contacts for Si solar cells using Al 2O 3 studied with deuterium

    DOE PAGES

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William; ...

    2018-05-14

    Here, the interplay between hydrogenation and passivation of poly-Si/SiO x contacts to n-type Si wafers is studied using atomic layer deposited Al 2O 3 and anneals in forming gas and nitrogen. The poly-Si/SiO x stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiO x contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al 2O 3 is derived from its role as amore » hydrogen source for chemically passivating defects at SiO x; Al 2O 3 layers are found to hydrogenate poly-Si/SiO x much better than a forming gas anneal. By labelling Al 2O 3 and the subsequent anneal with different hydrogen isotopes, it is found that Al 2O 3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.« less

  13. Millimeter distance effects of surface plasmon polaritons in electroformed Al-Al2O3-Ag diodes

    NASA Astrophysics Data System (ADS)

    Hickmott, T. W.

    2017-02-01

    Electroforming of metal-insulator-metal diodes is a soft dielectric breakdown that changes the high resistance of as-prepared diodes to a low resistance state. Electroforming of Al-Al2O3-metal diodes with anodic Al2O3 results in voltage-controlled negative resistance in the current-voltage (I-V) characteristics, electroluminescence (EL), and electron emission into vacuum (EM). EL is due to electrons injected at the Al-Al2O3 interface combining with radiative defects in Al2O3. Surface plasmon polaritons (SPPs) are electromagnetic waves that can be excited by photons or electrons. SPPs are confined to a metal-dielectric interface, cause large electric fields in the metal and dielectric, and have ranges of micrometers. The temperature dependence of I-V curves, EL, and EM of a group of electroformed Al-Al2O3-Ag diodes with Al2O3 thicknesses between 12 nm and 20 nm, group A, was measured between 200 K and 300 K. After a sequence of temperature measurements, the Al-Al2O3-Ag diodes, the Al-Al2O3 regions between diodes, and portions of the Ag on the glass region that provides contacts to the diodes are darkened. The range of darkening is >7 mm in a diode with 12 nm of Al2O3 and 2.0-3.5 mm in diodes with Al2O3 thicknesses between 14 nm and 20 nm. Darkening is attributed to the occurrence of SPPs generated by EL photons at the Ag-Al2O3 and Al-Al2O3 interfaces. The results are compared to a second group of Al-Al2O3-Ag diodes with identical Al2O3 thicknesses, group B, that were prepared in the same way as the diodes of group A except for a difference in the deposition of Al films for the two groups. Al-Al2O3-Ag diodes of group B exhibit enhanced EL, which is attributed to spontaneous emission of recombination centers in Al2O3 being enhanced by large electromagnetic fields that are due to SPPs that are generated by EL photons.

  14. Pulsed laser-deposited VO2 thin films on Pt layers

    NASA Astrophysics Data System (ADS)

    Sakai, Joe; Zaghrioui, Mustapha; Ta Phuoc, Vinh; Roger, Sylvain; Autret-Lambert, Cécile; Okimura, Kunio

    2013-03-01

    VO2 films were deposited on Pt (111)/TiO2/SiO2/Si (001) substrates by means of a pulsed laser deposition technique. An x-ray diffraction peak at 2θ = 39.9° was deconvoluted into two pseudo-Voigt profiles of Pt (111) and VOx-originated components. The VOx diffraction peak was more obvious in a VOx/Pt (111)/Al2O3 (0001) sample, having a narrower width compared with a VO2/Al2O3 (0001) sample. Temperature-controlled Raman spectroscopy for the VOx/Pt/TiO2/SiO2/Si sample has revealed the monoclinic VO2 phase at low temperature and the structural phase transition at about 72 °C in a heating process. The electronic conductive nature at the high temperature phase was confirmed by near normal incidence infrared reflectivity measurements. Out-of-plane current-voltage characteristics showed an electric field-induced resistance switching at a voltage as low as 0.2 V for a 50 nm-thick film. A survey of present and previous results suggests an experimental law that the transition voltage of VO2 is proportional to the square root of the electrodes distance.

  15. Comparison of the physical, chemical and electrical properties of ALD Al 2 O 3 on c- and m- plane GaN: Comparison of the physical, chemical and electrical properties of ALD Al 2 O 3 on c- and m- plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, D.; Hossain, T.; Nepal, N.

    2014-02-01

    Our study compares the physical, chemical and electrical properties of Al 2O 3 thin films deposited on gallium polar c- and nonpolar m -plane GaN substrates by atomic layer deposition (ALD). Correlations were sought between the film's structure, composition, and electrical properties. The thickness of the Al 2O 3 films was 19.2 nm as determined from a Si witness sample by spectroscopic ellipsometry. We measured the gate dielectric was slightly aluminum-rich (Al:O=1:1.3) from X-ray photoelectron spectroscopy (XPS) depth profile, and the oxide-semiconductor interface carbon concentration was lower on c -plane GaN. The oxide's surface morphology was similar on both substrates,more » but was smoothest on c -plane GaN as determined by atomic force microscopy (AFM). Circular capacitors (50-300 μm diameter) with Ni/Au (20/100 nm) metal contacts on top of the oxide were created by standard photolithography and e-beam evaporation methods to form metal-oxide-semiconductor capacitors (MOSCAPs). Moreover, the alumina deposited on c -plane GaN showed less hysteresis (0.15 V) than on m -plane GaN (0.24 V) in capacitance-voltage (CV) characteristics, consistent with its better quality of this dielectric as evidenced by negligible carbon contamination and smooth oxide surface. These results demonstrate the promising potential of ALD Al 2O 3 on c -plane GaN, but further optimization of ALD is required to realize the best properties of Al 2O 3 on m -plane GaN.« less

  16. Electrical properties of GaN-based metal-insulator-semiconductor structures with Al2O3 deposited by atomic layer deposition using water and ozone as the oxygen precursors

    NASA Astrophysics Data System (ADS)

    Kubo, Toshiharu; Freedsman, Joseph J.; Iwata, Yasuhiro; Egawa, Takashi

    2014-04-01

    Al2O3 deposited by atomic layer deposition (ALD) was used as an insulator in metal-insulator-semiconductor (MIS) structures for GaN-based MIS-devices. As the oxygen precursors for the ALD process, water (H2O), ozone (O3), and both H2O and O3 were used. The chemical characteristics of the ALD-Al2O3 surfaces were investigated by x-ray photoelectron spectroscopy. After fabrication of MIS-diodes and MIS-high-electron-mobility transistors (MIS-HEMTs) with the ALD-Al2O3, their electrical properties were evaluated by current-voltage (I-V) and capacitance-voltage (C-V) measurements. The threshold voltage of the C-V curves for MIS-diodes indicated that the fixed charge in the Al2O3 layer is decreased when using both H2O and O3 as the oxygen precursors. Furthermore, MIS-HEMTs with the H2O + O3-based Al2O3 showed good dc I-V characteristics without post-deposition annealing of the ALD-Al2O3, and the drain leakage current in the off-state region was suppressed by seven orders of magnitude.

  17. Epitaxial growth of high quality SrFeO3 films on (001) oriented (LaAlO3)0.3(Sr2TaAlO6)0.7

    NASA Astrophysics Data System (ADS)

    Hong, Deshun; Liu, Changjiang; Pearson, John; Bhattacharya, Anand

    2017-12-01

    The growth of strontium ferrite SrFeO3 films with a stoichiometry of (1:1:3) is challenging as the unstable Fe4+ oxidation state favors the formation of O vacancies. Here, we report the layer by layer growth of SrFeO3 on (001) oriented (LaAlO3)0.3(Sr2TaAlO6)0.7 using ozone assisted molecular beam epitaxy. Upon cooling from room temperature, the film's resistivity decreased from 750 μΩ c m to 150 μΩ c m , as low as the best single crystals, with two identifiable transition points near 110 K and 60 K in resistivity measurements, being hysteretic between cooling and warming through the 60 K transition. During various annealing steps, the low temperature resistivity changes by orders of magnitude, accompanied by an increase in the c-axis lattice parameter. The hysteresis near 60 K persists for a wide range of annealing conditions. We have identified conditions under which changes due to annealing can be reversed. We attribute changes in resistivity and the out of plane lattice parameter to the reversible movement of oxygen ions in the lattice. SrFeO3 may be a promising material for resistive memory applications based upon the control of oxygen vacancies.

  18. Laser ablated high T(sub c) superconducting thin YBa2Cu3O(7-x) films on substrates suitable for microwave applications

    NASA Astrophysics Data System (ADS)

    Warner, J. D.; Meola, J. E.; Jenkins, K. A.; Bhasin, K. B.

    1990-04-01

    The development of high temperature superconducting YBa2Cu3O(7-x) thin films on substrates suitable for microwave applications is of great interest for evaluating their applications for space radar, communication, and sensor systems. Thin films of YBa2Cu3O(7-x) were formed on SrTiO3, ZrO2, MgO, and LaAlO3 substrates by laser ablation. The wavelength used was 248 nm from a KrF excimer laser. During deposition the films were heated to 600 C in a flowing oxygen environment, and required no post annealing. The low substrate temperature during deposition with no post annealing gave films which were smooth, which had their c-axis aligned to the substrates, and which had grains ranging from 0.2 to 0.5 microns in size. The films being c-axis aligned gave excellent surface resistance at 35 GHz which was lower than that of copper at 77 K. At present, LaAlO3 substrates with a dielectric constant of 22, appears suitable as a substrate for microwave and electronic applications. The films were characterized by resistance-temperature measurements, scanning electron microscopy, and x ray diffraction. The highest critical transition temperatures (T sub c) are above 89 K for films on SrTiO3 and LaAlO3, above 88 K for ZrO2, and above 86 K for MgO. The critical current density (J sub c) of the films on SrTiO3 is above 2 x 10(exp 6) amperes/sq cm at 77 K. The T(sub c) and J(sub c) are reported as a function of laser power, composition of the substrate, and temperature of the substrate during deposition.

  19. Effect of annealing temperature on the microstructure and optical-electrical properties of Cu-Al-O thin films

    NASA Astrophysics Data System (ADS)

    Zhang, Y. J.; Liu, Z. T.; Zang, D. Y.; Che, X. S.; Feng, L. P.; Bai, X. X.

    2013-12-01

    We have successfully prepared Cu-Al-O thin films on silicon (100) and quartz substrates by radio frequency (RF) magnetron sputtering method. The as-deposited Cu-Al-O film is amorphous in nature and post-annealing treatment in argon ambience results in crystallization of the films and the formation of CuAlO2. The annealing temperature plays an important role in the surface morphology, phase constitution and preferred growth orientation of CuAlO2 phase, thus affecting the properties of the film. The film annealed at 900 °C is mainly composed of CuAlO2 phase and shows smooth surface morphology with well-defined grain boundaries, thus exhibiting the optimum optical-electrical properties with electrical resistivity being 79.7 Ω·cm at room temperature and optical transmittance being 80% in visible region. The direct optical band gaps of the films are found in the range of 3.3-3.8 eV depending on the annealing temperature.

  20. Energy band engineering and controlled p-type conductivity of CuAlO2 thin films by nonisovalent Cu-O alloying

    NASA Astrophysics Data System (ADS)

    Yao, Z. Q.; He, B.; Zhang, L.; Zhuang, C. Q.; Ng, T. W.; Liu, S. L.; Vogel, M.; Kumar, A.; Zhang, W. J.; Lee, C. S.; Lee, S. T.; Jiang, X.

    2012-02-01

    The electronic band structure and p-type conductivity of CuAlO2 films were modified via synergistic effects of energy band offset and partial substitution of less-dispersive Cu+ 3d10 with Cu2+ 3d9 orbitals in the valence band maximum by alloying nonisovalent Cu-O with CuAlO2 host. The Cu-O/CuAlO2 alloying films show excellent electronic properties with tunable wide direct bandgaps (˜3.46-3.87 eV); Hall measurements verify the highest hole mobilities (˜11.3-39.5 cm2/Vs) achieved thus far for CuAlO2 thin films and crystals. Top-gate thin film transistors constructed on p-CuAlO2 films were presented, and the devices showed pronounced performance with Ion/Ioff of ˜8.0 × 102 and field effect mobility of 0.97 cm2/Vs.

  1. Abnormal positive bias stress instability of In–Ga–Zn–O thin-film transistors with low-temperature Al{sub 2}O{sub 3} gate dielectric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, Yu-Hong; Yu, Ming-Jiue; Lin, Ruei-Ping

    2016-01-18

    Low-temperature atomic layer deposition (ALD) was employed to deposit Al{sub 2}O{sub 3} as a gate dielectric in amorphous In–Ga–Zn–O thin-film transistors fabricated at temperatures below 120 °C. The devices exhibited a negligible threshold voltage shift (ΔV{sub T}) during negative bias stress, but a more pronounced ΔV{sub T} under positive bias stress with a characteristic turnaround behavior from a positive ΔV{sub T} to a negative ΔV{sub T}. This abnormal positive bias instability is explained using a two-process model, including both electron trapping and hydrogen release and migration. Electron trapping induces the initial positive ΔV{sub T}, which can be fitted using the stretchedmore » exponential function. The breakage of residual AlO-H bonds in low-temperature ALD Al{sub 2}O{sub 3} is triggered by the energetic channel electrons. The hydrogen atoms then diffuse toward the In–Ga–Zn–O channel and induce the negative ΔV{sub T} through electron doping with power-law time dependence. A rapid partial recovery of the negative ΔV{sub T} after stress is also observed during relaxation.« less

  2. Transport properties and c/a ratio of V{sub 2}O{sub 3} thin films grown on C- and R-plane sapphire substrates by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sakai, Joe; Limelette, Patrice; Funakubo, Hiroshi

    2015-12-14

    We prepared V{sub 2}O{sub 3} thin films on C- or R-plane sapphire (Al{sub 2}O{sub 3}) substrates by a pulsed laser deposition method. X-ray diffraction analyses confirmed that single-phase V{sub 2}O{sub 3} films were epitaxially grown on both C- and R-planes under an Ar gas ambient of 2 × 10{sup −2} mbar at a substrate temperature of 873 K. Depending on the deposition conditions, c/a ratios at room temperature of (0001)-oriented V{sub 2}O{sub 3} films widely ranged from 2.79 to 2.88. Among them, the films of 2.81 ≤ c/a ≤ 2.84 showed complex metal (M)–insulator (I)–M transition during cooling from 300 to 10 K, while those of larger c/a ratiosmore » were accompanied by metallic properties throughout this temperature range. All the films on R-plane substrates underwent simple M-I transition at ∼150 K, which was more abrupt than the films on C-plane, whereas their c/a ratios were narrowly distributed. The distinct difference of M-I transition properties between C- and R-plane films is explained by the intrinsic a- and c-axes evolution through the transition from M to I phases.« less

  3. Fine-grained BaZr0.2Ti0.8O3 thin films for tunable device applications

    NASA Astrophysics Data System (ADS)

    Ying, Z.; Yun, P.; Wang, D. Y.; Zhou, X. Y.; Song, Z. T.; Feng, S. L.; Wang, Y.; Chan, H. L. W.

    2007-04-01

    A study of the structure and in-plane dielectric properties of BaZr0.2Ti0.8O3 thin film epitaxially grown on (LaAlO3)0.3(Sr2AlTaO6)0.35 (001) single-crystal substrates through pulsed-laser deposition has been carried out. X-ray diffraction measurements revealed a good crystallinity and tensile in-plane stress in the film. Fine grains with an average size of ˜20 nm were observed using atomic force microscopy. Curie temperature of the film was found to be ˜120 °C, which is 100 °C higher than that of the ceramic. Butterfly-shaped C-V curve confirmed the in-plane ferroelectric state in the film. A large dielectric tunability of ˜50% was found in the film.

  4. Zirconium doped TiO2 thin films deposited by chemical spray pyrolysis

    NASA Astrophysics Data System (ADS)

    Juma, A.; Oja Acik, I.; Oluwabi, A. T.; Mere, A.; Mikli, V.; Danilson, M.; Krunks, M.

    2016-11-01

    Chemical spray pyrolysis (CSP) is a flexible deposition technique that allows for mixing of the precursor solutions in different proportions suitable for doping thin films. The CSP method was used to dope TiO2 thin films with Zr by adding zirconium(IV) acetylacetonate into a solution of titanium(IV) isopropoxide in ethanol stabilized by acetylacetone at [Zr]/[Ti] of 0, 5, 10 and 20 at%. The Zr-doped TiO2 thin films were uniform and homogeneous showing much smaller grains than the undoped TiO2 films. Zr stabilized the anatase phase to temperatures above 800 °C depending on Zr concentration in the spray solution. The concentration of Zr determined by XPS was 6.4 at% for the thin film deposited from the 20 at% solution. According to AFM studies, Zr doping decreased the root mean square roughness of TiO2 film from 5.9 to 1.1 nm. An XRD study of samples with the highest Zr amount showed the ZrTiO4 phase started forming after annealing at 800 °C. The optical band gap for TiO2 decreased from 3.3 eV to 3.0 eV after annealing at 800 °C but for the TiO2:Zr(20) film it remained at 3.4 eV. The dielectric constant increased by more than four times with Zr-doping and this was associated with the change in the bond formations caused by substitution of Ti by Zr in the lattice.

  5. Fabrication and Properties of Cr2O3 and La0.7Sr0.3MnO3 Thin Film Heterostructures Integrated on Si(001)

    NASA Astrophysics Data System (ADS)

    Punugupati, Sandhyarani

    ), epitaxial thin films were prepared on r-Al2O3 substrate and their magnetic properties were studied. The XRD (2theta and phi) and TEM confirm that the films were grown epitaxially. The epitaxial relations were given as: [011¯2]Cr2O3 || [011¯2]Al2O 3 and [1¯1¯20]Cr2O3 || [1¯1¯20]Al 2O3. The as-deposited films showed ferromagnetic behavior up to 400K but it almost vanished with oxygen annealing. The Raman spectroscopy data together with strain measurements using XRD indicated that ferromagnetism in r-Cr2O3 thin films was due to the strain caused by defects such as oxygen vacancies. Bi-epitaxial La0.7Sr0.3MnO3(110) thin films were integrated on Si(100) with c-YSZ/SrTiO3(STO) buffer layers by pulsed laser deposition. The La0.7Sr0.3MnO 3 and STO thin films had a single [110] out-of-plane orientation but with two in-plane domain variants as confirmed from XRD and TEM study. The growth of STO on c-YSZ was explained by the domain matching epitaxy paradigm. The epitaxial relationship between STO and c-YSZ were written as [110](001)c-YSZ || [1¯11¯](110)STO (or) [110](001)c-YSZ || [1¯12¯](110)STO. The La0.7Sr0.3MnO3 thin films were ferromagnetic with Curie temperature 324K. They also exhibited hysteresis in magnetoresistance under both in-plane and out-of-plane magnetic fields. The highest magnetoresistance in this study was -32% at 50K and 50 kOe for in-plane configuration. Lastly, the epitaxial La0.7Sr0.3MnO3-delta -d(LSMO)/Cr2O3 bilayer structure was integrated with Si(001) using c-YSZ by pulsed laser deposition. The XRD (2theta and phi) and TEM characterizations confirm that the films were grown epitaxially. The epitaxial relations were written as [0001]Cr2O3 || [111]LSMO and [112¯0]Cr2O3 || [101¯]LSMO. Interestingly, when the LSMO thickness was increased from 66 to 528 nm (Cr2O 3=55nm), the magnetization increased by 2-fold and the magnetic nature changed from ferromagnetic to super paramagnetic. In addition, LSMO/Cr 2O3 showed in-plane exchange bias. We believe that

  6. Comparative analysis of the effects of tantalum doping and annealing on atomic layer deposited (Ta{sub 2}O{sub 5}){sub x}(Al{sub 2}O{sub 3}){sub 1−x} as potential gate dielectrics for GaN/Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Partida-Manzanera, T., E-mail: sgtparti@liv.ac.uk; Institute of Materials Research and Engineering, A*STAR; Roberts, J. W.

    2016-01-14

    This paper describes a method to optimally combine wide band gap Al{sub 2}O{sub 3} with high dielectric constant (high-κ) Ta{sub 2}O{sub 5} for gate dielectric applications. (Ta{sub 2}O{sub 5}){sub x}(Al{sub 2}O{sub 3}){sub 1−x} thin films deposited by thermal atomic layer deposition (ALD) on GaN-capped Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistor (HEMT) structures have been studied as a function of the Ta{sub 2}O{sub 5} molar fraction. X-ray photoelectron spectroscopy shows that the bandgap of the oxide films linearly decreases from 6.5 eV for pure Al{sub 2}O{sub 3} to 4.6 eV for pure Ta{sub 2}O{sub 5}. The dielectric constant calculated from capacitance-voltage measurementsmore » also increases linearly from 7.8 for Al{sub 2}O{sub 3} up to 25.6 for Ta{sub 2}O{sub 5}. The effect of post-deposition annealing in N{sub 2} at 600 °C on the interfacial properties of undoped Al{sub 2}O{sub 3} and Ta-doped (Ta{sub 2}O{sub 5}){sub 0.12}(Al{sub 2}O{sub 3}){sub 0.88} films grown on GaN-HEMTs has been investigated. These conditions are analogous to the conditions used for source/drain contact formation in gate-first HEMT technology. A reduction of the Ga-O to Ga-N bond ratios at the oxide/HEMT interfaces is observed after annealing, which is attributed to a reduction of interstitial oxygen-related defects. As a result, the conduction band offsets (CBOs) of the Al{sub 2}O{sub 3}/GaN-HEMT and (Ta{sub 2}O{sub 5}){sub 0.16}(Al{sub 2}O{sub 3}){sub 0.84}/GaN-HEMT samples increased by ∼1.1 eV to 2.8 eV and 2.6 eV, respectively, which is advantageous for n-type HEMTs. The results demonstrate that ALD of Ta-doped Al{sub 2}O{sub 3} can be used to control the properties of the gate dielectric, allowing the κ-value to be increased, while still maintaining a sufficient CBO to the GaN-HEMT structure for low leakage currents.« less

  7. Structure property relationships in gallium oxide thin films grown by pulsed laser deposition [Structure property relationships in Ga 2O 3 thin films grown by pulsed laser deposition

    DOE PAGES

    Garten, Lauren M.; Zakutayev, Andriy; Perkins, John D.; ...

    2016-11-21

    Beta-gallium oxide (β-Ga 2O 3) is of increasing interest to the optoelectronic community for transparent conductor and power electronic applications. Considerable variability exists in the literature on the growth and doping of Ga 2O 3 films, especially as a function of growth approach, temperature, and oxygen partial pressure. Here pulsed laser deposition (PLD) was used to grow high-quality β-Ga 2O 3 films on (0001) sapphire and (–201) Ga 2O 3 single crystals and to explore the growth, stability, and dopability of these films as function of temperature and oxygen partial pressure. As a result, there is a strong temperature dependencemore » to the phase formation, morphology, and electronic properties of β-Ga 2O 3 from 350 to 550 °C.« less

  8. Synthesis and magnetotransport studies of CrO2 films grown on TiO2 nanotube arrays by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wang, Xiaoling; Zhang, Caiping; Wang, Lu; Lin, Tao; Wen, Gehui

    2018-04-01

    The CrO2 films have been prepared on the TiO2 nanotube array template via atmospheric pressure chemical vapor deposition method. And the growth procedure was studied. In the beginning of the deposition process, the CrO2 grows on the cross section of the TiO2 nanotubes wall, forms a nanonet-like layer. And the grain size of CrO2 is very small. With the increase of the deposition time, the grain size of CrO2 also increases, and the nanonet-like layer changes into porous film. With the further increase of the deposition time, all the nanotubes are covered by CrO2 grains and the surface structure becomes polycrystalline film. The average grain size on the surface of the CrO2 films deposited for 1 h, 2 h and 5 h is about 190 nm, 300 nm and 470 nm. The X-ray diffraction pattern reveals that the rutile CrO2 film has been synthesized on the TiO2 nanotube array template. The CrO2 films show large magnetoresistance (MR) at low temperature, which should originate from spin-dependent tunneling through grain boundaries between CrO2 grains. And the tunneling mechanism of the CrO2 films can be well described by the fluctuation-induced tunneling (FIT) model. The CrO2 film deposited for 2 h shows insulator behavior from 5 k to 300 K, but the CrO2 film deposited for 5 h shows insulator-metal transition around 140 K. The reason is briefly discussed.

  9. Substrate-dependent structural and CO sensing properties of LaCoO3 epitaxial films

    NASA Astrophysics Data System (ADS)

    Liu, Haifeng; Sun, Hongjuan; Xie, Ruishi; Zhang, Xingquan; Zheng, Kui; Peng, Tongjiang; Wu, Xiaoyu; Zhang, Yanping

    2018-06-01

    LaCoO3 thin films were grown on different (0 0 1) oriented LaAlO3, SrTiO3 and (LaAlO3)0.3(Sr2AlTaO6)0.7 by the polymer assisted deposition method, respectively. All the LaCoO3 thin films are in epitaxial growth on these substrates, with tetragonal distortion of CoO6 octahedrons. Due to different in-plane lattice mismatch, the LaCoO3 film on LaAlO3 has the largest tetragonal distortion of CoO6 octahedrons while the film grown on (LaAlO3)0.3(Sr2AlTaO6)0.7 has the smallest tetragonal distortion. The relative contents of the surface absorbed oxygen species are found to increase for the LaCoO3 epitaxial films grown on (0 0 1) oriented (LaAlO3)0.3(Sr2AlTaO6)0.7, SrTiO3 and LaAlO3 substrates, sequentially. The film sensors exhibit good CO sensing properties at 150 °C, and the LaCoO3 film on LaAlO3 shows the highest response but the film on (LaAlO3)0.3(Sr2AlTaO6)0.7 shows the lowest. It reveals that the larger degree of Jahn-Teller-like tetragonal distortion of CoO6 octahedrons may greatly improve the surface absorbing and catalytic abilities, corresponding to more excellent CO sensing performance. The present study suggests that the formation of epitaxial films is an efficient methodology for controlling the octahedral distortion and thereby improving the gas sensing performance of perovskite transition metal oxides.

  10. Characterization of ZrO2 buffer layers for sequentially evaporated Y-Ba-CuO on Si and Al2O3 substrates

    NASA Technical Reports Server (NTRS)

    Valco, George J.; Rohrer, Norman J.; Pouch, John J.; Warner, Joseph D.; Bhasin, Kul B.

    1988-01-01

    Thin film high temperature superconductors have the potential to change the microwave technology for space communications systems. For such applications it is desirable that the films be formed on substrates such as Al2O3 which have good microwave properties. The use of ZrO2 buffer layers between Y-Ba-Cu-O and the substrate has been investigated. These superconducting films have been formed by multilayer sequential electron beam evaporation of Cu, BaF2 and Y with subsequent annealing. The three layer sequence of Y/BaF2/Cu is repeated four times for a total of twelve layers. Such a multilayer film, approximately 1 micron thick, deposited directly on SrTiO3 and annealed at 900 C for 45 min produces a film with a superconducting onset of 93 K and critical temperature of 85 K. Auger electron spectroscopy in conjunction with argon ion sputtering was used to obtain the distribution of each element as a function of depth for an unannealed film, the annealed film on SrTiO3 and annealed films on ZrO2 buffer layers. The individual layers were apparent. After annealing, the bulk of the film on SrTiO3 is observed to be fairly uniform while films on the substrates with buffer layers are less uniform. The Y-Ba-Cu-O/ZrO2 interface is broad with a long Ba tail into the ZrO2, suggesting interaction between the film and the buffer layer. The underlying ZrO2/Si interface is sharper. The detailed Auger results are presented and compared with samples annealed at different temperatures and durations.

  11. The photosensitivity of carbon quantum dots/CuAlO2 films composites.

    PubMed

    Pan, Jiaqi; Sheng, Yingzhuo; Zhang, Jingxiang; Wei, Jumeng; Huang, Peng; Zhang, Xin; Feng, Boxue

    2015-07-31

    Carbon quantum dots/CuAlO2 films were prepared by a simple route through which CuAlO2 films prepared by sol-gel on crystal quartz substrates were composited with carbon quantum dots on their surface. The characterization results indicated that CuAlO2 films were well combined with carbon quantum dots. The photoconductivity of carbon quantum dots/CuAlO2 films was investigated under illumination and darkness switching, and was demonstrated to be significantly enhanced compared with CuAlO2 films. Through analysis, this enhancement of photoconductivity was attributed to the carbon quantum dots with unique up-converted photoluminescence behavior.

  12. The photosensitivity of carbon quantum dots/CuAlO2 films composites

    NASA Astrophysics Data System (ADS)

    Pan, Jiaqi; Sheng, Yingzhuo; Zhang, Jingxiang; Wei, Jumeng; Huang, Peng; Zhang, Xin; Feng, Boxue

    2015-07-01

    Carbon quantum dots/CuAlO2 films were prepared by a simple route through which CuAlO2 films prepared by sol-gel on crystal quartz substrates were composited with carbon quantum dots on their surface. The characterization results indicated that CuAlO2 films were well combined with carbon quantum dots. The photoconductivity of carbon quantum dots/CuAlO2 films was investigated under illumination and darkness switching, and was demonstrated to be significantly enhanced compared with CuAlO2 films. Through analysis, this enhancement of photoconductivity was attributed to the carbon quantum dots with unique up-converted photoluminescence behavior.

  13. Growing LaAlO{sub 3}/SrTiO{sub 3} interfaces by sputter deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dildar, I. M.; Neklyudova, M.; Xu, Q.

    Sputter deposition of oxide materials in a high-pressure oxygen atmosphere is a well-known technique to produce thin films of perovskite oxides in particular. Also interfaces can be fabricated, which we demonstrated recently by growing LaAlO{sub 3} on SrTiO{sub 3} substrates and showing that the interface showed the same high degree of epitaxy and atomic order as is made by pulsed laser deposition. However, the high pressure sputtering of oxides is not trivial and number of parameters are needed to be optimized for epitaxial growth. Here we elaborate on the earlier work to show that only a relatively small parameter windowmore » exists with respect to oxygen pressure, growth temperature, radiofrequency power supply and target to substrate distance. In particular the sensitivity to oxygen pressure makes it more difficult to vary the oxygen stoichiometry at the interface, yielding it insulating rather than conducting.« less

  14. Poole-Frenkel effect in sputter-deposited CuAlO2+x nanocrystals

    NASA Astrophysics Data System (ADS)

    Narayan Banerjee, Arghya; Joo, Sang Woo

    2013-04-01

    Field-assisted thermionic emission within a sputter-deposited, nanocrystalline thin film of CuAlO2.06 is observed for the first time, and explained in terms of the Poole-Frenkel model. The presence of adsorbed oxygen ions as trap-states at the grain boundary regions of the nanostructured thin film is considered to manifest this phenomenon. Under an applied field, the barrier of the trap potential is lowered and thermal emission of charge carriers takes place at different sample temperatures to induce nonlinearity in the current (I)-voltage (V) characteristics of the nanomaterial. Fitting of the Poole-Frenkel model with the I-V data shows that the nonlinearity is effective above 50 V under the operating conditions. Calculations of the energy of the trap level, acceptor level and Fermi level reveal the existence of deep level trap-states and a shallow acceptor level with acceptor concentration considerably higher than the trap-states. Hall measurements confirm the p-type semiconductivity of the film, with a hole concentration around 1018 cm-3. Thermopower measurements give a room-temperature Seebeck coefficient around 130 μV K-1. This temperature-dependent conductivity enhancement within CuAlO2 nanomaterial may find interesting applications in transparent electronics and high-voltage applications for power supply networks.

  15. Poole-Frenkel effect in sputter-deposited CuAlO(2+x) nanocrystals.

    PubMed

    Banerjee, Arghya Narayan; Joo, Sang Woo

    2013-04-26

    Field-assisted thermionic emission within a sputter-deposited, nanocrystalline thin film of CuAlO2.06 is observed for the first time, and explained in terms of the Poole-Frenkel model. The presence of adsorbed oxygen ions as trap-states at the grain boundary regions of the nanostructured thin film is considered to manifest this phenomenon. Under an applied field, the barrier of the trap potential is lowered and thermal emission of charge carriers takes place at different sample temperatures to induce nonlinearity in the current (I)-voltage (V) characteristics of the nanomaterial. Fitting of the Poole-Frenkel model with the I-V data shows that the nonlinearity is effective above 50 V under the operating conditions. Calculations of the energy of the trap level, acceptor level and Fermi level reveal the existence of deep level trap-states and a shallow acceptor level with acceptor concentration considerably higher than the trap-states. Hall measurements confirm the p-type semiconductivity of the film, with a hole concentration around 10(18) cm(-3). Thermopower measurements give a room-temperature Seebeck coefficient around 130 μV K(-1). This temperature-dependent conductivity enhancement within CuAlO2 nanomaterial may find interesting applications in transparent electronics and high-voltage applications for power supply networks.

  16. The solid film lubrication by carbon ion implantation into α-Al 2O 3

    NASA Astrophysics Data System (ADS)

    Jun, Tian; Qizu, Wang; Qunji, Xue

    1998-10-01

    Improvement in tribological performance by C +110 keV implantation can be achieved by having a more graphite-like carbon structure on Al 2O 3. It was shown that fracture toughness and critical peeling load increased for a fluence of 5 × 10 17C +/cm 2 because of residual compression stress and amorphism of surface. The testing in a different implantation dose indicated that the friction and wear mechanism in Optimol fretting wear machine (SRV) was a combination of surface structure and its abrasive wear. Raman shift shows that the amorphous graphite with 5 × 10 17-1 × 10 18 C +/cm 2 implantation dose was formed on Al 2O 3 surface, so that it reduced friction coefficient and wear of Al 2O 3, also it is noticed that the failure of lubrication due to graphite-like film wear is much earlier in the implantation sample with 1 × 10 17C +/cm 2 dose.

  17. Energy-band alignment of (HfO2)x(Al2O3)1-x gate dielectrics deposited by atomic layer deposition on β-Ga2O3 (-201)

    NASA Astrophysics Data System (ADS)

    Yuan, Lei; Zhang, Hongpeng; Jia, Renxu; Guo, Lixin; Zhang, Yimen; Zhang, Yuming

    2018-03-01

    Energy band alignments between series band of Al-rich high-k materials (HfO2)x(Al2O3)1-x and β-Ga2O3 are investigated using X-Ray Photoelectron Spectroscopy (XPS). The results exhibit sufficient conduction band offsets (1.42-1.53 eV) in (HfO2)x(Al2O3)1-x/β-Ga2O3. In addition, it is also obtained that the value of Eg, △Ec, and △Ev for (HfO2)x(Al2O3)1-x/β-Ga2O3 change linearly with x, which can be expressed by 6.98-1.27x, 1.65-0.56x, and 0.48-0.70x, respectively. The higher dielectric constant and higher effective breakdown electric field of (HfO2)x(Al2O3)1-x compared with Al2O3, coupled with sufficient barrier height and lower gate leakage makes it a potential dielectric for high voltage β-Ga2O3 power MOSFET, and also provokes interest in further investigation of HfAlO/β-Ga2O3 interface properties.

  18. Properties of TiO2 thin films and a study of the TiO2-GaAs interface

    NASA Technical Reports Server (NTRS)

    Chen, C. Y.; Littlejohn, M. A.

    1977-01-01

    Titanium dioxide (TiO2) films prepared by chemical vapor deposition were investigated in this study for the purpose of the application in the GaAs metal-insulator-semiconductor field-effect transistor. The degree of crystallization increases with the deposition temperature. The current-voltage study, utilizing an Al-TiO2-Al MIM structure, reveals that the d-c conduction through the TiO2 film is dominated by the bulk-limited Poole-Frenkel emission mechanism. The dependence of the resistivity of the TiO2 films on the deposition environment is also shown. The results of the capacitance-voltage study indicate that an inversion layer in an n-type substrate can be achieved in the MIS capacitor if the TiO2 films are deposited at a temperature higher than 275 C. A process of low temperature deposition followed by the pattern definition and a higher temperature annealing is suggested for device fabrications. A model, based on the assumption that the surface state densities are continuously distributed in energy within the forbidden band gap, is proposed to interpret the lack of an inversion layer in the Al-TiO2-GaAs MIS structure with the TiO2 films deposited at 200 C.

  19. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  20. Properties of large area ErBa2Cu3O(7-x) thin films deposited by ionized cluster beams

    NASA Technical Reports Server (NTRS)

    Levenson, L. L.; Stan, Mark A.; Bhasin, Kul B.

    1991-01-01

    ErBa2Cu3O(7-x) films have been produced by simultaneous deposition of Er, Ba, and Cu from three ionized cluster beam (ICB) sources at acceleration voltages of 0.3 to 0.5 kV. Combining ozone oxidation with ICB deposition at 650 C eliminated any need of post annealing processing. The substrates were rotated at 10 rotations per minute during the deposition which took place at a rate of about 3 to 4 nm. Films with areas up to 70 mm in diameter have been made by ICB deposition. These films, 100 nm thick, were deposited on SrTiO3 (100) substrates at 650 C in a mixture of six percent O3 in O2 at a total pressure of 4 x 10(exp -4) Torr. They had T(sub c) ranging from 84.3 K to 86.8 K over a 70 mm diameter and J(sub c) above 10(exp 6) A/sq cm at 77 K. X ray diffraction measurements of the three samples showed preferential c-axis orientation normal to the substrate surface. Scanning electron micrographs (SEM) of the three samples also show some texture dependence on sample position. For the three samples, there is a correlation between SEM texture, full width at half-maximum of rocking curves and J(sub c) versus temperature curves.

  1. Development of a Post-CMOS Compatible Nanoporous Thin Film layer Based on Al2O3

    NASA Astrophysics Data System (ADS)

    Dogan, Ö.; Buschhausen, A.; Walk, C.; Mokwa, W.; Vogt, H.

    2018-05-01

    Porous alumina is a popular material with numerous application fields. A post-CMOS compatible process chain for the fabrication of nanoporous surface based on Al2O3 by atomic layer deposition (ALD) is presented. By alternately applying small numbers of ALD cycles for Al2O3 and ZnO, a homogenous composite was accomplished, for which the principle of island growth of ALD materials at few deposition cycle numbers was utilised. By selective texture-etching of ZnO content via hydrofluoric acid (HF) in vaporous phase at 40 °C and 10.67 mbar, a porous surface of the etch resistant Al2O3 could be achieved. TOF-SIMS investigations verified the composition of ALD composite, whereas AFM and high resolution SEM images characterised the topographies of pre- and post-etched samples. Pores with opening diameters of up to 15 nm could be detected on the surface after vaporous HF treatment for 2 minutes. The amount of pores increased after an etching time of 5 minutes.

  2. Development of Al2O3 fiber-reinforced Al2O3-based ceramics.

    PubMed

    Tanimoto, Yasuhiro; Nemoto, Kimiya

    2004-09-01

    The purpose of this study was to use a tape casting technique to develop an Al2O3 fiber-reinforced Al2O3-based ceramic material (Al2O3-fiber/Al2O3 composite) into a new type of dental ceramic. The Al2O3-based ceramic used a matrix consisting of 60 wt% Al2O3 powder and 40 wt% SiO2-B2O3 powder. The prepreg sheets of Al2O3-fiber/Al2O3 composite (in which uniaxially aligned Al2O3 fibers were infiltrated with the Al2O3-based matrix) were fabricated continuously using tape casting technique with a doctor blade system. Multilayer preforms of Al2O3-fiber/Al2O3 composite sheets were then sintered at a maximum temperature of 1000 degrees C under an atmospheric pressure in a furnace. The results showed that the shrinkage and bending properties of Al2O3-fiber/Al2O3 composite exceeded those of unreinforced Al2O3--hence demonstrating the positive effects of fiber reinforcement. In conclusion, the tape casting technique has been utilized to successfully develop a new type of dental ceramic material.

  3. SrZnO nanostructures grown on templated <0001> Al2O3 substrates by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Labis, Joselito P.; Alanazi, Anwar Q.; Albrithen, Hamad A.; El-Toni, Ahmed Mohamed; Hezam, Mahmoud; Elafifi, Hussein Elsayed; Abaza, Osama M.

    2017-09-01

    The parameters of pulsed laser deposition (PLD) have been optimized to design different nanostructures of Strontium-alloyed zinc oxide (SrZnO). In this work, SrZnO nanostructures are grown on <0001>Al2O3 substrates via two-step templating/seeding approach. In the temperature range between 300 - 750 oC and O2 background pressures between 0.01 and 10 Torr, the growth conditions have been tailored to grow unique pointed leaf-like- and pitted olive-like nanostructures. Prior to the growth of the nanostructures, a thin SrZnO layer that serves as seed layer/template is first deposited on the Al2O3 substrates at ˜300oC and background oxygen pressure of 10 mTorr. The optical properties of the nanostructures were examined by UV/Vis spectroscopy and photoluminescence (PL), while the structures/morphologies were examined by SEM, TEM, and XRD. The alloyed SrZnO nanostructures, grown by ablating ZnO targets with 5, 10, 25% SrO contents, have in common a single-crystal hexagonal nanostructure with (0002) preferential orientation and have shown remarkable changes in the morphological and optical properties of the materials. To date, this is the only reported work on optimization of laser ablation parameters to design novel SrZnO nanostructures in the 5-25% alloying range, as most related Sr-doped ZnO studies were done below 7% doping. Although the physical properties of ZnO are modified via Sr doping, the mechanism remains unclear. The PLD-grown SrZnO nanostructures were directly grown onto the Al2O3 substrates; thus making these nanomaterials very promising for potential applications in biosensors, love-wave filters, solar cells, and ultrasonic oscillators.

  4. Tuning cationic composition of La:EuTiO{sub 3−δ} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shkabko, Andrey, E-mail: shkabko@gmail.com; Empa, Solid State Chemistry and Catalysis, CH-8600 Dübendorf; Xu, Chencheng

    2013-11-01

    Eu{sub 1−x}La{sub x}TiO{sub 3−δ} (x = 0, 0.3, 0.5) films were deposited in a p(Ar(96%)/H{sub 2}(4%)) = 4 × 10{sup −4} mbar atmosphere on (LaAlO{sub 3}){sub 0.3}-(Sr{sub 2}AlTaO{sub 6}){sub 0.7} vicinal substrates (0.1°). Reflection high-energy electron diffraction oscillation characteristics of a layer-by-layer growth mode were observed for stoichiometric and Ti-rich films and the laser fluence suited to deposit stoichiometric films was identified to be 1.25 J/cm{sup 2} independent of the La content. The variety of resulting film compositions follows the general trend of Eu-enrichment for low laser and Ti-enrichment for high laser fluence. X-ray diffraction confirms that all the filmsmore » are compressively strained with a general trend of an increase of c-axis elongation for non-stoichiometric films. The surfaces of non-stoichiometric films have an increased roughness, the highest sheet resistances, exhibit the presence of islands, and are Eu{sup 3+} rich for films deposited at low laser fluence.« less

  5. Processing and properties of Pb(Mg(1/3)Nb(2/3))O3--PbTiO3 thin films by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Tantigate, C.; Lee, J.; Safari, A.

    1995-03-01

    The objectives of this study were to prepare in situ Pb(Mg(1/3)Nb(2/3))O3 (PMN) and PMN-PT thin films by pulsed laser deposition and to investigate the electrical features of thin films for possible dynamic random access memory (DRAM) and microactuator applications. The impact of processing parameters such compositions, substrate temperature, and oxygen pressure on perovskite phase formation and dielectric characteristics were reported. It was found that the highest dielectric constant, measured at room temperature and 10 kHz, was attained from the PMN with 99% perovskite.

  6. Tunable optical properties of plasmonic Au/Al2O3 nanocomposite thin films analyzed by spectroscopic ellipsometry accounting surface characteristics.

    PubMed

    Jaiswal, Jyoti; Mourya, Satyendra; Malik, Gaurav; Chandra, Ramesh

    2018-05-01

    In the present work, we have fabricated plasmonic gold/alumina nanocomposite (Au/Al 2 O 3 NC) thin films on a glass substrate at room temperature by RF magnetron co-sputtering. The influence of the film thickness (∼10-40  nm) on the optical and other physical properties of the samples was investigated and correlated with the structural and compositional properties. The X-ray diffractometer measurement revealed the formation of Au nanoparticles with average crystallite size (5-9.2 nm) embedded in an amorphous Al 2 O 3 matrix. The energy-dispersive X ray and X-ray photoelectron spectroscopy results confirmed the formation of Au/Al 2 O 3 NC quantitatively and qualitatively and it was observed that atomic% of Au increased by increasing thickness. The optical constants of the plasmonic Au/Al 2 O 3 NC thin films were examined by variable angle spectroscopic ellipsometry in the wide spectral range of 246-1688 nm, accounting the surface characteristics in the optical stack model, and the obtained results are expected to be unique. Additionally, a thickness-dependent blueshift (631-590 nm) of surface plasmon resonance peak was observed in the absorption spectra. These findings of the plasmonic Au/Al 2 O 3 NC films may allow the design and fabrication of small, compact, and efficient devices for optoelectronic and photonic applications.

  7. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO2 Films Deposited by Atomic Layer Deposition

    PubMed Central

    Wilson, Rachel L.; Blackman, Christopher S.; Carmalt, Claire J.; Stanoiu, Adelina; Di Maggio, Francesco

    2018-01-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO2 and inferred for TiO2. In this paper, TiO2 thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO2 films were exposed to different concentrations of CO, CH4, NO2, NH3 and SO2 to evaluate their gas sensitivities. These experiments showed that the TiO2 film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH4 and NH3 exposure indicated typical n-type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated. PMID:29494504

  8. Density functional theory study of HfCl4, ZrCl4, and Al(CH3)3 decomposition on hydroxylated SiO2: Initial stage of high-k atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Jeloaica, L.; Estève, A.; Djafari Rouhani, M.; Estève, D.

    2003-07-01

    The initial stage of atomic layer deposition of HfO2, ZrO2, and Al2O3 high-k films, i.e., the decomposition of HfCl4, ZrCl4, and Al(CH3)3 precursor molecules on an OH-terminated SiO2 surface, is investigated within density functional theory. The energy barriers are determined using artificial activation of vibrational normal modes. For all precursors, reaction proceeds through the formation of intermediate complexes that have equivalent formation energies (˜-0.45 eV), and results in HCl and CH4 formation with activation energies of 0.88, 0.91, and 1.04 eV for Hf, Zr, and Al based precursors, respectively. The reaction product of Al(CH3)3 decomposition is found to be more stable (by -1.45 eV) than the chemisorbed intermediate complex compared to the endothermic decomposition of HfCl4 and ZrCl4 chemisorbed precursors (0.26 and 0.29 eV, respectively).

  9. α-Ga2O3 grown by low temperature atomic layer deposition on sapphire

    NASA Astrophysics Data System (ADS)

    Roberts, J. W.; Jarman, J. C.; Johnstone, D. N.; Midgley, P. A.; Chalker, P. R.; Oliver, R. A.; Massabuau, F. C.-P.

    2018-04-01

    α-Ga2O3 is a metastable phase of Ga2O3 of interest for wide bandgap engineering since it is isostructural with α-In2O3 and α-Al2O3. α-Ga2O3 is generally synthesised under high pressure (several GPa) or relatively high temperature (∼500 °C). In this study, we report the growth of α-Ga2O3 by low temperature atomic layer deposition (ALD) on sapphire substrate. The film was grown at a rate of 0.48 Å/cycle, and predominantly consists of α-Ga2O3 in the form of (0001) -oriented columns originating from the interface with the substrate. Some inclusions were also present, typically at the tips of the α phase columns and most likely comprising ε-Ga2O3. The remainder of the Ga2O3 film - i.e. nearer the surface and between the α-Ga2O3 columns, was amorphous. The film was found to be highly resistive, as is expected for undoped material. This study demonstrates that α-Ga2O3 films can be grown by low temperature ALD and suggests the possibility of a new range of ultraviolet optoelectronic and power devices grown by ALD. The study also shows that scanning electron diffraction is a powerful technique to identify the different polymorphs of Ga2O3 present in multiphase samples.

  10. Atomic Layer Deposition Al2O3 Coatings Significantly Improve Thermal, Chemical, and Mechanical Stability of Anodic TiO2 Nanotube Layers

    PubMed Central

    2017-01-01

    We report on a very significant enhancement of the thermal, chemical, and mechanical stability of self-organized TiO2 nanotubes layers, provided by thin Al2O3 coatings of different thicknesses prepared by atomic layer deposition (ALD). TiO2 nanotube layers coated with Al2O3 coatings exhibit significantly improved thermal stability as illustrated by the preservation of the nanotubular structure upon annealing treatment at high temperatures (870 °C). In addition, a high anatase content is preserved in the nanotube layers against expectation of the total rutile conversion at such a high temperature. Hardness of the resulting nanotube layers is investigated by nanoindentation measurements and shows strongly improved values compared to uncoated counterparts. Finally, it is demonstrated that Al2O3 coatings guarantee unprecedented chemical stability of TiO2 nanotube layers in harsh environments of concentrated H3PO4 solutions. PMID:28291942

  11. Control of phonon transport by the formation of the Al2O3 interlayer in Al2O3-ZnO superlattice thin films and their in-plane thermoelectric energy generator performance.

    PubMed

    Park, No-Won; Ahn, Jay-Young; Park, Tae-Hyun; Lee, Jung-Hun; Lee, Won-Yong; Cho, Kwanghee; Yoon, Young-Gui; Choi, Chel-Jong; Park, Jin-Seong; Lee, Sang-Kwon

    2017-06-01

    Recently, significant progress has been made in increasing the figure-of-merit (ZT) of various nanostructured materials, including thin-film and quantum dot superlattice structures. Studies have focused on the size reduction and control of the surface or interface of nanostructured materials since these approaches enhance the thermopower and phonon scattering in quantum and superlattice structures. Currently, bismuth-tellurium-based semiconductor materials are widely employed for thermoelectric (TE) devices such as TE energy generators and coolers, in addition to other sensors, for use at temperatures under 400 K. However, new and promising TE materials with enhanced TE performance, including doped zinc oxide (ZnO) multilayer or superlattice thin films, are also required for designing solid-state TE power generating devices with the maximum output power density and for investigating the physics of in-plane TE generators. Herein, we report the growth of Al 2 O 3 /ZnO (AO/ZnO) superlattice thin films, which were prepared by atomic layer deposition (ALD), and the evaluation of their electrical and TE properties. All the in-plane TE properties, including the Seebeck coefficient (S), electrical conductivity (σ), and thermal conductivity (κ), of the AO/ZnO superlattice (with a 0.82 nm-thick AO layer) and AO/ZnO films (with a 0.13 nm-thick AO layer) were evaluated in the temperature range 40-300 K, and the measured S, σ, and κ were -62.4 and -17.5 μV K -1 , 113 and 847 (Ω cm) -1 , and 0.96 and 1.04 W m -1 K -1 , respectively, at 300 K. Consequently, the in-plane TE ZT factor of AO/ZnO superlattice films was found to be ∼0.014, which is approximately two times more than that of AO/ZnO films (ZT of ∼0.007) at 300 K. Furthermore, the electrical power generation efficiency of the TE energy generator consisting of four couples of n-AO/ZnO superlattice films and p-Bi 0.5 Sb 1.5 Te 3 (p-BST) thin-film legs on the substrate was demonstrated. Surprisingly, the output

  12. Ferromagnetic order in epitaxially strained LaCoO3 thin films

    NASA Astrophysics Data System (ADS)

    Fuchs, D.; Pinta, C.; Schwarz, T.; Schweiss, P.; Nagel, P.; Schuppler, S.; Schneider, R.; Merz, M.; Roth, G.; v. Löhneysen, H.

    2007-04-01

    LaCoO3 films grown epitaxially on ⟨001⟩ oriented (LaAlO3)0.3(Sr2AlTaO6)0.7 substrates by pulsed laser deposition exhibit ferromagnetic ordering below a critical temperature, Tc , of 85K . Polycrystalline films of LaCoO3 prepared in the same way did not show ferromagnetic order down to T≈5K , and their temperature dependent susceptibility was identical to that of bulk LaCoO3 . The ferromagnetism in epitaxial films is not simply a property of the surface region, rather it extends over the complete film thickness, as shown by the linear increase of the saturated magnetic moment with increasing film thickness. We discuss this surprising result in terms of epitaxial tensile strain via the properly chosen substrate inducing ferromagnetic order.

  13. Single-crystalline oxide films of the Al2O3-Y2O3-R2O3 system as optical sensors of various types of ionizing radiation: significant advantages over volume analogs

    NASA Astrophysics Data System (ADS)

    Zorenko, Yuri V.; Batenchuk, M.; Gorbenco, V.; Pashkovsky, M.

    1997-02-01

    This investigation is dedicated to studying of peculiarities of luminescent properties of the single crystalline films (SCF) of Al2O3-Y2O3-R2O3 oxide system with alpha-Al2O3 and garnet structure, which are used as various types of ionizing radiation luminescent detectors. These peculiarities define the number of nontrivial advantages over their volume analogues. It is shown that SCF are characterized by the low concentrations of vacancy type defects and substituent defects, and the high concentration of Pb ion as dopant. This allows us to substantially increase the spatial resolution and selectivity of cathodoluminophores on the base of these compounds.

  14. Optoelectronic properties of novel amorphous CuAlO2/ZnO NWs based heterojunction

    NASA Astrophysics Data System (ADS)

    Bu, Ian Y. Y.

    2013-08-01

    Amorphous p-type CuAlO2 thin films were grown onto n-type crystalline ZnO NWs forming a heterojunction through the combination of sol-gel process and hydrothermal growth method. The effects of temperature on structure and optoelectronic properties of CuAlO2 thin films were investigated through various measurement techniques. It was found that the derived CuAlO2 is Al-rich with thin film. UV-Vis measurements showed that the deposited CuAlO2 films are semi-transparent with maximum transmittance ∼82% at 500 nm. Electrical characterization and integration into pn junction confirms that the amorphous CuAlO2 is p-type and exhibited photovoltaic behavior.

  15. Effect of N2 flow during deposition on p-type ZnO film

    NASA Astrophysics Data System (ADS)

    Lin, Chiung-Wei; Liu, Bor-Chang

    2017-01-01

    In this study, the influence of a nitrogen source on p-type conductive ZnO films was studied. Rapid thermal oxidation was conducted to oxidize ZnN films and convert them to ZnO films. When an as-deposited ZnN film was prepared at a high nitrogen gas flow rate, the converted ZnO film possessed many acceptors and showed stable p-type conduction. This p-type conduction was attributed to the nitrogen gas flow providing many “No” states, which act as acceptors within the processed ZnO film. It was found that the as-deposited ZnN film prepared at a high nitrogen gas flow rate is oxidized slightly so that only a few nitrogen atoms were replaced by oxygen. The carrier concentration and mobility of the optimized oxidized ZnN film were 9.76 × 1017 cm-3 and 62.78 cm2 V-1 s-1, respectively. A good rectified current-voltage characteristic with a turn-on voltage of 3.65 V was achieved for the optimized ZnO:N/ZnO junction.

  16. Growth and patterning of laser ablated superconducting YBa2Cu3O7 films on LaAlO3 substrates

    NASA Technical Reports Server (NTRS)

    Warner, J. D.; Bhasin, K. B.; Varaljay, N. C.; Bohman, D. Y.; Chorey, C. M.

    1989-01-01

    A high quality superconducting film on a substrate with a low dielectric constant is desired for passive microwave circuit applications. In addition, it is essential that the patterning process does not effect the superconducting properties of the thin films to achieve the highest circuit operating temperatures. YBa2Cu3O7 superconducting films were grown on lanthanum aluminate substrates using laser ablation with resulting maximum transition temperature (T sub c) of 90 K. The films were grown on a LaAlO3 which was at 775 C and in 170 mtorr of oxygen and slowly cooled to room temperature in 1 atm of oxygen. These films were then processed using photolithography and a negative photoresist with an etch solution of bromine and ethanol. Results are presented on the effect of the processing on T(sub c) of the film and the microwave properties of the patterned films.

  17. The effect of light soaking on crystalline silicon surface passivation by atomic layer deposited Al2O3

    NASA Astrophysics Data System (ADS)

    Liao, Baochen; Stangl, Rolf; Mueller, Thomas; Lin, Fen; Bhatia, Charanjit S.; Hoex, Bram

    2013-01-01

    The effect of light soaking of crystalline silicon wafer lifetime samples surface passivated by thermal atomic layer deposited (ALD) Al2O3 is investigated in this paper. Contrary to other passivation materials used in solar cell applications (i.e., SiO2, SiNx), using thermal ALD Al2O3, an increase in effective carrier lifetime after light soaking under standard testing conditions is observed for both p-type (˜45%) and n-type (˜60%) FZ c-Si lifetime samples. After light soaking and storing the samples in a dark and dry environment, the effective lifetime decreases again and practically returns to the value before light soaking. The rate of lifetime decrease after light soaking is significantly slower than the rate of lifetime increase by light soaking. To investigate the underlying mechanism, corona charge experiments are carried out on p-type c-Si samples before and after light soaking. The results indicate that the negative fixed charge density Qf present in the Al2O3 films increases due to the light soaking, which results in an improved field-effect passivation. Numerical calculations also confirm that the improved field-effect passivation is the main contributor for the increased effective lifetime after light soaking. To further understand the light soaking phenomenon, a kinetic model—a charge trapping/de-trapping model—is proposed to explain the time dependent behavior of the lifetime increase/decrease observed under/after light soaking. The trap model fits the experimental results very well. The observed light enhanced passivation for ALD Al2O3 passivated c-Si is of technological relevance, because solar cell devices operate under illumination, thus an increase in solar cell efficiency due to light soaking can be expected.

  18. Effect of an Interfacial Layer on Electron Tunneling through Atomically Thin Al2O3 Tunnel Barriers.

    PubMed

    Wilt, Jamie; Sakidja, Ridwan; Goul, Ryan; Wu, Judy Z

    2017-10-25

    Electron tunneling through high-quality, atomically thin dielectric films can provide a critical enabling technology for future microelectronics, bringing enhanced quantum coherent transport, fast speed, small size, and high energy efficiency. A fundamental challenge is in controlling the interface between the dielectric and device electrodes. An interfacial layer (IL) will contain defects and introduce defects in the dielectric film grown atop, preventing electron tunneling through the formation of shorts. In this work, we present the first systematic investigation of the IL in Al 2 O 3 dielectric films of 1-6 Å's in thickness on an Al electrode. We integrated several advanced approaches: molecular dynamics to simulate IL formation, in situ high vacuum sputtering atomic layer deposition (ALD) to synthesize Al 2 O 3 on Al films, and in situ ultrahigh vacuum scanning tunneling spectroscopy to probe the electron tunneling through the Al 2 O 3 . The IL had a profound effect on electron tunneling. We observed a reduced tunnel barrier height and soft-type dielectric breakdown which indicate that defects are present in both the IL and in the Al 2 O 3 . The IL forms primarily due to exposure of the Al to trace O 2 and/or H 2 O during the pre-ALD heating step of fabrication. As the IL was systematically reduced, by controlling the pre-ALD sample heating, we observed an increase of the ALD Al 2 O 3 barrier height from 0.9 to 1.5 eV along with a transition from soft to hard dielectric breakdown. This work represents a key step toward the realization of high-quality, atomically thin dielectrics with electron tunneling for the next generation of microelectronics.

  19. Comparative study of textured and epitaxial ZnO films

    NASA Astrophysics Data System (ADS)

    Ryu, Y. R.; Zhu, S.; Wrobel, J. M.; Jeong, H. M.; Miceli, P. F.; White, H. W.

    2000-06-01

    ZnO films were synthesized by pulsed laser deposition (PLD) on GaAs and α-Al 2O 3 substrates. The properties of ZnO films on GaAs and α-Al 2O 3 have been investigated to determine the differences between epitaxial and textured ZnO films. ZnO films on GaAs show very strong emission features associated with exciton transitions as do ZnO films on α-Al 2O 3, while the crystalline structural qualities for ZnO films on α-Al 2O 3 are much better than those for ZnO films on GaAs. The properties of ZnO films are studied by comparing highly oriented, textured ZnO films on GaAs with epitaxial ZnO films on α-Al 2O 3 synthesized along the c-axis.

  20. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  1. A DFT study on the failure mechanism of Al2O3 film by various point defects in solution

    NASA Astrophysics Data System (ADS)

    Zhang, Chuan-Hui; Chen, Bao; Jin, Ying; Sun, Dong-Bai

    2018-03-01

    The defects on oxide film surface are very important, and they would occur when the film is peeled or scratched. The periodic DFT calculations have been performed on Al2O3 surface to model the influences of various point-defects. Three kinds of point defect surfaces (vacancy, inversion, substitution) are considered, and the molecular H2O dissociation and the transition state are calculated. The predicted formation energy of O vacancy is 8.30 eV, whereas that corresponding to the formation of Al vacancy is found to be at least a 55% larger. On the vacancy point defect surfaces, upward H2O molecule surfaces prefer to occur chemical reaction, leading the surfaces to be hydroxylated. And then the D-Cl-substitution-Al surface is corroded, which suggests a Cl adsorption induced failure mechanism of the oxide film. At last, the process of H2O dissociation on the OH-substitution-Al surfaces with four or five transition paths are discussed.

  2. Thickness engineering of atomic layer deposited Al2O3 films to suppress interfacial reaction and diffusion of Ni/Au gate metal in AlGaN/GaN HEMTs up to 600 °C in air

    NASA Astrophysics Data System (ADS)

    Suria, Ateeq J.; Yalamarthy, Ananth Saran; Heuser, Thomas A.; Bruefach, Alexandra; Chapin, Caitlin A.; So, Hongyun; Senesky, Debbie G.

    2017-06-01

    In this paper, we describe the use of 50 nm atomic layer deposited (ALD) Al2O3 to suppress the interfacial reaction and inter-diffusion between the gate metal and semiconductor interface, to extend the operation limit up to 600 °C in air. Suppression of diffusion is verified through Auger electron spectroscopy (AES) depth profiling and X-ray diffraction (XRD) and is further supported with electrical characterization. An ALD Al2O3 thin film (10 nm and 50 nm), which functions as a dielectric layer, was inserted between the gate metal (Ni/Au) and heterostructure-based semiconductor material (AlGaN/GaN) to form a metal-insulator-semiconductor high electron mobility transistor (MIS-HEMT). This extended the 50 nm ALD Al2O3 MIS-HEMT (50-MIS) current-voltage (Ids-Vds) and gate leakage (Ig,leakage) characteristics up to 600 °C. Both, the 10 nm ALD Al2O3 MIS-HEMT (10-MIS) and HEMT, failed above 350 °C, as evidenced by a sudden increase of approximately 50 times and 5.3 × 106 times in Ig,leakage, respectively. AES on the HEMT revealed the formation of a Ni-Au alloy and Ni present in the active region. Additionally, XRD showed existence of metal gallides in the HEMT. The 50-MIS enables the operation of AlGaN/GaN based electronics in oxidizing high-temperature environments, by suppressing interfacial reaction and inter-diffusion of the gate metal with the semiconductor.

  3. Electrical characterization of γ-Al2O3 thin film parallel plate capacitive sensor for trace moisture detection

    NASA Astrophysics Data System (ADS)

    Kumar, Lokesh; Kumar, Shailesh; Khan, S. A.; Islam, Tariqul

    2012-10-01

    A moisture sensor was fabricated based on porous thin film of γ-Al2O3 formed between the parallel gold electrodes. The sensor works on capacitive technique. The sensing film was fabricated by dipcoating of aluminium hydroxide sol solution obtained from the sol-gel method. The porous structure of the film of γ-Al2O3 phase was obtained by sintering the film at 450 °C for 1 h. The electrical parameters of the sensor have been determined by Agilent 4294A impedance analyzer. The sensor so obtained is found to be sensitive in moisture range 100-600 ppmV. The response time of the sensor in ppmV range moisture is very low ~ 24 s and recovery time is ~ 37 s.

  4. Investigating compositional effects of atomic layer deposition ternary dielectric Ti-Al-O on metal-insulator-semiconductor heterojunction capacitor structure for gate insulation of InAlN/GaN and AlGaN/GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Colon, Albert; Stan, Liliana; Divan, Ralu

    Gate insulation/surface passivation in AlGaN/GaN and InAlN/GaN heterojunction field-effect transistors is a major concern for passivation of surface traps and reduction of gate leakage current. However, finding the most appropriate gate dielectric materials is challenging and often involves a compromise of the required properties such as dielectric constant, conduction/valence band-offsets, or thermal stability. Creating a ternary compound such as Ti-Al-O and tailoring its composition may result in a reasonably good gate material in terms of the said properties. To date, there is limited knowledge of the performance of ternary dielectric compounds on AlGaN/GaN and even less on InAlN/GaN. To approachmore » this problem, the authors fabricated metal-insulator-semiconductor heterojunction (MISH) capacitors with ternary dielectrics Ti-Al-O of various compositions, deposited by atomic layer deposition (ALD). The film deposition was achieved by alternating cycles of TiO2 and Al2O3 using different ratios of ALD cycles. TiO2 was also deposited as a reference sample. The electrical characterization of the MISH capacitors shows an overall better performance of ternary compounds compared to the pure TiO2. The gate leakage current density decreases with increasing Al content, being similar to 2-3 orders of magnitude lower for a TiO2:Al2O3 cycle ratio of 2:1. Although the dielectric constant has the highest value of 79 for TiO2 and decreases with increasing the number of Al2O3 cycles, it is maintaining a relatively high value compared to an Al2O3 film. Capacitance voltage sweeps were also measured in order to characterize the interface trap density. A decreasing trend in the interface trap density was found while increasing Al content in the film. In conclusion, our study reveals that the desired high-kappa properties of TiO2 can be adequately maintained while improving other insulator performance factors. The ternary compounds may be an excellent choice as a gate material

  5. Epitaxial growth and properties of YBa2Cu3O(x)-Pb(Zr(0.6)Ti(0.4))O3-YBa2Cu3O(x) trilayer structure by laser ablation

    NASA Astrophysics Data System (ADS)

    Boikov, Iu. A.; Esaian, S. K.; Ivanov, Z. G.; Brorsson, G.; Claeson, T.; Lee, J.; Safari, A.

    1992-08-01

    YBa2Cu3O(x)Pb(Zr(0.6)Ti(0.4))O3-YBa2Cu3O(x) multilayer structure has been grown on SrTiO3 and Al2O3 substrates using laser ablation. The deposition conditions for the growth of trilayers and their properties are studied in this investigation. Scanning electron microscope images and X-ray diffraction analyses indicate that all the constituent films in the trilayer grow epitaxially on SrTiO3 and were highly oriented on Al2O3. Transport measurements on these multilayers show that top YBa2Cu3O(x) films have good superconducting properties.

  6. WE-AB-BRB-08: Progress Towards a 2D OSL Dosimetry System Using Al2O3:C Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmed, M F; Yukihara, E; Schnell, E

    Purpose: To develop a 2D dosimetry system based on the optically stimulated luminescence (OSL) of Al{sub 2}O{sub 3}:C films for medical applications. Methods: A 2D laser scanning OSL reader was built for readout of newly developed Al2O3:C films (Landauer Inc.). An image reconstruction algorithm was developed to correct for inherent effects introduced by reader design and detector properties. The system was tested using irradiations with photon and carbon ion beams. A calibration was obtained using a 6 MV photon beam from clinical accelerator and the dose measurement precision was tested using a range of doses and different dose distributions (flatmore » field and wedge field). The dynamic range and performance of the system in the presence of large dose gradients was also tested using 430 MeV/u {sup 12}C single and multiple pencil beams. All irradiations were performed with Gafchromic EBT3 film for comparison. Results: Preliminary results demonstrate a near-linear OSL dose response to photon fields and the ability to measure dose in dose distributions such as flat field and wedge field. Tests using {sup 12}C pencil beam demonstrate ability to measure doses over four orders of magnitude. The dose profiles measured by the OSL film generally agreed well with that measured by the EBT3 film. The OSL image signal-to-noise ratio obtained in the current conditions require further improvement. On the other hand, EBT3 films had large uncertainties in the low dose region due to film-to-film or intra-film variation in the background. Conclusion: A 2D OSL dosimetry system was developed and initial tests have demonstrated a wide dynamic range as well as good agreement between the delivered and measured doses. The low background, wide dynamic range and wide range of linearity in dose response observed for the Al{sub 2}O{sub 3}:C OSL film can be beneficial for dosimetry in radiation therapy applications, especially for small field dosimetry. This work has been funded by Landauer

  7. Fabrication and Properties of Plasma-Sprayed Al2O3/ZrO2 Composite Coatings

    NASA Astrophysics Data System (ADS)

    Dejang, N.; Limpichaipanit, A.; Watcharapasorn, A.; Wirojanupatump, S.; Niranatlumpong, P.; Jiansirisomboon, S.

    2011-12-01

    Al2O3 /xZrO2 (where x = 0, 3, 13, and 20 wt.%) composite coatings were deposited onto mild steel substrates by atmospheric plasma spraying of mixed α-Al2O3 and nano-sized monoclinic-ZrO2 powders. Microstructural investigation showed that the coatings comprised well-separated Al2O3 and ZrO2 lamellae, pores, and partially molten particles. The coating comprised mainly of metastable γ-Al2O3 and tetragonal-ZrO2 with trace of original α-Al2O3 and monoclinic-ZrO2 phases. The effect of ZrO2 addition on the properties of coatings were investigated in terms of microhardness, fracture toughness, and wear behavior. It was found that ZrO2 improved the fracture toughness, reduced friction coefficient, and wear rate of the coatings.

  8. Residual Stresses in Ta, Mo, Al and Pd Thin Films Deposited by E-Beam Evaporation Process on Si and Si/SiO2 Substrates

    NASA Astrophysics Data System (ADS)

    Guisbiers, G.; Strehle, S.; Van Overschelde, O.; Wautelet, M.

    2006-02-01

    Residual stresses are commonly generated during the deposition process of thin films and can influence the reliability of the deposited systems e.g. due to fatigue, aging effects or debonding. Therefore, an evaluation of such stresses in thin films is of crucial importance for metallization of microelectronic devices and MEMS. Residual stresses can be determined experimentally by substrate curvature or X-ray diffraction measurements. The modeling of residual stresses generally deals with the calculation of the thermal ones alone. In the present work, a model is proposed, where intrinsic stresses are calculated explicitly based on the Tsui-Clyne model. The aim of this model, called self-consistent model, is to predict residual stresses in thin films independent on measurements. The simulated values are compared with experimental results for the following systems: Ta/Si, Mo/Si, Al/SiO2/Si and Pd/SiO2/Si.

  9. Evidence of room temperature ferromagnetism in argon/oxygen annealed TiO2 thin films deposited by electron beam evaporation technique

    NASA Astrophysics Data System (ADS)

    Mohanty, P.; Kabiraj, D.; Mandal, R. K.; Kulriya, P. K.; Sinha, A. S. K.; Rath, Chandana

    2014-04-01

    TiO2 thin films deposited by electron beam evaporation technique annealed in either O2 or Ar atmosphere showed ferromagnetism at room temperature. The pristine amorphous film demonstrates anatase phase after annealing under Ar/O2 atmosphere. While the pristine film shows a super-paramagnetic behavior, both O2 and Ar annealed films display hysteresis at 300 K. X-ray photo emission spectroscopy (XPS), Raman spectroscopy, Rutherford's backscattering spectroscopy (RBS), cross-sectional transmission electron microscopy (TEM) and energy dispersive X-ray spectroscopy (EDS) were used to refute the possible role of impurities/contaminants in magnetic properties of the films. The saturation magnetization of the O2 annealed film is found to be higher than the Ar annealed one. It is revealed from shifting of O 1s and Ti 2p core level spectra as well as from the enhancement of high binding energy component of O 1s spectra that the higher magnetic moment is associated with higher oxygen vacancies. In addition, O2 annealed film demonstrates better crystallinity, uniform deposition and smoother surface than that of the Ar annealed one from glancing angle X-ray diffraction (GAXRD) and atomic force microscopy (AFM). We conclude that although ferromagnetism is due to oxygen vacancies, the higher magnetization in O2 annealed film could be due to crystallinity, which has been observed earlier in Co doped TiO2 film deposited by pulsed laser deposition (Mohanty et al., 2012 [10]).

  10. The preparation and characterization of optical thin films produced by ion-assisted deposition

    NASA Astrophysics Data System (ADS)

    Martin, P. J.; Netterfield, R. P.; Sainty, W. G.; Pacey, C. G.

    1984-06-01

    Ion-based deposition techniques have been successfully used to deposit compound films suitable for photothermal applications, as well as dielectric films with stable and reproducible optical properties. Thus, thin films of TiN, a-Si:H, and PbS have been obtained by ion-assisted deposition for photothermal solar-selective elements and similarly prepared dielectric layers of ZrO2, SiO2, and Al2O3 have been used as protective coatings on Ag and Al mirrors. It is shown that the technique of ion-assisted deposition affords control over the film density, microstructure, adhesion, composition, and optical properties. Details of the process and film properties are discussed.

  11. Homoepitaxial growth of β-Ga{sub 2}O{sub 3} thin films by low pressure chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rafique, Subrina; Han, Lu; Zhao, Hongping, E-mail: hongping.zhao@case.edu

    2016-05-02

    This paper presents the homoepitaxial growth of phase pure (010) β-Ga{sub 2}O{sub 3} thin films on (010) β-Ga{sub 2}O{sub 3} substrate by low pressure chemical vapor deposition. The effects of growth temperature on the surface morphology and crystal quality of the thin films were systematically investigated. The thin films were synthesized using high purity metallic gallium (Ga) and oxygen (O{sub 2}) as precursors for gallium and oxygen, respectively. The surface morphology and structural properties of the thin films were characterized by atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. Material characterization indicates the growth temperature played anmore » important role in controlling both surface morphology and crystal quality of the β-Ga{sub 2}O{sub 3} thin films. The smallest root-mean-square surface roughness of ∼7 nm was for thin films grown at a temperature of 950 °C, whereas the highest growth rate (∼1.3 μm/h) with a fixed oxygen flow rate was obtained for the epitaxial layers grown at 850 °C.« less

  12. Au/n-InP Schottky diodes using an Al2O3 interfacial layer grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Kim, Hogyoung; Kim, Min Soo; Yoon, Seung Yu; Choi, Byung Joon

    2017-02-01

    We investigated the effect of an Al2O3 interfacial layer grown by atomic layer deposition on the electrical properties of Au Schottky contacts to n-type InP. Considering barrier inhomogeneity, modified Richardson plots yielded a Richardson constant of 8.4 and 7.5 Acm-2K-2, respectively, for the sample with and without the Al2O3 interlayer (theoretical value of 9.4 Acm-2K-2 for n-type InP). The dominant reverse current flow for the sample with an Al2O3 interlayer was found to be Poole-Frenkel emission. From capacitance-voltage measurements, it was observed that the capacitance for the sample without the Al2O3 interlayer was frequency dependent. Sputter-induced defects as well as structural defects were passivated effectively with an Al2O3 interlayer.

  13. Integrating micro-ignitors with Al/Bi2O3/graphene oxide composite energetic films to realize tunable ignition performance

    NASA Astrophysics Data System (ADS)

    Ma, Xiaoxia; Cheng, Shengxian; Hu, Yan; Ye, Yinghua; Shen, Ruiqi

    2018-03-01

    The integration of composite energetic films (CEFs) with various types of initiators can effectively adjust their performance and represents potential applications in microscale energy-demanding systems. In this study, the Al/Bi2O3/graphene oxide (GO) CEFs were successfully integrated into copper micro-ignitors by electrophoretic deposition, a low-cost and time-saving method. The effects of the Al/Bi2O3/GO CEFs with different GO contents on exothermic performance and ignition properties of micro-ignitors were then systematically investigated in terms of heat release, activation energy, ignition duration, the maximum height of the ignition product, and ignition delay time. The results showed that the addition of GO promoted more heat releases and higher activation energies of Al/Bi2O3/GO CEFs. The addition of ≤3.5 wt. % GO prolonged the ignition duration from 450 μs to 950 μs and increased the maximum height of the ignition product from about 40 mm to 60 mm. However, the micro-ignitors with more than 3.5 wt. % GO cannot be ignited, which suggested that GO played a contradictory role in the ignition properties of micro-ignitors and the controlled GO content was a prerequisite for improved ignition performance. The ignition delay time gradually extended from 10.7 μs to 27.6 μs with increases in the GO contents of Al/Bi2O3 CEFs, revealing that an increase in the weight ratio of GO leads to lower ignition sensitivity of micro-ignitors.

  14. Temperature behaviour of the average size of nanoparticle lattices co-deposited with an amorphous matrix. Analysis of Ge + Al2O3 and Ni + Al2O3 thin films

    NASA Astrophysics Data System (ADS)

    Mezzasalma, Stefano A.; Car, Tihomir; Nekić, Nikolina; Jerčinović, Marko; Buljan, Maja

    2017-11-01

    We theoretically interpret the thermal behaviour of the average radius versus substrate temperature of regular quantum dot/nanocluster arrays formed by sputtering semiconductor/metal atoms with oxide molecules. The analysis relies on a continuum theory for amorphous films with given surface quantities, perturbed by a nanoparticle lattice. An account of the basic thermodynamic contributions is given in terms of force-flux phenomenological coefficients of each phase (Ge, Ni, Al2O3). Average radii turn out to be expressible by a characteristic length scale and a dimensionless parameter, which mainly depend upon temperature through diffusion lengths, film pressures and finite-size corrections to interfacial tensions. The numerical agreement is good in both Ge (4 % ) and Ni (15.4 % ) lattices grown at temperatures ≤slant 800 K, despite the lower temperature behaviour of quantum dots seeming to suggest further driving forces taking part in such processes.

  15. Pulsed Laser Deposition of High Temperature Protonic Films

    NASA Technical Reports Server (NTRS)

    Dynys, Fred W.; Berger, M. H.; Sayir, Ali

    2006-01-01

    Pulsed laser deposition has been used to fabricate nanostructured BaCe(0.85)Y(0.15)O3- sigma) films. Protonic conduction of fabricated BaCe(0.85)Y(0.15)O(3-sigma) films was compared to sintered BaCe(0.85)Y(0.15)O(3-sigma). Sintered samples and laser targets were prepared by sintering BaCe(0.85)Y(0.15)O(3-sigma) powders derived by solid state synthesis. Films 1 to 8 micron thick were deposited by KrF excimer laser on porous Al2O3 substrates. Thin films were fabricated at deposition temperatures of 700 to 950 C at O2 pressures up to 200 mTorr using laser pulse energies of 0.45 - 0.95 J. Fabricated films were characterized by X-ray diffraction, electron microscopy and electrical impedance spectroscopy. Single phase BaCe(0.85)Y(0.15)O(3-sigma) films with a columnar growth morphology are observed with preferred crystal growth along the [100] or [001] direction. Results indicate [100] growth dependence upon laser pulse energy. Electrical conductivity of bulk samples produced by solid state sintering and thin film samples were measured over a temperature range of 100 C to 900 C. Electrical conduction behavior was dependent upon film deposition temperature. Maximum conductivity occurs at deposition temperature of 900 oC; the electrical conductivity exceeds the sintered specimen. All other deposited films exhibit a lower electrical conductivity than the sintered specimen. Activation energy for electrical conduction showed dependence upon deposition temperature, it varied

  16. Ion assisted deposition of SiO2 film from silicon

    NASA Astrophysics Data System (ADS)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  17. A comparative study of CeO2-Al2O3 support prepared with different methods and its application on MoO3/CeO2-Al2O3 catalyst for sulfur-resistant methanation

    NASA Astrophysics Data System (ADS)

    Jiang, Minhong; Wang, Baowei; Yao, Yuqin; Li, Zhenhua; Ma, Xinbin; Qin, Shaodong; Sun, Qi

    2013-11-01

    The CeO2-Al2O3 supports prepared with impregnation (IM), deposition precipitation (DP), and solution combustion (SC) methods for MoO3/CeO2-Al2O3 catalyst were investigated in the sulfur-resistant methanation. The supports and catalysts were characterized by N2-physisorption, transmission electron microscopy (TEM), X-ray diffraction (XRD), Raman spectroscopy (RS), and temperature-programmed reduction (TPR). The N2-physisorption results indicated that the DP method was favorable for obtaining better textural properties. The TEM and RS results suggested that there is a CeO2 layer on the surface of the support prepared with DP method. This CeO2 layer not only prevented the interaction between MoO3 and γ-Al2O3 to form Al2(MoO4)3 species, but also improved the dispersion of MoO3 in the catalyst. Accordingly, the catalysts whose supports were prepared with DP method exhibited the best catalytic activity. The catalysts whose supports were prepared with SC method had the worst catalytic activity. This was caused by the formation of Al2(MoO4)3 and crystalline MoO3. Additionally, the CeO2 layer resulted in the instability of catalysts in reaction process. The increasing of calcination temperature of supports reduced the catalytic activity of all catalysts. The decrease extent of the catalysts whose supports were prepared with DP method was the lowest as the CeO2 layer prevented the interaction between MoO3 and γ-Al2O3.

  18. Interface trapping in (2 ¯ 01 ) β-Ga2O3 MOS capacitors with deposited dielectrics

    NASA Astrophysics Data System (ADS)

    Jayawardena, Asanka; Ramamurthy, Rahul P.; Ahyi, Ayayi C.; Morisette, Dallas; Dhar, Sarit

    2018-05-01

    The electrical properties of interfaces and the impact of post-deposition annealing have been investigated in gate oxides formed by low pressure chemical vapor deposition (LPCVD SiO2) and atomic layer deposition (Al2O3) on ( 2 ¯ 01 ) oriented n-type β-Ga2O3 single crystals. Capacitance-voltage based methods have been used to extract the interface state densities, including densities of slow `border' traps at the dielectric-Ga2O3 interfaces. It was observed that SiO2-β-Ga2O3 has a higher interface and border trap density than the Al2O3-β-Ga2O3. An increase in shallow interface states was also observed at the Al2O3-β-Ga2O3 interface after post-deposition annealing at higher temperature suggesting the high temperature annealing to be detrimental for Al2O3-Ga2O3 interfaces. Among the different dielectrics studied, LPCVD SiO2 was found to have the lowest dielectric leakage and the highest breakdown field, consistent with a higher conduction band-offset. These results are important for the processing of high performance β-Ga2O3 MOS devices as these factors will critically impact channel transport, threshold voltage stability, and device reliability.

  19. Pulsed Laser Deposition Growth of Delafossite (CuFeO2) thin films and multilayers

    NASA Astrophysics Data System (ADS)

    Joshi, Toyanath; Ferrari, Piero; Borisov, Pavel; Cabrera, Alejandro; Lederman, David

    2014-03-01

    Owing to its narrow band gap (<2 eV) and p-type conductivity delafossite CuFeO2 is attractive for applications in the field of solar energy conversion. Obtaining pure phase CuFeO2 thin films, however, is relatively difficult. It is necessary to maintain the lowest possible Cu valency (+1) in order to avoid forming the comparably stable spinel compound CuFe2O4. We present a systematic study of the pulsed laser deposition (PLD) growth conditions for epitaxial (00.1) oriented CuFeO2 thin films on Al2O3 (00.1) substrates. The secondary impurity phase, CuFe2O4, was removed completely by optimizing the growth conditions. RHEED, XRD and TEM showed that the pure phase delafossite films are highly epitaxial to the substrate. The chemical purity was verified by Raman and XPS. The indirect bandgap of 1.15 eV was measured using infrared reflectivity, and is in agreement with the CuFeO2 bulk value. Finally, we discuss the growth and structural characterization of delafossite multilayers, CuFeO2/CuGaO2. This work was supported by a Research Challenge Grant from the West Virginia Higher Education Policy Commission (HEPC.dsr.12.29) and the Microelectronics Advanced Research Corporation (Contract # 2013-MA-2382) at WVU.

  20. LPG and NH3 sensing characteristics of DC electrochemically deposited Co3O4 films

    NASA Astrophysics Data System (ADS)

    Shelke, P. N.; Khollam, Y. B.; Gunjal, S. D.; Koinkar, P. M.; Jadkar, S. R.; Mohite, K. C.

    2015-03-01

    Present communication reports the LPG and NH3 sensing properties of Co3O4 films prepared on throughly cleaned stainless steel (SS) and copper (CU) substrates by using DC electrochemical deposition method followed by air annealing at 350°C/2 h. The resultant films are characterized by using X-ray diffraction (XRD), Raman spectroscopy and scanning electron microscopy (SEM). The LPG and NH3 gas sensing properties of these films are measured at room temperature (RT) by using static gas sensing system at different concentrations of test gas ranging from 25 ppm to 350 ppm. The XRD and Raman spectroscopy studies clearly indicated the formation of pure cubic spinel Co3O4 in all films. The LPG and NH3 gas sensing properties of films showed (i) the increase in sensitivity factor (S.F.) with gas concentrations and (ii) more sensibility to LPG as compared to NH3 gas. In case of NH3 gas (conc. 150 ppm) and LPG gas (conc. 60 ppm) sensing, the maximum S.F. = 270 and 258 are found for the films deposited on CU substrates, respectively. For all films, the response time (3-5 min.) is found to be much higher than the recovery time (30-50 sec). For all films, the response and recovery time are found to be higher for LPG as compared to NH3 gas. Further, repeatability-reproducibility in gas sensing properties is clearly noted by analysis of data for number of cycles recorded for all films from different set of depositions.

  1. X-ray combined analysis of fiber-textured and epitaxial Ba(Sr,Ti)O{sub 3} thin films deposited by radio frequency sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Remiens, D.; Ponchel, F.; Legier, J. F.

    2011-06-01

    A complete study is given in this paper on the structural properties of Ba(Sr,Ti)O{sub 3} (BST) thin films which present various preferred orientations: (111) and (001) fiber and epitaxial textures. The films are deposited in situ at 800 deg. C by sputtering on Si/SiO{sub 2}/TiO{sub x}/Pt substrates and the orientation is controlled by monitoring the concentration of O{sub 2} in the reactive plasma or by prior deposition of a very thin TiO{sub x} buffer layer between BST films and substrates. The epitaxial films are obtained on (001)-alpha-Al{sub 2}O{sub 3} substrates covered with TiO{sub x} buffer layers. In order to analyzemore » finely the preferred orientations, the texture, the microstructural features, and the anisotropy-related quantities such as residual stresses in the films, the conventional Bragg-Brentano {theta} - 2{theta} x-ray diffraction diagrams is shown not to be sufficient. So, we systematically used x-ray combined analysis, a recently developed methodology which gives access to precise determination of the structure (cell parameters and space group) of the films, their orientation distributions (texture strengths and types) and mean crystallite sizes, their residual stresses. This fine structural analysis shows important modifications between the film qualities which induce differences in BST films electrical behavior, permittivity, loss tangent, and tunability.« less

  2. Growth and characterization of single crystalline Zn0.8-xMg0.2AlxO films with UV band gap on GaN/Al2O3 template by RF magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Kim, Min-Sung; Lee, Byung-Teak

    2013-02-01

    Single crystalline Zn0.8-xMg0.2AlxO thin films were grown on a GaN/Al2O3 template. As the Al content is increased from 0 to 0.06, the optical band gap increased from 3.6 eV to 4.0 eV, growth rate decreased from 6 nm/min to 3 nm/min, and the surface roughness decreased from 17 nm to 0.8 nm. It was observed that interfacial layers were formed between the thin films and the substrates, identified as cubic MgAl2O4 in the case of ZnMgAlO/GaN and cubic MgO in the case of ZnMgO/GaN. It was proposed that the MgAl2O4 layer, with low lattice mismatch of ˜7% against the GaN substrate, acted as the buffer layer to correlate the film and the substrate, resulting in growth of the single crystalline thin films in the case of the ZnMgAlO/GaN system.

  3. Fabrication and electrical properties of p-CuAlO2/(n-, p-)Si heterojunctions

    NASA Astrophysics Data System (ADS)

    Suzhen, Wu; Zanhong, Deng; Weiwei, Dong; Jingzhen, Shao; Xiaodong, Fang

    2014-04-01

    CuAlO2 thin films have been prepared by the chemical solution deposition method on both n-Si and p-Si substrates. X-ray diffraction analysis indicates that the obtained CuAlO2 films have a single delafossite structure. The current transport properties of the resultant p-CuAlO2/n-Si and p-CuAlO2/p-Si heterojunctions are investigated by current-voltage measurements. The p-CuAlO2/n-Si has a rectifying ratio of ~35 within the applied voltages of -3.0 to +3.0 V, while the p-CuAlO2/p-Si shows Schottky diode-like characteristics, dominated in forward bias by the flow of space-charge-limited current.

  4. Effect of N2 annealing on AlZrO oxide

    NASA Astrophysics Data System (ADS)

    Pétry, J.; Richard, O.; Vandervorst, W.; Conard, T.; Chen, J.; Cosnier, V.

    2003-07-01

    In the path to the introduction of high-k dielectric into integrated circuit components, a large number of challenges has to be solved. Subsequent to the film deposition, the high-k film is exposed to additional high-temperature anneals for polycrystalline Si activation but also to improve its own electrical properties. Hence, concerns can be raised regarding the thermal stability of these stacks upon annealing. In this study, we investigated the effect of N2 annealing (700 to 900 °C) of atomic layer chemical vapor deposition AlZrO layers using x-ray photoelectron spectroscopy (XPS), time-of-flight secondary ion mass spectrometry (TOFSIMS), transmission electron microscopy (TEM), and Fourier transform infrared (FTIR) spectroscopy. The effect of the Si surface preparation [H-Si, 0.5 nm rapid thermal oxide (RTO), Al2O3] on the modification of the high-k oxide and the interfacial layer upon annealing was also analyzed. Compositional changes can be observed for all temperature and surface preparations. In particular, we observe a segregation of Al(oxide) toward the surface of the mixed oxide. In addition, an increase of the Si concentration in the high-k film itself can be seen with a diffusion profile extending toward the surface of the film. On the other hand, the modification of the interfacial layer is strongly dependent on the system considered. In the case of mixed oxide grown on 0.5 nm RTO, no differences are observed between the as-deposited layer and the layer annealed at 700 °C. At 800 °C, a radical change occurs: The initial RTO layer seems to be converted into a mixed layer composed of the initial SiO2 and Al2O3 coming from the mixed oxide, however without forming an Al-silicate layer. A similar situation is found for anneals at 900 °C, as well. When grown on 1.5 nm Al2O3 on 0.5 nm RTO, the only difference with the previous system is the observation of an Al-silicate fraction in the interfacial layer for the as-deposited and 700 °C annealed samples

  5. Optical properties of nanocrystalline Y2O3 thin films grown on quartz substrates by electron beam deposition

    NASA Astrophysics Data System (ADS)

    Wiktorczyk, Tadeusz; Biegański, Piotr; Serafińczuk, Jarosław

    2016-09-01

    Yttrium oxide thin films of a thickness 221-341 nm were formed onto quartz substrates by reactive physical vapor deposition in an oxygen atmosphere. An electron beam gun was applied as a deposition source. The effect of substrate temperature during film deposition (in the range of 323-673 K) on film structure, surface morphology and optical properties was investigated. The surface morphology studies (with atomic force microscopy and diffuse spectra reflectivity) show that the film surface was relatively smooth with RMS surface roughness in the range of 1.7-3.8 nm. XRD analysis has revealed that all diffraction lines belong to a cubic Y2O3 structure. The films consisted of small nanocrystals. Their average grain size increases from 1.6 nm to 22 nm, with substrate temperature rising from 323 K to 673 K. Optical examinations of transmittance and reflectance were performed in the spectral range of 0.2-2.5 μm. Optical constants and their dispersion curves were determined. Values of the refractive index of the films were in the range of n = 1.79-1.90 (at 0.55 μm) for substrate temperature during film deposition of 323-673 K. The changes in the refractive index upon substrate temperature correspond very well with the increase in the nanocrystals grain diameter and with film porosity.

  6. DC current induced metal-insulator transition in epitaxial Sm{sub 0.6}Nd{sub 0.4}NiO{sub 3}/LaAlO{sub 3} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang, Haoliang; CAS Key Laboratory of Materials for Energy Conversion, Department of Materials Science and Engineering, University of Science and Technology of China, Hefei, Anhui 230026; Luo, Zhenlin, E-mail: zlluo@ustc.edu.cn

    2014-05-15

    The metal-insulator transition (MIT) in strong correlated electron materials can be induced by external perturbation in forms of thermal, electrical, optical, or magnetic fields. We report on the DC current induced MIT in epitaxial Sm{sub 0.6}Nd{sub 0.4}NiO{sub 3} (SNNO) thin film deposited by pulsed laser deposition on (001)-LaAlO{sub 3} substrate. It was found that the MIT in SNNO film not only can be triggered by thermal, but also can be induced by DC current. The T{sub MI} of SNNO film decreases from 282 K to 200 K with the DC current density increasing from 0.003 × 10{sup 9} A•m{sup −2}more » to 4.9 × 10{sup 9} A•m{sup −2}. Based on the resistivity curves measured at different temperatures, the MIT phase diagram has been successfully constructed.« less

  7. Dielectric and ferroelectric properties of highly (100)-oriented (Na 0.5Bi 0.5) 0.94Ba 0.06TiO 3 thin films grown on LaNiO 3/γ-Al 2O 3/Si substrates by chemical solution deposition

    NASA Astrophysics Data System (ADS)

    Guo, Yiping; Akai, Daisuke; Sawada, Kazauki; Ishida, Makoto

    2008-07-01

    A (Na 0.5Bi 0.5) 0.94Ba 0.06TiO 3 chemical solution was prepared by using barium acetate, nitrate of sodium, nitrate of bismuth, and Ti-isopropoxide as raw materials. A white precipitation appeared during the preparation was analyzed to be Ba(NO 3) 2. We found that ethanolamine is a very effective coordinating ligand of Ba 2+. A transparent and stable (Na 0.5Bi 0.5) 0.94Ba 0.06TiO 3 precursor chemical solution has been achieved by using ethanolamine as a ligand of Ba 2+. (Na 0.5Bi 0.5) 0.94Ba 0.06TiO 3 films were grown on LaNiO 3/γ-Al 2O 3/Si substrates. Highly (100)-oriented (Na 0.5Bi 0.5) 0.94Ba 0.06TiO 3 films were obtained in this work due to lattice match growth. The dielectric, ferroelectric and insulative characteristics against applied field were studied. The conduction current shows an Ohmic conduction behavior at lower voltages and space-charge-limited behavior at higher voltages, respectively. These results indicate that, the (Na 0.5Bi 0.5) 0.94Ba 0.06TiO 3 film is a promising lead-free ferroelectric film.

  8. Hydrogen passivation of poly-Si/SiOx contacts for Si solar cells using Al2O3 studied with deuterium

    NASA Astrophysics Data System (ADS)

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William; Macco, Bart; Stradins, Paul; Kessels, W. M. M.; Young, David L.

    2018-05-01

    The interplay between hydrogenation and passivation of poly-Si/SiOx contacts to n-type Si wafers is studied using atomic layer deposited Al2O3 and anneals in forming gas and nitrogen. The poly-Si/SiOx stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiOx contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al2O3 is derived from its role as a hydrogen source for chemically passivating defects at SiOx; Al2O3 layers are found to hydrogenate poly-Si/SiOx much better than a forming gas anneal. By labelling Al2O3 and the subsequent anneal with different hydrogen isotopes, it is found that Al2O3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.

  9. Electrical and band structural analyses of Ti1-x Al x O y films grown by atomic layer deposition on p-type GaAs

    NASA Astrophysics Data System (ADS)

    An, Youngseo; Mahata, Chandreswar; Lee, Changmin; Choi, Sungho; Byun, Young-Chul; Kang, Yu-Seon; Lee, Taeyoon; Kim, Jiyoung; Cho, Mann-Ho; Kim, Hyoungsub

    2015-10-01

    Amorphous Ti1-x Al x O y films in the Ti-oxide-rich regime (x  <  0.5) were deposited on p-type GaAs via atomic layer deposition with titanium isopropoxide, trimethylaluminum, and H2O precursor chemistry. The electrical properties and energy band alignments were examined for the resulting materials with their underlying substrates, and significant frequency dispersion was observed in the accumulation region of the Ti-oxide-rich Ti1-x Al x O y films. Although a further reduction in the frequency dispersion and leakage current (under gate electron injection) could be somewhat achieved through a greater addition of Al-oxide in the Ti1-x Al x O y film, the simultaneous decrease in the dielectric constant proved problematic in finding an optimal composition for application as a gate dielectric on GaAs. The spectroscopic band alignment measurements of the Ti-oxide-rich Ti1-x Al x O y films indicated that the band gaps had a rather slow increase with the addition of Al-oxide, which was primarily compensated for by an increase in the valance band offset, while a nearly-constant conduction band offset with a negative electron barrier height was maintained.

  10. Structure and enhanced thermochromic performance of low-temperature fabricated VO2/V2O3 thin film

    NASA Astrophysics Data System (ADS)

    Sun, Guangyao; Cao, Xun; Gao, Xiang; Long, Shiwei; Liang, Mengshi; Jin, Ping

    2016-10-01

    For VO2-based smart window manufacture, it is a long-standing demand for high-quality thin films deposited at low temperature. Here, the thermochromic films of VO2 were deposited by a magnetron sputtering method at a fairly low temperature of 250 °C without subsequent annealing by embedding a V2O3 interlayer. V2O3 acts as a seed layer to lower the depositing temperature and buffer layer to epitaxial grow VO2 film. The VO2/V2O3 films display high solar modulating ability and narrow hysteresis loop. Our data can serve as a promising point for industrial production with high degree of crystallinity at a low temperature.

  11. High-Hall-Mobility Al-Doped ZnO Films Having Textured Polycrystalline Structure with a Well-Defined (0001) Orientation

    NASA Astrophysics Data System (ADS)

    Nomoto, Junichi; Makino, Hisao; Yamamoto, Tetsuya

    2016-06-01

    Five hundred-nanometer-thick ZnO-based textured polycrystalline films consisting of 490-nm-thick Al-doped ZnO (AZO) films deposited on 10-nm-thick Ga-doped ZnO (GZO) films exhibited a high Hall mobility ( μ H) of 50.1 cm2/Vs with a carrier concentration ( N) of 2.55 × 1020 cm-3. Firstly, the GZO films were prepared on glass substrates by ion plating with dc arc discharge, and the AZO films were then deposited on the GZO films by direct current magnetron sputtering (DC-MS). The GZO interface layers with a preferential c-axis orientation play a critical role in producing AZO films with texture development of a well-defined (0001) orientation, whereas 500-nm-thick AZO films deposited by only DC-MS showed a mixture of the c-plane and the other plane orientation, to exhibit a μ H of 38.7 cm2/Vs with an N of 2.22 × 1020 cm-3.

  12. Thermally Diffused Al:ZnO Thin Films for Broadband Transparent Conductor.

    PubMed

    Tong, Chong; Yun, Juhyung; Chen, Yen-Jen; Ji, Dengxin; Gan, Qiaoqiang; Anderson, Wayne A

    2016-02-17

    Here, we report an approach to realize highly transparent low resistance Al-doped ZnO (AZO) films for broadband transparent conductors. Thin Al films are deposited on ZnO surfaces, followed by thermal diffusion processes, introducing the Al doping into ZnO thin films. By utilizing the interdiffusion of Al, Zn, and O, the chemical state of Al on the surfaces can be converted to a fully oxidized state, resulting in a low sheet resistance of 6.2 Ω/sq and an excellent transparency (i.e., 96.5% at 550 nm and higher than 85% up to 2500 nm), which is superior compared with some previously reported values for indium tin oxide, solution processed AZO, and many transparent conducting materials using novel nanostructures. Such AZO films are also applied as transparent conducting layers for AZO/Si heterojunction solar cells, demonstrating their applications in optoelectronic devices.

  13. Thin films of the Bi2Sr2Ca2Cu3O(x) superconductor

    NASA Technical Reports Server (NTRS)

    Mei, YU; Luo, H. L.; Hu, Roger

    1990-01-01

    Using RF sputtering technique, thin films of near single phase Bi2Sr2Ca2Cu3O(x) were successfully prepared on SrTiO3(100), MgO(100), and LaAlO3(012) substrates. Zero resistance of these films occurred in the range of 90-105 K.

  14. n-MoS2/p-Si Solar Cells with Al2O3 Passivation for Enhanced Photogeneration.

    PubMed

    Rehman, Atteq Ur; Khan, Muhammad Farooq; Shehzad, Muhammad Arslan; Hussain, Sajjad; Bhopal, Muhammad Fahad; Lee, Sang Hee; Eom, Jonghwa; Seo, Yongho; Jung, Jongwan; Lee, Soo Hong

    2016-11-02

    Molybdenum disulfide (MoS 2 ) has recently emerged as a promising candidate for fabricating ultrathin-film photovoltaic devices. These devices exhibit excellent photovoltaic performance, superior flexibility, and low production cost. Layered MoS 2 deposited on p-Si establishes a built-in electric field at MoS 2 /Si interface that helps in photogenerated carrier separation for photovoltaic operation. We propose an Al 2 O 3 -based passivation at the MoS 2 surface to improve the photovoltaic performance of bulklike MoS 2 /Si solar cells. Interestingly, it was observed that Al 2 O 3 passivation enhances the built-in field by reduction of interface trap density at surface. Our device exhibits an improved power conversion efficiency (PCE) of 5.6%, which to our knowledge is the highest efficiency among all bulklike MoS 2 -based photovoltaic cells. The demonstrated results hold the promise for integration of bulklike MoS 2 films with Si-based electronics to develop highly efficient photovoltaic cells.

  15. C-axis orientated AlN films deposited using deep oscillation magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Lin, Jianliang; Chistyakov, Roman

    2017-02-01

    Highly <0001> c-axis orientated aluminum nitride (AlN) films were deposited on silicon (100) substrates by reactive deep oscillation magnetron sputtering (DOMS). No epitaxial favored bond layer and substrate heating were applied for assisting texture growth. The effects of the peak target current density (varied from 0.39 to 0.8 Acm-2) and film thickness (varied from 0.25 to 3.3 μm) on the c-axis orientation, microstructure, residual stress and mechanical properties of the AlN films were investigated by means of X-ray diffraction rocking curve methodology, transmission electron microscopy, optical profilometry, and nanoindentation. All AlN films exhibited a <0001> preferred orientation and compressive residual stresses. At similar film thicknesses, an increase in the peak target current density to 0.53 Acm-2 improved the <0001> orientation. Further increasing the peak target current density to above 0.53 Acm-2 showed limited contribution to the texture development. The study also showed that an increase in the thickness of the AlN films deposited by DOMS improved the c-axis alignment accompanied with a reduction in the residual stress.

  16. Some studies on TiO2 films deposited by sol-gel technique

    NASA Astrophysics Data System (ADS)

    Narasimha Rao, K.; Vishwas, M.; Kumar Sharma, Sudhir; Arjuna Gowda, K. V.

    2008-08-01

    TiO2 films are extensively used in various applications including optical multi-layers, sensors, photo catalysis, environmental purification, and solar cells etc. These are prepared by both vacuum and non-vacuum methods. In this paper, we present the results on TiO2 thin films prepared by a sol-gel spin coating process in non-aqueous solvent. Titanium isopropoxide is used as TiO2 precursor. The films were annealed at different temperatures up to 3000 C for 5 hours in air. The influence of the various deposition parameters like spinning speed, spinning time and annealing temperature on the thickness of the TiO2 films has been studied. The variation of film thickness with time in ambient atmosphere was also studied. The optical, structural and morphological characteristics were investigated by optical transmittance-reflectance measurements, X-ray diffraction (XRD) and scanning electron microscopy (SEM) respectively. The refractive index and extinction coefficient of the films were determined by envelope technique and spectroscopic ellipsometry. TiO2 films exhibited high transparency (92%) in the visible region with a refractive index of 2.04 at 650 nm. The extinction coefficient was found to be negligibly small. The X-ray diffraction analysis showed that the TiO2 film deposited on glass substrate changes from amorphous to crystalline (anatase) phase with annealing temperature above 2500 C. SEM results show that the deposited films are uniform and crack free.

  17. Atomic layer deposition of TiO2 on surface modified nanoporous low-k films.

    PubMed

    Levrau, Elisabeth; Devloo-Casier, Kilian; Dendooven, Jolien; Ludwig, Karl F; Verdonck, Patrick; Meersschaut, Johan; Baklanov, Mikhail R; Detavernier, Christophe

    2013-10-01

    This paper explores the effects of different plasma treatments on low dielectric constant (low-k) materials and the consequences for the growth behavior of atomic layer deposition (ALD) on these modified substrates. An O2 and a He/H2 plasma treatment were performed on SiCOH low-k films to modify their chemical surface groups. Transmission FTIR and water contact angle (WCA) analysis showed that the O2 plasma changed the hydrophobic surface completely into a hydrophilic surface, while the He/H2 plasma changed it only partially. In a next step, in situ X-ray fluorescence (XRF), ellipsometric porosimetry (EP), and Rutherford backscattering spectroscopy (RBS) were used to characterize ALD growth of TiO2 on these substrates. The initial growth of TiO2 was found to be inhibited in the original low-k film containing only Si-CH3 surface groups, while immediate growth was observed in the hydrophilic O2 plasma treated film. The latter film was uniformly filled with TiO2 after 8 ALD cycles, while pore filling was delayed to 17 ALD cycles in the hydrophobic film. For the He/H2 plasma treated film, containing both Si-OH and Si-CH3 groups, the in situ XRF data showed that TiO2 could no longer be deposited in the He/H2 plasma treated film after 8 ALD cycles, while EP measurements revealed a remaining porosity. This can be explained by the faster deposition of TiO2 in the hydrophilic top part of the film than in the hydrophobic bulk which leaves the bulk porous, as confirmed by RBS depth profiling. The outcome of this research is not only of interest for the development of advanced interconnects in ULSI technology, but also demonstrates that ALD combined with RBS analysis is a handy approach to analyze the modifications induced by a plasma treatment on a nanoporous thin film.

  18. Enhanced magnetoelectric response in 2-2 bilayer 0.50Pb(Ni1/3Nb2/3)O3-0.35PbTiO3-0.15PbZrO3/NiFe2O4 thin films

    NASA Astrophysics Data System (ADS)

    Ade, Ramesh; Sambasiva, V.; Kolte, Jayant; Karthik, T.; Kulkarni, Ajit R.; Venkataramani, N.

    2018-03-01

    In this work, room temperature magnetoelectric (ME) properties of 0.50Pb(Ni1/3Nb2/3)O3-0.35PbTiO3-0.15PbZrO3 (PNNZT)/NiFe2O4 (NFO) 2-2 bilayer thin films grown on Pt/Ti/SiO2/Si substrate, using pulsed laser deposition technique, are reported. Structural studies confirm single phase PNNZT/NFO 2-2 bilayer structure formation. PNNZT/NFO 2-2 bilayer thin film shows a maximum ME voltage coefficient (α E ) of ~0.70 V cm-1. Oe-1 at a frequency of 1 kHz. The present study reveals that PNNZT/NFO bilayer thin film can be a potential candidate for technological applications.

  19. Optical and electrical properties of p-type transparent conducting CuAlO2 thin film synthesized by reactive radio frequency magnetron sputtering technique

    NASA Astrophysics Data System (ADS)

    Saha, B.; Thapa, R.; Jana, S.; Chattopadhyay, K. K.

    2010-10-01

    Thin films of p-type transparent conducting CuAlO2 have been synthesized through reactive radio frequency magnetron sputtering on silicon and glass substrates at substrate temperature 300°C. Reactive sputtering of a target fabricated from Cu and Al powder (1:1.5) was performed in Ar+O2 atmosphere. The deposition parameters were optimized to obtain phase pure, good quality CuAlO2 thin films. The films were characterized by studying their structural, morphological, optical and electrical properties.

  20. Photovoltaic properties of ferroelectric BaTiO3 thin films RF sputter deposited on silicon

    NASA Technical Reports Server (NTRS)

    Dharmadhikari, V. S.; Grannemann, W. W.

    1982-01-01

    Ferroelectric thin films of BaTiO3 have been successfully deposited on n-type silicon substrates at temperatures above 500 C by RF sputtering in an O2/Ar atmosphere. Analysis by X-ray diffraction patterns show that films deposited at room temperature are amorphous. At temperatures above 500 C, crystalline BaTiO3 films with a tetragonal structure are obtained. The polarization-electric field (P-E) hysteresis loops and a broad peak in the dielectric constant versus temperature curve at Curie point indicate that the RF sputtered BaTiO3 films are ferroelectric. An anomalous photovoltaic effect is observed in these thin films which is related to the remanent polarization of the material. The results on open-circuit and short-circuit measurements provide an important basis for a better understanding of the role of photovoltaic field, photovoltaic current, and the pyroelectric properties in photoferroelectric domain switching.

  1. Comparison of B{sub 2}O{sub 3} and BN deposited by atomic layer deposition for forming ultrashallow dopant regions by solid state diffusion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Consiglio, Steven, E-mail: steve.consiglio@us.tel.com; Clark, Robert D.; O'Meara, David

    2016-01-15

    In this study, the authors investigated atomic layer deposition (ALD) of B{sub 2}O{sub 3} and BN for conformal, ultrashallow B doping applications and compared the effect of dopant-containing overlayers on sheet resistance (R{sub s}) and B profiles for both types of films subjected to a drive-in thermal anneal. For the deposition of B{sub 2}O{sub 3}, tris(dimethylamido)borane and O{sub 3} were used as coreactants and for the deposition of BN, BCl{sub 3} and NH{sub 3} were used as coreactants. Due to the extreme air instability of B{sub 2}O{sub 3} films, physical analysis was performed on B{sub 2}O{sub 3} films, which weremore » capped in-situ with ∼30 Å ALD grown Al{sub 2}O{sub 3} layers. For the BN films, in-situ ALD grown Si{sub 3}N{sub 4} capping layers (∼30 Å) were used for comparison. From spectroscopic ellipsometry, a thickness decrease was observed after 1000 °C, 30 s anneal for the B{sub 2}O{sub 3} containing stack with 60 ALD cycles of B{sub 2}O{sub 3}, whereas the BN containing stacks showed negligible thickness decrease after the annealing step, regardless of the number of BN cycles tested. The postanneal reduction in film thickness as well as decrease in R{sub s} for the B{sub 2}O{sub 3} containing stack suggests that the solid state diffusion dopant mechanism is effective, whereas for the BN containing stacks this phenomenon seems to be suppressed. Further clarification of the effectiveness of the B{sub 2}O{sub 3} containing layer compared to the film stacks with BN was evidenced in backside secondary ion mass spectrometry profiling of B atoms. Thus, B{sub 2}O{sub 3} formed by an ALD process and subsequently capped in-situ followed by a drive-in anneal offers promise as a dopant source for ultrashallow doping, whereas the same method using BN seems ineffective. An integrated approach for B{sub 2}O{sub 3} deposition and annealing on a clustered tool also demonstrated controllable R{sub s} reduction without the use of a capping layer.« less

  2. Low-Temperature-Processed Zinc Oxide Thin-Film Transistors Fabricated by Plasma-Assisted Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Kawamura, Yumi; Tani, Mai; Hattori, Nozomu; Miyatake, Naomasa; Horita, Masahiro; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2012-02-01

    We investigated zinc oxide (ZnO) thin films prepared by plasma assisted atomic layer deposition (PA-ALD), and thin-film transistors (TFTs) with the ALD ZnO channel layer for application to next-generation displays. We deposited the ZnO channel layer by PA-ALD at 100 or 300 °C, and fabricated TFTs. The transfer characteristic of the 300 °C-deposited ZnO TFT exhibited high mobility (5.7 cm2 V-1 s-1), although the threshold voltage largely shifted toward the negative (-16 V). Furthermore, we deposited Al2O3 thin film as a gate insulator by PA-ALD at 100 °C for the low-temperature TFT fabrication process. In the case of ZnO TFTs with the Al2O3 gate insulator, the shift of the threshold voltage improved (-0.1 V). This improvement of the negative shift seems to be due to the negative charges of the Al2O3 film deposited by PA-ALD. On the basis of the experimental results, we confirmed that the threshold voltage of ZnO TFTs is controlled by PA-ALD for the deposition of the gate insulator.

  3. Fully patterned p-channel SnO TFTs using transparent Al2O3 gate insulator and ITO as source and drain contacts

    NASA Astrophysics Data System (ADS)

    Guzmán-Caballero, D. E.; Quevedo-López, M. A.; De la Cruz, W.; Ramírez-Bon, R.

    2018-03-01

    SnO p-type was used as active layer to fabricate thin film transistors (TFTs) through photolithography and dry etching processes. The SnO p-type thin films (25 nm) were deposited by DC reactive sputtering with variable oxygen (O2) flow rate to then be annealed in air at 250 ◦C. Al2O3 gate dielectric (15 nm) was deposited by atomic layer deposition. Hall measurements showed p-type carrier concentration (N h ) of around 1 × 1018 cm-3 and Hall mobilities (μ Hall) between 0.35 and 2.64 cm2 V-1 s-1, depending on the O2 flow rate during deposition. The hole transport was dominated by variable-range hopping conduction. A change in the preferred crystalline orientation in the SnO films from (101) to (110) was associated with the increase in μ Hall. In addition, Raman vibrational modes at 110 and 209 cm-1 of polycrystalline SnO films showed certain dependence with the grain orientation. The SnO-based TFTs showed p-type behavior with low threshold voltages (V T ) and low sub threshold swing (SS) in the range from 1.76 to 3.50 V and 1.63 to 3.24 V/dec., respectively. The TFTs mobilities in the saturation regime (μ sat) were in the range of 0.12 and 1.32 cm2 V-1 s-1. The current on/off ratio (I ON/I OFF) was in the order of 102, approximately. The large values of the interface trap density (D IT) contributed to the high I OFF and the low I ON/I OFF of the TFTs.

  4. Simulation of thermal stress in Er2O3 and Al2O3 tritium penetration barriers by finite-element analysis

    NASA Astrophysics Data System (ADS)

    Ze, LIU; Guogang, YU; Anping, HE; Ling, WANG

    2017-09-01

    The physical vapor deposition method is an effective way to deposit Al2O3 and Er2O3 on 316L stainless steel substrates acting as tritium permeation barriers in a fusion reactor. The distribution of residual thermal stress is calculated both in Al2O3 and Er2O3 coating systems with planar and rough substrates using finite element analysis. The parameters influencing the thermal stress in the sputter process are analyzed, such as coating and substrate properties, temperature and Young’s modulus. This work shows that the thermal stress in Al2O3 and Er2O3 coating systems exhibit a linear relationship with substrate thickness, temperature and Young’s modulus. However, this relationship is inversed with coating thickness. In addition, the rough substrate surface can increase the thermal stress in the process of coating deposition. The adhesive strength between the coating and the substrate is evaluated by the shear stress. Due to the higher compressive shear stress, the Al2O3 coating has a better adhesive strength with a 316L stainless steel substrate than the Er2O3 coating. Furthermore, the analysis shows that it is a useful way to improve adhesive strength with increasing interface roughness.

  5. Growth and electrical transport properties of La 0.7 Sr 0.3 MnO 3 thin films on Sr 2 IrO 4 single crystals

    DOE PAGES

    Moon, E. J.; May, A. F.; Shafer, P.; ...

    2017-04-20

    Here, we report the physical properties of La 0.7 Sr 0.3 MnO 3 thin films on Sr 2 IrO 4 single crystals. We also deposited the manganite films using oxide molecular beam epitaxy on flux-grown (001)-oriented iridate crystals. Temperature-dependent magnetotransport and x-ray magnetic circular dichroism measurements reveal the presence of a ferromagnetic metallic ground state in the films, consistent with films grown on SrTiO 3 and La 0.3 Sr 0.7 Al 0.65 Ta 0.35 O 3 . A parallel resistance model is used to separate conduction effects within the Sr 2 IrO 4 substrate and the La 0.7 Sr 0.3more » MnO 3 thin films, revealing that the measured resistance maximum does not correspond to the manganite Curie temperature but results from a convolution of properties of the near-insulating substrate and metallic film. Furthermore, the ability to grow and characterize epitaxial perovskites on Sr 2 IrO 4 crystals enables a new route for studying magnetism at oxide interfaces in the presence of strong spin-orbit interactions.« less

  6. Synthesis and characterization of electron doped La{sub 0.85}Te{sub 0.15}MnO{sub 3} thin film grown on LaAlO{sub 3} substrate by pulsed laser deposition technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhat, Irshad, E-mail: bhat.amu85@gmail.com; Husain, Shahid; Patil, S. I.

    2015-06-24

    We report the structural, morphological and magneto-transport properties of electron doped La{sub 0.85}Te{sub 0.15}MnO{sub 3} (LTMO) thin film grown on (001) LaAlO{sub 3} single crystal substrate by pulsed laser deposition (PLD). X-ray diffraction (XRD) results confirm that the film has good crystalline quality, single phase, and c-axis orientation. The atomic force microscopy (AFM) results have revealed that the film consists of grains with the average size in a range of 20–30 nm and root-mean square (rms) roughness of 0.27nm. The resistivity versus temperature measurement exhibits an insulator to metal transition (MIT). We have noticed a huge value of magnetoresistance (∼93%)more » close to MIT in presence of 8T field. X-ray photoemission spectroscopy confirms the electron doping and suggests that Te ions could be in the Te{sup 4+} state, while the Mn ions stay in the Mn{sup 2+} and Mn{sup 3+} valence state.« less

  7. Improved dielectric properties of CaCu3Ti4O12 films with a CaTiO3 interlayer on Pt/TiO2/SiO2/Si substrates prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Lee, Sung-Yun; Kim, Hui Eun; Jo, William; Kim, Young-Hwan; Yoo, Sang-Im

    2015-11-01

    We report the greatly improved dielectric properties of CaCu3Ti4O12 (CCTO) films with a 60 nm-thick CaTiO3 (CTO) interlayer on Pt/TiO2/SiO2/Si substrates. Both CCTO films and CTO interlayers were prepared by pulsed laser deposition (PLD). With increasing the thickness of CCTO from 200 nm to 1.3 μm, the dielectric constants ( ɛ r ) at 10 kHz in both CCTO single-layered and CCTO/CTO double-layered films increased from ˜260 to ˜6000 and from ˜630 to ˜3700, respectively. Compared with CCTO single-layered films, CCTO/CTO double-layered films irrespective of CCTO film thickness exhibited a remarkable decrease in their dielectric losses ( tanδ) (<0.1 at the frequency region of 1 - 100 kHz) and highly reduced leakage current density at room temperature. The reduced leakage currents in CCTO/CTO double-layered films are attributable to relatively higher trap ionization energies in the Poole-Frenkel conduction model. [Figure not available: see fulltext.

  8. Structure and enhanced thermochromic performance of low-temperature fabricated VO 2/V 2O 3 thin film

    DOE PAGES

    Sun, Guangyao; Cao, Xun; Gao, Xiang; ...

    2016-10-06

    For VO 2-based smart window manufacture, it is a long-standing demand for high-quality thin films deposited at low temperature. In this paper, the thermochromic films of VO 2 were deposited by a magnetron sputtering method at a fairly low temperature of 250 °C without subsequent annealing by embedding a V 2O 3 interlayer. V 2O 3 acts as a seed layer to lower the depositing temperature and buffer layer to epitaxial grow VO 2 film. The VO 2/V 2O 3 films display high solar modulating ability and narrow hysteresis loop. Finally, our data can serve as a promising point formore » industrial production with high degree of crystallinity at a low temperature.« less

  9. Controlling the preferential orientation in sol-gel prepared CaCu3Ti4O12 thin films by LaAlO3 and NdGaO3 substrates

    NASA Astrophysics Data System (ADS)

    Pongpaiboonkul, Suriyong; Kasa, Yumairah; Phokharatkul, Ditsayut; Putasaeng, Bundit; Hodak, Jose H.; Wisitsoraat, Anurat; Hodak, Satreerat K.

    2016-11-01

    Researchers have paid considerable attention to CaCu3Ti4O12 (CCTO) due to the colossal dielectric constant over a wide range of frequency and temperature. Despite of the growing number of works dealing with CCTO, there have been few studies of the role played by the substrate in inducing structural and dielectric effects of this material. In this work, highly-oriented CCTO thin films have been deposited on LaAlO3(100), NdGaO3(100) and NdGaO3(110) substrates using a sol-gel method. These single crystal substrates were chosen in terms of small lattice mismatch between CCTO and the substrate. The X-ray diffraction patterns showed that the CCTO film layers grow with different orientations depending upon the substrate used. We show that the preferred orientation of CCTO thin films can be manipulated to a high degree by growing it on specific crystal planes of the substrates without the use of buffer layers. Colossal dielectric constants are observed in our films which appear to correlate with the film crystallinity and preferred orientation.

  10. Raman enhancement by graphene-Ga2O3 2D bilayer film

    PubMed Central

    2014-01-01

    2D β-Ga2O3 flakes on a continuous 2D graphene film were prepared by a one-step chemical vapor deposition on liquid gallium surface. The composite was characterized by optical microscopy, scanning electron microscopy, Raman spectroscopy, energy dispersive spectroscopy, and X-ray photoelectron spectroscopy (XPS). The experimental results indicate that Ga2O3 flakes grew on the surface of graphene film during the cooling process. In particular, tenfold enhancement of graphene Raman scattering signal was detected on Ga2O3 flakes, and XPS indicates the C-O bonding between graphene and Ga2O3. The mechanism of Raman enhancement was discussed. The 2D Ga2O3-2D graphene structure may possess potential applications. PMID:24472433

  11. Raman enhancement by graphene-Ga2O3 2D bilayer film.

    PubMed

    Zhu, Yun; Yu, Qing-Kai; Ding, Gu-Qiao; Xu, Xu-Guang; Wu, Tian-Ru; Gong, Qian; Yuan, Ning-Yi; Ding, Jian-Ning; Wang, Shu-Min; Xie, Xiao-Ming; Jiang, Mian-Heng

    2014-01-28

    2D β-Ga2O3 flakes on a continuous 2D graphene film were prepared by a one-step chemical vapor deposition on liquid gallium surface. The composite was characterized by optical microscopy, scanning electron microscopy, Raman spectroscopy, energy dispersive spectroscopy, and X-ray photoelectron spectroscopy (XPS). The experimental results indicate that Ga2O3 flakes grew on the surface of graphene film during the cooling process. In particular, tenfold enhancement of graphene Raman scattering signal was detected on Ga2O3 flakes, and XPS indicates the C-O bonding between graphene and Ga2O3. The mechanism of Raman enhancement was discussed. The 2D Ga2O3-2D graphene structure may possess potential applications.

  12. Ti{sub 2}AlN thin films synthesized by annealing of (Ti+Al)/AlN multilayers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cabioch, Thierry, E-mail: Thierry.cabioch@univ-poitiers.fr; Alkazaz, Malaz; Beaufort, Marie-France

    2016-08-15

    Highlights: • Epitaxial thin films of the MAX phase Ti{sub 2}AlN are obtained by thermal annealing. • A new metastable (Ti,Al,N) solid solution with the structure of α-T is evidenced. • The formation of the MAX phase occurs at low temperature (600 °C). - Abstract: Single-phase Ti{sub 2}AlN thin films were obtained by annealing in vacuum of (Ti + Al)/AlN multilayers deposited at room temperature by magnetron sputtering onto single-crystalline (0001) 4H-SiC and (0001) Al{sub 2}O{sub 3} substrates. In-situ X-ray diffraction experiments combined with ex-situ cross-sectional transmission electron microscopy observations reveal that interdiffusion processes occur in the multilayer at amore » temperature of ∼400 °C leading to the formation of a (Ti, Al, N) solid solution, having the hexagonal structure of α-Ti, whereas the formation of Ti{sub 2}AlN occurs at 550–600 °C. Highly oriented (0002) Ti{sub 2}AlN thin films can be obtained after an annealing at 750 °C.« less

  13. Aluminum concentration and substrate temperature in chemical sprayed ZnO:Al thin solid films

    NASA Astrophysics Data System (ADS)

    Lozada, Erick Velázquez; Castañeda, L.; Aguilar, E. Austria

    2018-02-01

    The continuous interest in the synthesis and properties study of materials has permitted the development of semiconductor oxides. Zinc oxide (ZnO) with hexagonal wurzite structure is a wide band gap n-type semiconductor and interesting material over a wide range. Chemically sprayed aluminium-doped zinc oxide thin films (ZnO:Al) were deposited on soda-lime glass substrates starting from zinc pentanedionate and aluminium pentanedionate. The influence of both the dopant concentration in the starting solution and the substrate temperature on the composition, morphology, and transport properties of the ZnO:Al thin films were studied. The structure of all the ZnO:Al thin films was polycrystalline, and variation in the preferential growth with the aluminium content in the solution was observed: from an initial (002) growth in films with low Al content, switching to a predominance of (101) planes for heavily dopant regime. The crystallite size was found to decrease with doping concentration and range from 33 to 20 nm. First-order Raman scattering from ZnO:Al, all having the wurtzite structure. The assignments of the E2 mode in ZnO:Al differ from previous investigations. The film composition and the dopant concentration were determined by Auger Electron Spectroscopy (AES); these results showed that the films are almost stoichiometric ZnO. The optimum deposition conditions leading to conductive and transparent ZnO:Al thin films were also found. In this way a resistivity of 0.03 Ω-cm with a (002) preferential growth, were obtained in optimized ZnO:Al thin films.

  14. Fabrication of cerium-doped β-Ga2O3 epitaxial thin films and deep ultraviolet photodetectors.

    PubMed

    Li, Wenhao; Zhao, Xiaolong; Zhi, Yusong; Zhang, Xuhui; Chen, Zhengwei; Chu, Xulong; Yang, Hujiang; Wu, Zhenping; Tang, Weihua

    2018-01-20

    High-quality cerium-doped β-Ga 2 O 3 (Ga 2 O 3 :Ce) thin films could be achieved on (0001)α-Al 2 O 3 substrates using a pulsed-laser deposition method. The impact of dopant contents concentration on crystal structure, optical absorption, photoluminescence, and photoelectric properties has been intensively studied. X-ray diffraction analysis results have shown that Ga 2 O 3 :Ce films are highly (2¯01) oriented, and the lattice spacing of the (4¯02) planes is sensitive to the Ce doping level. The prepared Ga 2 O 3 :Ce films show a sharp absorption edge at about 250 nm, meaning a high transparency to deep ultraviolet (DUV) light. The photoluminescence results revealed that the emissions were in the violet-blue-green region, which are associated with the donor-acceptor transitions with the Ce 3+ and oxygen vacancies related defects. A simple DUV photodetector device with a metal-semiconductor-metal structure has also been fabricated based on Ga 2 O 3 :Ce thin film. A distinct DUV photoresponse was obtained, suggesting a potential application in DUV photodetector devices.

  15. Dye sensitized solar cell applications of CdTiO3-TiO2 composite thin films deposited from single molecular complex

    NASA Astrophysics Data System (ADS)

    Ehsan, Muhammad Ali; Khaledi, Hamid; Pandikumar, Alagarsamy; Huang, Nay Ming; Arifin, Zainudin; Mazhar, Muhammad

    2015-10-01

    A heterobimetallic complex [Cd2Ti4(μ-O)6(TFA)8(THF)6]·1.5THF (1) (TFA=trifluoroacetato, THF=tetrahydrofuran) comprising of Cd:Ti (1:2) ratio was synthesized by a chemical reaction of cadmium (II) acetate with titanium (IV) isopropoxide and triflouroacetic acid in THF. The stoichiometry of (1) was recognized by single crystal X-ray diffraction, spectroscopic and elemental analyses. Thermal studies revealed that (1) neatly decomposes at 450 °C to furnish 1:1 ratio of cadmium titanate:titania composite oxides material. The thin films of CdTiO3-TiO2 composite oxides were deposited at 550 °C on fluorine doped tin oxide coated conducting glass substrate in air ambient. The micro-structure, crystallinity, phase identification and chemical composition of microspherical architectured CdTiO3-TiO2 composite thin film have been determined by scanning electron microscopy, X-ray diffraction, Raman spectroscopy and energy dispersive X-ray analysis. The scope of composite thin film having band gap of 3.1 eV was explored as photoanode for dye-sensitized solar cell application.

  16. Effect of bottom electrode on dielectric property of sputtered-(Ba,Sr)TiO{sub 3} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ito, Shinichi; Yamada, Tomoaki; Takahashi, Kenji

    2009-03-15

    (Ba{sub 0.5}Sr{sub 0.5})TiO{sub 3} (BST) films were deposited on (111)Pt/TiO{sub 2}/SiO{sub 2}/Al{sub 2}O{sub 3} substrates by rf sputtering. By inserting a thin layer of SrRuO{sub 3} in between BST film and (111)Pt electrode, the BST films grew fully (111)-oriented without any other orientations. In addition, it enables us to reduce the growth temperature of BST films while keeping the dielectric constant and tunability as high as those of BST films directly deposited on Pt at higher temperatures. The dielectric loss of the films on SrRuO{sub 3}-top substrates was comparable to that on Pt-top substrates for the same level of dielectricmore » constant. The results suggest that the SrRuO{sub 3} thin layer on (111)Pt electrode is an effective approach to growing highly crystalline BST films with (111) orientation at lower deposition temperatures.« less

  17. Dye sensitized solar cell applications of CdTiO{sub 3}–TiO{sub 2} composite thin films deposited from single molecular complex

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ehsan, Muhammad Ali; Khaledi, Hamid; Pandikumar, Alagarsamy

    2015-10-15

    A heterobimetallic complex [Cd{sub 2}Ti{sub 4}(μ-O){sub 6}(TFA){sub 8}(THF){sub 6}]·1.5THF (1) (TFA=trifluoroacetato, THF=tetrahydrofuran) comprising of Cd:Ti (1:2) ratio was synthesized by a chemical reaction of cadmium (II) acetate with titanium (IV) isopropoxide and triflouroacetic acid in THF. The stoichiometry of (1) was recognized by single crystal X-ray diffraction, spectroscopic and elemental analyses. Thermal studies revealed that (1) neatly decomposes at 450 °C to furnish 1:1 ratio of cadmium titanate:titania composite oxides material. The thin films of CdTiO{sub 3}–TiO{sub 2} composite oxides were deposited at 550 °C on fluorine doped tin oxide coated conducting glass substrate in air ambient. The micro-structure, crystallinity,more » phase identification and chemical composition of microspherical architectured CdTiO{sub 3}–TiO{sub 2} composite thin film have been determined by scanning electron microscopy, X-ray diffraction, Raman spectroscopy and energy dispersive X-ray analysis. The scope of composite thin film having band gap of 3.1 eV was explored as photoanode for dye-sensitized solar cell application. - Graphical abstarct: Microspherical designed CdTiO{sub 3}–TiO{sub 2} composite oxides photoanode film has been fabricated from single source precursor [Cd{sub 2}Ti{sub 4}(μ-O){sub 6}(TFA){sub 8}(THF){sub 6}]·1.5THF via aerosol assisted chemical vapor deposition technique for dye sensitized solar cell application. - Highlights: • Synthesis and characterization of a heterobimetallic Cd–Ti complex. • Fabrication of CdTiO{sub 3}–TiO{sub 2} thin film photoelectrode. • Application as dye sensitized photoanode for solar application.« less

  18. Miscibility of amorphous ZrO2-Al2O3 binary alloy

    NASA Astrophysics Data System (ADS)

    Zhao, C.; Richard, O.; Bender, H.; Caymax, M.; De Gendt, S.; Heyns, M.; Young, E.; Roebben, G.; Van Der Biest, O.; Haukka, S.

    2002-04-01

    Miscibility is a key factor for maintaining the homogeneity of the amorphous structure in a ZrO2-Al2O3 binary alloy high-k dielectric layer. In the present work, a ZrO2/Al2O3 laminate thin layer has been prepared by atomic layer chemical vapor deposition on a Si (100) wafer. This layer, with artificially induced inhomogeneity (lamination), enables one to study the change in homogeneity of the amorphous phase in the ZrO2/Al2O3 system during annealing. High temperature grazing incidence x-ray diffraction (HT-XRD) was used to investigate the change in intensity of the constructive interference peak of the x-ray beams which are reflected from the interfaces of ZrO2/Al2O3 laminae. The HT-XRD spectra show that the intensity of the peak decreases with an increase in the anneal temperature, and at 800 °C, the peak disappears. The same samples were annealed by a rapid thermal process (RTP) at temperatures between 700 and 1000 °C for 60 s. Room temperature XRD of the RTP annealed samples shows a similar decrease in peak intensity. Transmission electronic microscope images confirm that the laminate structure is destroyed by RTP anneals and, just below the crystallization onset temperature, a homogeneous amorphous ZrAlxOy phase forms. The results demonstrate that the two artificially separated phases, ZrO2 and Al2O3 laminae, tend to mix into a homogeneous amorphous phase before crystallization. This observation indicates that the thermal stability of ZrO2-Al2O3 amorphous phase is suitable for high-k applications.

  19. A comparative study of photoconductivity in LaTiO3/SrTiO3 and LaAlO3/SrTiO3 2-DEG heterostructures

    NASA Astrophysics Data System (ADS)

    Rastogi, A.; Hossain, Z.; Budhani, R. C.

    2013-02-01

    Here we compare the growth temperature dependence of the response of LaTiO3/SrTiO3 and LaAlO3/SrTiO3 2D-electron gas (2-DEG) field effect structure to the optical radiation of near ultraviolet frequency and electrostatic gate field. For both the films the resistance of the channel increases significantly as growth temperature is lowered from 800 to 700 °C. These heterostructures show the photoconductivity (PC) simulated by UV light of λ ≤ 400 nm. The PC follows the stretched exponential dynamics. It is found that photo-response of the LaTiO3 films is prominent and has larger decay time constant as compare to LaAlO3 films. The effect of electric field on the photo-induced conducting state is also studied.

  20. Impacts of oxidants in atomic layer deposition method on Al2O3/GaN interface properties

    NASA Astrophysics Data System (ADS)

    Taoka, Noriyuki; Kubo, Toshiharu; Yamada, Toshikazu; Egawa, Takashi; Shimizu, Mitsuaki

    2018-01-01

    The electrical interface properties of GaN metal-oxide-semiconductor (MOS) capacitors with an Al2O3 gate insulator formed by atomic layer deposition method using three kinds of oxidants were investigated by the capacitance-voltage technique, Terman method, and conductance method. We found that O3 and the alternate supply of H2O and O3 (AS-HO) are effective for reducing the interface trap density (D it) at the energy range of 0.15 to 0.30 eV taking from the conduction band minimum. On the other hand, we found that surface potential fluctuation (σs) induced by interface charges for the AS-HO oxidant is much larger than that for a Si MOS capacitor with a SiO2 layer formed by chemical vapor deposition despite the small D it values for the AS-HO oxidant compared with the Si MOS capacitor. This means that the total charged center density including the fixed charge density, charged slow trap density, and charged interface trap density for the GaN MOS capacitor is higher than that for the Si MOS capacitor. Therefore, σs has to be reduced to improve the performances and reliability of GaN devices with the Al2O3/GaN interfaces.

  1. Transmission electron microscopy study of microstructural properties and dislocation characterization in the GaN film grown on the cone-shaped patterned Al2O3 substrate.

    PubMed

    Park, Jung Sik; Yang, Jun-Mo; Park, Kyung Jin; Park, Yun Chang; Yoo, Jung Ho; Jeong, Chil Seong; Park, Jucheol; He, Yinsheng; Shin, Keesam

    2014-02-01

    Growing a GaN film on a patterned Al2O3 substrate is one of the methods of reducing threading dislocations (TDs), which can significantly deteriorate the performance of GaN-based LEDs. In this study, the microstructural details of the GaN film grown on a cone-shaped patterned Al2O3 substrate were investigated using high-resolution transmission electron microscopy and weak-beam dark-field techniques. Various defects such as misfit dislocations (MDs), recrystallized GaN (R-GaN) islands and nano-voids were observed on the patterned Al2O3 surfaces, i.e. the flat surface (FS), the inclined surface (IS) and the top surface (TS), respectively. Especially, the crystallographic orientation of R-GaN between the GaN film and the inclined Al2O3 substrate was identified as $[\\overline 1 2\\overline 1 0]_{{\\rm GaN}} \\hbox{//}[\\overline 1 101]_{{\\rm R - GaN} \\,{\\rm on}\\,{\\rm IS}} \\hbox{//}[\\overline 1 100]_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $, $(\\overline 1 012)_{{\\rm GaN}} \\hbox{//}(1\\overline 1 02)_{{\\rm R - Ga}\\,{\\rm Non}\\,{\\rm IS}} \\hbox{//}(\\overline {11} 26)_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $. In addition, a rotation by 9° between $(10\\overline 1 1)_{{\\rm R - GaN}} $ and $(0002)_{{\\rm GaN}} $ and between $(10\\overline 1 1)_{{\\rm R - GaN}} $ and $(0006)_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $ was found to reduce the lattice mismatch between the GaN film and the Al2O3 substrate. Many TDs in the GaN film were observed on the FS and TS of Al2O3. However, few TDs were observed on the IS. Most of the TDs generated from the FS of Al2O3 were bent to the inclined facet rather than propagating to the GaN surface, resulting in a reduction in the dislocation density. Most of the TDs generated from the TS of Al2O3 were characterized as edge dislocations.

  2. Impact of La{sub 2}O{sub 3} interfacial layers on InGaAs metal-oxide-semiconductor interface properties in Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks deposited by atomic-layer-deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, C.-Y., E-mail: cychang@mosfet.t.u-tokyo.ac.jp; Takenaka, M.; Takagi, S.

    We examine the electrical properties of atomic layer deposition (ALD) La{sub 2}O{sub 3}/InGaAs and Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs metal-oxide-semiconductor (MOS) capacitors. It is found that the thick ALD La{sub 2}O{sub 3}/InGaAs interface provides low interface state density (D{sub it}) with the minimum value of ∼3 × 10{sup 11} cm{sup −2} eV{sup −1}, which is attributable to the excellent La{sub 2}O{sub 3} passivation effect for InGaAs surfaces. It is observed, on the other hand, that there are a large amount of slow traps and border traps in La{sub 2}O{sub 3}. In order to simultaneously satisfy low D{sub it} and small hysteresis, the effectivenessmore » of Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks with ultrathin La{sub 2}O{sub 3} interfacial layers is in addition evaluated. The reduction of the La{sub 2}O{sub 3} thickness to 0.4 nm in Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks leads to the decrease in hysteresis. On the other hand, D{sub it} of the Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs interfaces becomes higher than that of the La{sub 2}O{sub 3}/InGaAs ones, attributable to the diffusion of Al{sub 2}O{sub 3} through La{sub 2}O{sub 3} into InGaAs and resulting modification of the La{sub 2}O{sub 3}/InGaAs interface structure. As a result of the effective passivation effect of La{sub 2}O{sub 3} on InGaAs, however, the Al{sub 2}O{sub 3}/10 cycle (0.4 nm) La{sub 2}O{sub 3}/InGaAs gate stacks can realize still lower D{sub it} with maintaining small hysteresis and low leakage current than the conventional Al{sub 2}O{sub 3}/InGaAs MOS interfaces.« less

  3. Epitaxial growth of high quality SrFeO 3 films on (001) oriented (LaAlO 3 ) 0.3 (Sr 2 TaAlO 6 ) 0.7

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hong, Deshun; Liu, Changjiang; Pearson, John

    2017-12-04

    Growth of strontium ferrite SrFeO3 films with stoichiometry of (1:1:3) is challenging as the unstable Fe4+ oxidation state favors the formation of O vacancies. Here, we report layer by layer growth of SrFeO3 on (001) oriented (LaAlO3)0.3(Sr2TaAlO6)0.7 using ozone assisted molecular beam epitaxy. Upon cooling from room temperature, the film’s resistivity decreased from 750 Ω ∙ to 150 Ω ∙ , as low as the best single crystals, with two identifiable transition points near 110 K and 60 K in resistivity measurements, being hysteretic between cooling and warming through the 60 K transition. During various annealing steps, the low temperaturemore » resistivity changes by orders of magnitude, accompanied by an increase in the c-axis lattice parameter. The hysteresis near 60 K persists for a wide range of annealing conditions. We have identified conditions under which changes due to annealing can be reversed. We attribute changes in resistivity and out of plane lattice parameter to the reversible movement of oxygen ions in the lattice. SrFeO3 may be a promising material for resistive memory applications based upon the control of oxygen vacancies.« less

  4. Influences of film thickness on the structural, electrical and optical properties of CuAlO2 thin films

    NASA Astrophysics Data System (ADS)

    Dong, Guobo; Zhang, Ming; Wang, Mei; Li, Yingzi; Gao, Fangyuan; Yan, Hui; Diao, Xungang

    2014-07-01

    CuAlO2 films with different thickness were prepared by the radio frequency magnetron sputtering technique. The structural, electrical and optical properties of CuAlO2 were studied by X-ray diffraction, atomic force microscope, UV-Vis double-beam spectrophotometer and Hall measurements. The results indicate that the single phase hexagonal CuAlO2 is formed and the average grain size of CuAlO2 films increases with increasing film thickness. The results also exhibit that the lowering of bandgap and the increase of electrical conductivity of CuAlO2 films with the increase of their thickness, which are attributed to the improvement of the grain size and the anisotropic electrical property. According to the electrical and optical properties, the biggest figure of merit is achieved for the CuAlO2 film with the appropriate thickness of 165 nm.

  5. Naturally formed ultrathin V2O5 heteroepitaxial layer on VO2/sapphire(001) film

    NASA Astrophysics Data System (ADS)

    Littlejohn, Aaron J.; Yang, Yunbo; Lu, Zonghuan; Shin, Eunsung; Pan, KuanChang; Subramanyam, Guru; Vasilyev, Vladimir; Leedy, Kevin; Quach, Tony; Lu, Toh-Ming; Wang, Gwo-Ching

    2017-10-01

    Vanadium dioxide (VO2) and vanadium pentoxide (V2O5) thin films change their properties in response to external stimuli such as photons, temperature, electric field and magnetic field and have applications in electronics, optical devices, and sensors. Due to the multiple valence states of V and non-stoichiometry in thin films, it is challenging to grow epitaxial, single-phase V-oxide on a substrate, or a heterostructure of two epitaxial V-oxides. We report the formation of a heterostructure consisting of a few nm thick ultrathin V2O5 epitaxial layer on pulsed laser deposited tens of nm thick epitaxial VO2 thin films grown on single crystal Al2O3(001) substrates without post annealing of the VO2 film. The simultaneous observation of the ultrathin epitaxial V2O5 layer and VO2 epitaxial film is only possible by our unique reflection high energy electron diffraction pole figure analysis. The out-of-plane and in-plane epitaxial relationships are V2O5[100]||VO2[010]||Al2O3[001] and V2O5[03 2 bar ]||VO2[100]||Al2O3[1 1 bar 0], respectively. The existence of the V2O5 layer on the surface of the VO2 film is also supported by X-ray photoelectron spectroscopy and Raman spectroscopy.

  6. Structural and mechanical properties of Al-C-N films deposited at room temperature by plasma focus device

    NASA Astrophysics Data System (ADS)

    Z, A. Umar; R, Ahmad; R, S. Rawat; M, A. Baig; J, Siddiqui; T, Hussain

    2016-07-01

    The Al-C-N films are deposited on Si substrates by using a dense plasma focus (DPF) device with aluminum fitted central electrode (anode) and by operating the device with CH4/N2 gas admixture ratio of 1:1. XRD results verify the crystalline AlN (111) and Al3CON (110) phase formation of the films deposited using multiple shots. The elemental compositions as well as chemical states of the deposited Al-C-N films are studied using XPS analysis, which affirm Al-N, C-C, and C-N bonding. The FESEM analysis reveals that the deposited films are composed of nanoparticles and nanoparticle agglomerates. The size of the agglomerates increases at a higher number of focus deposition shots for multiple shot depositions. Nanoindentation results reveal the variation in mechanical properties (nanohardness and elastic modulus) of Al-C-N films deposited with multiple shots. The highest values of nanohardness and elastic modulus are found to be about 11 and 185 GPa, respectively, for the film deposited with 30 focus deposition shots. The mechanical properties of the films deposited using multiple shots are related to the Al content and C-N bonding.

  7. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    PubMed

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  8. Enhanced Light Stability of InGaZnO Thin-Film Transistors by Atomic-Layer-Deposited Y2O3 with Ozone.

    PubMed

    Jung, Hanearl; Kim, Woo-Hee; Park, Bo-Eun; Woo, Whang Je; Oh, Il-Kwon; Lee, Su Jeong; Kim, Yun Cheol; Myoung, Jae-Min; Gatineau, Satoko; Dussarrat, Christian; Kim, Hyungjun

    2018-01-17

    We report the effect of Y 2 O 3 passivation by atomic layer deposition (ALD) using various oxidants, such as H 2 O, O 2 plasma, and O 3 , on In-Ga-Zn-O thin-film transistors (IGZO TFTs). A large negative shift in the threshold voltage (V th ) was observed in the case of the TFT subjected to the H 2 O-ALD Y 2 O 3 process; this shift was caused by a donor effect of negatively charged chemisorbed H 2 O molecules. In addition, degradation of the IGZO TFT device performance after the O 2 plasma-ALD Y 2 O 3 process (field-effect mobility (μ) = 8.7 cm 2 /(V·s), subthreshold swing (SS) = 0.77 V/dec, and V th = 3.7 V) was observed, which was attributed to plasma damage on the IGZO surface adversely affecting the stability of the TFT under light illumination. In contrast, the O 3 -ALD Y 2 O 3 process led to enhanced device stability under light illumination (ΔV th = -1 V after 3 h of illumination) by passivating the subgap defect states in the IGZO surface region. In addition, TFTs with a thicker IGZO film (55 nm, which was the optimum thickness under the current investigation) showed more stable device performance than TFTs with a thinner IGZO film (30 nm) (ΔV th = -0.4 V after 3 h of light illumination) by triggering the recombination of holes diffusing from the IGZO surface to the insulator-channel interface. Therefore, we envisioned that the O 3 -ALD Y 2 O 3 passivation layer suggested in this paper can improve the photostability of TFTs under light illumination.

  9. Role of Ga particulates on the structure and optical properties of Y3(Al,Ga)5O12:Tb thin films prepared by PLD

    NASA Astrophysics Data System (ADS)

    Yousif, A.; Duvenhage, M. M.; Ntwaeaborwa, O. M.; Swart, H. C.

    2018-04-01

    Y3(Al,Ga)5O12:Tb thin films (70 nm) have been prepared by pulsed laser deposition on a Si (100) substrate at the substrate temperature of 300 °C. The effect of annealing time on the structural, morphological and luminescence properties of Y3(Al,Ga)5O12:Tb thin films at 800 °C were studied. The crystal structure of the samples was studied by X- ray diffraction (XRD) and showed shifts in the peak positions to lower diffraction angles for the annealed film compared to the XRD peak positions of the commercial Y3(Al,Ga)5O12:Tb powder. A new excitation band different from the original Y3(Al,Ga)5O12:Tb powder was also observed for the annealed films. The shift in the XRD pattern and the new excitation band for the annealed film suggested that the films were enriched with Ga after annealing.

  10. Influence of solution deposition rate on properties of V2O5 thin films deposited by spray pyrolysis technique

    NASA Astrophysics Data System (ADS)

    Abd-Alghafour, N. M.; Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M.

    2016-07-01

    Vanadium oxide (V2O5) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl3 in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films' crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V2O5 film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  11. Microstructure and Transparent Super-Hydrophobic Performance of Vacuum Cold-Sprayed Al2O3 and SiO2 Aerogel Composite Coating

    NASA Astrophysics Data System (ADS)

    Li, Jie; Zhang, Yu; Ma, Kai; Pan, Xi-De; Li, Cheng-Xin; Yang, Guan-Jun; Li, Chang-Jiu

    2018-02-01

    In this study, vacuum cold spraying was used as a simple and fast way to prepare transparent super-hydrophobic coatings. Submicrometer-sized Al2O3 powder modified by 1,1,2,2-tetrahydroperfluorodecyltriethoxysilane and mixed with hydrophobic SiO2 aerogel was employed for the coating deposition. The deposition mechanisms of pure Al2O3 powder and Al2O3-SiO2 mixed powder were examined, and the effects of powder structure on the hydrophobicity and light transmittance of the coatings were evaluated. The results showed that appropriate contents of SiO2 aerogel in the mixed powder could provide sufficient cushioning to the deposition of submicrometer Al2O3 powder during spraying. The prepared composite coating surface showed rough structures with a large number of submicrometer convex deposited particles, characterized by being super-hydrophobic. Also, the transmittance of the obtained coating was higher than 80% in the range of visible light.

  12. Effect of deposition temperature on the properties of Al-doped ZnO films prepared by pulsed DC magnetron sputtering for transparent electrodes in thin-film solar cells

    NASA Astrophysics Data System (ADS)

    Kim, Doo-Soo; Park, Ji-Hyeon; Shin, Beom-Ki; Moon, Kyeong-Ju; Son, Myoungwoo; Ham, Moon-Ho; Lee, Woong; Myoung, Jae-Min

    2012-10-01

    A simple but scalable approach to the production of surface-textured Al-doped ZnO(AZO) films for low-cost transparent electrode applications in thin-film solar cells is introduced in this study by combining pulsed dc magnetron sputtering (PDMS) with wet etching in sequence. First, structural, electrical, and optical properties of the AZO films prepared by a PDMS were investigated as functions of deposition temperature to obtain transparent electrode films that can be used as indium-free alternative to ITO electrodes. Increase in the deposition temperature to 230 °C accompanied the improvement in crystalline quality and doping efficiency, which enabled the lowest electrical resistivity of 4.16 × 10-4 Ω cm with the carrier concentration of 1.65 × 1021 cm-3 and Hall mobility of 11.3 cm2/V s. The wet etching of the films in a diluted HCl solution resulted in surface roughening via the formation of crater-like structures without significant degradation in the electrical properties, which is responsible for the enhanced light scattering capability required for anti-reflective electrodes in thin film solar cells.

  13. Facile Phase Control of Multivalent Vanadium Oxide Thin Films (V2O5 and VO2) by Atomic Layer Deposition and Postdeposition Annealing.

    PubMed

    Song, Gwang Yeom; Oh, Chadol; Sinha, Soumyadeep; Son, Junwoo; Heo, Jaeyeong

    2017-07-19

    Atomic layer deposition was adopted to deposit VO x thin films using vanadyl tri-isopropoxide {VO[O(C 3 H 7 )] 3 , VTIP} and water (H 2 O) at 135 °C. The self-limiting and purge-time-dependent growth behaviors were studied by ex situ ellipsometry to determine the saturated growth conditions for atomic-layer-deposited VO x . The as-deposited films were found to be amorphous. The structural, chemical, and optical properties of the crystalline thin films with controlled phase formation were investigated after postdeposition annealing at various atmospheres and temperatures. Reducing and oxidizing atmospheres enabled the formation of pure VO 2 and V 2 O 5 phases, respectively. The possible band structures of the crystalline VO 2 and V 2 O 5 thin films were established. Furthermore, an electrochemical response and a voltage-induced insulator-to-metal transition in the vertical metal-vanadium oxide-metal device structure were observed for V 2 O 5 and VO 2 films, respectively.

  14. Environment-resistive coating for the thin-film-based superconducting fault-current limiter Ag/Au-Ag/YBa 2Cu 3O 7/CeO 2/Al 2O 3

    NASA Astrophysics Data System (ADS)

    Matsui, H.; Kondo, W.; Tsukada, K.; Sohma, M.; Yamaguchi, I.; Kumagai, T.; Manabe, T.; Arai, K.; Yamasaki, H.

    2010-02-01

    We have studied environment-resistive coatings (ERC) for the thin-film-based superconducting fault-current limiter (SFCL) Ag/Au-Ag/YBa 2Cu 3O 7/CeO 2/Al 2O 3. We evaluated nine candidate ERC materials by two accelerating-environment tests, and revealed that the shellac- and the fluorine-resin have a high environmental resistance. Especially, the shellac resin almost completely protected Jc of an element exposed to 60 °C saturated water vapor for 2 h (3.4->3.2 MA/cm 2). We also performed a practical operation test of SFCL using an element half covered by shellac, and found that the ERC does not diminish the current limiting properties similarly to the previous results of the Teflon-coated SFCL [1].

  15. POx/Al2O3 stacks: Highly effective surface passivation of crystalline silicon with a large positive fixed charge

    NASA Astrophysics Data System (ADS)

    Black, Lachlan E.; Kessels, W. M. M. Erwin

    2018-05-01

    Thin-film stacks of phosphorus oxide (POx) and aluminium oxide (Al2O3) are shown to provide highly effective passivation of crystalline silicon (c-Si) surfaces. Surface recombination velocities as low as 1.7 cm s-1 and saturation current densities J0s as low as 3.3 fA cm-2 are obtained on n-type (100) c-Si surfaces passivated by 6 nm/14 nm thick POx/Al2O3 stacks deposited in an atomic layer deposition system and annealed at 450 °C. This excellent passivation can be attributed in part to an unusually large positive fixed charge density of up to 4.7 × 1012 cm-2, which makes such stacks especially suitable for passivation of n-type Si surfaces.

  16. Biocompatibility of Mg Ion Doped Hydroxyapatite Films on Ti-6Al-4V Surface by Electrochemical Deposition.

    PubMed

    Lee, Kang; Choe, Han-Cheol

    2016-02-01

    In this study, we prepared magnesium (Mg) doped nano-phase hydroxyapatite (HAp) films on the TiO2 nano-network surface using electrochemical deposition method. Ti-6Al-4V ELI surface was anodized in 5 M NaOH solution at 0.3 A for 10 min. Nano-network TiO2 surface were formed by these anodization steps which acted as templates and anchorage for growth of the Mg doped HAp during subsequent pulsed electrochemical deposition process at 85 degrees C. The phase and morphologies of HAp deposits were influenced by the Mg ion concentration.

  17. Interfacial Cation-Defect Charge Dipoles in Stacked TiO2/Al2O3 Gate Dielectrics.

    PubMed

    Zhang, Liangliang; Janotti, Anderson; Meng, Andrew C; Tang, Kechao; Van de Walle, Chris G; McIntyre, Paul C

    2018-02-14

    Layered atomic-layer-deposited and forming-gas-annealed TiO 2 /Al 2 O 3 dielectric stacks, with the Al 2 O 3 layer interposed between the TiO 2 and a p-type germanium substrate, are found to exhibit a significant interface charge dipole that causes a ∼-0.2 V shift of the flat-band voltage and suppresses the leakage current density for gate injection of electrons. These effects can be eliminated by the formation of a trilayer dielectric stack, consistent with the cancellation of one TiO 2 /Al 2 O 3 interface dipole by the addition of another dipole of opposite sign. Density functional theory calculations indicate that the observed interface-dependent properties of TiO 2 /Al 2 O 3 dielectric stacks are consistent in sign and magnitude with the predicted behavior of Al Ti and Ti Al point-defect dipoles produced by local intermixing of the Al 2 O 3 /TiO 2 layers across the interface. Evidence for such intermixing is found in both electrical and physical characterization of the gate stacks.

  18. Effect of Homo-buffer Layers on the Properties of Sputtering Deposited Ga2O3 Films

    NASA Astrophysics Data System (ADS)

    Huang, Jian; Li, Bing; Ma, Yuncheng; Tang, Ke; Huang, Haofei; Hu, Yan; Zou, Tianyu; Wang, Linjun

    2018-05-01

    β- Ga2O3 films were grown by radio-frequency magnetron sputtering method. The influence of Ga2O3 buffer layers and annealing treatment on the structural, optical, morphological and electrical properties of Ga2O3 films was studied. The results revealed an improvement of crystalline quality and transmittance of annealed β- Ga2O3 films prepared with homo-buffer layers. Ga2O3 film UV photodetectors were fabricated with a new B and Ga co-doped ZnO films (BGZO)/Au interdigitated electrode. A good ohmic contact was formed between the film and the electrode. For the detector based on Ga2O3 films with buffer layers, a higher value of photo response and faster response times was obtained.

  19. Influence of deposition conditions on electrical and mechanical properties of Sm2O3-doped CeO2 thin films prepared by EB-PVD (+IBAD) methods. Part 1: Effective relative permittivity

    NASA Astrophysics Data System (ADS)

    Hartmanová, Mária; Nádaždy, Vojtech; Kundracik, František; Mansilla, Catina

    2013-03-01

    Study is devoted to the effective relative permittivity ɛr of CeO2 + x. Sm2O3 thin films prepared by electron-beam physical vapour deposition and ionic beam-assisted deposition methods; ɛr was investigated by three independent ways from the bulk parallel capacitance Cp, impedance capacitance Cimp, and accumulation capacitance Cacc in dependence on the deposition conditions (deposition temperature, dopant amount x and Ar+ ion bombardment during the film deposition) used. Investigations were performed using impedance spectroscopy, capacitance-voltage and current-voltage characteristics as well as deep level transient spectroscopy. Results obtained are described and discussed.

  20. Interfacial stability of ultrathin films of magnetite Fe3O4 (111) on Al2O3(001) grown by ozone-assisted molecular-beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hong, Hawoong; Kim, Jongjin; Fang, Xinyue

    Thin films of iron oxides including magnetite (Fe3O4) and hematite (α-Fe2O3) have many important applications. Both forms of oxide can occur naturally during film growth by iron deposition under various oxidation environment; an important issue is to understand and control the process resulting in a single-phase film. We have performed in-situ real-time studies using x-ray diffraction of such film growth on sapphire (001) under pure ozone by monitoring the (00L) rod. Stable magnetite growth can be maintained at growth temperatures below 600° C up to a certain critical film thickness, beyond which the growth becomes hematite. The results demonstrate themore » importance of interfacial interaction in stabilizing the magnetite phase.« less

  1. Highly Flexible and Transparent Ag Nanowire Electrode Encapsulated with Ultra-Thin Al2O3: Thermal, Ambient, and Mechanical Stabilities

    PubMed Central

    Hwang, Byungil; An, Youngseo; Lee, Hyangsook; Lee, Eunha; Becker, Stefan; Kim, Yong-Hoon; Kim, Hyoungsub

    2017-01-01

    There is an increasing demand in the flexible electronics industry for highly robust flexible/transparent conductors that can withstand high temperatures and corrosive environments. In this work, outstanding thermal and ambient stability is demonstrated for a highly transparent Ag nanowire electrode with a low electrical resistivity, by encapsulating it with an ultra-thin Al2O3 film (around 5.3 nm) via low-temperature (100 °C) atomic layer deposition. The Al2O3-encapsulated Ag nanowire (Al2O3/Ag) electrodes are stable even after annealing at 380 °C for 100 min and maintain their electrical and optical properties. The Al2O3 encapsulation layer also effectively blocks the permeation of H2O molecules and thereby enhances the ambient stability to greater than 1,080 h in an atmosphere with a relative humidity of 85% at 85 °C. Results from the cyclic bending test of up to 500,000 cycles (under an effective strain of 2.5%) confirm that the Al2O3/Ag nanowire electrode has a superior mechanical reliability to that of the conventional indium tin oxide film electrode. Moreover, the Al2O3 encapsulation significantly improves the mechanical durability of the Ag nanowire electrode, as confirmed by performing wiping tests using isopropyl alcohol. PMID:28128218

  2. Mixed Al and Si doping in ferroelectric HfO{sub 2} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lomenzo, Patrick D.; Nishida, Toshikazu, E-mail: nishida@ufl.edu; Takmeel, Qanit

    2015-12-14

    Ferroelectric HfO{sub 2} thin films 10 nm thick are simultaneously doped with Al and Si. The arrangement of the Al and Si dopant layers within the HfO{sub 2} greatly influences the resulting ferroelectric properties of the polycrystalline thin films. Optimizing the order of the Si and Al dopant layers led to a remanent polarization of ∼20 μC/cm{sup 2} and a coercive field strength of ∼1.2 MV/cm. Post-metallization anneal temperatures from 700 °C to 900 °C were used to crystallize the Al and Si doped HfO{sub 2} thin films. Grazing incidence x-ray diffraction detected differences in peak broadening between the mixed Al and Si doped HfO{submore » 2} thin films, indicating that strain may influence the formation of the ferroelectric phase with variations in the dopant layering. Endurance characteristics show that the mixed Al and Si doped HfO{sub 2} thin films exhibit a remanent polarization greater than 15 μC/cm{sup 2} up to 10{sup 8} cycles.« less

  3. Properties of epitaxial, (001)- and (110)-oriented (PbMg1/3Nb2/3O3)2/3-(PbTiO3)1/3 films on silicon described by polarization rotation

    PubMed Central

    Boota, Muhammad; Houwman, Evert P.; Dekkers, Matthijn; Nguyen, Minh D.; Vergeer, Kurt H.; Lanzara, Giulia; Koster, Gertjan; Rijnders, Guus

    2016-01-01

    Abstract Epitaxial (PbMg1/3Nb2/3O3)2/3-(PbTiO3)1/3 (PMN-PT) films with different out-of-plane orientations were prepared using a CeO2/yttria stabilized ZrO2 bilayer buffer and symmetric SrRuO3 electrodes on silicon substrates by pulsed laser deposition. The orientation of the SrRuO3 bottom electrode, either (110) or (001), was controlled by the deposition conditions and the subsequent PMN-PT layer followed the orientation of the bottom electrode. The ferroelectric, dielectric and piezoelectric properties of the (SrRuO3/PMN-PT/SrRuO3) ferroelectric capacitors exhibit orientation dependence. The properties of the films are explained in terms of a model based on polarization rotation. At low applied fields domain switching dominates the polarization change. The model indicates that polarization rotation is easier in the (110) film, which is ascribed to a smaller effect of the clamping on the shearing of the pseudo-cubic unit cell compared to the (001) case. PMID:27877857

  4. Plasma plume effects on the conductivity of amorphous-LaAlO{sub 3}/SrTiO{sub 3} interfaces grown by pulsed laser deposition in O{sub 2} and Ar

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sambri, A.; Amoruso, S.; Bruzzese, R.

    2012-06-04

    Amorphous-LaAlO{sub 3}/SrTiO{sub 3} interfaces exhibit metallic conductivity similar to those found for the extensively studied crystalline-LaAlO{sub 3}/SrTiO{sub 3} interfaces. Here, we investigate the conductivity of the amorphous-LaAlO{sub 3}/SrTiO{sub 3} interfaces grown in different pressures of O{sub 2} and Ar background gases. During the deposition, the LaAlO{sub 3} ablation plume is also studied, in situ, by fast photography and space-resolved optical emission spectroscopy. An interesting correlation between interfacial conductivity and kinetic energy of the Al atoms in the plume is observed: to assure conducting interfaces of amorphous-LaAlO{sub 3}/SrTiO{sub 3}, the kinetic energy of Al should be higher than 1 eV. Ourmore » findings add further insights on mechanisms leading to interfacial conductivity in SrTiO{sub 3}-based oxide heterostructures.« less

  5. Scavenging of oxygen from SrTiO3 by metals and its implications for oxide thin film deposition

    NASA Astrophysics Data System (ADS)

    Posadas, Agham; Kormondy, Kristy; Guo, Wei; Ponath, Patrick; Kremer, Jacqueline; Hadamek, Tobias; Demkov, Alexander

    SrTiO3 is a widely used substrate for the growth of other functional oxide thin films. However, SrTiO3 loses oxygen very easily during oxide thin film deposition even under relatively high oxygen pressures. In some cases, there will be an interfacial layer of oxygen-deficient SrTiO3 formed at the interface with the deposited oxide film, depending on the metals present in the film. By depositing a variety of metals layer by layer and measuring the evolution of the core level spectra of both the deposited metal and SrTiO3 using x-ray photoelectron spectroscopy, we show that there are three distinct types of behavior that occur for thin metal films on SrTiO3. We discuss the implications of these types of behavior for the growth of complex oxide thin films on SrTiO3, and which oxide thin films are expected to produce an interfacial oxygen-deficient layer depending on their elemental constituents.

  6. Structural and growth aspects of electron beam physical vapor deposited NiO-CeO{sub 2} nanocomposite films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuanr, Sushil Kumar; K, Suresh Babu, E-mail: sureshbabu.nst@pondiuni.edu.in

    2016-03-15

    Deposition of composite materials as thin film by electron beam physical vapor deposition technique (EB-PVD) still remains as a challenge. Here, the authors report the deposition of NiO-CeO{sub 2} (30/70 wt. %) composites on quartz substrate by EB-PVD. Two NiO-CeO{sub 2} nanocomposite targets—one as green compact and the other after sintering at 1250 °C—were used for the deposition. Though the targets varied with respect to physical properties such as crystallite size (11–45 nm) and relative density (44% and 96%), the resultant thin films exhibited a mean crystallite size in the range of 20–25 nm underlining the role of physical nature of deposition. In spitemore » of the crystalline nature of the targets and similar elemental concentration, a transformation from amorphous to crystalline structure was observed in thin films on using sintered target. Postannealing of the as deposited film at 800 °C resulted in a polycrystalline structure consisting of CeO{sub 2} and NiO. Deposition using pure CeO{sub 2} or NiO as target resulted in the preferential orientation toward (111) and (200) planes, respectively, showing the influence of adatoms on the evaporation and growth process of NiO-CeO{sub 2} composite. The results demonstrate the influence of electron beam gun power on the adatom energy for the growth process of composite oxide thin films.« less

  7. Sol-gel deposited Cu2O and CuO thin films for photocatalytic water splitting.

    PubMed

    Lim, Yee-Fun; Chua, Chin Sheng; Lee, Coryl Jing Jun; Chi, Dongzhi

    2014-12-21

    Cu2O and CuO are attractive photocatalytic materials for water splitting due to their earth abundance and low cost. In this paper, we report the deposition of Cu2O and CuO thin films by a sol-gel spin-coating process. Sol-gel deposition has distinctive advantages such as low-cost solution processing and uniform film formation over large areas with a precise stoichiometry and thickness control. Pure-phase Cu2O and CuO films were obtained by thermal annealing at 500 °C in nitrogen and ambient air, respectively. The films were successfully incorporated as photocathodes in a photoelectrochemical (PEC) cell, achieving photocurrents of -0.28 mA cm(-2) and -0.35 mA cm(-2) (for Cu2O and CuO, respectively) at 0.05 V vs. a reversible hydrogen electrode (RHE). The Cu2O photocurrent was enhanced to -0.47 mA cm(-2) upon incorporation of a thin layer of a NiOx co-catalyst. Preliminary stability studies indicate that CuO may be more stable than Cu2O as a photocathode for PEC water-splitting.

  8. Effect of both deposition temperature and indium doping on the properties of sol-gel dip-coated SnO2 films

    NASA Astrophysics Data System (ADS)

    Caglar, Mujdat; Atar, Kadir Cemil

    2012-10-01

    Using indium chloride as an In source, In-doped SnO2 films were fabricated by sol-gel method through dip-coating on borofloat glass substrates. The undoped SnO2 films were deposited in air between 400 and 600 °C to get optimum deposition temperature in terms of crystal quality and hence In-doped SnO2 films were deposited in air at 600 °C. The effect of both deposition temperature and In content on structural, morphological, optical and electrical properties was investigated. The crystalline structure and orientation of the films were investigated by X-ray diffraction (XRD) and surface morphology was studied by a field emission scanning electron microscope (FESEM). The compositional analysis of the films was confirmed by energy dispersive X-ray spectrometer (EDS). The absorption band edge of the SnO2 films shifted from 3.88 to 3.66 eV with In content. The van der Pauw method was used to measure the sheet resistance of the films. The sheet resistance was affected significantly by deposition temperature and In content.

  9. Tuning the magnetic properties of LaCoO3 thin films by epitaxial strain

    NASA Astrophysics Data System (ADS)

    Fuchs, D.; Arac, E.; Pinta, C.; Schuppler, S.; Schneider, R.; v. Löhneysen, H.

    2008-01-01

    Ferromagnetic order can be induced in LaCoO3 (LCO) thin films by epitaxial strain. Here, we show that the magnetic properties can be “tuned” by epitaxial strain imposed on LCO thin films by the epitaxial growth on various substrate materials, i.e., (001) oriented SrLaAlO4 , LaAlO3 , SrLaGaO4 , (LaAlO3)0.3(Sr2AlTaO6)0.7 , and SrTiO3 . The lattice mismatch at room temperature of the in-plane lattice parameters between the substrate, as , and bulk LCO, ab , ranges from -1.31% to +2.63% . Single-phase, ⟨001⟩ oriented LCO thin films were grown by pulsed laser deposition on all these substrates. Due to the difference of the thermal-expansion coefficients between LCO and the substrates, the films experience an additional tensile strain of about +0.3% during the cooling process after the deposition at Ts=650°C . The film lattice parameters display an elastic behavior, i.e., an increase of the in-plane film lattice parameter with increasing as . From the ratio between the out-of-plane and in-plane strain, we obtain a Poisson ratio of ν≈1/3 . All films show a ferromagnetic transition as determined from magnetization measurements. The magnetization increases strongly with increasing tensile strain, whereas the transition temperature TC after a rapid initial rise appears to saturate at TC≈85K above a=3.86Å . The effective magnetic moment μeff in the paramagnetic state increases almost linearly as a function of the mean lattice parameter ⟨a⟩ , indicating an enhanced population of higher spin states, i.e., intermediate- or high-spin states. The experimental results are discussed in terms of a decrease of the octahedral-site rotation with increasing tensile strain.

  10. Polar phase transitions in heteroepitaxial stabilized La0.5Y0.5AlO3 thin films

    NASA Astrophysics Data System (ADS)

    Liu, Shenghua; Zhang, Chunfeng; Zhu, Mengya; He, Qian; Chakhalian, Jak; Liu, Xiaoran; Borisevich, Albina; Wang, Xiaoyong; Xiao, Min

    2017-10-01

    We report on the fabrication of epitaxial La0.5Y0.5AlO3 ultrathin films on (001) LaAlO3 substrates. Structural characterizations by scanning transmission electron microscopy and x-ray diffraction confirm the high quality of the film with a - b + c - AlO6 octahedral tilt pattern. Unlike either of the nonpolar parent compound, LaAlO3 and YAlO3, second harmonic generation measurements on the thin films suggest a nonpolar-polar phase transition at T c near 500 K, and a polar-polar phase transition at T a near 160 K. By fitting the angular dependence of the second harmonic intensities, we further propose that the two polar structures can be assigned to the Pmc2 1 and Pmn2 1 space group, while the high temperature nonpolar structure belongs to the Pbnm space group.

  11. Giant dielectric constant dominated by Maxwell-Wagner relaxation in Al{sub 2}O{sub 3}/TiO{sub 2} nanolaminates synthesized by atomic layer deposition.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, W.; Auciello, O.; Premnath, R. N.

    2010-01-01

    Nanolaminates consisting of Al{sub 2}O{sub 3} and TiO{sub 2} oxide sublayers were synthesized by using atomic layer deposition to produce individual layers with atomic scale thickness control. The sublayer thicknesses were kept constant for each multilayer structure, and were changed from 50 to 0.2 nm for a series of different samples. Giant dielectric constant ({approx}1000) was observed when the sublayer thickness is less than 0.5 nm, which is significantly larger than that of Al{sub 2}O{sub 3} and TiO{sub 2} dielectrics. Detailed investigation revealed that the observed giant dielectric constant is originated from the Maxwell-Wagner type dielectric relaxation.

  12. Optical and structural properties of Al-doped ZnO thin films by sol gel process.

    PubMed

    Jun, Min-Chul; Koh, Jung-Hyuk

    2013-05-01

    Transparent conducting oxide (TCO) materials with high transmittance and good electrical conductivity have been attracted much attention due to the development of electronic display and devices such as organic light emitting diodes (OLEDs), and dye-sensitized solar cells (DSSCs). Aluminum doped zinc oxide thin films (AZO) have been well known for their use as TCO materials due to its stability, cost-effectiveness, good optical transmittance and electrical properties. Especially, AZO thin film, which have low resistivity of 2-4 x 10(-4) omega x cm which is similar to that of ITO films with wide band gap semiconductors. The AZO thin films were deposited on glass substrates by sol-gel spin-coating process. As a starting material, zinc acetate dihydrate (Zn(CH3COO)2 x 2H2O) and aluminum chloride hexahydrate (AlCl3 6H2O) were used. 2-methoxyethanol and monoethanolamine (MEA) were used as solvent and stabilizer, respectively. After deposited, the films were preheated at 300 degrees C on a hotplate and post-heated at 650 degrees C for 1.5 hrs in the furnace. We have studied the structural and optical properties as a function of Al concentration (0-2.5 mol.%).

  13. Band alignment at β-(AlxGa1-x)2O3/β-Ga2O3 (100) interface fabricated by pulsed-laser deposition

    NASA Astrophysics Data System (ADS)

    Wakabayashi, Ryo; Hattori, Mai; Yoshimatsu, Kohei; Horiba, Koji; Kumigashira, Hiroshi; Ohtomo, Akira

    2018-06-01

    High-quality β-(AlxGa1-x)2O3 (x = 0-0.37) films were epitaxially grown on β-Ga2O3 (100) substrates by oxygen-radical-assisted pulsed-laser deposition with repeating alternate ablation of single crystals of β-Ga2O3 and α-Al2O3. The bandgap was tuned from 4.55 ± 0.01 eV (x = 0) to 5.20 ± 0.02 eV (x = 0.37), where bowing behavior was observed. The band alignment at the β-(AlxGa1-x)2O3/β-Ga2O3 interfaces was found to be type-I with conduction- and valence-band offsets of 0.52 ± 0.08 eV (0.37 ± 0.08 eV) and 0.13 ± 0.07 eV (0.02 ± 0.07 eV) for x = 0.37 (0.27), respectively. The large conduction-band offsets are ascribed to the dominant contribution of the cation-site substitution to the conduction band.

  14. Electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition for through-silicon via and metal-insulator-metal applications

    NASA Astrophysics Data System (ADS)

    Choi, Kyeong-Keun; Park, Chan-Gyung; Kim, Deok-kee

    2016-01-01

    The electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition were investigated for through-silicon via (TSV) and metal-insulator-metal applications at temperatures below 300 °C. ZrO2 films were able to be conformally deposited on the scallops of 50-µm-diameter, 100-µm-deep TSV holes. The mean breakdown field of 30-nm-thick ZrO2 films on 30-nm-thick Ta(N) increased about 41% (from 2.7 to 3.8 MV/cm) upon H2 plasma treatment. With the plasma treatment, the breakdown field of the film increased and the temperature coefficient of capacitance decreased significantly, probably as a result of the decreased carbon concentration in the film.

  15. Epitaxial growth and magnetic properties of ultraviolet transparent Ga2O3/(Ga1-xFex)2O3 multilayer thin films.

    PubMed

    Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua

    2016-04-28

    Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe(2+) and Fe(3+) are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What's more, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3.

  16. Growth of epitaxial Pb(Zr,Ti)O3 films by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Lee, J.; Safari, A.; Pfeffer, R. L.

    1992-10-01

    Lead zirconate titanate (PZT) thin films with a composition near the morphotropic phase boundary have been grown on MgO (100) and Y1Ba2Cu3Ox (YBCO) coated MgO substrates. Substrate temperature and oxygen pressure were varied to achieve ferroelectric films with a perovskite structure. Films grown on MgO had the perovskite structure with an epitaxial relationship with the MgO substrate. On the other hand, films grown on the YBCO/MgO substrate had an oriented structure to the surface normal with a misorientation in the plane parallel to the surface. The measured dielectric constant and loss tangent at 1 kHz were 670 and 0.05, respectively. The remnant polarization and coercive field were 42 μC/cm2 and 53 kV/cm. A large internal bias field (12 kV/cm) was observed in the as-deposited state of the undoped PZT films.

  17. Investigation of 'surface donors' in Al2O3/AlGaN/GaN metal-oxide-semiconductor heterostructures: Correlation of electrical, structural, and chemical properties

    NASA Astrophysics Data System (ADS)

    Ťapajna, M.; Stoklas, R.; Gregušová, D.; Gucmann, F.; Hušeková, K.; Haščík, Š.; Fröhlich, K.; Tóth, L.; Pécz, B.; Brunner, F.; Kuzmík, J.

    2017-12-01

    III-N surface polarization compensating charge referred here to as 'surface donors' (SD) was analyzed in Al2O3/AlGaN/GaN metal-oxide-semiconductor (MOS) heterojunctions using scaled oxide films grown by metal-organic chemical vapor deposition at 600 °C. We systematically investigated impact of HCl pre-treatment prior to oxide deposition and post-deposition annealing (PDA) at 700 °C. SD density was reduced down to 1.9 × 1013 cm-2 by skipping HCl pre-treatment step as compared to 3.3 × 1013 cm-2 for structures with HCl pre-treatment followed by PDA. The nature and origin of SD was then analyzed based on the correlation between electrical, micro-structural, and chemical properties of the Al2O3/GaN interfaces with different SD density (NSD). From the comparison between distributions of interface traps of MOS heterojunction with different NSD, it is demonstrated that SD cannot be attributed to interface trapped charge. Instead, variation in the integrity of the GaOx interlayer confirmed by X-ray photoelectron spectroscopy is well correlated with NSD, indicating SD may be formed by border traps at the Al2O3/GaOx interface.

  18. The effect of Substrate temperature on physical and electrical properties of DC magnetron sputtered (Ta2O5)0.85(TiO2)0.15 films

    NASA Astrophysics Data System (ADS)

    Sekhar, M. Chandra; Uthanna, S.; Martins, R.; Jagadeesh Chandra, S. V.; Elangovan, E.

    2012-04-01

    Thin films of (Ta2O5)0.85(TiO2)0.15 were deposited on quartz and p-Si substrates by DC reactive magnetron sputtering at different substrate temperatures (Ts) in the range 303 - 873 K. The films deposited at 303 0K were in the amorphous and it transformed to crystalline at substrate temperatures >= 573 0K. The crystallite size was increased from 50 nm to 72 nm with the increase of substrate temperature. The surface morphology was significantly influenced with the substrate temperature. After deposition of the (Ta2O5)0.85(TiO2)0.15 films on Si, aluminium (Al) electrode was deposited to fabricate metal/oxide/semiconductor (MOS) capacitors with a configuration of Al/(Ta2O5)0.85(TiO2)0.15/Si. A low leakage current of 7.7 × 10-5 A/cm2 was obtained from the films deposited at 303 K. The leakage current was decreased to 9.3 × 10-8 A/cm2 with the increase of substrate temperature owing to structural changes. The conduction mechanism of the Al/(Ta2O5)0.85(TiO2)0.15/Si capacitors was analyzed and compared with mechanisms of Poole-Frenkel and Schottky emissions. The optical band gap (Eg) was decreased from 4.45 eV to 4.38 eV with the increase in substrate temperature.

  19. Spray pyrolysis synthesized Cu(In,Al)(S,Se)2 thin films solar cells

    NASA Astrophysics Data System (ADS)

    Aamir Hassan, Muhammad; Mujahid, Mohammad; Woei, Leow Shin; Wong, Lydia Helena

    2018-03-01

    Cu(In,Al)(S,Se)2 thin films are prepared by the Spray pyrolysis of aqueous precursor solutions of copper, indium, aluminium and sulphur sources. The bandgap of the films was engineered by aluminium (Al) doping in CISSe films deposited on molybdenum (Mo) coated glass substrate. The as-sprayed thin films were selenized at 500 °C for 10 min. Cadmium sulphide (CdS) buffer layer was deposited by chemical bath deposition process. Solar cell devices were fabricated with configuration of glass/Mo/CIASSe/CdS/i-ZnO/AZO. The solar cell device containing thin film of Cu(In,Al)(S,Se)2 with our optimized composition shows j-V characteristics of Voc = 0.47 V, jsc = 21.19 mA cm-2, FF = 52.88% and power conversion efficiency of 5.27%, under AM 1.5, 100 mW cm-2 illumination.

  20. Pulsed Laser Deposition of BaCe(sub 0.85)Y(sub 0.15)0(sub 3) FILMS

    NASA Technical Reports Server (NTRS)

    Dynys, F. W.; Sayir, A.

    2006-01-01

    Pulsed laser deposition has been used to grow nanostructured BaCe(sub 0.85)Y(sub 0.15)0(sub 3) films. The objective is to enhance protonic conduction by reduction of membrane thickness. Sintered samples and laser targets were prepared by sintering BaCe(sub 0.85)Y(sub 0.15)O(sub 3) powders derived by solid state synthesis. Films 2 to 6 m thick were deposited by KrF excimer laser on Si and porous Al2O3 substrates. Nanocrystalline films were fabricated at deposition temperatures of 600-800 C deg at O2 pressure of 30 mTorr and laser fluence of 1.2 J/cm square. Films were characterized by x-ray diffraction, scanning electron microscopy and electrical impedance spectroscopy. Dense single phase BaCe(sub 0.85)Y((sub 0.15) 0(sub 3) films with a columnar growth morphology is observed, preferred crystal growth was found to be dependent upon deposition temperature and substrate type. Electrical conductivity of bulk samples produced by solid state sintering and thin film samples were measured over a temperature range of 100 C deg to 900 C deg in moist argon. Electrical conduction of the fabricated films was 1 to 4 orders of magnitude lower than the sintered bulk samples. With respect to the film growth direction, activation energy for electrical conduction is 3 times higher in the perpendicular direction than the parallel direction.

  1. Synthesis and electronic properties of Fe2TiO5 epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Osada, Motoki; Nishio, Kazunori; Hwang, Harold Y.; Hikita, Yasuyuki

    2018-05-01

    We investigate the growth phase diagram of pseudobrookite Fe2TiO5 epitaxial thin films on LaAlO3 (001) substrates using pulsed laser deposition. Control of the oxygen partial pressure and temperature during deposition enabled selective stabilization of (100)- and (230)-oriented films. In this regime, we find an optical gap of 2.1 eV and room temperature resistivity in the range of 20-80 Ω cm, which are significantly lower than α-Fe2O3, making Fe2TiO5 potentially an ideal inexpensive visible-light harvesting semiconductor. These results provide a basis to incorporate Fe2TiO5 in oxide heterostructures for photocatalytic and photoelectrochemical applications.

  2. Gamma and proton irradiation effects and thermal stability of electrical characteristics of metal-oxide-silicon capacitors with atomic layer deposited Al 2O 3 dielectric

    DOE PAGES

    J. M. Rafi; Lynn, D.; Pellegrini, G.; ...

    2015-12-11

    The radiation hardness and thermal stability of the electrical characteristics of atomic layer deposited Al 2O 3 layers to be used as passivation films for silicon radiation detectors with slim edges are investigated. To directly measure the interface charge and to evaluate its change with the ionizing dose, metal-oxide-silicon (MOS) capacitors implementing differently processed Al 2O 3 layers were fabricated on p-type silicon substrates. Qualitatively similar results are obtained for degradation of capacitance–voltage and current–voltage characteristics under gamma and proton irradiations up to equivalent doses of 30 Mrad and 21.07 Mrad, respectively. While similar negative charge densities are initially extractedmore » for all non-irradiated capacitors, superior radiation hardness is obtained for MOS structures with alumina layers grown with H 2O instead of O 3 as oxidant precursor. Competing effects between radiation-induced positive charge trapping and hydrogen release from the H 2O-grown Al 2O 3 layers may explain their higher radiation resistance. Finally, irradiated and non-irradiated MOS capacitors with differently processed Al 2O 3 layers have been subjected to thermal treatments in air at temperatures ranging between 100 °C and 200 °C and the thermal stability of their electrical characteristics has been evaluated. Partial recovery of the gamma-induced degradation has been noticed for O 3-grown MOS structures. Lastly, this can be explained by a trapped holes emission process, for which an activation energy of 1.38 ± 0.15 eV has been extracted.« less

  3. Electrical and optical properties of nitrogen doped SnO{sub 2} thin films deposited on flexible substrates by magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fang, Feng, E-mail: fangfeng@seu.edu.cn; Zhang, Yeyu; Wu, Xiaoqin

    2015-08-15

    Graphical abstract: The best SnO{sub 2}:N TCO film: about 80% transmittance and 9.1 × 10{sup −4} Ω cm. - Highlights: • Nitrogen-doped tin oxide film was deposited on PET by RF-magnetron sputtering. • Effects of oxygen partial pressure on the properties of thin films were investigated. • For SnO{sub 2}:N film, visible light transmittance was 80% and electrical resistivity was 9.1 × 10{sup −4} Ω cm. - Abstract: Nitrogen-doped tin oxide (SnO{sub 2}:N) thin films were deposited on flexible polyethylene terephthalate (PET) substrates at room temperature by RF-magnetron sputtering. Effects of oxygen partial pressure (0–4%) on electrical and optical propertiesmore » of thin films were investigated. Experimental results showed that SnO{sub 2}:N films were amorphous state, and O/Sn ratios of SnO{sub 2}:N films were deviated from the standard stoichiometry 2:1. Optical band gap of SnO{sub 2}:N films increased from approximately 3.10 eV to 3.42 eV as oxygen partial pressure increased from 0% to 4%. For SnO{sub 2}:N thin films deposited on PET, transmittance was about 80% in the visible light region. The best transparent conductive oxide (TCO) deposited on flexible PET substrates was SnO{sub 2}:N thin films preparing at 2% oxygen partial pressure, the transmittance was about 80% and electrical conductivity was about 9.1 × 10{sup −4} Ω cm.« less

  4. Optically stimulated luminescence (OSL) of carbon-doped aluminum oxide (Al{sub 2}O{sub 3}:C) for film dosimetry in radiotherapy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schembri, V.; Heijmen, B. J. M.

    2007-06-15

    Introduction and Purpose: Conventional x-ray films and radiochromic films have inherent challenges for high precision radiotherapy dosimetry. Here we have investigated basic characteristics of optically stimulated luminescence (OSL) of irradiated films containing carbon-doped aluminum oxide (Al{sub 2}O{sub 3}:C) for dosimetry in therapeutic photon and electron beams. Materials and Methods: The OSL films consist of a polystyrene sheet, with a top layer of a mixture of single crystals of Al{sub 2}O{sub 3}:C, ground into a powder, and a polyester base. The total thickness of the films is 0.3 mm. Measurements have been performed in a water equivalent phantom, using 4, 6,more » 10, and 18 MV photon beams, and 6-22 MeV electron beams. The studies include assessment of the film response (acquired OSL signal/delivered dose) on delivered dose (linearity), dose rate (1-6 Gy/min), beam quality, field size and depth (6 MV, ranges 4x4-30x30 cm{sup 2}, d{sub max}-35 cm). Doses have been derived from ionization chamber measurements. OSL films have also been compared with conventional x-ray and GafChromic films for dosimetry outside the high dose area, with a high proportion of low dose scattered photons. In total, 787 OSL films have been irradiated. Results: Overall, the OSL response for electron beams was 3.6% lower than for photon beams. Differences between the various electron beam energies were not significant. The 6 and 18 MV photon beams differed in response by 4%. No response dependencies on dose rate were observed. For the 6 MV beam, the field size and depth dependencies of the OSL response were within {+-}2.5%. The observed inter-film response variation for films irradiated with the same dose varied from 1% to 3.2% (1 SD), depending on the measurement day. At a depth of 20 cm, 5 cm outside the 20x20 cm{sup 2} 6 and 18 MV beams, an over response of 17% was observed. In contrast to GafChromic and conventional x-ray films, the response of the Al{sub 2}O{sub 3}:C films is

  5. V2O5 thin film deposition for application in organic solar cells

    NASA Astrophysics Data System (ADS)

    Arbab, Elhadi A. A.; Mola, Genene Tessema

    2016-04-01

    Vanadium pentoxide V2O5 films were fabricated by way of electrochemical deposition technique for application as hole transport buffer layer in organic solar cell. A thin and uniform V2O5 films were successfully deposited on indium tin oxide-coated glass substrate. The characterization of surface morphology and optical properties of the deposition suggest that the films are suitable for photovoltaic application. Organic solar cell fabricated using V2O5 as hole transport buffer layer showed better devices performance and environmental stability than those devices fabricated with PEDOT:PSS. In an ambient device preparation condition, the power conversion efficiency increases by nearly 80 % compared with PEDOT:PSS-based devices. The devices lifetime using V2O5 buffer layer has improved by a factor of 10 over those devices with PEDOT:PSS.

  6. The Preparation and Microstructure of Nanocrystal 3C-SiC/ZrO2 Bilayer Films

    PubMed Central

    Ye, Chao; Ran, Guang; Zhou, Wei; Qu, Yazhou; Yan, Xin; Cheng, Qijin; Li, Ning

    2017-01-01

    The nanocrystal 3C-SiC/ZrO2 bilayer films that could be used as the protective coatings of zirconium alloy fuel cladding were prepared on a single-crystal Si substrate. The corresponding nanocrystal 3C-SiC film and nanocrystal ZrO2 film were also dividedly synthesized. The microstructure of nanocrystal films was analyzed by grazing incidence X-ray diffraction (GIXRD) and cross-sectional transmission electron microscopy (TEM). The 3C-SiC film with less than 30 nm crystal size was synthesized by Plasma Enhanced Chemical Vapor Deposition (PECVD) and annealing. The corresponding formation mechanism of some impurities in SiC film was analyzed and discussed. An amorphous Zr layer about 600 nm in width was first deposited by magnetron sputtering and then oxidized to form a nanocrystal ZrO2 layer during the annealing process. The interface characteristics of 3C-SiC/ZrO2 bilayer films prepared by two different processes were obviously different. SiZr and SiO2 compounds were formed at the interface of 3C-SiC/ZrO2 bilayer films. A corrosion test of 3C-SiC/ZrO2 bilayer films was conducted to qualitatively analyze the surface corrosion resistance and the binding force of the interface. PMID:29168782

  7. DEVELOPMENT OF LiCo0.90Mg0.05Al0.05O2 THIN FILMS BY PULSED LASER DEPOSITION TECHNIQUE

    NASA Astrophysics Data System (ADS)

    Vasanthi, R.; Ruthmangani, I.; Manoravi, P.; Joseph, M.; Kesavamoorthy, R.; Sundar, C.; Selladurai, S.

    LiCo0.90Mg0.05Al0.05O2 bulk powders are synthesized using combustion process and made into a thin film by depositing on silicon wafer using a pulsed laser ablation technique. A comparative study by SEM (Scanning Electron Microscope) XRD (X-ray diffraction), Infrared spectroscopy and Raman Spectroscopy is performed on both bulk and PLD thin films.

  8. Room-Temperature Fabrication of High-Performance Amorphous In-Ga-Zn-O/Al2O3 Thin-Film Transistors on Ultrasmooth and Clear Nanopaper.

    PubMed

    Ning, Honglong; Zeng, Yong; Kuang, Yudi; Zheng, Zeke; Zhou, Panpan; Yao, Rihui; Zhang, Hongke; Bao, Wenzhong; Chen, Gang; Fang, Zhiqiang; Peng, Junbiao

    2017-08-23

    Integrating biodegradable cellulose nanopaper into oxide thin-film transistors (TFTs) for next generation flexible and green flat panel displays has attracted great interest because it offers a viable solution to address the rapid increase of electronic waste that poses a growing ecological problem. However, a compromise between device performance and thermal annealing remains an obstacle for achieving high-performance nanopaper TFTs. In this study, a high-performance bottom-gate IGZO/Al 2 O 3 TFT with a dual-layer channel structure was initially fabricated on a highly transparent, clear, and ultrasmooth nanopaper substrate via conventional physical vapor deposition approaches, without further thermal annealing processing. Purified nanofibrillated cellulose with a width of approximately 3.7 nm was used to prepare nanopaper with excellent optical properties (92% transparency, 0.85% transmission haze) and superior surface roughness (Rq is 1.8 nm over a 5 × 5 μm 2 scanning area). More significantly, a bilayer channel structure (IGZO/Al 2 O 3 ) was adopted to fabricate high performance TFT on this nanopaper substrate without thermal annealing and the device exhibits a saturation mobility of 15.8 cm 2 /(Vs), an I on /I off ratio of 4.4 × 10 5 , a threshold voltage (V th ) of -0.42 V, and a subthreshold swing (SS) of 0.66 V/dec. The room-temperature fabrication of high-performance IGZO/Al 2 O 3 TFTs on such nanopaper substrate without thermal annealing treatment brings industry a step closer to realizing inexpensive, flexible, lightweight, and green paper displays.

  9. Strong temperature-dependent crystallization, phase transition, optical and electrical characteristics of p-type CuAlO2 thin films.

    PubMed

    Liu, Suilin; Wu, Zhiheng; Zhang, Yake; Yao, Zhiqiang; Fan, Jiajie; Zhang, Yiqiang; Hu, Junhua; Zhang, Peng; Shao, Guosheng

    2015-01-07

    We report here a reliable and reproducible single-step (without post-annealing) fabrication of phase-pure p-type rhombohedral CuAlO2 (r-CuAlO2) thin films by reactive magnetron sputtering. The dependence of crystallinity and phase compositions of the films on the growth temperature was investigated, revealing that highly-crystallized r-CuAlO2 thin films could be in situ grown in a narrow temperature window of ∼940 °C. Optical and electrical property studies demonstrate that (i) the films are transparent in the visible light region, and the bandgaps of the films increased to ∼3.86 eV with the improvement of crystallinity; (ii) the conductance increased by four orders of magnitude as the film was evolved from the amorphous-like to crystalline structure. The predominant role of crystallinity in determining CuAlO2 film properties was demonstrated to be due to the heavy anisotropic characteristics of the O 2p-Cu 3d hybridized valence orbitals.

  10. Atomic layer deposition of (K,Na)(Nb,Ta)O{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sønsteby, Henrik Hovde, E-mail: henrik.sonsteby@kjemi.iuio.no; Nilsen, Ola; Fjellvåg, Helmer

    2016-07-15

    Thin films of complex alkali oxides are frequently investigated due to the large range of electric effects that are found in this class of materials. Their piezo- and ferroelectric properties also place them as sustainable lead free alternatives in optoelectronic devices. Fully gas-based routes for deposition of such compounds are required for integration into microelectronic devices that need conformal thin films with high control of thickness- and composition. The authors here present a route for deposition of materials in the (K,Na)(Nb,Ta)O{sub 3}-system, including the four end members NaNbO{sub 3}, KNbO{sub 3}, NaTaO{sub 3}, and KTaO{sub 3}, using atomic layer depositionmore » with emphasis on control of stoichiometry in such mixed quaternary and quinary compunds.« less

  11. Radiation endurance in Al2O3 nanoceramics

    NASA Astrophysics Data System (ADS)

    García Ferré, F.; Mairov, A.; Ceseracciu, L.; Serruys, Y.; Trocellier, P.; Baumier, C.; Kaïtasov, O.; Brescia, R.; Gastaldi, D.; Vena, P.; Beghi, M. G.; Beck, L.; Sridharan, K.; di Fonzo, F.

    2016-09-01

    The lack of suitable materials solutions stands as a major challenge for the development of advanced nuclear systems. Most issues are related to the simultaneous action of high temperatures, corrosive environments and radiation damage. Oxide nanoceramics are a promising class of materials which may benefit from the radiation tolerance of nanomaterials and the chemical compatibility of ceramics with many highly corrosive environments. Here, using thin films as a model system, we provide new insights into the radiation tolerance of oxide nanoceramics exposed to increasing damage levels at 600 °C -namely 20, 40 and 150 displacements per atom. Specifically, we investigate the evolution of the structural features, the mechanical properties, and the response to impact loading of Al2O3 thin films. Initially, the thin films contain a homogeneous dispersion of nanocrystals in an amorphous matrix. Irradiation induces crystallization of the amorphous phase, followed by grain growth. Crystallization brings along an enhancement of hardness, while grain growth induces softening according to the Hall-Petch effect. During grain growth, the excess mechanical energy is dissipated by twinning. The main energy dissipation mechanisms available upon impact loading are lattice plasticity and localized amorphization. These mechanisms are available in the irradiated material, but not in the as-deposited films.

  12. Radiation endurance in Al2O3 nanoceramics

    PubMed Central

    García Ferré, F.; Mairov, A.; Ceseracciu, L.; Serruys, Y.; Trocellier, P.; Baumier, C.; Kaïtasov, O.; Brescia, R.; Gastaldi, D.; Vena, P.; Beghi, M. G.; Beck, L.; Sridharan, K.; Di Fonzo, F.

    2016-01-01

    The lack of suitable materials solutions stands as a major challenge for the development of advanced nuclear systems. Most issues are related to the simultaneous action of high temperatures, corrosive environments and radiation damage. Oxide nanoceramics are a promising class of materials which may benefit from the radiation tolerance of nanomaterials and the chemical compatibility of ceramics with many highly corrosive environments. Here, using thin films as a model system, we provide new insights into the radiation tolerance of oxide nanoceramics exposed to increasing damage levels at 600 °C –namely 20, 40 and 150 displacements per atom. Specifically, we investigate the evolution of the structural features, the mechanical properties, and the response to impact loading of Al2O3 thin films. Initially, the thin films contain a homogeneous dispersion of nanocrystals in an amorphous matrix. Irradiation induces crystallization of the amorphous phase, followed by grain growth. Crystallization brings along an enhancement of hardness, while grain growth induces softening according to the Hall-Petch effect. During grain growth, the excess mechanical energy is dissipated by twinning. The main energy dissipation mechanisms available upon impact loading are lattice plasticity and localized amorphization. These mechanisms are available in the irradiated material, but not in the as-deposited films. PMID:27653832

  13. Atomic layer epitaxy of Ruddlesden-Popper SrO(SrTiO{sub 3}){sub n} films by means of metalorganic aerosol deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jungbauer, M.; Hühn, S.; Moshnyaga, V.

    2014-12-22

    We report an atomic layer epitaxial growth of Ruddlesden-Popper (RP) thin films of SrO(SrTiO{sub 3}){sub n} (n = ∞, 2, 3, 4) by means of metalorganic aerosol deposition (MAD). The films are grown on SrTiO{sub 3}(001) substrates by means of a sequential deposition of Sr-O/Ti-O{sub 2} atomic monolayers, monitored in-situ by optical ellipsometry. X-ray diffraction and transmission electron microscopy (TEM) reveal the RP structure with n = 2–4 in accordance with the growth recipe. RP defects, observed by TEM in a good correlation with the in-situ ellipsometry, mainly result from the excess of SrO. Being maximal at the film/substrate interface, the SrO excess rapidlymore » decreases and saturates after 5–6 repetitions of the SrO(SrTiO{sub 3}){sub 4} block at the level of 2.4%. This identifies the SrTiO{sub 3} substrate surface as a source of RP defects under oxidizing conditions within MAD. Advantages and limitations of MAD as a solution-based and vacuum-free chemical deposition route were discussed in comparison with molecular beam epitaxy.« less

  14. Thermoelectric properties of V2O5 thin films deposited by thermal evaporation

    NASA Astrophysics Data System (ADS)

    Santos, R.; Loureiro, J.; Nogueira, A.; Elangovan, E.; Pinto, J. V.; Veiga, J. P.; Busani, T.; Fortunato, E.; Martins, R.; Ferreira, I.

    2013-10-01

    This work reports the structural, optical, electrical and thermoelectric properties of vanadium pentoxide (V2O5) thin films deposited at room temperature by thermal evaporation on Corning glass substrates. A post-deposition thermal treatment up to 973 K under atmospheric conditions induces the crystallization of the as-deposited amorphous films with an orthorhombic V2O5 phase with grain sizes around 26 nm. As the annealing temperature rises up to 773 K the electrical conductivity increases. The films exhibit thermoelectric properties with a maximum Seebeck coefficient of -218 μV/K and electrical conductivity of 5.5 (Ω m)-1. All the films show NIR-Vis optical transmittance above 60% and optical band gap of 2.8 eV.

  15. Cobalt-supported alumina as catalytic film prepared by electrophoretic deposition for hydrogen release applications

    NASA Astrophysics Data System (ADS)

    Chamoun, R.; Demirci, U. B.; Cornu, D.; Zaatar, Y.; Khoury, A.; Khoury, R.; Miele, P.

    2010-10-01

    Shaped catalysts are crucial for technological applications. In this context, we have developed Co-αAl 2O 3 catalyst films deposited over Cu plates to be used in hydrogen generation by hydrolysis of sodium borohydride NaBH 4 in alkaline solution. The Co-αAl 2O 3 films were prepared by electrophoretic deposition according to six different routes. While five of them failed in fabricating adhering films, the sixth route, consisting of electrodepositing Co-impregnated αAl 2O 3, showed promising results. The as-obtained shaped catalysts were stable when hydrogen vigorously bubbled and catalyzed the NaBH 4 hydrolysis with attractive hydrogen generation rates. These results open an alternative route for preparing shaped catalysts in this reaction.

  16. Atomistic simulation study of influence of Al2O3-Al interface on dislocation interaction and prismatic loop formation during nano-indentation on Al2O3-coated aluminum.

    PubMed

    Mishra, Srishti; Meraj, Md; Pal, Snehanshu

    2018-06-19

    A large-scale molecular dynamics (MD) simulation of nano-indentation was carried out to provide insight into the influence of the Al-Al 2 O 3 interface on dislocation evolution and deformation behavior of Al substrate coated with Al 2 O 3 thin film. Adaptive common neighbor analysis (a-CNA), centro-symmetry parameter (CSP) estimation, and dislocation extraction algorithm (DXA) were implemented to represent structural evolution during nano-indentation deformation. The absence of elastic regime was observed in the P-h curve for this simulated nano-indentation test of Al 2 O 3 thin film coated Al specimen. The displacement of oxygen atoms from Al 2 O 3 to Al partly through the interface greatly influences the plastic deformation behavior of the specimen during nano-indentation. Prismatic dislocation loops, which are formed due to pinning of Shockley partials (1/6 < 112>) by Stair-rod (1/6 < 110>) and Hirth dislocation (1/3 < 001>), were observed in all cases studied in this work. Pile-up of atoms was also observed and the extent of the pile-up was found to vary with the test temperature. A distorted stacking fault tetrahedron (SFT) is formed when a nano-indentation test is carried out at 100 K. The presence of a prismatic dislocation loop, SFT and dislocation forest caused strain hardening and, consequently, there is an increase in hardness as indentation depth increases. Graphical abstract Figure illustrates nano-indentation model set up along with load vs. depth curve and distorted stacking fault tetrahedron.

  17. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    PubMed

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  18. The behavior of ZrO2/20%Y2O3 and Al2O3 coatings deposited on aluminum alloys at high temperature regime

    NASA Astrophysics Data System (ADS)

    Pintilei, G. L.; Crismaru, V. I.; Abrudeanu, M.; Munteanu, C.; Baciu, E. R.; Istrate, B.; Basescu, N.

    2015-10-01

    Aluminum alloy present numerous advantages like lightness, high specific strength and diversity which recommend them to a high number of applications from different fields. In extreme environments the protection of aluminum alloys is difficult and requires a high number of requirements like high temperature resistance, thermal fatigue resistance, corrosion fatigue resistance and galvanic corrosion resistance. To obtain these characteristics coatings can be applied to the surfaces so they can enhance the mechanical and chemical properties of the parts. In this paper two coatings were considered for deposition on an AA2024 aluminum alloy, ZrO2/20%Y2O3 and Al2O3. To obtain a better adherence of the coating to the base material an additional bond layer of NiCr is used. Both the coatings and bond layer were deposited by atmospheric plasma spraying on the samples. The samples were subjected to a temperature of 500 °C and after that slowly cooled to room temperature. The samples were analyzed by electron microscopy and X-ray diffraction to determine the morphological and phase changes that occurred during the temperature exposure. To determine the stress level in the parts due to thermal expansion a finite element analysis was performed in the same conditions as the tests.

  19. Effect of both deposition temperature and indium doping on the properties of sol-gel dip-coated SnO2 films.

    PubMed

    Caglar, Mujdat; Atar, Kadir Cemil

    2012-10-01

    Using indium chloride as an In source, In-doped SnO(2) films were fabricated by sol-gel method through dip-coating on borofloat glass substrates. The undoped SnO(2) films were deposited in air between 400 and 600 °C to get optimum deposition temperature in terms of crystal quality and hence In-doped SnO(2) films were deposited in air at 600 °C. The effect of both deposition temperature and In content on structural, morphological, optical and electrical properties was investigated. The crystalline structure and orientation of the films were investigated by X-ray diffraction (XRD) and surface morphology was studied by a field emission scanning electron microscope (FESEM). The compositional analysis of the films was confirmed by energy dispersive X-ray spectrometer (EDS). The absorption band edge of the SnO(2) films shifted from 3.88 to 3.66 eV with In content. The van der Pauw method was used to measure the sheet resistance of the films. The sheet resistance was affected significantly by deposition temperature and In content. Copyright © 2012 Elsevier B.V. All rights reserved.

  20. Atomic layer deposited lithium aluminum oxide: (In)dependency of film properties from pulsing sequence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miikkulainen, Ville, E-mail: ville.miikkulainen@helsinki.fi; Nilsen, Ola; Fjellvåg, Helmer

    Atomic layer deposition (ALD) holds markedly high potential of becoming the enabling method for achieving the three-dimensional all-solid-state thin-film lithium ion battery (LiB). One of the most crucial components in such a battery is the electrolyte that needs to hold both low electronic conductivity and at least fair lithium ion conductivity being at the same time pinhole free. To obtain these desired properties in an electrolyte film, one necessarily has to have a good control over the elemental composition of the deposited material. The present study reports on the properties of ALD lithium aluminum oxide (Li{sub x}Al{sub y}O{sub z}) thinmore » films. In addition to LiB electrolyte applications, Li{sub x}Al{sub y}O{sub z} is also a candidate low dielectric constant (low-k) etch stop and diffusion barrier material in nanoelectronics applications. The Li{sub x}Al{sub y}O{sub z} films were deposited employing trimethylaluminum-O{sub 3} and lithium tert-butoxide-H{sub 2}O for Al{sub 2}O{sub 3} and Li{sub 2}O/LiOH, respectively. The composition was aimed to be controlled by varying the pulsing ratio of those two binary oxide ALD cycles. The films were characterized by several methods for composition, crystallinity and phase, electrical properties, hardness, porosity, and chemical environment. Regardless of the applied pulsing ratio of Al{sub 2}O{sub 3} and Li{sub 2}O/LiOH, all the studied ALD Li{sub x}Al{sub y}O{sub z} films of 200 and 400 nm in thickness were polycrystalline in the orthorhombic β-LiAlO{sub 2} phase and also very similar to each other with respect to composition and other studied properties. The results are discussed in the context of both fundamental ALD chemistry and applicability of the films as thin-film LiB electrolytes and low-k etch stop and diffusion barriers.« less

  1. Epitaxial growth and magnetic properties of ultraviolet transparent Ga2O3/(Ga1−xFex)2O3 multilayer thin films

    PubMed Central

    Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua

    2016-01-01

    Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe2+ and Fe3+ are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What’s more, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3. PMID:27121446

  2. Dielectric Properties of BST/(Y 2O 3) x(ZrO 2) 1-x/BST Trilayer Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sahoo, Santosh K.; Misra, D.

    2011-01-31

    Thin films of Ba1-xSrxTiO3 (BST) are being actively investigated for applications in dynamic random access memories (DRAM) because of their properties such as high dielectric constant, low leakage current, and high dielectric breakdown strength. Various approaches have been used to improve the dielectric properties of BST thin films such as doping, graded compositions, and multilayer structures. We have found that inserting a ZrO2 layer in between two BST layers results in a significant reduction in dielectric constant as well as dielectric loss. In this work the effect of Y2O3 doped ZrO2 on the dielectric properties of BST/ZrO2/BST trilayer structure ismore » studied. The structure Ba0.8Sr0.2TiO3/(Y2O3)x(ZrO2)1-x/Ba0.8Sr0.2TiO3 is deposited by a sol-gel process on platinized Si substrate. The composition (x) of the middle layer is varied while keeping the total thickness of the trilayer film constant. The dielectric constant of the multilayer film decreases with the increase of Y2O3 amount in the film whereas there is a slight variation in dielectric loss. In Y2O3 doped multilayer thin films, the dielectric loss is lower in comparison to other films and also there is good frequency stability in the loss in the measured frequency range and hence very suitable for microwave device applications.« less

  3. Growth and characterization of highly conducting Al-doped ZnO (AZO) thin films for optoelectronic applications

    NASA Astrophysics Data System (ADS)

    Sardana, Sanjay K.; Singh, Anil; Srivastava, Sanjay K.; Pandya, Dinesh K.

    2018-05-01

    A comparative study of undoped ZnO and Al-doped ZnO (AZO) thin films deposited on glass substrate by spray pyrolysis has been carried out at various aqueous molar concentration of zinc acetate. The thin films deposited on glass shows the wurtzite phase of ZnO, confirmed by X-ray diffraction. The optical study shows the high transmittance over 80% in the visible regime. The band gap of AZO thin films shows a blue shift as compared to undoped ZnO, which has been attributed to Burstein-Moss shift. Heat treatment of these samples in vacuum showed the improved conductivity in compared to as-deposited thin films. The electric study shows the minimum resistivity of 8 x 10-3 Ω-cm and carrier concentration of 6.5 × 1019 /cm3 correspond to AZO thin films.

  4. Effect of Adding SiO2-Al2O3 Sol into Anodizing Bath on Corrosion Resistance of Oxidation Film on Magnesium Alloy

    NASA Astrophysics Data System (ADS)

    Liu, Huicong; Zhu, Liqun; Li, Weiping

    Due to the widely use in automobile and construction field, AZ91D magnesium alloy need to be protected more effectively for its high chemical activity. In this paper, three kinds of films were formed on magnesium alloy. The first kind of film, named as anodic oxidation film, was prepared by anodic oxidation in the alkaline solution. The processes for preparing the second kind of film, named as multiple film, involved coating sol-gel on the samples and heat-treating before anodic oxidation. The third kind of film was prepared by anodic oxidation in the alkaline oxidation solution containning 5% (vol) SiO2-Al2O3 sol, named as modified oxidation film. The corrosion resistance of the three different films was investigated. The results showed that the modified oxidation film had the highest corrosion resistance due to the largest thickness and most dense surface morphology. Sol was discussed to react during the film forming process, which leaded to the difference between modified oxidation film and anodic oxidation film.

  5. Synthesis and characterization of n-type NiO:Al thin films for fabrication of p-n NiO homojunctions

    NASA Astrophysics Data System (ADS)

    Sun, Hui; Liao, Ming-Han; Chen, Sheng-Chi; Li, Zhi-Yue; Lin, Po-Chun; Song, Shu-Mei

    2018-03-01

    n-type NiO:Al thin films were deposited by RF magnetron sputtering. Their optoelectronic properties versus Al target power was investigated. The results show that with increasing Al target power, the conduction type of NiO films changes from p-type to n-type. The variation of the film’s electrical and optical properties depends on Al amount in the film. When Al target power is relatively low, Al3+ cations tend to enter nickel vacancy sites, which makes the lattice structure of NiO more complete. This improves the carrier mobility and film’s transmittance. However, when Al target power exceeds 40 W, Al atoms begin to enter into interstitial sites and form an Al cluster in the NiO film. This behavior is beneficial for improving the film’s n-type conductivity but degrades the film’s transmittance. Finally, Al/(p-type NiO)/(n-type NiO:Al)/ITO homojunctions were fabricated. Their performance was compared with Al/(p-type NiO)/ITO heterojunctions without an n-type NiO layer. Thanks to the better interface quality between the two NiO layers, the homojunctions present better performance.

  6. Piezo-tunnel effect in Al/Al2O3/Al junctions elaborated by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Rafael, R.; Puyoo, E.; Malhaire, C.

    2017-11-01

    In this work, the electrical transport in Al/Al2O3/Al junctions under mechanical stress is investigated in the perspective to use them as strain sensors. The metal/insulator/metal junctions are elaborated with a low temperature process (≤200 °C) fully compatible with CMOS back-end-of-line. The conduction mechanism in the structure is found to be Fowler-Nordheim tunneling, and efforts are made to extract the relevant physical parameters. Gauge factors up to -32.5 were found in the fabricated devices under tensile stress. Finally, theoretical mechanical considerations give strong evidence that strain sensitivity in Al/Al2O3/Al structures originates not only from geometrical deformations but also from the variation of interface barrier height and/or effective electronic mass in the tunneling oxide layer.

  7. A new high-κ Al2O3 based metal-insulator-metal antifuse

    NASA Astrophysics Data System (ADS)

    Tian, Min; Zhong, Huicai; Li, Li; Wang, Zhigang

    2018-06-01

    In this paper, a new metal-insulator-metal (MIM) antifuse was fabricated with the high κ Al2O3 deposited by atomic layer deposition (ALD) as the dielectric. On this high κ antifuse structure, the very low on-state resistance was obtained under certain programming conditions. It is the first time that the antifuse on-state resistance has been found decreasing along with the increase of dielectric film thickness, which is attributed to a large current overshoot during breakdown. For the device with a dielectric thickness of 12 nm, very large overshoot current (∼60 mA) was observed and extremely low on-state resistance (∼10 Ω) was achieved.

  8. Magnetism of Amorphous and Nano-Crystallized Dc-Sputter-Deposited MgO Thin Films

    PubMed Central

    Mahadeva, Sreekanth K.; Fan, Jincheng; Biswas, Anis; Sreelatha, K.S.; Belova, Lyubov; Rao, K.V.

    2013-01-01

    We report a systematic study of room-temperature ferromagnetism (RTFM) in pristine MgO thin films in their amorphous and nano-crystalline states. The as deposited dc-sputtered films of pristine MgO on Si substrates using a metallic Mg target in an O2 containing working gas atmosphere of (N2 + O2) are found to be X-ray amorphous. All these films obtained with oxygen partial pressure (PO2) ~10% to 80% while maintaining the same total pressure of the working gas are found to be ferromagnetic at room temperature. The room temperature saturation magnetization (MS) value of 2.68 emu/cm3 obtained for the MgO film deposited in PO2 of 10% increases to 9.62 emu/cm3 for film deposited at PO2 of 40%. However, the MS values decrease steadily for further increase of oxygen partial pressure during deposition. On thermal annealing at temperatures in the range 600 to 800 °C, the films become nanocrystalline and as the crystallite size grows with longer annealing times and higher temperature, MS decreases. Our study clearly points out that it is possible to tailor the magnetic properties of thin films of MgO. The room temperature ferromagnetism in MgO films is attributed to the presence of Mg cation vacancies. PMID:28348346

  9. Stable and High-Performance Flexible ZnO Thin-Film Transistors by Atomic Layer Deposition.

    PubMed

    Lin, Yuan-Yu; Hsu, Che-Chen; Tseng, Ming-Hung; Shyue, Jing-Jong; Tsai, Feng-Yu

    2015-10-14

    Passivation is a challenging issue for the oxide thin-film transistor (TFT) technologies because it requires prolonged high-temperature annealing treatments to remedy defects produced in the process, which greatly limits its manufacturability as well as its compatibility with temperature-sensitive materials such as flexible plastic substrates. This study investigates the defect-formation mechanisms incurred by atomic layer deposition (ALD) passivation processes on ZnO TFTs, based on which we demonstrate for the first time degradation-free passivation of ZnO TFTs by a TiO2/Al2O3 nanolaminated (TAO) film deposited by a low-temperature (110 °C) ALD process. By combining the TAO passivation film with ALD dielectric and channel layers into an integrated low-temperature ALD process, we successfully fabricate flexible ZnO TFTs on plastics. Thanks to the exceptional gas-barrier property of the TAO film (water vapor transmission rate (WVTR)<10(-6) g m(-2) day(-1)) as well as the defect-free nature of the ALD dielectric and ZnO channel layers, the TFTs exhibit excellent device performance with high stability and flexibility: field-effect mobility>20 cm2 V(-1) s(-1), subthreshold swing<0.4 V decade(-1) after extended bias-stressing (>10,000 s), air-storage (>1200 h), and bending (1.3 cm radius for 1000 times).

  10. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al2O3/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Aoki, T.; Fukuhara, N.; Osada, T.; Sazawa, H.; Hata, M.; Inoue, T.

    2014-07-01

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al2O3. This AlN passivation incorporated nitrogen at the Al2O3/GaAs interface, improving the capacitance-voltage (C-V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C-V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (Dit). The Dit was reduced over the entire GaAs band gap. In particular, these devices exhibited Dit around the midgap of less than 4 × 1012 cm-2eV-1, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.

  11. Partially Ionized Beam Deposition of Silicon-Dioxide and Aluminum Thin Films - Defects Generation.

    NASA Astrophysics Data System (ADS)

    Wong, Justin Wai-Chow

    1987-09-01

    Detect formation in SiO_2 and Al thin films and interfaces were studied using a partially ionized beam (PIB) deposition technique. The evaporated species (the deposition material) were partially ionized to give an ion/atom ratio of <=q0.1% and the substrate was biased at 0-5kV during the deposition. The results suggest that due to the ion bombardment, stoichiometric SiO_2 films can be deposited at a low substrate temperature (~300 ^circC) and low oxygen pressure (<=q10^{-4} Torr). Such deposition cannot be achieved using conventional evaporation-deposition techniques. However, traps and mobile ions were observed in the oxide and local melt-down was observed when a sufficiently high electric field was applied to the film. For the PIB Al deposition on the Si substrate, stable Al/Si Schottky contact was formed when the substrate bias was <=q1kV. For a substrate bias of 2.5kV, the capacitance of the Al/Si interface increased dramatically. A model of self-ion implantation with a p-n junction created by the Al^+ ion implantation was proposed and tested to explain the increase of the interface capacitance. Several deep level states at the Al/Si interface were observed using Deep Level Transient Spectroscopy (DLTS) technique when the film was deposited at a bias of 3kV. The PIB Al films deposited on the Si substrate showed unusually strong electromigration resistance under high current density operation. This phenomenon was explained by the highly oriented microstructure of the Al films created by the self-ion bombardment during deposition. These findings show that PIB has potential applications in a number of areas, including low temperature thin film deposition, and epitaxial growth of thin films in the microelectronics thin film industry.

  12. Gas sensing properties of very thin TiO2 films prepared by atomic layer deposition (ALD)

    NASA Astrophysics Data System (ADS)

    Boyadjiev, S.; Georgieva, V.; Vergov, L.; Baji, Zs; Gáber, F.; Szilágyi, I. M.

    2014-11-01

    Very thin titanium dioxide (TiO2) films of less than 10 nm were deposited by atomic layer deposition (ALD) in order to study their gas sensing properties. Applying the quartz crystal microbalance (QCM) method, prototype structures with the TiO2 ALD deposited thin films were tested for sensitivity to NO2. Although being very thin, the films were sensitive at room temperature and could register low concentrations as 50-100 ppm. The sorption is fully reversible and the films seem to be capable to detect for long term. These initial results for very thin ALD deposited TiO2 films give a promising approach for producing gas sensors working at room temperature on a fast, simple and cost-effective technology.

  13. Synthesis and electronic properties of Fe 2TiO 5 epitaxial thin films

    DOE PAGES

    Osada, Motoki; Nishio, Kazunori; Hwang, Harold Y.; ...

    2018-05-02

    Here, we investigate the growth phase diagram of pseudobrookite Fe 2TiO 5 epitaxial thin films on LaAlO 3 (001) substrates using pulsed laser deposition. Control of the oxygen partial pressure and temperature during deposition enabled selective stabilization of (100)- and (230)-oriented films. In this regime, we find an optical gap of 2.1 eV and room temperature resistivity in the range of 20–80 Ω cm, which are significantly lower than α-Fe 2O 3, making Fe 2TiO 5 potentially an ideal inexpensive visible-light harvesting semiconductor. These results provide a basis to incorporate Fe 2TiO 5 in oxide heterostructures for photocatalytic and photoelectrochemicalmore » applications.« less

  14. Effect of lattice mismatch on the magnetic properties of nanometer-thick La0.9Ba0.1MnO3 (LBM) films and LBM/BaTiO3/LBM heterostructures

    NASA Astrophysics Data System (ADS)

    Mirzadeh Vaghefi, P.; Baghizadeh, A.; Willinger, M.; Lourenço, A. A. C. S.; Amaral, V. S.

    2017-12-01

    Oxide multiferroic thin films and heterostructures offer a wide range of properties originated from intrinsic coupling between lattice strain and nanoscale magnetic/electronic ordering. La0.9Ba0.1MnO3 (LBM) thin-films and LBM/BaTiO3/LBM (LBMBT) heterostructures were grown on single crystalline [100] silicon and [0001] Al2O3 using RF magnetron sputtering to study the effect of crystallinity and induced lattice mismatch in the film on magnetic properties of deposited films and heterostructures. The thicknesses of the films on Al2O3 and Si are 70 and 145 nm, respectively, and for heterostructures are 40/30/40 nm on both substrates. The microstructure of the films, state of strain and growth orientations was studied by XRD and microscopy techniques. Interplay of microstructure, strain and magnetic properties is further investigated. It is known that the crystal structure of substrates and imposed tensile strain affect the physical properties; i.e. magnetic behavior of the film. The thin layer grown on Al2O3 substrate shows out-of-plane compressive strain, while Si substrate induces tensile strain on the deposited film. The magnetic transition temperatures (Tc) of the LBM film on the Si and Al2O3 substrates are found to be 195 K and 203 K, respectively, slightly higher than the bulk form, 185 K. The LBMBT heterostructure on Si substrate shows drastic decrease in magnetization due to produced defects created by diffusion of Ti ions into magnetic layer. Meanwhile, the Tc in LBMBTs increases in respect to other studied single layers and heterostructure, because of higher tensile strain induced at the interfaces.

  15. Recent advances in characterization of CaCu3Ti4O12 thin films by spectroscopic ellipsometric metrology.

    PubMed

    Lo Nigro, Raffaella; Malandrino, Graziella; Toro, Roberta G; Losurdo, Maria; Bruno, Giovanni; Fragalà, Ignazio L

    2005-10-12

    CaCu3Ti4O12 (CCTO) thin films were successfully grown on LaAlO3(100) and Pt/TiO2/SiO2/Si(100) substrates by a novel MOCVD approach. Epitaxial CCTO(001) thin films have been obtained on LaAlO3(100) substrates, while polycrystalline CCTO films have been grown on Pt/TiO2/SiO2/Si(100) substrates. Surface morphology and grain size of the different nanostructured deposited films were examined by AFM, and spectroscopic ellipsometry has been used to investigate the electronic part of the dielectric constant (epsilon2). Looking at the epsilon2 curves, it can be seen that by increasing the film structural order, a greater dielectric response has been obtained. The measured dielectric properties accounted for the ratio between grain volumes and grain boundary areas, which is very different in the different structured films.

  16. YBa2Cu3O7 thin films on nanocrystalline diamond films for HTSC bolometer

    NASA Technical Reports Server (NTRS)

    Cui, G.; Beetz, C. P., Jr.; Boerstler, R.; Steinbeck, J.

    1993-01-01

    Superconducting YBa2Cu3O(7-x) films on nanocrystalline diamond thin films have been fabricated. A composite buffer layer system consisting of diamond/Si3N4/YSZ/YBCO was explored for this purpose. The as-deposited YBCO films were superconducting with Tc of about 84 K and a relatively narrow transition width of about 8 K. SEM cross sections of the films showed very sharp interfaces between diamond/Si3N4 and between Si3N4/YSZ. The deposited YBCO film had a surface roughness of about 1000 A, which is suitable for high-temperature superconductive (HTSC) bolometer fabrication. It was also found that preannealing of the nanocrystalline diamond thin films at high temperature was very important for obtaining high-quality YBCO films.

  17. Impact of process parameters on the structural and electrical properties of metal/PZT/Al2O3/silicon gate stack for non-volatile memory applications

    NASA Astrophysics Data System (ADS)

    Singh, Prashant; Jha, Rajesh Kumar; Singh, Rajat Kumar; Singh, B. R.

    2018-02-01

    In this paper, we present the structural and electrical properties of the Al2O3 buffer layer on non-volatile memory behavior using Metal/PZT/Al2O3/Silicon structures. Metal/PZT/Silicon and Metal/Al2O3/Silicon structures were also fabricated and characterized to obtain capacitance and leakage current parameters. Lead zirconate titanate (PZT::35:65) and Al2O3 films were deposited by sputtering on the silicon substrate. Memory window, PUND, endurance, breakdown voltage, effective charges, flat-band voltage and leakage current density parameters were measured and the effects of process parameters on the structural and electrical characteristics were investigated. X-ray data show dominant (110) tetragonal phase of the PZT film, which crystallizes at 500 °C. The sputtered Al2O3 film annealed at different temperatures show dominant (312) orientation and amorphous nature at 425 °C. Multiple angle laser ellipsometric analysis reveals the temperature dependence of PZT film refractive index and extinction coefficient. Electrical characterization shows the maximum memory window of 3.9 V and breakdown voltage of 25 V for the Metal/Ferroelectric/Silicon (MFeS) structures annealed at 500 °C. With 10 nm Al2O3 layer in the Metal/Ferroelectric/Insulator/Silicon (MFeIS) structure, the memory window and breakdown voltage was improved to 7.21 and 35 V, respectively. Such structures show high endurance with no significant reduction polarization charge for upto 2.2 × 109 iteration cycles.

  18. Flame-Sprayed Y2O3 Films with Metal-EDTA Complex Using Various Cooling Agents

    NASA Astrophysics Data System (ADS)

    Komatsu, Keiji; Toyama, Ayumu; Sekiya, Tetsuo; Shirai, Tomoyuki; Nakamura, Atsushi; Toda, Ikumi; Ohshio, Shigeo; Muramatsu, Hiroyuki; Saitoh, Hidetoshi

    2017-01-01

    In this study, yttrium oxide (Y2O3) films were synthesized from a metal-ethylenediaminetetraacetic (metal-EDTA) complex by employing a H2-O2 combustion flame. A rotation apparatus and various cooling agents (compressed air, liquid nitrogen, and atomized purified water) were used during the synthesis to control the thermal history during film deposition. An EDTA·Y·H complex was prepared and used as the staring material for the synthesis of Y2O3 films with a flame-spraying apparatus. Although thermally extreme environments were employed during the synthesis, all of the obtained Y2O3 films showed only a few cracks and minor peeling in their microstructures. For instance, the Y2O3 film synthesized using the rotation apparatus with water atomization units exhibited a porosity of 22.8%. The maximum film's temperature after deposition was 453 °C owing to the high heat of evaporation of water. Cooling effects of substrate by various cooling units for solidification was dominated to heat of vaporization, not to unit's temperatures.

  19. Tuning the Phase and Microstructural Properties of TiO2 Films Through Pulsed Laser Deposition and Exploring Their Role as Buffer Layers for Conductive Films

    NASA Astrophysics Data System (ADS)

    Agarwal, S.; Haseman, M. S.; Leedy, K. D.; Winarski, D. J.; Saadatkia, P.; Doyle, E.; Zhang, L.; Dang, T.; Vasilyev, V. S.; Selim, F. A.

    2018-04-01

    Titanium oxide (TiO2) is a semiconducting oxide of increasing interest due to its chemical and thermal stability and broad applicability. In this study, thin films of TiO2 were deposited by pulsed laser deposition on sapphire and silicon substrates under various growth conditions, and characterized by x-ray diffraction (XRD), atomic force microscopy (AFM), optical absorption spectroscopy and Hall-effect measurements. XRD patterns revealed that a sapphire substrate is more suitable for the formation of the rutile phase in TiO2, while a silicon substrate yields a pure anatase phase, even at high-temperature growth. AFM images showed that the rutile TiO2 films grown at 805°C on a sapphire substrate have a smoother surface than anatase films grown at 620°C. Optical absorption spectra confirmed the band gap energy of 3.08 eV for the rutile phase and 3.29 eV for the anatase phase. All the deposited films exhibited the usual high resistivity of TiO2; however, when employed as a buffer layer, anatase TiO2 deposited on sapphire significantly improves the conductivity of indium gallium zinc oxide thin films. The study illustrates how to control the formation of TiO2 phases and reveals another interesting application for TiO2 as a buffer layer for transparent conducting oxides.

  20. Effectiveness of BaTiO 3 dielectric patches on YBa 2Cu 3O 7 thin films for MEM switches

    DOE PAGES

    Vargas, J.; Hijazi, Y.; Noel, J.; ...

    2014-05-12

    A micro-electro-mechanical (MEM) switch built on a superconducting microstrip filter will be utilized to investigate BaTiO 3 dielectric patches for functional switching points of contact. Actuation voltage resulting from the MEM switch provokes static friction between the bridge membrane and BaTiO 3 insulation layer. Furthermore, the dielectric patch crystal structure and roughness affect the ability of repetitively switching cycles and lifetime. We performed a series of experiments using different deposition methods and RF magnetron sputtering was found to be the best deposition process for the BaTiO 3 layer. The effect examination of surface morphology will be presented using characterization techniquesmore » as x-ray diffraction, SEM and AFM for an optimum switching device. The thin film is made of YBa 2Cu 3O 7 deposited on LaAlO 3 substrate by pulsed laser deposition. In our work, the dielectric material sputtering pressure is set at 9.5x10 -6 Torr. The argon gas is released through a mass-flow controller to purge the system prior to deposition. RF power is 85 W at a distance of 9 cm. The behavior of Au membranes built on ultimate BaTiO 3 patches will be shown as part of the results. These novel surface patterns will in turn be used in modelling other RF MEM switch devices such as distributed-satellite communication system operating at cryogenic temperatures.« less

  1. Characterization of AlF3 thin films at 193 nm by thermal evaporation

    NASA Astrophysics Data System (ADS)

    Lee, Cheng-Chung; Liu, Ming-Chung; Kaneko, Masaaki; Nakahira, Kazuhide; Takano, Yuuichi

    2005-12-01

    Aluminum fluoride (AlF3) was deposited by a resistive heating boat. To obtain a low optical loss and high laser-induced damage threshold (LIDT) at 193 nm, the films were investigated under different substrate temperatures, deposition rates, and annealing after coating. The optical property (the transmittance, refractive index, extinction coefficient, and optical loss) at 193 nm, microstructure (the cross-sectional morphology, surface roughness, and crystalline structure), mechanical property (stress), and LIDT of AlF3 thin films have been studied. AlF3 thin films deposited at a high substrate temperature and low deposition rate showed a lower optical loss. The highest LIDT occurred at the substrate temperature of 150 °C. The LIDT of the films prepared at a deposition rate of 2 Å/s was higher than that at other deposition rates. The annealing process did not influence the optical properties too much, but it did increase the LIDT and stress.

  2. Characterization of AlF3 thin films at 193 nm by thermal evaporation.

    PubMed

    Lee, Cheng-Chung; Liu, Ming-Chung; Kaneko, Masaaki; Nakahira, Kazuhide; Takano, Yuuichi

    2005-12-01

    Aluminum fluoride (AlF3) was deposited by a resistive heating boat. To obtain a low optical loss and high laser-induced damage threshold (LIDT) at 193 nm, the films were investigated under different substrate temperatures, deposition rates, and annealing after coating. The optical property (the transmittance, refractive index, extinction coefficient, and optical loss) at 193 nm, microstructure (the cross-sectional morphology, surface roughness, and crystalline structure), mechanical property (stress), and LIDT of AlF3 thin films have been studied. AlF3 thin films deposited at a high substrate temperature and low deposition rate showed a lower optical loss. The highest LIDT occurred at the substrate temperature of 150 degrees C. The LIDT of the films prepared at a deposition rate of 2 A/s was higher than that at other deposition rates. The annealing process did not influence the optical properties too much, but it did increase the LIDT and stress.

  3. Fabrication of high aspect ratio TiO{sub 2} and Al{sub 2}O{sub 3} nanogratings by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shkondin, Evgeniy, E-mail: eves@fotonik.dtu.dk; Takayama, Osamu; Lavrinenko, Andrei V.

    The authors report on the fabrication of TiO{sub 2} and Al{sub 2}O{sub 3} nanostructured gratings with an aspect ratio of up to 50. The gratings were made by a combination of atomic layer deposition (ALD) and dry etch techniques. The workflow included fabrication of a Si template using deep reactive ion etching followed by ALD of TiO{sub 2} or Al{sub 2}O{sub 3}. Then, the template was etched away using SF{sub 6} in an inductively coupled plasma tool, which resulted in the formation of isolated ALD coatings, thereby achieving high aspect ratio grating structures. SF{sub 6} plasma removes silicon selectively withoutmore » any observable influence on TiO{sub 2} or Al{sub 2}O{sub 3}, thus revealing high selectivity throughout the fabrication. Scanning electron microscopy was used to analyze every fabrication step. Due to nonreleased stress in the ALD coatings, the top parts of the gratings were observed to bend inward as the Si template was removed, thus resulting in a gradual change in the pitch value of the structures. The pitch on top of the gratings is 400 nm, and it gradually reduces to 200 nm at the bottom. The form of the bending can be reshaped by Ar{sup +} ion beam etching. The chemical purity of the ALD grown materials was analyzed by x-ray photoelectron spectroscopy. The approach presented opens the possibility to fabricate high quality optical metamaterials and functional nanostructures.« less

  4. Tribological Behavior of Plasma-Sprayed Al2O3-20 wt.%TiO2 Coating

    NASA Astrophysics Data System (ADS)

    Cui, Shiyu; Miao, Qiang; Liang, Wenping; Zhang, Zhigang; Xu, Yi; Ren, Beilei

    2017-05-01

    Al2O3-20 wt.% TiO2 ceramic coatings were deposited on the surface of Grade D steel by plasma spraying of commercially available powders. The phases and the microstructures of the coatings were investigated by x-ray diffraction and scanning electron microscopy, respectively. The Al2O3-20 wt.% TiO2 composite coating exhibited a typical inter-lamellar structure consisting of the γ-Al2O3 and the Al2TiO5 phases. The dry sliding wear behavior of the coating was examined at 20 °C using a ball-on-disk wear tester. The plasma-sprayed coating showed a low wear rate ( 4.5 × 10-6 mm3 N-1 m-1), which was <2% of that of the matrix ( 283.3 × 10-6 mm3 N-1 m-1), under a load of 15 N. In addition, the tribological behavior of the plasma-sprayed coating was analyzed by examining the microstructure after the wear tests. It was found that delamination of the Al2TiO5 phase was the main cause of the wear during the sliding wear tests. A suitable model was used to simulate the wear mechanism of the coating.

  5. Zr-ZrO2 cermet solar coatings designed by modelling calculations and deposited by dc magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Zhang, Qi-Chu; Hadavi, M. S.; Lee, K.-D.; Shen, Y. G.

    2003-03-01

    High solar performance Zr-ZrO2 cermet solar coatings were designed using a numerical computer model and deposited experimentally. The layer thickness and Zr metal volume fraction for the Zr-ZrO2 cermet solar selective coatings on a Zr or Al reflector with a surface ZrO2 or Al2O3 anti-reflection layer were optimized to achieve maximum photo-thermal conversion efficiency at 80°C under concentration factors of 1-20 using the downhill simplex method in multi-dimensions in the numerical calculation. The dielectric function and the complex refractive index of Zr-ZrO2 cermet materials were calculated using Sheng's approximation. Optimization calculations show that Al2O3/Zr-ZrO2/Al solar coatings with two cermet layers and three cermet layers have nearly identical solar absorptance, emittance and photo-thermal conversion efficiency that are much better than those for films with one cermet layer. The optimized Al2O3/Zr-ZrO2/Al solar coating film with two cermet layers has a high solar absorptance value of 0.97 and low hemispherical emittance value of 0.05 at 80°C for a concentration factor of 2. The Al2O3/Zr-ZrO2/Al solar selective coatings with two cermet layers were deposited using dc magnetron sputtering technology. During the deposition of Zr-ZrO2 cermet layer, a Zr metallic target was run in a gas mixture of argon and oxygen. By control of oxygen flow rate the different metal volume fractions in the cermet layers were achieved using dc reactive sputtering. A solar absorptance of 0.96 and normal emittance of 0.05 at 80°C were achieved.

  6. High Transparent and Conductive TiO2/Ag/TiO2 Multilayer Electrode Films Deposited on Sapphire Substrate

    NASA Astrophysics Data System (ADS)

    Loka, Chadrasekhar; Moon, Sung Whan; Choi, YiSik; Lee, Kee-Sun

    2018-03-01

    Transparent conducting oxides attract intense interests due to its diverse industrial applications. In this study, we report sapphire substrate-based TiO2/Ag/TiO2 (TAT) multilayer structure of indium-free transparent conductive multilayer coatings. The TAT thin films were deposited at room temperature on sapphire substrates and a rigorous analysis has been presented on the electrical and optical properties of the films as a function of Ag thickness. The optical and electrical properties were mainly controlled by the Ag mid-layer thickness of the TAT tri-layer. The TAT films showed high luminous transmittance 84% at 550 nm along with noteworthy low electrical resistance 3.65 × 10-5 Ω-cm and sheet resistance of 3.77 Ω/square, which is better are than those of amorphous ITO films and any sapphire-based dielectric/metal/dielectric multilayer stack. The carrier concentration of the films was increased with respect to Ag thickness. We obtained highest Hackke's figure of merit 43.97 × 10-3 Ω-1 from the TAT multilayer thin film with a 16 nm thick Ag mid-layer.

  7. Electrostatic spray deposition of porous Fe 2O 3 thin films as anode material with improved electrochemical performance for lithium-ion batteries

    NASA Astrophysics Data System (ADS)

    Wang, L.; Xu, H. W.; Chen, P. C.; Zhang, D. W.; Ding, C. X.; Chen, C. H.

    Iron oxide materials are attractive anode materials for lithium-ion batteries for their high capacity and low cost compared with graphite and most of other transition metal oxides. Porous carbon-free α-Fe 2O 3 films with two types of pore size distribution were prepared by electrostatic spray deposition, and they were characterized by X-ray diffraction, scanning electron microscopy and X-ray absorption near-edge spectroscopy. The 200 °C-deposited thin film exhibits a high reversible capacity of up to 1080 mAh g -1, while the initial capacity loss is at a remarkable low level (19.8%). Besides, the energy efficiency and energy specific average potential (E av) of the Fe 2O 3 films during charge/discharge process were also investigated. The results indicate that the porous α-Fe 2O 3 films have significantly higher energy density than Li 4Ti 5O 12 while it has a similar E av of about 1.5 V. Due to the porous structure that can buffer the volume changes during lithium intercalation/de-intercalation, the films exhibit stable cycling performance. As a potential anode material for high performance lithium-ion batteries that can be applied on electric vehicle and energy storage, rate capability and electrochemical performance under high-low temperatures were also investigated.

  8. Correlation of process parameters and properties of TiO2 films grown by ion beam sputter deposition from a ceramic target

    NASA Astrophysics Data System (ADS)

    Bundesmann, Carsten; Lautenschläge, Thomas; Spemann, Daniel; Finzel, Annemarie; Mensing, Michael; Frost, Frank

    2017-10-01

    The correlation between process parameters and properties of TiO2 films grown by ion beam sputter deposition from a ceramic target was investigated. TiO2 films were grown under systematic variation of ion beam parameters (ion species, ion energy) and geometrical parameters (ion incidence angle, polar emission angle) and characterized with respect to film thickness, growth rate, structural properties, surface topography, composition, optical properties, and mass density. Systematic variations of film properties with the scattering geometry, namely the scattering angle, have been revealed. There are also considerable differences in film properties when changing the process gas from Ar to Xe. Similar systematics were reported for TiO2 films grown by reactive ion beam sputter deposition from a metal target [C. Bundesmann et al., Appl. Surf. Sci. 421, 331 (2017)]. However, there are some deviations from the previously reported data, for instance, in growth rate, mass density and optical properties.

  9. AES study on the chemical composition of ferroelectric BaTiO3 thin films RF sputter-deposited on silicon

    NASA Technical Reports Server (NTRS)

    Dharmadhikari, V. S.; Grannemann, W. W.

    1983-01-01

    AES depth profiling data are presented for thin films of BaTiO3 deposited on silicon by RF sputtering. By profiling the sputtered BaTiO3/silicon structures, it was possible to study the chemical composition and the interface characteristics of thin films deposited on silicon at different substrate temperatures. All the films showed that external surface layers were present, up to a few tens of angstroms thick, the chemical composition of which differed from that of the main layer. The main layer had stable composition, whereas the intermediate film-substrate interface consisted of reduced TiO(2-x) oxides. The thickness of this intermediate layer was a function of substrate temperature. All the films showed an excess of barium at the interface. These results are important in the context of ferroelectric phenomena observed in BaTiO3 thin films.

  10. Structural and magnetic properties of epitaxial delafossite CuFeO2 thin films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Senty, Tess; Joshi, Toyanath; Trappen, Robbyn; Zhou, Jinling; Chen, Song; Ferrari, Piero; Borisov, Pavel; Song, Xueyan; Holcomb, Mikel; Bristow, Alan; Cabrera, Alejandro; Lederman, David

    2015-03-01

    Growth of pure phase delafossite CuFeO2 thin films on Al2O3 (00.1) substrates by pulsed laser deposition was systematically investigated as function of growth temperature and oxygen pressure. X-ray diffraction, transmission electron microscopy, Raman scattering, and x-ray absorption spectroscopy confirmed the existence of the delafossite phase. Infrared reflectivity spectra determined a band edge at 1.15 eV, in agreement with the bulk delafossite data. Magnetization measurements on CuFeO2 films demonstrated a phase transition at TC = 15K, which agrees with the first antiferromagnetic transition at 14K in the bulk CuFeO2. Low temperature magnetic phase is best described by commensurate, weak ferromagnetic spin ordering along the c-axis. This work was supported by a Research Challenge Grant from the West Virginia Higher Education Policy Commission (HEPC.dsr.12.29) and the Microelectronics Advanced Research Corporation (Contract #2013-MA-2382) at WVU. Work at PUC was supported by FONDECyT.

  11. Synthesis of BiFeO3 thin films by chemical solution deposition - Structural and magnetic studies

    NASA Astrophysics Data System (ADS)

    Angappane, S.; Kambhala, Nagaiah

    2012-06-01

    BiFeO3 thin films were deposited on Si (100) substrates by chemical solution deposition. A precursor solution of bismuth acetate and iron acetylacetonate dissolved in distilled water and acetic acid was spin coated on to silicon substrates at ambient conditions, followed by drying and annealing at 650 °C. The films were characterized by XRD and FESEM to study structural properties and morphology. The magnetic properties studied by SQUID magnetometer shows the ferromagnetic nature of the chemical solution deposited BiFeO3 films which are crucial for low cost device applications.

  12. Surface morphology of Al0.3Ga0.7N/Al2O3-high electron mobility transistor structure.

    PubMed

    Cörekçi, S; Usanmaz, D; Tekeli, Z; Cakmak, M; Ozçelik, S; Ozbay, E

    2008-02-01

    We present surface properties of buffer films (AIN and GaN) and Al0.3Gao.zN/Al2O3-High Electron Mobility Transistor (HEMT) structures with/without AIN interlayer grown on High Temperature (HT)-AIN buffer/Al2O3 substrate and Al2O3 substrate. We have found that the GaN surface morphology is step-flow in character and the density of dislocations was about 10(8)-10(9) cm(-2). The AFM measurements also exhibited that the presence of atomic steps with large lateral step dimension and the surface of samples was smooth. The lateral step sizes are in the range of 100-250 nm. The typical rms values of HEMT structures were found as 0.27, 0.30, and 0.70 nm. HT-AIN buffer layer can have a significant impact on the surface morphology of Al0.3Ga0.7N/Al2O3-HEMT structures.

  13. Evolution of optical properties and band structure from amorphous to crystalline Ga2O3 films

    NASA Astrophysics Data System (ADS)

    Zhang, Fabi; Li, Haiou; Cui, Yi-Tao; Li, Guo-Ling; Guo, Qixin

    2018-04-01

    The optical properties and band structure evolution from amorphous to crystalline Ga2O3 films was investigated in this work. Amorphous and crystalline Ga2O3 films were obtained by changing the growth substrate temperatures of pulsed laser deposition and the crystallinity increase with the rising of substrate temperature. The bandgap value and ultraviolet emission intensity of the films increase with the rising of crystallinity as observed by means of spectrophotometer and cathodoluminescence spectroscopy. Abrupt bandgap value and CL emission variations were observed when amorphous to crystalline transition took place. X-ray photoelectron spectroscopy core level spectra reveal that more oxygen vacancies and disorders exist in amorphous Ga2O3 film grown at lower substrate temperature. The valence band spectra of hard X-ray photoelectron spectroscopy present the main contribution from Ga 4sp for crystalline film deposited at substrate temperature of 500 oC, while extra subgap states has been observed in amorphous film deposited at 300 oC. The oxygen vacancy and the extra subgap density of states are suggested to be the parts of origin of bandgap and CL spectra variations. The experimental data above yields a realistic picture of optical properties and band structure variation for the amorphous to crystalline transition of Ga2O3 films.

  14. Comparison of Multilayer Dielectric Thin Films for Future Metal-Insulator-Metal Capacitors: Al2O3/HfO2/Al2O3 versus SiO2/HfO2/SiO2

    NASA Astrophysics Data System (ADS)

    Park, Sang-Uk; Kwon, Hyuk-Min; Han, In-Shik; Jung, Yi-Jung; Kwak, Ho-Young; Choi, Woon-Il; Ha, Man-Lyun; Lee, Ju-Il; Kang, Chang-Yong; Lee, Byoung-Hun; Jammy, Raj; Lee, Hi-Deok

    2011-10-01

    In this paper, two kinds of multilayered metal-insulator-metal (MIM) capacitors using Al2O3/HfO2/Al2O3 (AHA) and SiO2/HfO2/SiO2 (SHS) were fabricated and characterized for radio frequency (RF) and analog mixed signal (AMS) applications. The experimental results indicate that the AHA MIM capacitor (8.0 fF/µm2) is able to provide a higher capacitance density than the SHS MIM capacitor (5.1 fF/µm2), while maintaining a low leakage current of about 50 nA/cm2 at 1 V. The quadratic voltage coefficient of capacitance, α gradually decreases as a function of stress time under constant voltage stress (CVS). The parameter variation of SHS MIM capacitors is smaller than that of AHA MIM capacitors. The effects of CVS on voltage linearity and time-dependent dielectric breakdown (TDDB) characteristics were also investigated.

  15. Structural and gasochromic properties of WO3 films prepared by reactive sputtering deposition

    NASA Astrophysics Data System (ADS)

    Yamamoto, S.; Hakoda, T.; Miyashita, A.; Yoshikawa, M.

    2015-02-01

    The effects of deposition temperature and film thickness on the structural and gasochromic properties of tungsten trioxide (WO3) films used for the optical detection of diluted cyclohexane gas have been investigated. The WO3 films were prepared on SiO2 substrates by magnetron sputtering, with the deposition temperature ranging from 300 to 550 °C in an Ar and O2 gas mixture. The films were characterized by scanning electron microscopy (SEM), x-ray diffraction (XRD), and Rutherford backscattering spectroscopy (RBS). The gasochromic properties of the WO3 films, coated with a catalytic Pt layer, were examined by exposing them to up to 5% cyclohexane in N2 gas. It was found that (001)-oriented monoclinic WO3 films, with a columnar structure, grew at deposition temperatures between 400 and 450 °C. Furthermore, (010)-oriented WO3 films were preferably formed at deposition temperatures higher than 500 °C. The gasochromic characterization of the Pt/WO3 films revealed that (001)-oriented WO3 films, with cauliflower-like surface morphology, were appropriate for the optical detection of cyclohexane gas.

  16. Improved ferroelectric polarization of V-doped Bi{sub 6}Fe{sub 2}Ti{sub 3}O{sub 18} thin films prepared by a chemical solution deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, D. P.; University of Science and Technology of China, Hefei 230026; Yang, J., E-mail: jyang@issp.ac.cn

    We prepared V-doped Bi{sub 6}Fe{sub 2}Ti{sub 3}O{sub 18} thin films on Pt/Ti/SiO{sub 2}/Si (100) substrates by using a chemical solution deposition route and investigated the doping effect on the microstructure, dielectric, leakage, and ferroelectric properties of Bi{sub 6}Fe{sub 2}Ti{sub 3}O{sub 18} thin films. The Bi{sub 5.97}Fe{sub 2}Ti{sub 2.91}V{sub 0.09}O{sub 18} thin film exhibits improved dielectric properties, leakage current, and ferroelectric properties. The incorporation of vanadium resulted in a substantially enhanced remnant polarization (2P{sub r}) over 30 μC/cm{sup 2} in Bi{sub 5.97}Fe{sub 2}Ti{sub 2.91}V{sub 0.09}O{sub 18} thin film compared with 10 μC/cm{sup 2} in Bi{sub 6}Fe{sub 2}Ti{sub 3}O{sub 18} thin film. It ismore » demonstrated that the improved properties may stem from the improvement of crystallinity of the films with the contribution of suppressed oxygen vacancies and decreased mobility of oxygen vacancies caused by the V-doping. The results will provide a guidance to optimize the ferroelectric properties in Bi{sub 6}Fe{sub 2}Ti{sub 3}O{sub 18} thin films by chemical solution deposition, which is important to further explore single-phase multiferroics in the n = 5 Aurivillius thin films.« less

  17. Microstructural and magneto-transport characterization of Bi2SexTe3-x topological insulator thin films grown by pulsed laser deposition method

    NASA Astrophysics Data System (ADS)

    Jin, Zhenghe; Kumar, Raj; Hunte, Frank; Narayan, Jay; Kim, Ki Wook; North Carolina State University Team

    Bi2SexTe3-x topological insulator thin films were grown on Al2O3 (0001) substrate by pulsed laser deposition (PLD). XRD and other structural characterization measurements confirm the growth of the textured Bi2SexTe3-x thin films on Al2O3 substrate. The magneto-transport properties of thick and thin Þlms were investigated to study the effect of thickness on the topological insulator properties of the Bi2SexTe3 - x films. A pronounced semiconducting behavior with a highly insulating ground state was observed in the resistivity vs. temperature data. The presence of the weak anti-localization (WAL) effect with a sharp cusp in the magnetoresistance measurements confirms the 2-D surface transport originating from the TSS in Bi2SexTe3-x TI films. A high fraction of surface transport is observed in the Bi2SexTe3-x TI thin films which decreases in Bi2SexTe3-x TI thick films. The Cosine (θ) dependence of the WAL effect supports the observation of a high proportion of 2-D surface state contribution to overall transport properties of the Bi2SexTe3-x TI thin films. Our results show promise that high quality Bi2SexTe3-x TI thin films with significant surface transport can be grown by PLD method to exploit the exotic properties of the surface transport in future generation spintronic devices. This work was supported, in part, by National Science Foundation ECCS-1306400 and FAME.

  18. Microwave irradiation-assisted deposition of Ga2O3 on III-nitrides for deep-UV opto-electronics

    NASA Astrophysics Data System (ADS)

    Jaiswal, Piyush; Ul Muazzam, Usman; Pratiyush, Anamika Singh; Mohan, Nagaboopathy; Raghavan, Srinivasan; Muralidharan, R.; Shivashankar, S. A.; Nath, Digbijoy N.

    2018-01-01

    We report on the deposition of Ga2O3 on III-nitride epi-layers using the microwave irradiation technique. We also report on the demonstration of a Ga2O3 device: a visible-blind, deep-UV detector, with a GaN-based heterostructure as the substrate. The film deposited in the solution medium, at <200 °C, using a metalorganic precursor, was nanocrystalline. XRD confirms that the as-deposited film, when annealed at high temperature, turns to polycrystalline β-Ga2O3. SEM shows the as-deposited film to be uniform, with a surface roughness of 4-5 nm, as revealed by AFM. Interdigitated metal-semiconductor-metal devices with Ni/Au contact exhibited a peak spectral response at 230 nm and a good visible rejection ratio. This demonstration of a deep-UV detector on the β-Ga2O3/III-nitride stack is expected to open up possibilities of functional and physical integration of β-Ga2O3 and GaN material families towards enabling next-generation high-performance devices by exciting band and heterostructure engineering.

  19. Cation disorder and gas phase equilibrium in an YBa 2Cu 3O 7- x superconducting thin film

    NASA Astrophysics Data System (ADS)

    Shin, Dong Chan; Ki Park, Yong; Park, Jong-Chul; Kang, Suk-Joong L.; Yong Yoon, Duk

    1997-02-01

    YBa 2Cu 3O 7- x superconducting thin films have been grown by in situ off-axis rf sputtering with varying oxygen pressure, Ba/Y ratio in a target, and deposition temperature. With decreasing oxygen pressure, increasing Ba/Y ratio, increasing deposition temperature, the critical temperature of the thin films decreased and the c-axis length increased. The property change of films with the variation of deposition variables has been explained by a gas phase equilibrium of the oxidation reaction of Ba and Y. Applying Le Chatelier's principle to the oxidation reaction, we were able to predict the relation of deposition variables and the resultant properties of thin films; the prediction was in good agreement with the experimental results. From the relation between the three deposition variables and gas phase equilibrium, a 3-dimensional processing diagram was introduced. This diagram has shown that the optimum deposition condition of YBa 2Cu 3O 7- x thin films is not a fixed point but can be varied. The gas phase equilibrium can also be applied to the explanation of previous results that good quality films were obtained at low deposition temperature using active species, such as O, O 3, and O 2+.

  20. Depolarization currents in Al 2O 3 and MgAl 2O 4 oxides

    NASA Astrophysics Data System (ADS)

    Carvalhaes, R. P. M.; Rocha, M. S.; de Souza, S. S.; Blak, A. R.

    2004-06-01

    In the present work, dipole defects in γ-irradiated and thermally treated samples of Al 2O 3 and MgAl 2O 4 oxides are investigated, applying the thermally stimulated depolarisation currents technique (TSDC). The TSDC spectra of MgAl 2O 4 doped with Fe 2+, Fe 3+, Co 2+, Cr 3+ and Mn 2+ show four bands at 130 K, 160 K, 250 K and 320 K, and the spectra of Al 2O 3 doped with Mg 2+, Cr 3+ and Fe 3+ show bands between 230 K and 260 K. It has been observed that the bands at 130 K, 160 K and 250 K in MgAl 2O 4 spinel and that the 230 K and 240 K bands in Al 2O 3 are related to dipole defects. The other bands are possibly related to different types of charge storage mechanisms (space-charge and interfacial polarisation) or deal with distributions in activation energies and/or in relaxation times. A thermal decrease of the TSDC bands for heat treatments above 1000 K has been observed. In MgAl 2O 4 spinel, the 250 K band could be recovered after γ-irradiation and the two dipole peaks in Al 2O 3 were partially recovered. Thermal treatments affect the dipole aggregation processes in both oxides. Optical absorption (AO) results indicate that the presence of bands of water molecules in the infrared region obstructs the appearance of the TSDC bands in both Al 2O 3 and MgAl 2O 4. The 250 K peak in MgAl 2O 4 was correlated to V-type centres and the 250 K peak in Al 2O 3 to a substitutional Mg 2+ ion near a trapped hole localised on an adjacent oxygen ion.

  1. Robust and Bright Photoluminescence from Colloidal Nanocrystal/Al2O3 Composite Films Fabricated by Atomic Layer Deposition.

    PubMed

    Palei, Milan; Caligiuri, Vincenzo; Kudera, Stefan; Krahne, Roman

    2018-06-22

    Colloidal nanocrystals are a promising fluorescent class of materials whose spontaneous emission features can be tuned over a broad spectral range via their composition, geometry, and size. However, toward embedding nanocrystal films in elaborated device geometries, one significant drawback is the sensitivity of their emission properties on further fabrication processes like lithography, metal or oxide deposition, etc. In this work, we demonstrate how bright-emitting and robust thin films can be obtained by combining nanocrystal deposition from solutions via spin coating with subsequent atomic layer deposition of alumina. For the resulting composite films, the layer thickness can be controlled on the nanoscale and their refractive index can be finely tuned by the amount of deposited alumina. Ellipsometry is used to measure the real and imaginary part of the dielectric permittivity, which gives direct access to the wavelength dependent refractive index and absorbance of the film. Detailed analysis of the photophysics of thin films of core-shell nanocrystals with different shapes and different shell thicknesses allows to correlate the behavior of the photoluminescence and of the decay lifetime to the changes in the nonradiative rate that are induced by the alumina deposition. We show that the photoemission properties of such composite films are stable in wavelength and intensity over several months and that the photoluminescence completely recovers from heating processes up to 240 °C. The latter is particularly interesting since it demonstrates robustness to the typical heat treatment that is needed in several process steps like resist-based lithography and deposition by thermal or electron beam evaporation of metals or oxides.

  2. Defect-induced magnetic order in pure ZnO films

    NASA Astrophysics Data System (ADS)

    Khalid, M.; Ziese, M.; Setzer, A.; Esquinazi, P.; Lorenz, M.; Hochmuth, H.; Grundmann, M.; Spemann, D.; Butz, T.; Brauer, G.; Anwand, W.; Fischer, G.; Adeagbo, W. A.; Hergert, W.; Ernst, A.

    2009-07-01

    We have investigated the magnetic properties of pure ZnO thin films grown under N2 pressure on a -, c -, and r -plane Al2O3 substrates by pulsed-laser deposition. The substrate temperature and the N2 pressure were varied from room temperature to 570°C and from 0.007 to 1.0 mbar, respectively. The magnetic properties of bare substrates and ZnO films were investigated by SQUID magnetometry. ZnO films grown on c - and a -plane Al2O3 substrates did not show significant ferromagnetism. However, ZnO films grown on r -plane Al2O3 showed reproducible ferromagnetism at 300 K when grown at 300-400°C and 0.1-1.0 mbar N2 pressure. Positron annihilation spectroscopy measurements as well as density-functional theory calculations suggest that the ferromagnetism in ZnO films is related to Zn vacancies.

  3. Optimization of MgF2-deposition temperature for far UV Al mirrors.

    PubMed

    De Marcos, Luis V Rodríguez; Larruquert, Juan I; Méndez, José A; Gutiérrez-Luna, Nuria; Espinosa-Yáñez, Lucía; Honrado-Benítez, Carlos; Chavero-Royán, José; Perea-Abarca, Belén

    2018-04-02

    Progress towards far UV (FUV) coatings with enhanced reflectance is invaluable for future space missions, such as LUVOIR. This research starts with the procedure developed to enhance MgF 2 -protected Al reflectance through depositing MgF 2 on a heated aluminized substrate [Quijada et al., Proc. SPIE 8450, 84502H (2012)] and it establishes the optimum deposition temperature of the MgF 2 protective film for Al mirrors with a reflectance as high as ~90% at 121.6 nm. Al films were deposited at room temperature and protected with a MgF 2 film deposited at various temperatures ranging from room temperature to 350°C. It has been found that mirror reflectance in the short FUV range continuously increases with MgF 2 deposition temperature up to 250°C, whereas reflectance decreases at temperatures of 300°C and up. The short-FUV reflectance of mirrors deposited at 250°C only slightly decreased over time by less than 1%, compared to a larger decay for standard coatings prepared at room temperature. Al mirrors protected with MgF 2 deposited at room temperature that were later annealed displayed a similar reflectance enhancement that mirrors protected at high temperatures. MgF 2 and Al roughness as well as MgF 2 density were analyzed by x-ray grazing incidence reflectometry. A noticeable reduction in both Al and MgF 2 roughness, as well as an increase of MgF 2 density, were measured for films deposited at high temperatures. On the other hand, it was found a strong correlation between the protective-layer deposition temperature (or post-deposition annealing temperature) and the pinhole open area in Al films, which could be prevented with a somewhat thicker Al film.

  4. MIM capacitors with various Al2O3 thicknesses for GaAs RFIC application

    NASA Astrophysics Data System (ADS)

    Jiahui, Zhou; Hudong, Chang; Honggang, Liu; Guiming, Liu; Wenjun, Xu; Qi, Li; Simin, Li; Zhiyi, He; Haiou, Li

    2015-05-01

    The impact of various thicknesses of Al2O3 metal—insulator—metal (MIM) capacitors on direct current and radio frequency (RF) characteristics is investigated. For 20 nm Al2O3, the fabricated capacitor exhibits a high capacitance density of 3850 pF/mm2 and acceptable voltage coefficients of capacitance of 681 ppm/V2 at 1 MHz. An outstanding VCC-α of 74 ppm/V2 at 1 MHz, resonance frequency of 8.2 GHz and Q factor of 41 at 2 GHz are obtained by 100 nm Al2O3 MIM capacitors. High-performance MIM capacitors using GaAs process and atomic layer deposition Al2O3 could be very promising candidates for GaAs RFIC applications. Project supported by the National Natural Science Foundation of China (Nos. 61274077, 61474031), the Guangxi Natural Science Foundation (No. 2013GXNSFGA019003), the Guangxi Department of Education Project (No. 201202ZD041), the Guilin City Technology Bureau (Nos. 20120104-8, 20130107-4), the China Postdoctoral Science Foundation Funded Project (Nos. 2012M521127, 2013T60566), the National Basic Research Program of China (Nos. 2011CBA00605, 2010CB327501), the Innovation Project of GUET Graduate Education (Nos. GDYCSZ201448, GDYCSZ201449), the State Key Laboratory of Electronic Thin Films and Integrated Devices, UESTC (No. KFJJ201205), and the Guilin City Science and Technology Development Project (Nos. 20130107-4, 20120104-8).

  5. Tilted BaHfO3 nanorod artificial pinning centres in REBCO films on inclined substrate deposited-MgO coated conductor templates

    NASA Astrophysics Data System (ADS)

    Stafford, B. H.; Sieger, M.; Ottolinger, R.; Meledin, A.; Strickland, N. M.; Wimbush, S. C.; Van Tendeloo, G.; Hühne, R.; Schultz, L.

    2017-05-01

    We grow BaHfO3 (BHO) nanorods in REBa2Cu3O7-x (REBCO, RE: Gd or Y) thin films on metal tapes coated with the inclined substrate deposited (ISD)-MgO template by both electron beam physical vapour deposition and pulsed laser deposition. In both cases the nanorods are inclined by an angle of 21°-29° with respect to the sample surface normal as a consequence of the tilted growth of the REBCO film resulting from the ISD-MgO layer. We present angular critical current density (J c) anisotropy as well as field- and temperature-dependant J c data of the BHO nanorod-containing GdBCO films demonstrating an increase in J c over a wide range of temperatures between 30 and 77 K and magnetic fields up to 8 T. In addition, we show that the angle of the peak in the J c anisotropy curve resulting from the nanorods is dependent both on temperature and magnetic field. The largest J c enhancement from the addition of the nanorods was found to occur at 30 K, 3 T, resulting in a J c of 3.0 MA cm-2.

  6. Metal Organic Chemical Vapor Deposition of Oxide Films for Advanced Applications

    DTIC Science & Technology

    2000-06-01

    coatings , photovoltaics, touch sensitive controls, electromagnetic shielding (as found on microwave ovens and stealth fighters), static dissipaters, and so...depositing high quality films. The methods are physical vapor deposition ( PVD ), spin/mist deposition, (CVD), and alternating layer (AL) CVD. PVD ...PZT & SBT, YBa2Cu3O, CeO, InO, TCOs, Varistors Ta2O5 , ZrO, MnO, HfO, CeO, MnO, MgO SAW/microwave Silicon/: Si, SiGe, SiGeC, �. Opto-electronics

  7. Effects of substrate temperatures and deposition rates on properties of aluminum fluoride thin films in deep-ultraviolet region.

    PubMed

    Sun, Jian; Li, Xu; Zhang, Weili; Yi, Kui; Shao, Jianda

    2012-12-10

    Aluminum fluoride (AlF(3)) is a low-refractive-index material widely used in coatings for deep-ultraviolet (DUV) optical systems, especially 193 nm laser systems. Low optical loss and stability are essential for film application. In this study, AlF(3)> thin films were prepared by thermal evaporation with a resistive heating boat. The effects of substrate temperatures and deposition rates on the optical properties in vacuum and in air, composition, and microstructures were discussed respectively. In vacuum the deposition parameters directly influenced the microstructures that determined the refractive index. When the films were exposed to air, aluminum oxide (Al(2)O(3)) formed in the films with water adsorption. Thus the refractive index increased and a nonmonotonic changing trend of the refractive index with substrate temperature was observed. The Al(2)O(3) was also found to be conductive to reducing absorption loss. AlF(3) films prepared at a high substrate temperature and deposition rate could yield stable structures with large optical loss.

  8. Photoluminescence of ZnS-SiO2:Ce Thin Films Deposited by Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Mizuno, Masao

    2011-12-01

    Photoluminescent emissions of zinc sulfide-silica-cerium thin films deposited by magnetron sputtering were observed. The films consisted of ZnS nanocrystals embedded in amorphous SiO2 matrices. ZnS-SiO2:Ce films exhibited photoluminescence even without postannealing. Their emission spectra showed broad patterns in the visible range; the emitted colors depended on film composition.

  9. Thin film growth into the ion track structures in polyimide by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Mättö, L.; Malm, J.; Arstila, K.; Sajavaara, T.

    2017-09-01

    High-aspect ratio porous structures with controllable pore diameters and without a stiff substrate can be fabricated using the ion track technique. Atomic layer deposition is an ideal technique for depositing thin films and functional surfaces on complicated 3D structures due to the high conformality of the films. In this work, we studied Al2O3 and TiO2 films grown by ALD on pristine polyimide (Kapton HN) membranes as well as polyimide membranes etched in sodium hypochlorite (NaOCl) and boric acid (BO3) solution by means of RBS, PIXE, SEM-EDX and helium ion microcopy (HIM). The focus was on the first ALD growth cycles. The areal density of Al2O3 film in the 400 cycle sample was determined to be 51 ± 3 × 1016 at./cm2, corresponding to the thickness of 55 ± 3 nm. Furthermore, the growth per cycle was 1.4 Å/cycle. The growth is highly linear from the first cycles. In the case of TiO2, the growth per cycle is clearly slower during the first 200 cycles but then it increases significantly. The growth rate based on RBS measurements is 0.24 Å/cycle from 3 to 200 cycles and then 0.6 Å/cycle between 200 and 400 cycles. The final areal density of TiO2 film after 400 cycles is 148 ± 3 × 1015 at./cm2 which corresponds to the thickness of 17.4 ± 0.4 nm. The modification of the polyimide surface by etching prior to the deposition did not have an effect on the Al2O3 and TiO2 growth.

  10. Al-doped ZnO seed layer-dependent crystallographic control of ZnO nanorods by using electrochemical deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Son, Hyo-Soo; Choi, Nak-Jung; Kim, Kyoung-Bo

    Highlights: • Polar and semipolar ZnO NRs were successfully achieved by hydrothermal synthesis. • Semipolar and polar ZnO NRs were grown on ZnO and AZO/m-sapphire, respectively. • Al % of AZO/m-sapphire enhanced the lateral growth rate of polar ZnO NRs. - Abstract: We investigated the effect of an Al-doped ZnO film on the crystallographic direction of ZnO nanorods (NRs) using electrochemical deposition. From high-solution X-ray diffraction measurements, the crystallographic plane of ZnO NRs grown on (1 0 0) ZnO/m-plane sapphire was (1 0 1). The surface grain size of the (100) Al-doped ZnO (AZO) film decreased with increasing Al contentmore » in the ZnO seed layer, implying that the Al dopant accelerated the three-dimensional (3D) growth of the AZO film. In addition, it was found that with increasing Al doping concentration of the AZO seed layer, the crystal orientation of the ZnO NRs grown on the AZO seed layer changed from [1 0 1] to [0 0 1]. With increasing Al content of the nonpolar (1 0 0) AZO seed layer, the small surface grains with a few crystallographic planes of the AZO film changed from semipolar (1 0 1) ZnO NRs to polar (0 0 1) ZnO NRs due to the increase of the vertical [0 0 1] growth rate of the ZnO NRs owing to excellent electrical properties.« less

  11. LaAlO{sub 3}/Si capacitors: Comparison of different molecular beam deposition conditions and their impact on electrical properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pelloquin, Sylvain; Baboux, Nicolas; Albertini, David

    2013-01-21

    A study of the structural and electrical properties of amorphous LaAlO{sub 3} (LAO)/Si thin films fabricated by molecular beam deposition (MBD) is presented. Two substrate preparation procedures have been explored namely a high temperature substrate preparation technique-leading to a step and terraces surface morphology-and a chemical HF-based surface cleaning. The LAO deposition conditions were improved by introducing atomic plasma-prepared oxygen instead of classical molecular O{sub 2} in the chamber. An Au/Ni stack was used as the top electrode for its electrical characteristics. The physico-chemical properties (surface topography, thickness homogeneity, LAO/Si interface quality) and electrical performance (capacitance and current versus voltagemore » and TunA current topography) of the samples were systematically evaluated. Deposition conditions (substrate temperature of 550 Degree-Sign C, oxygen partial pressure settled at 10{sup -6} Torr, and 550 W of power applied to the O{sub 2} plasma) and post-depositions treatments were investigated to optimize the dielectric constant ({kappa}) and leakage currents density (J{sub Gate} at Double-Vertical-Line V{sub Gate} Double-Vertical-Line = Double-Vertical-Line V{sub FB}- 1 Double-Vertical-Line ). In the best reproducible conditions, we obtained a LAO/Si layer with a dielectric constant of 16, an equivalent oxide thickness of 8.7 A, and J{sub Gate} Almost-Equal-To 10{sup -2}A/cm{sup 2}. This confirms the importance of LaAlO{sub 3} as an alternative high-{kappa} for ITRS sub-22 nm technology node.« less

  12. Dislocations Accelerate Oxygen Ion Diffusion in La 0.8Sr 0.2MnO 3 Epitaxial Thin Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Navickas, Edvinas; Chen, Yan; Lu, Qiyang

    Revealing whether dislocations accelerate oxygen ion transport is important for providing abilities in tuning the ionic conductivity of ceramic materials. In this study, we report how dislocations affect oxygen ion diffusion in Sr-doped LaMnO 3 (LSM), a model perovskite oxide that serves in energy conversion technologies. LSM epitaxial thin films with thicknesses ranging from 10 nm to more than 100 nm were prepared by pulsed laser deposition on single-crystal LaAlO 3 and SrTiO 3 substrates. The lattice mismatch between the film and substrates induces compressive or tensile in-plane strain in the LSM layers. This lattice strain is partially reduced bymore » dislocations, especially in the LSM films on LaAlO 3. Oxygen isotope exchange measured by secondary ion mass spectrometry revealed the existence of at least two very different diffusion coefficients in the LSM films on LaAlO 3. In conclusion, the diffusion profiles can be quantitatively explained by the existence of fast oxygen ion diffusion along threading dislocations that is faster by up to 3 orders of magnitude compared to that in LSM bulk.« less

  13. Dislocations Accelerate Oxygen Ion Diffusion in La0.8Sr0.2MnO3 Epitaxial Thin Films

    PubMed Central

    2017-01-01

    Revealing whether dislocations accelerate oxygen ion transport is important for providing abilities in tuning the ionic conductivity of ceramic materials. In this study, we report how dislocations affect oxygen ion diffusion in Sr-doped LaMnO3 (LSM), a model perovskite oxide that serves in energy conversion technologies. LSM epitaxial thin films with thicknesses ranging from 10 nm to more than 100 nm were prepared by pulsed laser deposition on single-crystal LaAlO3 and SrTiO3 substrates. The lattice mismatch between the film and substrates induces compressive or tensile in-plane strain in the LSM layers. This lattice strain is partially reduced by dislocations, especially in the LSM films on LaAlO3. Oxygen isotope exchange measured by secondary ion mass spectrometry revealed the existence of at least two very different diffusion coefficients in the LSM films on LaAlO3. The diffusion profiles can be quantitatively explained by the existence of fast oxygen ion diffusion along threading dislocations that is faster by up to 3 orders of magnitude compared to that in LSM bulk. PMID:28981249

  14. Dislocations Accelerate Oxygen Ion Diffusion in La 0.8Sr 0.2MnO 3 Epitaxial Thin Films

    DOE PAGES

    Navickas, Edvinas; Chen, Yan; Lu, Qiyang; ...

    2017-10-05

    Revealing whether dislocations accelerate oxygen ion transport is important for providing abilities in tuning the ionic conductivity of ceramic materials. In this study, we report how dislocations affect oxygen ion diffusion in Sr-doped LaMnO 3 (LSM), a model perovskite oxide that serves in energy conversion technologies. LSM epitaxial thin films with thicknesses ranging from 10 nm to more than 100 nm were prepared by pulsed laser deposition on single-crystal LaAlO 3 and SrTiO 3 substrates. The lattice mismatch between the film and substrates induces compressive or tensile in-plane strain in the LSM layers. This lattice strain is partially reduced bymore » dislocations, especially in the LSM films on LaAlO 3. Oxygen isotope exchange measured by secondary ion mass spectrometry revealed the existence of at least two very different diffusion coefficients in the LSM films on LaAlO 3. In conclusion, the diffusion profiles can be quantitatively explained by the existence of fast oxygen ion diffusion along threading dislocations that is faster by up to 3 orders of magnitude compared to that in LSM bulk.« less

  15. Zinc interstitial threshold in Al-doped ZnO film: Effect on microstructure and optoelectronic properties

    NASA Astrophysics Data System (ADS)

    Singh, Chetan C.; Panda, Emila

    2018-04-01

    In order to know the threshold quantity of the zinc interstitials that contributes to an increase in carrier concentration in the Al-doped ZnO (AZO) films and their effect on the overall microstructure and optoelectronic properties of these films, in this work, Zn-rich-AZO and ZnO thin films are fabricated by adding excess zinc (from a zinc metallic target) during their deposition in RF magnetron sputtering and are then investigated using a wide range of experimental techniques. All these films are found to grow in a ZnO hexagonal wurtzite crystal structure with strong (002) orientation of the crystallites, with no indication of Al2O3, metallic Zn, and Al. The excessively introduced zinc in these AZO and/or ZnO films is found to increase the shallow donor level defects (i.e., zinc interstitials and oxygen-related electronic defect states), which is found to significantly increase the carrier concentration in these films. Additionally, aluminum is seen to enhance the creation of these electronic defect states in these films, thereby contributing more to the overall carrier concentration of these films. However, carrier mobility is found to decrease when the carrier concentration values are higher than 4 × 1020 cm-3, because of the electron-electron scattering. Whereas the optical band gap of the ZnO films is found to increase with increasing carrier concentration because of the Burstein-Moss shift, these decrease for the AZO films due to the band gap narrowing effect caused by excess carrier concentration.

  16. Formation of gamma'-Ni3Al via the Peritectoid Reaction: gamma plus beta (+Al2O3) equals gamma'(+Al2O3)

    NASA Technical Reports Server (NTRS)

    Copland, Evan

    2008-01-01

    The activities of Al and Ni were measured using multi-cell Knudsen effusion-cell mass spectrometry (multi-cell KEMS), over the composition range 8 - 32 at.%Al and temperature range T = 1400 - 1750 K in the Ni-Al-O system. These measurements establish that equilibrium solidification of gamma'-Ni3Al-containing alloys occurs by the eutectic reaction, L (+ Al2O3) = gamma + beta (+ Al2O3), at 1640 plus or minus 1 K and a liquid composition of 24.8 plus or minus 0.2 at.%Al (at an unknown oxygen content). The {gamma + beta + Al2O3} phase field is stable over the temperature range 1633 - 1640 K, and gamma'-Ni3Al forms via the peritectiod, gamma + beta (+ Al2O3) = gamma'(+ Al2O3), at 1633 plus or minus 1 K. This behavior is inconsistent with the current Ni-Al phase diagram and a new diagram is proposed. This new Ni-Al phase diagram explains a number of unusual steady state solidification structures reported previously and provides a much simpler reaction scheme in the vicinity of the gamma'-Ni3Al phase field.

  17. Deposition and characterization of stoichiometric films of V2O5 on Pd(111)

    NASA Astrophysics Data System (ADS)

    Feng, Xu; Abdel-Rahman, Mohammed K.; Kruppe, Christopher M.; Trenary, Michael

    2017-10-01

    A simple and efficient method has been used to grow V2O5 thin films on Pd(111) at a substrate temperature of 300 K through physical vapor deposition by heating a fine powder of V2O5 in a non-oxidative, UHV environment. X-ray photoelectron spectroscopy (XPS), reflection absorption infrared spectroscopy (RAIRS) and low energy electron diffraction (LEED) were used to characterize the thin films. When the as-grown films exceed a minimum thickness, characteristic features of V2O5 were revealed by XPS and RAIRS, which confirms the presence of stoichiometric V2O5. LEED indicates no long range order of the as-grown films at 300 K. Annealing to temperatures between 600 and 700 K causes a reduction of V2O5 to VO2 as identified by XPS and the formation of ordered structures as determined by LEED, and VO2 is predominant after annealing to 800 K. After further annealing to 1000 K, only an ordered form of V2O3 is present on Pd(111).

  18. High performance ZnO:Al films deposited on PET substrates using facing target sputtering

    NASA Astrophysics Data System (ADS)

    Guo, Tingting; Dong, Guobo; Gao, Fangyuan; Xiao, Yu; Chen, Qiang; Diao, Xungang

    2013-10-01

    ZnO:Al (ZAO) thin films have been deposited on flexible PET substrates using a plasma damage-free facing target sputtering system at room temperature. The structure, surface morphology, electrical and optical properties were investigated as a function of working power. All the samples have a highly preferred orientation of the c-axis perpendicular to the PET substrate and have a high quality surface. With increased working power, the carrier concentration changes slightly, the mobility increases at the beginning and decreases after it reaches a maximum value, in line with electrical conductivity. The figure of merit has been significantly improved with increasing of the working power. Under the optimized condition, the lowest resistivity of 1.3 × 10-3 Ω cm with a sheet resistance of 29 Ω/□ and the relative visible transmittance above 93% in the visible region were obtained.

  19. Significantly enhanced energy output from 3D ordered macroporous structured Fe2O3/Al nanothermite film.

    PubMed

    Zhang, Wenchao; Yin, Baoqing; Shen, Ruiqi; Ye, Jiahai; Thomas, Jason A; Chao, Yimin

    2013-01-23

    A three-dimensionally ordered macroporous Fe(2)O(3)/Al nanothermite membrane has been prepared with a polystyrene spheres template. The nanothermite, with an enhanced interfacial contact between fuel and oxidizer, outputs 2.83 kJ g(-1) of energy. This is significantly more than has been reported before. This approach, fully compatible with MEMS technology, provides an efficient way to produce micrometer thick three-dimensionally ordered nanostructured thermite films with overall spatial uniformity. These exciting achievements will greatly facilitate potential for the future development of applications of nanothermites.

  20. Localized phase change of VO2 films grown by atomic-layer deposition on InAlN/AlN/GaN heterostructures

    NASA Astrophysics Data System (ADS)

    Downey, Brian P.; Wheeler, Virginia D.; Meyer, David J.

    2017-06-01

    We demonstrate the thermally actuated phase change of VO2 films formed by atomic layer deposition and subsequent thermal annealing on InAlN/AlN/GaN heterostructures. To locally raise the device temperature above the VO2 semiconductor-metal transition temperature, a two-dimensional electron gas formed within the InAlN/AlN/GaN heterostructure was used as an integrated resistive heater. An ON/OFF resistance ratio of nearly 103 was achieved for 50 nm VO2 films over a temperature range of 25 to 105 °C. The time required to switch the VO2 film from high- to low-resistance states was shown to depend on the applied heater power, with sub-microsecond transition times achieved.

  1. Catalytic activity of CuOn-La2O3/gamma-Al2O3 for microwave assisted ClO2 catalytic oxidation of phenol wastewater.

    PubMed

    Bi, Xiaoyi; Wang, Peng; Jiang, Hong

    2008-06-15

    In order to develop a catalyst with high activity and stability for microwave assisted ClO2 catalytic oxidation, we prepared CuOn-La2O3/gamma-Al2O3 by impregnation-deposition method, and determined its properties using BET, XRF, XPS and chemical analysis techniques. The test results show that, better thermal ability of gamma-Al2O3 and high loading of Cu in the catalyst can be achieved by adding La2O3. The microwave assisted ClO2 catalytic oxidation process with CuOn-La2O3/gamma-Al2O3 used as catalyst was also investigated, and the results show that the catalyst has an excellent catalytic activity in treating synthetic wastewater containing 100 mg/L phenol, and 91.66% of phenol and 50.35% of total organic carbon (TOC) can be removed under the optimum process conditions. Compared with no catalyst process, CuOn-La2O3/gamma-Al2O3 can effectively degrade contaminants in short reaction time and with low oxidant dosage, extensive pH range. The comparison of phenol removal efficiency in the different process indicates that microwave irradiation and catalyst work together to oxidize phenol effectively. It can therefore be concluded from results and discussion that CuOn-La2O3/gamma-Al2O3 is a suitable catalyst in microwave assisted ClO2 catalytic oxidation process.

  2. Investigation of the flatband voltage (V(FB)) shift of Al2O3 on N2 plasma treated Si substrate.

    PubMed

    Kim, Hyungchul; Lee, Jaesang; Jeon, Heeyoung; Park, Jingyu; Jeon, Hyeongtag

    2013-09-01

    The relationships between the physical and electrical characteristics of films treated with N2 plasma followed by forming gas annealing (FGA) were investigated. The Si substrates were treated with various radio frequency (RF) power levels under a N2 ambient. Al2O3 films were then deposited on Si substrates via remote plasma atomic-layer deposition. The plasma characteristics, such as the radical and ion density, were investigated using optical emission spectroscopy. Through X-ray photoelectron spectroscopy, the chemical-bonding configurations of the samples treated with N2 plasma and FGA were examined. The quantity of Si-N bonds increased as the RF power was increased, and Si--O--N bonds were generated after FGA. The flatband voltage (VFB) was shifted in the negative direction with increasing RF power, but the VFB values of the samples after FGA shifted in the positive direction due to the formation of Si--O--N bonds. N2 plasma treatment with various RF power levels slightly increased the leakage current due to the generation of defect sites.

  3. Influence of solution deposition rate on properties of V{sub 2}O{sub 5} thin films deposited by spray pyrolysis technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abd–Alghafour, N. M., E-mail: na2013bil@gmail.com; Ahmed, Naser M.; Hassan, Zai

    Vanadium oxide (V{sub 2}O{sub 5}) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl{sub 3} in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in themore » macrostrain resulted in an improvement in the films’ crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V{sub 2}O{sub 5} film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).« less

  4. Characteristics and anticorrosion performance of Fe-doped TiO2 films by liquid phase deposition method

    NASA Astrophysics Data System (ADS)

    Liu, Yu; Xu, Chao; Feng, ZuDe

    2014-09-01

    Fe-doped TiO2 thin films were fabricated by liquid phase deposition (LPD) method, using Fe(III) nitrate as both Fe element source and fluoride scavenger instead of commonly-used boric acid (H3BO3). Scanning electron microscopy (SEM), X-ray diffraction (XRD), and UV-vis spectrum were employed to examine the effects of Fe element on morphology, structure and optical characteristics of TiO2 films. The as-prepared films were served as photoanode applied to photogenerated cathodic protection of SUS304 stainless steel (304SS). It was observed that the photoelectrochemical properties of the as-prepared films were enhanced with the addition of Fe element compared to the undoped TiO2 film. The highest photoactivity was achieved for Ti13Fe (Fe/Ti = 3 molar ratio) film prepared in precursor bath containing 0.02 M TiF4 + 0.06 M Fe(NO3)3 under white-light illumination. The effective anticorrosion behaviors can be attributed to the Fe element incorporation which decreases the probability of photogenerated charge-carrier recombination and extends the light response range of Fe-doped TiO2 films appeared to visible-light region.

  5. Synthesis of Nanoporous Activated Iridium Oxide Films by Anodized Aluminum Oxide Templated Atomic Layer Deposition

    DTIC Science & Technology

    2010-11-01

    number of deposition strategies, including sputtering [10–12] and electrodeposition [13,14]. With all synthesis strategies, control of the film...to 10% ozone in 400 sccm O2 for 10 min. A 20 Å Al2O3 film was then deposited as a nucleation layer by iterative exposures of trimethyla- luminum and

  6. Valence and conduction band offsets of β-Ga2O3/AlN heterojunction

    NASA Astrophysics Data System (ADS)

    Sun, Haiding; Torres Castanedo, C. G.; Liu, Kaikai; Li, Kuang-Hui; Guo, Wenzhe; Lin, Ronghui; Liu, Xinwei; Li, Jingtao; Li, Xiaohang

    2017-10-01

    Both β-Ga2O3 and wurtzite AlN have wide bandgaps of 4.5-4.9 and 6.1 eV, respectively. We calculated the in-plane lattice mismatch between the (-201) plane of β-Ga2O3 and the (0002) plane of AlN, which was found to be 2.4%. This is the smallest mismatch between β-Ga2O3 and binary III-nitrides which is beneficial for the formation of a high quality β-Ga2O3/AlN heterojunction. However, the valence and conduction band offsets (VBO and CBO) at the β-Ga2O3/AlN heterojunction have not yet been identified. In this study, a very thin (less than 2 nm) β-Ga2O3 layer was deposited on an AlN/sapphire template to form the heterojunction by pulsed laser deposition. High-resolution X-ray photoelectron spectroscopy revealed the core-level (CL) binding energies of Ga 3d and Al 2p with respect to the valence band maximum in individual β-Ga2O3 and AlN layers, respectively. The separation between Ga 3d and Al 2p CLs at the β-Ga2O3/AlN interface was also measured. Eventually, the VBO was found to be -0.55 ± 0.05 eV. Consequently, a staggered-gap (type II) heterojunction with a CBO of -1.75 ± 0.05 eV was determined. The identification of the band alignment of the β-Ga2O3/AlN heterojunction could facilitate the design of optical and electronic devices based on these and related alloys.

  7. Synthesis and characterization of magnesium aluminate (MgAl2O4) spinel (MAS) thin films

    NASA Astrophysics Data System (ADS)

    Ahmad, Syed Muhammad; Hussain, Tousif; Ahmad, Riaz; Siddiqui, Jamil; Ali, Dilawar

    2018-01-01

    In a quest to identify more economic routes for synthesis of magnesium aluminate (MgAl2O4) spinel (MAS) thin films, dense plasma focus device was used with multiple plasma focus shots. Structural, bonding between composite films, surface morphological, compositional and hardness properties of MAS thin films were investigated by using x-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), energy dispersive x-rays (EDX) analysis and Vickers micro hardness test respectively. In XRD graph, the presence of MgAl2O4 diffraction peaks in crystallographic orientations (222), (400) and (622) pointed out the successful formation of polycrystalline thin films of MgAl2O4 with face centered cubic structure. The FTIR spectrums showed a major common transmittance band at 697.95 cm-1 which belongs to MgAl2O4. SEM micrographs illustrated a mesh type, granular and multi layers microstructures with significant melting effects. EDX spectrum confirmed the existence of magnesium, oxygen and aluminum in MAS films. A common increasing behavior in micro-hardness of composite MgAl2O4 films by increasing number of plasma focus shots was found.

  8. Characterization of pulsed laser deposition grown V2O3 converted VO2

    NASA Astrophysics Data System (ADS)

    Majid, Suhail; Shukla, D. K.; Rahman, F.; Gautam, Kamini; Sathe, V. G.; Choudhary, R. J.; Phase, D. M.

    2016-10-01

    Controllable tuning of Metal-insulator transition in VxOy thin film has been a field of extensive research. However controlled synthesis of desired Vanadium oxide phase is a challenging task. We have successfully achieved VO2 phase on Silicon substrate after post deposition annealing treatment to the PLD grown as deposited V2O3 thin films. The annealed thin film was characterized by x-ray diffraction (XRD), resistivity, Raman spectroscopy, X-ray absorption spectroscopy (XAS) and X-ray photoelectron spectroscopy (XPS) measurements. XRD confirms the crystalline nature and growth of VO2 phase in thin film. The characteristic MIT was observed from resistivity measurements and transition temperature appeared at lower value around 336 K, compared to bulk VO2. The structural transition accompanied with MIT from lower temperature monoclinic phase to higher temperature Rutile phase became evident from temperature dependent Raman measurements. Chemical state of vanadium was examined using XAS and XPS measurements which confirm the presence of +4 oxidation state of vanadium in thin film.

  9. Effects of growth temperature on the properties of atomic layer deposition grown ZrO2 films

    NASA Astrophysics Data System (ADS)

    Scarel, G.; Ferrari, S.; Spiga, S.; Wiemer, C.; Tallarida, G.; Fanciulli, M.

    2003-07-01

    Zirconium dioxide films are grown in 200 atomic layer deposition cycles. Zirconium tetrachloride (ZrCl4) and water (H2O) are used as precursors. A relatively high dielectric constant (κ=22), wide band gap, and conduction band offset (5.8 and 1.4 eV, respectively) indicate that zirconium dioxide is a most promising substitute for silicon dioxide as a dielectric gate in complementary metal-oxide-semiconductor devices. However, crystallization and chlorine ions in the films might affect their electrical properties. These ions are produced during atomic layer deposition in which the ZrCl4 precursor reacts with the growth surface. It is desirable to tune the composition, morphology, and structural properties in order to improve their benefit on the electrical ones. To address this issue it is necessary to properly choose the growth parameters. This work focuses on the effects of the growth temperature Tg. ZrO2 films are grown at different substrate temperatures: 160, 200, 250, and 350 °C. Relevant modification of the film structure with a change in substrate temperature during growth is expected because the density of reactive sites [mainly Si+1-(OH)-1 bonds] decreases with an increase in temperature [Y. B. Kim et al., Electrochem. Solid-State Lett. 3, 346 (2000)]. The amorphous film component, for example, that develops at Si+1-(OH)-1 sites on the starting growth surface, is expected to decrease with an increase in growth temperature. The size and consequences of film property modifications with the growth temperature are investigated in this work using x-ray diffraction and reflectivity, and atomic force microscopy. Time of flight-secondary ion mass spectrometry is used to study contaminant species in the films. From capacitance-voltage (CV) and current-voltage (IV) measurements, respectively, the dielectric constant κZrO2 and the leakage current are studied as a function of the film growth temperature.

  10. Giant photovoltaic effects driven by residual polar field within unit-cell-scale LaAlO3 films on SrTiO3

    PubMed Central

    Liang, Haixing; Cheng, Long; Zhai, Xiaofang; Pan, Nan; Guo, Hongli; Zhao, Jin; Zhang, Hui; Li, Lin; Zhang, Xiaoqiang; Wang, Xiaoping; Zeng, Changgan; Zhang, Zhenyu; Hou, J. G.

    2013-01-01

    For polar/nonpolar heterostructures, Maxwell's theory dictates that the electric potential in the polar components will increase divergently with the film thickness. For LaAlO3/SrTiO3, a conceptually intriguing route, termed charge reconstruction, has been proposed to avert such “polar catastrophe”. The existence of a polar potential in LaAlO3 is a prerequisite for the validity of the charge reconstruction picture, yet to date, its direct measurement remains a major challenge. Here we establish unambiguously the existence of the residual polar potential in ultrathin LaAlO3 films on SrTiO3, using a novel photovoltaic device design as an effective probe. The measured lower bound of the residual polar potential is 1.0 V. Such a direct observation of the giant residual polar potential within the unit-cell-scale LaAlO3 films amounts to a definitive experimental evidence for the charge reconstruction picture, and also points to new technological significance of oxide heterostructures in photovoltaic and sensing devices with atomic-scale control. PMID:23756918

  11. MgO-Al2O3-ZrO2 Amorphous Ternary Composite: A Dense and Stable Optical Coating

    NASA Technical Reports Server (NTRS)

    Shaoo, Naba K.; Shapiro, Alan P.

    1998-01-01

    The process-parameter-dependent optical and structural properties of MgO-Al2O3-ZrO2 ternary mixed-composite material were investigated. Optical properties were derived from spectrophotometric measurements. The surface morphology, grain size distributions, crystallographic phases, and process- dependent material composition of films were investigated through the use of atomic force microscopy, x-ray diffraction analysis, and energy-dispersive x-ray analysis. Energy-dispersive x-ray analysis made evident the correlation between the optical constants and the process-dependent compositions in the films. It is possible to achieve environmentally stable amorphous films with high packing density under certain optimized process conditions.

  12. Comparing the Thermodynamic Behaviour of Al(1)+ZrO2(s) to Al(1)+Al2O3(s)

    NASA Technical Reports Server (NTRS)

    Copland, Evan

    2004-01-01

    In an effort to better determine the thermodynamic properties of Al(g) and Al2O(g). the vapor in equilibrium with Al(l)+ZrO2(s) was compared to the vapor in equilibrium with Al(l)+Al2O3(s) over temperature range 1197-to-1509K. The comparison was made directly by Knudsen effusion-cell mass spectrometry with an instrument configured for a multiple effusion-cell vapor source (multi-cell KEMS). Second law enthalpies of vaporization of Al(g) and Al2O(g) together with activity measurements show that Al(l)+ZrO2(s) is thermodynamically equivalent to Al(l)+Al2O3(s), indicating Al(l) remained pure and Al2O3(s) was present in the ZrO2-cell. Subsequent observation of the Al(l)/ZrO2 and vapor/ZrO2 interfaces revealed a thin Al2O3-layer had formed, separating the ZrO2-cell from Al(l) and Al(g)+Al2O(g), effectively transforming it into an Al2O3 effusion-cell. This behavior agrees with recent observations made for Beta-NiAl(Pt) alloys measured in ZrO2 effusion-cell.

  13. Nanohardness, corrosion and protein adsorption properties of CuAlO2 films deposited on 316L stainless steel for biomedical applications

    NASA Astrophysics Data System (ADS)

    Chang, Shih-Hang; Chen, Jian-Zhang; Hsiao, Sou-Hui; Lin, Guan-Wei

    2014-01-01

    This study preliminarily assesses the biomedical applications of CuAlO2 coatings according to nanoindentation, electrochemical, and protein adsorption tests. Nanoindentation results revealed that the surface hardness of 316L stainless steel increased markedly after coating with CuAlO2 films. Electrochemical tests of corrosion potential, breakdown potential, and corrosion current density showed that the corrosion resistance properties of 316L stainless steel are considerably improved by CuAlO2 coatings. Bicinchoninic acid (BCA) protein assay results revealed that the protein adsorption behavior of 316L stainless steel did not exhibit notable differences with or without CuAlO2 coatings. A CuAlO2 coating of 100 nm thickness improved the surface nanohardness and corrosion resistance ability of 316L stainless steel. CuAlO2 is a potential candidate for biomaterial coating applications, particularly for surface modification of fine, delicate implants.

  14. Bi-layer channel structure-based oxide thin-film transistors consisting of ZnO and Al-doped ZnO with different Al compositions and stacking sequences

    NASA Astrophysics Data System (ADS)

    Cho, Sung Woon; Yun, Myeong Gu; Ahn, Cheol Hyoun; Kim, So Hee; Cho, Hyung Koun

    2015-03-01

    Zinc oxide (ZnO)-based bi-layers, consisting of ZnO and Al-doped ZnO (AZO) layers grown by atomic layer deposition, were utilized as the channels of oxide thin-film transistors (TFTs). Thin AZO layers (5 nm) with different Al compositions (5 and 14 at. %) were deposited on top of and beneath the ZnO layers in a bi-layer channel structure. All of the bi-layer channel TFTs that included the AZO layers showed enhanced stability (Δ V Th ≤ 3.2 V) under a positive bias stress compared to the ZnO single-layer channel TFT (Δ V Th = 4.0 V). However, the AZO/ZnO bi-layer channel TFTs with an AZO interlayer between the gate dielectric and the ZnO showed a degraded field effect mobility (0.3 cm2/V·s for 5 at. % and 1.8 cm2/V·s for 14 at. %) compared to the ZnO single-layer channel TFT (5.5 cm2/V·s) due to increased scattering caused by Al-related impurities near the gate dielectric/channel interface. In contrast, the ZnO/AZO bi-layer channel TFTs with an AZO layer on top of the ZnO layer exhibited an improved field effect mobility (7.8 cm2/V·s for 14 at. %) and better stability. [Figure not available: see fulltext.

  15. Structural, morphological and optical properties of pulsed laser deposited ZnSe/ZnSeO3 thin films

    NASA Astrophysics Data System (ADS)

    Hassan, Syed Ali; Bashir, Shazia; Zehra, Khushboo; Salman Ahmed, Qazi

    2018-04-01

    The effect of varying laser pulses on structural, morphological and optical behavior of Pulsed Laser Deposited (PLD) ZnSe/ZnSeO3 thin films has been investigated. The films were grown by employing Excimer laser (100 mJ, 248 nm, 18 ns, 30 Hz) at various number of laser pulses i.e. 3000, 4000, 5000 and 6000 with elevated substrate temperature of 300 °C. One film was grown at Room Temperature (RT) by employing 3000 number of laser pulses. In order to investigate the structural analysis of deposited films, XRD analysis was performed. It was observed that the room temperature is not favorable for the growth of crystalline film. However, elevated substrate temperature to 300°C, two phases with preferred orientation of ZnSeO3 (2 1 2) and ZnSe (3 3 1) were identified. AFM and SEM analysis were performed to explore the surface morphology of grown films. Morphological analysis also confirmed the non-uniform film growth at room temperature. At elevated substrate temperature (300 °C), the growth of dendritic rods and cubical crystalline structures are observed for lower number of laser pulses i.e. 3000 and 4000 respectively. With increased number of pulses i.e. 5000 and 6000, the films surface morphology becomes smooth which is confirmed by measurement of surface RMS roughness. Number of grains, skewness, kurtosis and other parameters have been evaluated by statistical analysis. In order to investigate the thickness, and optical properties of deposited films, ellipsometery and UV–Vis spectroscopy techniques were employed. The estimated band gap energy is 2.67 eV for the film grown at RT, whereas band gap values varies from 2.80 eV to 3.01 eV for the films grown at 300 °C with increasing number of laser pulses.

  16. Microstructure and Mechanical Properties of Zn-Ni-Al2O3 Composite Coatings

    PubMed Central

    Bai, Yang; Wang, Zhenhua; Li, Xiangbo; Huang, Guosheng; Li, Caixia

    2018-01-01

    Zn-Ni-Al2O3 composite coatings with different Ni contents were fabricated by low-pressure cold spray (LPCS) technology. The effects of the Ni content on the microstructural and mechanical properties of the coatings were investigated. According to X-ray diffraction patterns, the composite coatings were primarily composed of metallic-phase Zn and Ni and ceramic-phase Al2O3. The energy-dispersive spectroscopy results show that the Al2O3 content of the composite coatings gradually decreased with increasing of Ni content. The cross-sectional morphology revealed thick, dense coatings with a wave-like stacking structure. The process of depositing Zn and Ni particles and Al2O3 particles by the LPCS method was examined, and the deposition mechanism was demonstrated to be mechanical interlocking. The bond strength, micro hardness and friction coefficient of the coatings did not obviously change when the Ni content varied. The presence of Al2O3 and Ni increased the wear resistance of the composite coatings, which was higher than that of pure Zn coatings, and the wear mechanism was abrasive and adhesive wear. PMID:29883391

  17. A near ambient pressure XPS study of subnanometer silver clusters on Al 2O 3 and TiO 2 ultrathin film supports

    DOE PAGES

    Mao, Bao -Hua; Chang, Rui; Shi, Lei; ...

    2014-10-29

    Here, we have investigated model systems of silver clusters with different sizes (3 and 15 atoms) deposited on alumina and titania supports using ambient pressure X-ray photoelectron spectroscopy. The electronic structures of silver clusters and support materials are studied upon exposure to various atmospheres (ultrahigh vacuum, O 2 and CO) at different temperatures. Compared to bulk silver, the binding energies of silver clusters are about 0.55 eV higher on TiO 2 and 0.95 eV higher on Al 2O 3 due to the final state effect and the interaction with supports. No clear size effect of the silver XPS peak ismore » observed on different silver clusters among these samples. Silver clusters on titania show better stability against sintering. Al 2p and Ti 2p core level peak positions of the alumina and titania support surfaces change upon exposure to oxygen while the Ag 3d core level position remains unchanged. We discuss the origin of these core level shifts and their implications for catalytic properties of Ag clusters.« less

  18. Carrier Density at LaAlO3/SrTiO3 Interfaces: Evidence of Electronic Reconstruction.

    NASA Astrophysics Data System (ADS)

    Xi, Xiaoxing

    The origin of the 2D electron gas at the LaAlO3/SrTiO3 interface has been a controversial subject ever since its discovery. A serious inconsistency with the most accepted mechanism, an electronic reconstruction in response to a polar discontinuity at the interface, is that the carrier densities reported experimentally are invariably lower than the expected value except under conditions where reduction of SrTiO3 substrate is suspected. We have grown LaAlO3 films of different stoichiometry on TiO2-terminated SrTiO3 substrates using atomic layer-by-layer laser molecular beam epitaxy (ALL-Laser MBE), in which La2O3 and Al2O3 targets were sequentially ablated in 37 mTorr oxygen. The high oxygen pressure during growth prevents the possible oxygen reduction in SrTiO3, ensures that the LaAlO3 films are sufficiently oxygenated, and suppresses the La-Sr intermixing due to the bombardment effect. X-ray linear dichroism (XLD) and x-ray magnetic circular dichroism (XMCD) measurements show characteristics of oxygenated samples. In the electronic reconstruction picture, instead of the charge transfer of half of an electron in the case of a sufficiently thick stoichiometric LaAlO3, a LaAlO3 film thickness dependence is expected as well as a linear dependence on stoichiometry. Our experimental results on carrier densities in 10 nm-thick LaAl1 +yO3(1 +0.5y) films agree quantitatively with the theoretical expectations, lending a strong support for the electronic reconstruction mechanism. This material is based upon work supported by the U.S. Department of Energy, Office of Science, under Grant No. DE-SC0004764.

  19. Morphological study of electrophoretically deposited TiO2 film for DSSC application

    NASA Astrophysics Data System (ADS)

    Patel, Alkesh B.; Patel, K. D.; Soni, S. S.; Sonigara, K. K.

    2018-05-01

    In the immerging field of eco-friendly and low cost photovoltaic devices, dye sensitized solar cell (DSSC) [1] has been investigated as promising alternative to the conventional silicon-based solar cells. In the DSSC device, photoanode is crucial component that take charge of holding sensitizer on it and inject the electrons from the sensitizer to current collector. Nanoporous TiO2 is the most relevant candidate for the preparation of photoanode in DSSCs. Surface properties, morphology, porosity and thickness of TiO2 film as well as preparation technique determine the performance of device. In the present work we have report the study of an effect of nanoporous anatase titanium dioxide (TiO2) film thickness on DSSC performance. Photoanode TiO2 (P25) film was deposited on conducting substrate by electrophoresis technique (EPD) and film thickness was controlled during deposition by applying different current density for a constant time interval. Thickness and surface morphology of prepared films was studied by SEM and transmittance analysis. The same set of photoanode was utilized in DSSC devices using metal free organic dye sensitizer to evaluate the photovoltaic performance. Devices were characterized through Current-Voltage (I-V) characteristic, electrochemical impedance spectroscopy (EIS) and open circuit voltage decay curves. Dependency of device performance corresponding to TiO2 film thickness is investigated through the lifetime kinetics of electron charge transfer mechanism trough impedance fitting. It is concluded that appropriate thickness along with uniformity and porosity are required to align the dye molecules to respond efficiently the incident light photons.

  20. Influence of annealing temperature on structural and magnetic properties of pulsed laser-deposited YIG films on SiO2 substrate

    NASA Astrophysics Data System (ADS)

    Nag, Jadupati; Ray, Nirat

    2018-05-01

    Yttrium Iron Garnet (Y3Fe5O12) was synthesized by solid state/ceramic process. Thin films of YIG were deposited on SiO2 substrate at room temperature(RT) and at substrate temperature (Ts) 700 °C using pulsed laser deposition (PLD) technique. RT deposited thin films are amorphous in nature and non-magnetic. After annealing at temperature 800 ° RT deposited thin films showed X-ray peaks as well as the magnetic order. Magnetic ordering is enhanced by annealing temperature(Ta ≥ 750 °C) and resulted good quality of films with high magnetization value.

  1. Effects of substrate heating and post-deposition annealing on characteristics of thin MOCVD HfO2 films

    NASA Astrophysics Data System (ADS)

    Gopalan, Sundararaman; Ramesh, Sivaramakrishnan; Dutta, Shibesh; Virajit Garbhapu, Venkata

    2018-02-01

    It is well known that Hf-based dielectrics have replaced the traditional SiO2 and SiON as gate dielectric materials for conventional CMOS devices. By using thicker high-k materials such as HfO2 rather than ultra-thin SiO2, we can bring down leakage current densities in MOS devices to acceptable levels. HfO2 is also one of the potential candidates as a blocking dielectric for Flash memory applications for the same reason. In this study, effects of substrate heating and oxygen flow rate while depositing HfO2 thin films using CVD and effects of post deposition annealing on the physical and electrical characteristics of HfO2 thin films are presented. It was observed that substrate heating during deposition helps improve the density and electrical characteristics of the films. At higher substrate temperature, Vfb moved closer to zero and also resulted in significant reduction in hysteresis. Higher O2 flow rates may improve capacitance, but also results in slightly higher leakage. The effect of PDA depended on film thickness and O2 PDA improved characteristics only for thick films. For thinner films forming gas anneal resulted in better electrical characteristics.

  2. Two-step deposition of Al-doped ZnO on p-GaN to form ohmic contacts.

    PubMed

    Su, Xi; Zhang, Guozhen; Wang, Xiao; Chen, Chao; Wu, Hao; Liu, Chang

    2017-12-01

    Al-doped ZnO (AZO) thin films were deposited directly on p-GaN substrates by using a two-step deposition consisting of polymer assisted deposition (PAD) and atomic layer deposition (ALD) methods. Ohmic contacts of the AZO on p-GaN have been formed. The lowest sheet resistance of the two-step prepared AZO films reached to 145 Ω/sq, and the specific contact resistance reduced to 1.47 × 10 -2 Ω·cm 2 . Transmittance of the AZO films remained above 80% in the visible region. The combination of PAD and ALD technique can be used to prepare p-type ohmic contacts for optoelectronics.

  3. Two-step deposition of Al-doped ZnO on p-GaN to form ohmic contacts

    NASA Astrophysics Data System (ADS)

    Su, Xi; Zhang, Guozhen; Wang, Xiao; Chen, Chao; Wu, Hao; Liu, Chang

    2017-07-01

    Al-doped ZnO (AZO) thin films were deposited directly on p-GaN substrates by using a two-step deposition consisting of polymer assisted deposition (PAD) and atomic layer deposition (ALD) methods. Ohmic contacts of the AZO on p-GaN have been formed. The lowest sheet resistance of the two-step prepared AZO films reached to 145 Ω/sq, and the specific contact resistance reduced to 1.47 × 10-2 Ω·cm2. Transmittance of the AZO films remained above 80% in the visible region. The combination of PAD and ALD technique can be used to prepare p-type ohmic contacts for optoelectronics.

  4. In situ monitoring of electrical resistance during deposition of Ag and Al thin films by pulsed laser deposition: Comparative study

    NASA Astrophysics Data System (ADS)

    Abdellaoui, N.; Pereira, A.; Novotny, M.; Bulir, J.; Fitl, P.; Lancok, J.; Moine, B.; Pillonnet, A.

    2017-10-01

    In this study, the growth by pulsed laser deposition of thin films of nanometer thickness as well as clusters is presented. Two kinds of metals, namely Ag and Al, are investigated because of their different growth processes on SiO2. We show that by tuning the deposition rate and the background atmosphere, it is easily possible to obtain Ag clusters that exhibit plasmonic resonances at wavelengths shorter than 500 nm. It is further demonstrated that Al tends to perfectly wet the substrate when deposited under vacuum or gas pressure. In situ electrical resistance measurements are used to follow the growth during deposition, and conventional analysis techniques (AFM, SEM, absorption and ellipsometry spectroscopy) are used to control their properties.

  5. Effect of the composition on the superconducting properties of IBAD-MgO SmBCO coated conductors with superconducting film directly deposited on epi-MgO layer

    NASA Astrophysics Data System (ADS)

    Kim, H. S.; Oh, S. S.; Lee, N. J.; Jang, S. H.; Min, C. H.; Ha, H. S.; Chung, K. C.; Youm, D. J.; Moon, S. H.; Park, C.

    2011-11-01

    Sm1+xBa2-xCu3+yO7-δ (SmBCO) films were directly deposited on the epi-MgO/IBAD-MgO/Y2O3/Al2O3/Hastelloy template by co-evaporation using the evaporation using drum in dual chambers (EDDC) system without the buffer layer in order to investigate the effect of the composition ratios on superconducting property, microstructure and texture of SmBCO film. The films with gradient composition ratios of Sm:Ba:Cu were deposited using a shield with an opening which was placed between the substrate and the boats. The highest Ic of 52 A (corresponding to Jc = 1.6 MA/cm2 and a thickness of 800 nm) was observed at 77 K in self field at a composition x = 0.01-0.05 and y = -0.23 to -0.46. When the composition ratio is outside this range, the Ic value rapidly decreased. The superconducting critical current was highly dependent on the composition ratio. As the composition ratio is farther away from that of the highest Ic, the SmBCO (1 0 3) peak intensity increased and the amount of a-axis oriented parts increased. A dense microstructure with round-shape grains was observed in the region showing the highest Ic. The optimum composition ratio can be found by analyzing films deposited with variable deposition rates of each depositing element.

  6. Carrier Compensation Induced by Thermal Annealing in Al-Doped ZnO Films

    PubMed Central

    Koida, Takashi; Kaneko, Tetsuya; Shibata, Hajime

    2017-01-01

    This study investigated carrier compensation induced by thermal annealing in sputtered ZnO:Al (Al2O3: 0.25, 0.5, 1.0, and 2.0 wt %) films. The films were post-annealed in a N2 atmosphere at low (1 × 10−23 atm) and high (1 × 10−4 atm) oxygen partial pressures (PO2). In ZnO:Al films with low Al contents (i.e., 0.25 wt %), the carrier density (n) began to decrease at annealing temperatures (Ta) of 600 °C at low PO2. At higher PO2 and/or Al contents, n values began to decrease significantly at lower Ta (ca. 400 °C). In addition, Zn became desorbed from the films during heating in a high vacuum (i.e., <1 × 10−7 Pa). These results suggest the following: (i) Zn interstitials and Zn vacancies are created in the ZnO lattice during post-annealing treatments, thereby leading to carrier compensation by acceptor-type Zn vacancies; (ii) The compensation behavior is significantly enhanced for ZnO:Al films with high Al contents. PMID:28772501

  7. Static and dynamic properties of Co2FeAl thin films: Effect of MgO and Ta as capping layers

    NASA Astrophysics Data System (ADS)

    Husain, Sajid; Barwal, Vineet; Kumar, Ankit; Behera, Nilamani; Akansel, Serkan; Goyat, Ekta; Svedlindh, Peter; Chaudhary, Sujeet

    2017-05-01

    The influence of MgO and Ta capping layers on the static and dynamic magnetic properties of Co2FeAl (CFA) Heusler alloy thin films has been investigated. It is observed that the CFA film deposited with MgO capping layer is preeminent compared to the uncapped or Ta capped CFA film. In particular, the magnetic inhomogeneity contribution to the ferromagnetic resonance line broadening and damping constant are found to be minimal for the MgO capped CFA thin film i.e., 0.12±0.01 Oe and 0.0074±0.00014, respectively. The saturation magnetization was found to be 960±25emu/cc.

  8. Morphology, composition and electrical properties of SnO{sub 2}:Cl thin films grown by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cheng, Hsyi-En, E-mail: sean@mail.stust.edu.tw; Wen, Chia-Hui; Hsu, Ching-Ming

    2016-01-15

    Chlorine doped SnO{sub 2} thin films were prepared using atomic layer deposition at temperatures between 300 and 450 °C using SnCl{sub 4} and H{sub 2}O as the reactants. Composition, structure, surface morphology, and electrical properties of the as-deposited films were examined. Results showed that the as-deposited SnO{sub 2} films all exhibited rutile structure with [O]/[Sn] ratios between 1.35 and 1.40. The electrical conductivity was found independent on [O]/[Sn] ratio but dependent on chlorine doping concentration, grain size, and surface morphology. The 300 °C-deposited film performed a higher electrical conductivity of 315 S/cm due to its higher chlorine doping level, larger grain size, andmore » smoother film surface. The existence of Sn{sup 2+} oxidation state was demonstrated to minimize the effects of chlorine on raising the electrical conductivity of films.« less

  9. Interface Trap Density Reduction for Al2O3/GaN (0001) Interfaces by Oxidizing Surface Preparation prior to Atomic Layer Deposition.

    PubMed

    Zhernokletov, Dmitry M; Negara, Muhammad A; Long, Rathnait D; Aloni, Shaul; Nordlund, Dennis; McIntyre, Paul C

    2015-06-17

    We correlate interfacial defect state densities with the chemical composition of the Al2O3/GaN interface in metal-oxide-semiconductor (MOS) structures using synchrotron photoelectron emission spectroscopy (PES), cathodoluminescence and high-temperature capacitance-voltage measurements. The influence of the wet chemical pretreatments involving (1) HCl+HF etching or (2) NH4OH(aq) exposure prior to atomic layer deposition (ALD) of Al2O3 were investigated on n-type GaN (0001) substrates. Prior to ALD, PES analysis of the NH4OH(aq) treated surface shows a greater Ga2O3 component compared to either HCl+HF treated or as-received surfaces. The lowest surface concentration of oxygen species is detected on the acid etched surface, whereas the NH4OH treated sample reveals the lowest carbon surface concentration. Both surface pretreatments improve electrical characteristics of MOS capacitors compared to untreated samples by reducing the Al2O3/GaN interface state density. The lowest interfacial trap density at energies in the upper band gap is detected for samples pretreated with NH4OH. These results are consistent with cathodoluminescence data indicating that the NH4OH treated samples show the strongest band edge emission compared to as-received and acid etched samples. PES results indicate that the combination of reduced carbon contamination while maintaining a Ga2O3 interfacial layer by NH4OH(aq) exposure prior to ALD results in fewer interface traps after Al2O3 deposition on the GaN substrate.

  10. Reduction Mechanisms of Cu2+-Doped Na2O-Al2O3-SiO2 Glasses during Heating in H2 Gas.

    PubMed

    Nogami, Masayuki; Quang, Vu Xuan; Ohki, Shinobu; Deguchi, Kenzo; Shimizu, Tadashi

    2018-01-25

    Controlling valence state of metal ions that are doped in materials has been widely applied for turning optical properties. Even though hydrogen has been proven effective to reduce metal ions because of its strong reducing capability, few comprehensive studies focus on practical applications because of the low diffusion rate of hydrogen in solids and the limited reaction near sample surfaces. Here, we investigated the reactions of hydrogen with Cu 2+ -doped Na 2 O-Al 2 O 3 -SiO 2 glass and found that a completely different reduction from results reported so far occurs, which is dominated by the Al/Na concentration ratio. For Al/Na < 1, Cu 2+ ions were reduced via hydrogen to metallic Cu, distributing in glass body. For Al/Na > 1, on the other hand, the reduction of Cu 2+ ions occurred simultaneously with the formation of OH bonds, whereas the reduced Cu metal moved outward and formed a metallic film on glass surface. The NMR and Fourier transform infrared results indicated that the Cu 2+ ions were surrounded by Al 3+ ions that formed AlO 4 , distorted AlO 4 , and AlO 5 units. The diffused H 2 gas reacted with the Al-O - ···Cu + units, forming Al-OH and metallic Cu, the latter of which moved freely toward glass surface and in return enhanced H 2 diffusion.

  11. Phase stability and B-site ordering in La{sub 2}NiMnO{sub 6} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jin, Xiao-Wei; Lu, Lu; Liu, Ming

    2016-07-18

    Thin films of multiferroic double-perovskite La{sub 2}NiMnO{sub 6} are prepared on (001)-oriented SrTiO{sub 3}, (La{sub 0.289}Sr{sub 0.712})(Al{sub 0.633}Ta{sub 0.356})O{sub 3}, and LaSrAlO{sub 4} substrates by pulsed laser deposition. Microstructure investigation by advanced electron microscopy shows that the La{sub 2}NiMnO{sub 6} films have a monoclinic structure on the SrTiO{sub 3} substrates and a rhombohedral structure on the (La{sub 0.289}Sr{sub 0.712})(Al{sub 0.633}Ta{sub 0.356})O{sub 3} and LaSrAlO{sub 4} substrates. Atomic-scale elemental maps of the monoclinic and rhombohedral phases reveal a short-range and/or partial ordering of the B-sites. In addition, domains and columnar grains are found in the films. Our results demonstrate that themore » phase and microstructure of the La{sub 2}NiMnO{sub 6} films can be tuned by epitaxial strains induced by different substrates.« less

  12. Magnetoelectric effect in Cr2O3 thin films

    NASA Astrophysics Data System (ADS)

    He, Xi; Wang, Yi; Sahoo, Sarbeswar; Binek, Christian

    2008-03-01

    Magnetoelectric materials experienced a recent revival as promising components of novel spintronic devices [1, 2, 3]. Since the magnetoelectric (ME) effect is relativistically small in traditional antiferromagnetic compounds like Cr2O3 (max. αzz 4ps/m ) and also cross- coupling between ferroic order parameters is typically small in the modern multiferroics, it is a challenge to electrically induce sufficient magnetization required for the envisioned device applications. A straightforward approach is to increase the electric field at constant voltage by reducing the thickness of the ME material to thin films of a few nm. Since magnetism is known to be affected by geometrical confinement thickness dependence of the ME effect in thin film Cr2O3 is expected. We grow (111) textured Cr2O3 films with various thicknesses below 500 nm and study the ME effect for various ME annealing conditions as a function of temperature with the help of Kerr-magnetometry. [1] P. Borisov et al. Phys. Rev. Lett. 94, 117203 (2005). [2] Ch. Binek, B.Doudin, J. Phys. Condens. Matter 17, L39 (2005). [3] R. Ramesh and Nicola A. Spaldin 2007 Nature Materials 6 21.

  13. Mechanistic study of atomic layer deposition of Al{sub x}Si{sub y}O thin film via in-situ FTIR spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Jea; Kim, Taeseung; Seegmiller, Trevor

    2015-09-15

    A study of surface reaction mechanism on atomic layer deposition (ALD) of aluminum silicate (Al{sub x}Si{sub y}O) was conducted with trimethylaluminum (TMA) and tetraethoxysilane (TEOS) as precursors and H{sub 2}O as the oxidant. In-situ Fourier transform infrared spectroscopy (FTIR) was utilized to elucidate the underlying surface mechanism that enables the deposition of Al{sub x}Si{sub y}O by ALD. In-situ FTIR study revealed that ineffective hydroxylation of the surface ethoxy (–OCH{sub 2}CH{sub 3}) groups prohibits ALD of SiO{sub 2} by TEOS/H{sub 2}O. In contrast, effective desorption of the surface ethoxy group was observed in TEOS/H{sub 2}O/TMA/H{sub 2}O chemistry. The presence of Al-OH*more » group in vicinity of partially hydroxylated ethoxy (–OCH{sub 2}CH{sub 3}) group was found to propagate disproportionation reaction, which results in ALD of Al{sub x}Si{sub y}O. The maximum thickness from incorporation of SiO{sub x} from alternating exposures of TEOS/H{sub 2}O chemistry in Al{sub x}Si{sub y}O was found to be ∼2 Å, confirmed by high resolution transmission electron microscopy measurements.« less

  14. Growth and Characterization of Sn Doped β-Ga2O3 Thin Films and Enhanced Performance in a Solar-Blind Photodetector

    NASA Astrophysics Data System (ADS)

    Zhao, Xiaolong; Cui, Wei; Wu, Zhenping; Guo, Daoyou; Li, Peigang; An, Yuehua; Li, Linghong; Tang, Weihua

    2017-04-01

    Ga2- x Sn x O3 thin films were deposited on c-plane Al2O3 (0001) substrates with different Sn content by laser molecular beam epitaxy technology (L-MBE). The Sn content x was varied from 0 to 1.0. (bar{2}01) oriented β-phase Ga2- x Sn x O3 thin films were obtained at the substrate temperature of 850°C in the vacuum pressure of 5 × 10-5 Pa. The crystal lattice expanded and the energy band-gap decreased with the increase of Sn content for Sn4+ ions incorporated into the Ga site. The n-type conductivity was generated effectively through doping Sn4+ ions in the Ga2O3 lattice in the oxygen-poor conditions. The solar-blind (SB) photodetectors (PDs) based on Ga2- x Sn x O3 ( x = 0, 0.2) thin films were fabricated. The current intensity and responsivity almost increased by one order of magnitude and the relaxation time constants became shorter for x = 0.2. Our work suggests that the performance of PD can be improved by doping Sn4+ ions in Ga2O3 thin films.

  15. ALD Produced B{sub 2}O{sub 3}, Al{sub 2}O{sub 3} and TiO{sub 2} Coatings on Gd{sub 2}O{sub 3} Burnable Poison Nanoparticles and Carbonaceous TRISO Coating Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weimer, Alan

    2012-11-26

    This project will demonstrate the feasibility of using atomic layer deposition (ALD) to apply ultrathin neutron-absorbing, corrosion-resistant layers consisting of ceramics, metals, or combinations thereof, on particles for enhanced nuclear fuel pellets. Current pellet coating technology utilizes chemical vapor deposition (CVD) in a fluidized bed reactor to deposit thick, porous layers of C (or PyC) and SiC. These graphitic/carbide materials degrade over time owing to fission product bombardment, active oxidation, thermal management issues, and long-term irradiation effects. ALD can be used to deposit potential ceramic barrier materials of interest, including ZrO{sub 2}, Y{sub 2}O{sub 3}:ZrO{sub 2} (YSZ), Al{sub 2}O{sub 3},more » and TiO{sub 2}, or neutron-absorbing materials, namely B (in BN or B{sub 2}O{sub 3}) and Gd (in Gd{sub 2}O{sub 3}). This project consists of a two-pronged approach to integrate ALD into the next-generation nuclear plant (NGNP) fuel pellet manufacturing process:« less

  16. Reduced electron back-injection in Al2O3/AlOx/Al2O3/graphene charge-trap memory devices

    NASA Astrophysics Data System (ADS)

    Lee, Sejoon; Song, Emil B.; Min Kim, Sung; Lee, Youngmin; Seo, David H.; Seo, Sunae; Wang, Kang L.

    2012-12-01

    A graphene charge-trap memory is devised using a single-layer graphene channel with an Al2O3/AlOx/Al2O3 oxide stack, where the ion-bombarded AlOx layer is intentionally added to create an abundance of charge-trap sites. The low dielectric constant of AlOx compared to Al2O3 reduces the potential drop in the control oxide Al2O3 and suppresses the electron back-injection from the gate to the charge-storage layer, allowing the memory window of the device to be further extended. This shows that the usage of a lower dielectric constant in the charge-storage layer compared to that of the control oxide layer improves the memory performance for graphene charge-trap memories.

  17. [Influences of R2O-Al2O3-B2O3-SiO2 system glass and superfine alpha-Al2O3 on the sintering and phase transition of hydroxyapatite ceramics].

    PubMed

    Wang, Zhiqiang; Chen, Xiaoxu; Cai, Yingji; Lü, Bingling

    2003-06-01

    The effects of R2O-Al2O3-B2O3-SiO2 system glass and superfine alpha-Al2O3 on the sintering and phase transition of hydroxyapatite (HAP) ceramics were assessed. The results showed that alpha-Al2O3 impeded the sintering of HAP and raised the sintering temperature. When glass and alpha-Al2O3 were used together to reinforce HAP ceramics, better results could be obtained; the bending strength of multiphase HAP ceramics approached 106 MPa when 10% (wt) alpha-Al2O3 and 20%(wt) glass were used and sintered at 1200 for 1 h.

  18. Ferroelectric enhancement in heterostructured ZnO /BiFeO3-PbTiO3 film

    NASA Astrophysics Data System (ADS)

    Yu, Shengwen; Chen, Rui; Zhang, Guanjun; Cheng, Jinrong; Meng, Zhongyan

    2006-11-01

    The authors have prepared heterostructured ZnO /BiFeO3-PbTiO3 (BFO-PT) composite film and BFO-PT film on Pt /Ti/SiO2/Si substrates by pulsed-laser deposition. The structure and morphologies of the films were characterized by x-ray diffraction (XRD) and scanning electron microscope. XRD results show that both films are perovskite structured last with different orientations. The leakage current density in the ZnO /BFO-PT film was found to be nearly two orders of magnitude lower. This could be due to the introduced ZnO layer behaving as a Schottky barrier between the BFO-PT film and top electrodes. The dramatic ferroelectric enhancement in ZnO /BFO-PT film is mostly ascribed to the improved insulation.

  19. Sequentially evaporated thin film YBa2Cu3O(7-x) superconducting microwave ring resonator

    NASA Technical Reports Server (NTRS)

    Rohrer, Norman J.; To, Hing Y.; Valco, George J.; Bhasin, Kul B.; Chorey, Chris; Warner, Joseph D.

    1990-01-01

    There is great interest in the application of thin film high temperature superconductors in high frequency electronic circuits. A ring resonator provides a good test vehicle for assessing the microwave losses in the superconductor and for comparing films made by different techniques. Ring resonators made of YBa2Cu3O(7-x) have been investigated on LaAlO3 substrates. The superconducting thin films were deposited by sequential electron beam evaporation of Cu, Y, and BaF2 with a post anneal. Patterning of the superconducting film was done using negative photolithography. A ring resonator was also fabricated from a thin gold film as a control. Both resonators had a gold ground plane on the backside of the substrate. The ring resonators' reflection coefficients were measured as a function of frequency from 33 to 37 GHz at temperatures ranging from 20 K to 68 K. The resonator exhibited two resonances which were at 34.5 and 35.7 GHz at 68 K. The resonant frequencies increased with decreasing temperature. The magnitude of the reflection coefficients was in the calculation of the unloaded Q-values. The performance of the evaporated and gold resonator are compared with the performance of a laser ablated YBa2Cu3O(7-x) resonator. The causes of the double resonance are discussed.

  20. Biocompatibility and Surface Properties of TiO2 Thin Films Deposited by DC Magnetron Sputtering

    PubMed Central

    López-Huerta, Francisco; Cervantes, Blanca; González, Octavio; Hernández-Torres, Julián; García-González, Leandro; Vega, Rosario; Herrera-May, Agustín L.; Soto, Enrique

    2014-01-01

    We present the study of the biocompatibility and surface properties of titanium dioxide (TiO2) thin films deposited by direct current magnetron sputtering. These films are deposited on a quartz substrate at room temperature and annealed with different temperatures (100, 300, 500, 800 and 1100 °C). The biocompatibility of the TiO2 thin films is analyzed using primary cultures of dorsal root ganglion (DRG) of Wistar rats, whose neurons are incubated on the TiO2 thin films and on a control substrate during 18 to 24 h. These neurons are activated by electrical stimuli and its ionic currents and action potential activity recorded. Through X-ray diffraction (XRD), the surface of TiO2 thin films showed a good quality, homogeneity and roughness. The XRD results showed the anatase to rutile phase transition in TiO2 thin films at temperatures between 500 and 1100 °C. This phase had a grain size from 15 to 38 nm, which allowed a suitable structural and crystal phase stability of the TiO2 thin films for low and high temperature. The biocompatibility experiments of these films indicated that they were appropriated for culture of living neurons which displayed normal electrical behavior. PMID:28788667